Hong Kong: Tse Chin-wan joins energy meeting Secretary for Environment & Ecology Tse Chin-wan attended the Asia-Pacific Economic Cooperation (APEC) Energy Ministerial Meeting themed Creating a Resilient & Sustainable Future for All in the US city of Seattle. Speaking at the opening plenary, Mr Tse shared with other energy ministers the Hong Kong Special Administrative Region Government's strong commitment to combat climate change through decarbonisation strategies promulgated in Hong Kong's Climate Action Plan 2050. He noted that a new Office of Climate Change & Carbon Neutrality has been set up, while a dedicated climate budget of $240 billion has been earmarked for decarbonisation and green projects. Mr Tse added that Hong Kong will continue to sharpen its own policies, step up action in combating climate change, and welcome collaboration with other APEC economies to shape a greener tomorrow. APEC's Energy Intensity Reduction Goal is to reduce its aggregate energy intensity by 45% by 2035, against the 2005 level. So far, the energy intensity in Hong Kong has decreased over 30%. At the discussion session "Power Sector Decarbonization" on the same day, Mr Tse shared with APEC member economies the Hong Kong SAR Government's strategies and work on decarbonising the power sector. He said: To further decarbonise our power sector, we have set the target to cease using coal for daily electricity generation by 2035. We are also striving to increase the use of zero-carbon energy and its share in electricity utilisation to around 60% to 70% before 2035. Regarding the development of renewable energy, Mr Tse highlighted the plan of installing more floating solar power systems at reservoirs and introducing highly efficient storage battery technology. Small-scale renewable energy systems are being installed at public housing estates and government premises and facilities. The environment chief pointed out that the Hong Kong SAR Government is also exploring collaboration with neighbouring regions on zero-carbon energy projects. These will help us achieve the interim target to reduce carbon emissions by half from the 2005 level before 2035, he added. Mr Tse also visited new energy facilities arranged by the host economy, including the Microsoft Thermal Energy Center and a carbon reduction company to learn about the latest new energy developments in the US. He also met National Energy Administration Deputy Director Ren Jingdong on the sidelines of the meeting to exchange views on strengthening regional co-operation. This story has been published on: 2023-08-16. To contact the author, please use the contact details within the article. It is US that is a ticking time bomb for the global economy, not China 09:46, August 16, 2023 By China Daily editorial ( Chinadaily.com.cn US President Joe Biden described China as a "ticking time bomb" at a political fundraiser in Utah on Thursday. But is it China or the United States that is a "ticking time bomb" as far as the world economy is concerned? It is inappropriate for Joe Biden, the president of the US, to talk about China in such a manner, not to say that he got the basic facts about China's growth rate and the number of working age people and the number in retirement wrong. It is even more outrageous for him to claim that because China has these spurious problems "it's not good because when bad folks have problems, they do bad things". "Bad folks". That is such an affront to the Chinese people. It is unbecoming for the leader of the US to talk about the Chinese people in such a disrespectful manner. This is not the first time US politicians have suggested that the Chinese economy is about to collapse, only to have to eat their words. China-bashing has become an important ingredient for political campaigns before an election. So it becomes a competition to see who can talk the most nonsense about China just to attract public attention. However, if the "ticking time bomb" is intended to be a meme for Biden's reelection bid then he will only embarrass himself by showing how out of touch with reality he is. All economies are facing challenges of different kinds to recover from the devastation of the COVID-19 pandemic. China is no exception. However, the Chinese economy has shown its resilience and is doing pretty well despite the fact that it has to overcome some difficulties including creating jobs for its young people and further expand domestic demand. That does not make it a "ticking time bomb". The US on the other hand is. When it comes to the US economy, Fitch Ratings downgraded its debt rating from the highest AAA to AA+, citing a "steady deterioration in standards of governance". Fitch said that the decision wasn't just prompted by the latest debt ceiling standoff but rather "a steady deterioration in standards of governance over the last 20 years" regarding "fiscal and debt matters". So the US economy has a chronic problem, not just temporary difficulties. In addition, it is the US that has advocated unilateralism and protectionism in its trade with the rest of the world, which has seriously disrupted the global industry and supply chains and done a disservice to the growth of the global economy. It is the US' geopolitical games that are the biggest drag and threat to the global economy. So if Biden wants to talk about a "ticking time bomb", it is his own country he should be speaking of. (Web editor: Zhong Wenxing, Wu Chaolan) Unfortunately, our website is currently unavailable in your country. We are engaged on the issue and committed to looking at options that support our full range of digital offerings to your market. We continue to identify technical compliance solutions that will provide all readers with our award-winning journalism. West Bengal Governor CV Ananda Bose has called Jadavpur University authorities for an emergency meeting at Raj Bhavan in the wake of the death of a student of Jadavpur University in Kolkata on Wednesday evening. Jadavpur University authorities were seen reaching Raj Bhavan in a minibus. Earlier, a group of students and locals of Bagula village at Nadia held a protest in the morning over the death of a student. Protesters alleged ragging and demanded action in connection to the incident. "We belong from the native place of the victim. We are here along with the guardian and teachers of the victim. We demand a ban on ragging in the entire country and strong action against the culprits," one of the protestors said. Another protester said that Swapnadeep belonged to Bagula village and we are protesting so that such an incident is not repeated in the future. He had been ill-treated at the University. "Swapnadeep was a very bright and intelligent student. He never fought with anyone. We demand strict punishment against the culprits," Utpal Biswas, head teacher at Bagula High School said. Earlier in the day, more people were arrested in connection to the incident. With this, the total number of people arrested in the case has gone to nine, police said. Multiple teams of Kolkata Police conducted operations overnight during which four people were arrested, an official said. The arrested accused were identified as Md. Arif, a 3rd-year-student engineering student at Jadavpur University. Md. Asif Azmal- 4th year student of electrical engineering, and Ankan Sardar, a 3rd-year student of Civil engineering at Jadavpur University. Simultaneously, raids were conducted by Kolkata Police at different places in Purbo Medinipur and South 24 Parganas last night to nab three more accused, they said. These arrested persons were identified as Asit Sardar, a former student of Jadavpur University, Saptak Kamilya, another ex-student of the university, and Suman Naskar, also a former student at the varsity. All three ex-students fled Kolkata after the incident, the police added. Moreover, the sleuths said all six arrested persons were produced in court on Wednesday, officials said. Meanwhile, the Dean of Students and Registrar of Jadavpur University were also asked to meet the Joint CP Crime at Lalbazar, the officer added. The first-year student of Jadavpur University, identified as Swarnodip Kundu, died after allegedly falling from the balcony of the university's hostel on the night of August 9. (ANI) A massive fire broke out at a government cancer hospital in Madhya Pradeshs Indore district on Wednesday evening, an official said. The fire incident occurred in the basement of the hospital at around 5 pm. On getting the information about the incident, the fire brigade rushed to the spot and brought the fire under control within time. Superintendent of Government Cancer Hospital, Dr Ramesh Arya said, The fire broke out in the basement of the hospital at around 5 pm. It was extinguished in time and no casualty was reported in the incident. About 60 patients are admitted in the hospital and their treatment is going on. In the preliminary investigation, it came to light that the fire occurred, most likely due to a short circuit in some machine though it is being investigated, he added. (ANI) Delhi Police has busted a gang of bikers who indulge in robbery and three members of the gang have been arrested, said the police. According to the Delhi Police, with this gang being busted, ten sensational robbery cases have been solved. "Northern Range of Delhi Police has busted a gang of biker robbers who committed many robberies riding on bikes. The area of their operation is all over Delhi. They mostly targeted medical stores. Three members of this gang have been arrested and with their arrest, 10 sensational cases of robbery have been solved," Vivek Kishore, Joint Commissioner of Police, Northern Range said. Further investigation is underway. (ANI) The revocation of Article 370 by the Indian government on August 5, 2019, marked a historic moment for Jammu and Kashmir with the UT undergoing a remarkable transformation. The recent Tiranga Yatra, where hundreds of enthusiastic youths waved the tricolour, shattered long-held misconceptions about the aspirations of Kashmiri youth. The tide of change has ushered in an era of peace, prosperity, and development after two decades of militancy. For years, the narrative of 'Azadi' had been skillfully propagated by Pakistan-sponsored proxies, leaving many to believe that the youth of Kashmir yearned for secession. The chants of "Vande Bharat" and "Bharat Mata ki Jai" during the Tiranga Yatra in Srinagar exposed the myth. The youth, lured by false promises, now stand united in their commitment to a prosperous and integrated Jammu and Kashmir within the Indian Union. The path to this transformation has been arduous, marked by both political and economic reforms. The decision to abrogate Article 370 signalled the beginning of a new era. The region beset with unrest, strikes, and stone pelting, is witnessing the return to normalcy. Schools, colleges, and universities remain open without any disturbance in a newly founded stability. Over one crore tourists thronged the valley in 2022. Experts attribute this surge to the bold decision of August 5, 2019. The New Industrial Policy 2021 has attracted investments worth Rs 2200 crores, creating over 10,000 jobs in just one year. Such an economic infusion had not been witnessed since 1947, a testimony to the newfound trust in the region's potential. Panchayat and block development council elections have witnessed huge participation, reflecting the growing engagement and empowerment of local communities. Security too has witnessed a remarkable improvement. The crackdown on corruption, the elimination of top militant commanders, and the focus on generating employment opportunities have knelt a death blow of insurgency. The loss of civilian lives has been minimized, signalling a shift toward a more stable and secure region. Detractors who had exploited the youth for their own ulterior motives have been exposed and taken to task. The acknowledgement of the new ground reality, by the IAS officer Shah Faesal, reflects the sentiments of a changing generation. The integration of Jammu and Kashmir with the rest of the country has cemented the region's place in the national fabric. The Tiranga Yatra marks the journey from 'Azadi' to 'Progress' that the youth of Kashmir have chosen to embark upon. The myth of separatism has been shattered, paving the way for a brighter future where the tricolour shines as a beacon of hope, unity, and development. The past four years have been a testament to the power of visionary decisions, collective resolve, and the unwavering spirit of a people who have chosen to march forward toward a future of peace and prosperity. (ANI) Airport Customs officials at Indira Gandhi International Airport have arrested eight passengers after they were found concealing gold worth Rs 2.92 crore in a baggage trolley. A senior Customs official told that it's a new modus operandi to smuggle gold by concealing it in baggage trolleys available at the airport. The operation was carried out based on intelligence inputs. In a statement, Customs informed that officers at IGI airport have booked a case of smuggling of gold on August 15 on the basis of profiling of eight Uzbekistan nationals, who arrived from Tashkent to Delhi by Flight No HY 421 at Terminal 3 of Delhi Airport. "The arrested passengers were Uzbekistan nationals that include five men and three women passengers. They all have been arrested. The case is under investigation to find out the place of delivery of smuggled gold," the official said. They were intercepted after they had crossed the Green Channel and were approaching the exit gate of the International Arrival Hall. Subsequently,50 Gold Chains had a total weight of 5319 grams, which were found concealed in a baggage trolley by a group of eight foreign passengers in a hollow metal box pasted on the back panel of the baggage trolley. The 50 gold chains weighing 5319 grams valued at Rs.2.92 crore were recovered. In view of the above, the said recovered gold has been seized under section 110 of the Customs Act, 1962. The said passengers have been placed under arrest in terms of section 104 of the Customs Act, 1962. Further investigation is under progress. (ANI) Delhi LG VK Saxena has approved the proposal for the creation of 37 additional posts of various categories in the Delhi State Consumer Dispute Redressal Commission, Raj Bhavan informed in an official statement. The proposal for the creation of additional posts was mooted in June 2020, keeping in view the pendency of cases. As on March 1, 2020, the total number of cases pending for disposal in the State Commission was 7760, which comprised 5848 complaints that included Execution Application and 1912 Appeals and Revision Petitions, the press release added."This will provide a major fillip to transparency and speedy redressal of grievances of the common people, something that the LG has been pioneering ever since he took over in May last year,' the statement informed. The officials at the LG office informed, on more than one occasion, he has flagged the lack of adequate mechanisms and provisions for redressal of grievances of the common resident of the City and has insisted that sufficient provisions be made for them, vide different forms provided by law. In this regard, as per the statement, it may be recalled that Saxena had on different occasions, come down heavily on the AAP Government for not constituting bodies meant for transparency and grievance redressal in relation to the public distribution system under the NFS Act, 2013. Saxena paved the way for the creation of one post of Deputy Registrar, 3 Section Officers, 6 Assistant Section Officers, 6 Senior Assistants, 12 Junior Assistants, 3 PS, 1 Steno, and 5 MTS.Officials further added that the sanctioned strength of members at present is five which includes the President and three courts, two division benches, and one single-member bench.The Finance Department had concurred with the proposal and will make financial provisions for the posts being created.It is to be noted that the Ministry of Home Affairs on January 1, 1997, delegated powers to the Delhi Government for the creation of posts on both plans as well as non-plan sides under Groups A, B, C, and D. Therefore, all categories of permanent, temporary, or supernumerary posts in any office in the Department of GNCTD can now be created with the concurrence of the Finance Department and approval of the Lieutenant Governor, the statement added. (ANI) Noting that there will be no compromise with BJP, NCP chief Sharad Pawar on Wednesday slammed the ruling party at the Centre, saying its role is to maintain unity in the society but "they are dividing people. Pawar, who addressed a press conference, said parties of INDIA bloc will create janmat across the country to oust the Narendra Modi government in 2024 Lok Sabha election. The only focus is to defeat the NDA and remove the Modi government in the 2024 Lok Sabha elections, he said. The NCP chief slammed Prime Minister Narendra Modi, saying that the situation in Manipur is worrying but he had not visited the northeast region to build confidence among people. Pawar also took dig at PM Modi over his remarks from Red Fort that he will present the achievements of his government on the next Independence Day from the same venue. Sharad Pawar said PM Modi was following Maharashtra Deputy Chief Minister Devendra Fadnavis I will come back again remarks but people have made up their mind to oust the BJP.PM Modis slogan is bound to fail, he said. Sharad Pawar accused BJP of destabilising democratically elected governments in Madhya Pradesh, Karnataka, Goa and Maharashtra. The power of the country is in the hands of the Bharatiya Janata Party and its allies. Their role is to maintain unity in the society, but they are dividing people, Sharad Pawar said. The situation in Manipur is worrying. We wanted the PM to visit northeast once and build confidence among people there, but this did not seem important to the Prime Minister, he added. A meeting of INDIA alliance will be held in Mumbai on August 31 and September 1 in which the strategy for the Lok Sabha elections will be decided, Pawar said. The NCP chief accused Modi government of misusing probe agencies to target opponents. On apparent uneasiness among MVA allies over his meeting with his nephew and Maharashtra Deputy Chief Minister Ajit Pawar, the NCP chief said that the confusion has been cleared and Maha Vikas Aghadi is strong. It was a family meeting with Ajit Pawar. I did not go to the media talking about the meeting, Sharad Pawar said. Talking about Nawab Malik who was recently released from jail on medical grounds, Sharad Pawar said that injustice has been done to the NCP leader. He also questioned BJPs decisions concerning 'Vibhajan Vibhishika Smriti Diwas' saying these will be discussed at INDIA bloc meeting. Earlier in the day, NCP leader and Sharad Pawars daughter Supriya Sule reacted strongly to purported remarks of a Congress leader claiming that a central cabinet berth had been offered to Sharad Pawar or her. "I have received no such offer and neither has anyone had any conversations on those lines with me. You should ask them (Maharashtra Congress leaders) why they are giving such statements. I have no idea. I am personally in touch with senior Congress leaders such as Sonia Gandhi, Rahul Gandhi, Mallikarjun Kharge, and Gaurav Gogoi but I am not in touch with any of their leaders in Maharashtra," she said. Congress leader and the Leader of the Opposition in the Maharashtra Assembly, Vijay Wadettiwar, had questioned the recent meeting between Sharad Pawar and his nephew Ajit Pawar. Ajit Pawar, along with 8 loyalist MLAs, engineered a split in the NCP in July and joined the ruling NDA government in the state. Ajit Pawar taking oath as the deputy chief minister.Wadettiwar claimed that a condition had been placed for Ajit Pawar to be made the Maharashtra CM that he has to convince his uncle to join the BJP-led National Democratic Alliance (NDA) at the Centre. "Why is Ajit Pawar frequently meeting Sharad Pawar?... Without his help, the BJP won't win more Lok Sabha seats from the state next year. Another reason (for the meetings) is that Ajit Pawar has been told that he cannot become the chief minister unless he can convince Sharad Pawar to come on board (join NDA)," Wadettiwar claimed. Maharashtra Congress chief Nana Patole later referred to Sharad Pawars remarks and said that he will remain with the INDIA alliance. I trust that he will go with the INDIA alliance..., Patole said. (ANI) Bhopal cyber police have busted a gang involved in duping people by cloning their ATM cards and arrested two persons, including a Romanian citizen, from New Delhi, officials said on Wednesday. According to police, the accused have been identified as Ayoniel Miu (50) -- a Romanian national, and Firoz Ahmed Khan (38), a resident of Thane, Maharashtra. The accused used to target the customers of only one particular bank and they used to collect the information about the ATM cards by using skimmer devices. Bhopal Commissioner of Police (CP) Harinarayan Chari Mishra told ANI, Bhopal Cyber police have busted a gang which was involved in duping people by cloning ATM cards of customers of only one particular bank. The accused duped around 75 people from the city and around a dozen in different cities across the country. It was a challenge for us as the money was withdrawn from the ATMs, neither anyone had shared the OTP nor had clicked any kind of link. The police took the matter seriously and investigated it properly. The police checked over hundreds of CCTV footage of ATMs and then reached the accused, Mishra said. The officer further said, The police arrested both the accused from New Delhi. The main accused is a Romanian citizen Ayoniel Miu and his one associate Firoz is a resident of Thane. The police also recovered ultra-modern equipment from both the accused. The accused are being interrogated further. Talking about the modus operandi of the crime, the commissioner said the accused used to install skimmer devices and hidden micro cameras in the ATMs to collect information and after that, the accused used to clone the ATM cards using the info. These accused used to commit these crimes in different cities and after cloning the card, they used to withdraw cash only from ATMs in Delhi. They had withdrawn from a total of nine ATMs in Delhi and its nearby location. The Romanian accused is a drug addict. He came to India in 2015. There are other cases registered against him at different places. The police are also finding information about its passport and visa, if any irregularities are found then action will be taken under that too, he added. As far as the involvement of bank employees in the incident has not yet come to light, though the police are investigating it, the commissioner said. (ANI) Congress president Mallikarjun Kharge and party leader, Rahul Gandhi, on Wednesday chaired a one-on-one meeting with leaders of the Delhi Congress unit, party sources said. The sources further said that the meeting held at the All India Congres Committee office in New Delhi on Wednesday evening was called to hold discussions on organizational matters. This comes after the Aam Admi party threatened to walk out of the INDIA alliance. "Congress President Mallikarjun Kharge and Rahul Gandhi are meeting Delhi Congress leaders on one to one basis. The meeting has been called for a discussion on organizational matters", Sources said. Deepak Babaria, Congress in-charge for Delhi, on Wednesday, distanced the party from its leader Alka Lamba's statement, saying that there was no discussion held on elections or alliance in today's meeting. Babaria even termed her statement "immature". Speaking to ANI, Babaria said, "I am finding myself for want of words to get some sense of the AAP. Alka Lamba is not an authorized spokesperson for much larger issues. As an in-charge, I have said there has been no discussion on it (Lok Sabha seats)." "After the completion of the meeting, I clearly said that there were no discussions regarding elections or alliance in the meeting. I also said that any discussion of the INDIA alliance will only take place in the presence of Mallikarjun Kharge ji," he said. Earlier in the day the Congress party held a meeting of its Delhi unit. After the meeting, Congress leader Alka Lamba claimed that the party had asked its cadres and leaders to start making preparations for the seven Lok Sabha seats for the 2024 elections. This statement triggered the AAP to threaten a walkout from the INDIA alliance. Party spokesperson Priyanka Kakar said, "If they (Congress) don't want to form an alliance in Delhi, then it makes no sense to go for the INDIA alliance, it is a waste of time. The party's top leadership will decide whether or not to attend the next meeting of the INDIA alliance." This forced Congress in charge of Delhi to make a clarification that no talks had been held on the alliance in Delhi. He also cautioned the AAP on its media statement, saying, "The AAP should understand... to make such statements." "They should also understand that the entire media wants to support the BJP..want to provoke you.. After provoking you, to make such statements..I think it is the most unfortunate thing," Babaria said, referring to Priyanka Kakkar's statement. He further mentioned that there was no discussion held in the meeting today. "To make some imaginary statements...And if someone believes the immature statements of our spokesperson..they should have clarified it with me," he said, terming it the "mischief of media to favour the BJP". The INDIA alliance is scheduled to meet in Mumbai at the end of this month and a potential face-off between the AAP and the Congress would have hurt the alliance. (AN)) Judge Dhanapal while quashing the proceedings against Sophia, said that the incident was not a crime and the matter was trivial. The court quashed the case pending before Thoothukudi Judicial Magistrate III. Quashing the proceedings against her, the court observed that procedure under Section 155 of the CrPC (Information as to non-cognisable cases and investigation of such cases) was not followed and the police had inserted Section 505 (1)(B) of the IPC in the FIR. The court was hearing a petition filed by Lois Sophia in 2019 seeking to quash the case against her. In September 2018, Sophia, a Research student was arrested for chanting "fascist BJP government down" on a plane in the presence of former Tamil Nadu BJP president Tamilisai Soundararajan. Thoothukudi police arrested Sophia for raising anti-BJP slogans on the plane. She was later arrested on a complaint lodged by Tamilisai. (ANI) Kerala government has decided to distribute the new supplementary textbooks to restore the deleted chapters in National Council of Educational Training and Research (NCERT) Class 11 and 12 textbooks relating to Mahatma Gandhis assassination, the 2002 Gujarat riots and the period of emergency. State General Education Minister V Sivankutty has informed that Chief Minister Pinarayi Vijayan will inaugurate the book distribution programme at Cotton Hill School in Thiruvananthapuram on August 23. Recently, the state government decided to include chapters removed by NCERT in Classes 11, 12 books. Its a time when the process of curriculum reform has started at the national and state levels. Meanwhile, at the national level, under NCERT's leadership, many sections were deleted from the 6th to 12th standard textbooks. Kerala had quickly responded academically to this. This exclusion has been made in the name of reducing the academic load due to covid but anyone who examine these books will understand that this cut is not to reduce the academic load but to protect some vested interests, V Sivankutty said. He further said that the state has taken up this discussion by putting national interest and academic interest first. Textbooks for classes 1 to 10 are produced by Kerala. So the changes made by NCERT in classes 6 to 10 at the national level do not significantly affect Kerala. But in 11th and 12th class, NCERT textbooks are used, he said, adding that the academic community cannot accept the extensive exclusion made in history, political science, economics and sociology textbooks. He further said that there are attempts to hide the basics of the Constitution, the history of the country, avoiding the fundamental problems in the country, and the avoidance of subjects by saying it's not suitable for this era with political motives - mostly in humanitarian subjects and that is why Kerala has decided to release additional textbooks in humanities subjects. The minister clarified that this would not be limited to additional reading. They will be a proper part of the syllabus and not just complementary readings. Students will have to study them for their exams, because only then would they find the drive to read and understand their history, he explained. Last year, the National Council of Educational Research and Training (NCERT) amended history and social sciences textbooks to remove mentions of the 2002 Gujarat Riots and passages around the assassination of Mahatma Gandhi. They had also removed the industrial revolution from a Class 11 textbook and some Dalit writers from a Class 7 textbook as part of a new rationalised syllabi for the current academic session. This June, NCERT also removed the periodic table, democracy and sources of energy from class 10 textbooks. The chapters that have been removed include Charles Darwin on evolution, origin of life on Earth, and human evolution and heredity as well as the chapter on periodic classification of elements. We can never change the history of the country, the spirit of the freedom struggle and the constitutional values in the name of the curriculum and textbooks should be updated with the times. Whatever the reason, Kerala will always oppose the removal of such parts, Minister V Sivankutty said in the statement. (ANI) Even in remote parts of the world, microplastic particles can be found in the marine environment. These tiny particles originate on land but are also re-emitted into the atmosphere by the sea, according to a study led by Dr Barbara Scholz-Bttcher of the University of Oldenburg. The researchers examined air samples collected from various locations along the Norwegian coast, all the way up to the Arctic region. The findings were recently published in the scientific journal Nature Communications. "With our study, we present data on the mass load of different types of plastic in the marine atmosphere for the first time," said Isabel Gomann, a doctoral candidate at the University of Oldenburg's Institute for Chemistry and Biology of the Marine Environment (ICBM) and first author of the paper. The research team collected the samples during an expedition with the Research Vessel Heincke in 2021. The northernmost destination was Bear Island, the most southerly island of the Svalbard archipelago which lies halfway between the mainland and the archipelago's largest island, Spitsbergen. The team used two different devices to collect air samples. The devices actively pumped in air and were mounted on the bow of the research vessel at a height of twelve metres. Different types of plastics identified The scientists analysed the air samples using pyrolysis-gas chromatography-mass spectrometry. With this method they were able to identify and quantify the different types of plastics in the atmosphere through thermal degradation and selective analysis. They then performed model calculations and reconstructed the sources and distribution paths of the particles, each of which is just a few thousandths of a millimetre in size. The analysis revealed the omnipresence of polyester particles. Polyethylene terephthalate particles, which presumably entered the atmosphere in the form of textile fibres, were detected in all samples. Other plastic types were also present, including polypropylene polycarbonate and polystyrene. Tire wear particles, the tiny debris abraded from tires during driving and especially braking, were identified as another major source of microplastics. The researchers measured concentrations of up to 37.5 nanograms (one nanogram = one-billionth of a gram) of microplastics per cubic metre of air. "These pollutants are ubiquitous. We find them even in remote polar regions," Gomann stressed. Until now, little was known about microplastics pollution levels including tire wear particles in the marine atmosphere. "There are only a handful of studies on the concentration of these pollutants in the air," said team leader Scholz-Bttcher. "Our model calculations indicate that the microplastics in the marine atmosphere come from direct sources on the land as well as from the sea," she added. The team posits that plastic particles floating near the sea surface enter the atmosphere via sea spray and bursting air bubbles produced during stormy weather, for example. Ships are also a source of microplastics Microplastics find their way into seawater via rivers, but also through the atmosphere particles are washed out of the atmosphere by rain, for example. Another potential source is ship traffic: in an earlier study, a team led by Scholz-Bttcher demonstrated that in the open North Sea, the paint and coatings used on ships is the main source of microplastics. In the current study, chemicals such as polyurethanes and epoxy resins typically used in paints and coatings for ships were also found in the air samples. In addition to researchers from the ICBM, scientists from the Alfred Wegener Institute, Helmholtz Centre for Polar and Marine Research (AWI) in Bremerhaven, the Technische Universitt Berlin, the Norwegian Institute for Air Research (NILU) and the Norwegian Institute of Public Health (NIPH) were also part of the research team. (ANI) US Secretary of State Antony Blinken on Tuesday said that Washington would welcome any steps from Tehran for de-escalating the growing nuclear threats. He, however, also clarified that the process of bringing back US citizens detained in Iran is a completely different matter. Addressing the state briefing on Tuesday, Antony Blinken said, Finally, last week we confirmed that Iranian authorities released five US citizens from prison to house arrest Siamak Namazi, Morad Tahbaz, Emad Shargi, and two Americans who wish to remain private. Most have been in prison since before this administration took office. One has been held for nearly eight years. None should have been detained in the first place. He further spoke about the kin of the detainees and praised their resilience and courage. Blinken added that the US continues to follow the approach of deterrence, pressure, and diplomacy against Iran to ensure Tehran never acquires a nuclear weapon. Nothing about our overall approach to Iran has changed. We continue to pursue a strategy of deterrence, pressure, and diplomacy. We remain committed to ensuring that Iran never acquires a nuclear weapon. We continue to hold the regime accountable for its human rights abuses, destabilizing actions in the region, funding of terrorism, provision of drones to Russia, for its use in the war against Ukraine, among many other offences, he said. Blinken added, Weve been clear that Iran must de-escalate to create space for future diplomacy. This development that is, the move of our detainees out of prison and to home detention is not linked to any other aspect of our Iran policy. It is simply about our people. On being asked, if the reports stating Iran reducing nuclear stockpiles is in any way related to the US-Iran agreement announced next week, Blinken said that Washington would welcome any steps from Iran to de-escalate the growing nuclear threat. What I can say is, of course, we would welcome any steps that Iran takes to actually de-escalate the growing nuclear threat that it has posed since the United States got out of the Iran nuclear agreement. And, of course, weve been very focused on that, and President Bidens determination to assure that Iran never gets a nuclear weapon remains rock solid, he said. He further stated that there is no agreement between the two countries on nuclear matters. There is no agreement between us on nuclear matters. The agreement that were pursuing, to bring home those who are wrongfully detained in Iran, is an entirely separate matter that we want to bring to a successful conclusion, and thats what Im focused on, Blinken added. Last week, five Americans who had been imprisoned in Iran were placed under house arrest. The development is the first step in a deal between the United States and Iran that would include making USD 6 billion in Iranian funds more accessible to Tehran in exchange for their return to the US, CNN reported. The Permanent Mission of Iran to the United Nations in a statement said that as part of a humanitarian cooperation agreement mediated by a third-party government, Iran and the US have agreed to reciprocally release and pardon five prisoners." The roadmap includes plans to make USD 6 billion in Iranian funds that have been in a restricted account in South Korea more available for non-sanctionable trade of goods like food and medicine by moving them to a restricted account elsewhere. According to the source, the decision will not provide new funds to Iran. However, Iran will be able to use those funds that are currently in South Korean accounts for humanitarian purposes and non-sanctionable trade. The source said there is also expected to be a prisoner swap component to the deal, CNN reported. (ANI) Pakistan Muslim League (N) Supremo Nawaz Sharif is confident that the general election would be held in the month of February next year, according to party leader Rana Sanaullah, Dawn reported. He (Nawaz Sharif) is sure that elections will take place in February. Delimitation of constituencies has to be completed before then, and it will be completed by December, Sanaullah said while responding to a question about Nawazs expected return to Pakistan during an appearance on Pakistan's private news channel on Tuesday. The party leader further stated that it will be appropriate for Nawaz Sharif to return to the country in September or October. Nawaz left the country in November 2019 for medical treatment in London following his conviction in a corruption case. The three-time prime minister has not returned since and faces multiple cases in Pakistan, as per Dawn's report. Last week, his younger brother and then-prime minister Shhebaz Sharif also said that he would return to Pakistan in September, lead the PML-Ns election campaign and assume the role of the prime minister for a fourth time if the party would emerge victorious in the polls. But recently, the Supreme Court has ruled that the Review of Judgments and Orders Act, 2023 was "unconstitutional", crashing all the hopes of Nawaz Sharif, who was seeking to challenge his lifetime disqualifications, Geo News reported. This decision has created a cloud of uncertainty for Nawaz Sharif to come back to his land. Recently, aDawnreport alsociteda senior Election Commission of Pakistan (ECP) official as saying that the commission was now legally bound to go for fresh delimitation, which would take at least four months. Its a constitutional requirement and we will have to do it, he had remarked. The details will be worked out by the commission in a meeting, which would be held after they received the official notification. The report quoted another official as saying that the ECP will also be required to update electoral rolls and take other related steps, indicating that the entire exercise may be postponed until March or April of the next year. AnotherDawnreportpublished today said a huge mismatch in the otherwise increased and decreased share of districts across the country would make the upcoming exercise to re-draw boundaries for provincial assemblies elections a complex one. The report highlighted that a thorough analysis of population figures showed that unlike for NA seats, fresh delimitation for the provincial assembly seats can affect dozens of districts. The delimitation issue was also brought up during a Supreme Court hearing on Tuesday where Chief Justice of Pakistan Umar Ata Bandial said the ECP should conduct the process of delimitations transparently, stressing that it was a matter of public interest. He directed the ECP to resolve all issues prior to the polls. Subsequently, the ECP issued a notification stating that it would hold a meeting on Wednesday (today) to discuss the delimitation of NA and provincial assemblies constituencies and allied matters, reported Dawn. (ANI) The Peoples Liberation Army (PLA), an armed force beholden to the Chinese Communist Party, is the worlds largest military. Its pursuit of jointness between the various armed services has been made evident in the recent blockade of a Philippine outpost in the South China Sea, and in the recent transfer of naval aviation assets into the hands of the PLA Air Force. On 5 August, forces of the PLA Navy (PLAN), China Coast Guard (CCG) and Peoples Armed Forces Maritime Militia combined to prevent Philippine Coast Guard vessels from resupplying a dozen marines aboard BRP Sierra Madre, a rusting vessel that forms a Philippine military outpost at Second Thomas Shoal in the Spratly Islands. It was deliberately beached there in 1999 to maintain Manilas territorial claim in the face of Chinese encroachment, specifically its occupation of the then uninhabited Mischief Reef sitting 37.8km away. Clearly, by blockading Philippine vessels in this way, China has raised aggression a further notch, using water cannons and their larger ship hulls to prevent the monthly resupply of military personnel at Second Thomas Shoal within the Philippine exclusive economic zone. CCG vessels even tried to ram smaller Philippine resupply boats. Without any legal justification, China stated: We urge the Philippine side to immediately stop its infringing activities in these waters. China has indisputable sovereignty over the Nansha Islands and their adjacent waters, including the Second Thomas Shoal. The China Coast Guard will continue to carry out rights protection and law enforcement activities in waters under Chinas jurisdiction according to law. The shoal is about 200 km from the Philippine island of Palawan, but more than 1,000 km from Chinas nearest landmass of Hainan Island. In no uncertain terms, the Permanent Court of Arbitration proclaimed in 2016 that China has no claims there. China is seeking to push the Philippines off this reef, as it will then have a clear path to claiming more of the South China Sea. Using the Filipino name for the shoal, Jonathan Malaya, the Philippine National Security Council spokesman, warned, For the record, we will never abandon Ayungin Shoal. Unlike predecessor Rodrigo Duterte, who allowed China to trample over Philippine territorial claims, the current Philippine administration has taken a stronger line against Chinese coercion. Beijing was also willing to endure sharp criticism from the likes of Australia, Canada, France, Germany, Japan, the UK and USA for its actions. China is illegally blocking Philippine territory, practicing dangerous maneuvers at sea, and so it must face more than mild approbation for its actions. A US State Department spokesman warned that attacks on Philippine vessels, armed forces or aircraft would invoke the 1951 Mutual Defense Treaty. Will Manila, with US support, even consider building a more permanent structure on the reef? The Philippines will have to resupply its garrison on the dilapidated vessel within two weeks, so it will likely employ different tactics. In 2014 it resorted to an airdrop. If the Philippines uses helicopters in the future, for example, this would make it more difficult for China to intercept resupply runs, but it could escalate Chinese aggression. While Beijing easily has the ability to up the ante by capturing the rusting hull on Second Thomas Shoal by force, for instance this would be escalatory as it could bring the USA into the equation. So far, China has been willing to play a long game at Second Thomas Shoal, but it is now pressing the issue. This water cannon incident can be viewed as a skirmish in a longer war. The end game, as far as China is concerned, is to seize the reef and thus to push the Philippines out completely. Video footage of the incident showed the close cooperation between the PLAN, CCG and maritime militia. They worked seamlessly to pummel and prevent one of the vessels from reaching BRP Sierra Madre. Elsewhere, the PLA has taken a major move towards rationalizing aviation units to further improve jointness, a long-held ambition of Chinas military. Jointness is defined as the integration of the strengths of at least two limbs of the military in a coordinated effort to achieve a common goal. This important restructuring of the PLAN and PLA Air Force (PLAAF) covers at least three fighter brigades, two bomber regiments, three radar brigades, three air defense brigades and numerous airfield stations. China has remained silent on why this reorganization has occurred, but this is typical for a country paranoid about national security. In August, the China Aerospace Studies Institute (CASI), a think-tank affiliated with the US Department of the Air Force, released a report discussing these recent changes. Entitled PLA Naval Aviation Reorganization 2023, the author Rod Lee said the majority of aviation units had moved to the PLAAF by midyear. This conclusion was based on press reports, imagery showing former PLAN personnel now wearing PLAAF uniforms, and units that have been explicitly identified as air force units. The most pertinent question is this, why has China transferred naval aviation units almost wholesale to the air force? In one sense, it will make missions such as maritime strike far more complicated. As the PLAN previously owned JH-7 fighter-bombers and H-6 bombers capable of striking naval targets, the navy could conduct such missions without the kinetic support of any other PLA service. Now, such tasks will fall under the remit of theater joint command systems, and this will place greater demands on the latter. Nonetheless, Lee pointed out that this move is consistent with what the PLA has been trying to achieve in promoting jointness. Between the growing emphasis on multi-domain operations, PLAAF maritime strike capabilities and PLA Rocket Force multi-domain fires, an increasing portion of maritime strike missions are joint in nature. As such, aligning all air-based maritime strike capabilities under the PLAAF is in line with preexisting joint command modernization efforts. Lee continued: Despite this loss of kinetic capabilities, the PLAN still has organic information enablers in the form airborne early warning (AEW) aircraft; intelligence, surveillance and reconnaissance aircraft; anti-submarine aircraft; as well as unmanned aerial vehicles (UAV). If the PLA had removed these airborne assets from PLAN control, then the PLAN would constantly have to ask for support or control over said PLAAF assets. This, in turn, could significantly strain theater headquarters, theater navy and theater air force staff workloads as they attempt to manage PLAN requests for PLAAF assets. A side issue is how much aerial minelaying capability the PLAN will retain. Aircraft like the JH-7 and H-6 can drop sea mines. However, with these platforms given to the PLAAF, only Y-9 anti-submarine warfare aircraft will be left with this ability. Will the PLAAF introduce mine-laying as part of its training curriculum, or will it leave this skill in the PLANs hands? Lee voiced two key benefits that this transition to PLAAF command will bring for air operations. Firstlythe PLA improves administrative management over these types of forces. Under the previous arrangement of the PLAN having its own fighter, bomber, air defense and air surveillance radar units separate from the PLAAF, the PLA had two separated management chains over similar types of personnel and equipment. For example, PLAN and PLAAF H-6 bomber pilots adhered to different training guidance despite flying the same airframe. Bringing similar types of units under the same administrative structure streamlines bureaucratic duplication and improves standardization across units with identical functions. Lee listed the second advantage too. This realignment also unifies all defensive air operations under theater command air forces rather than having it split between two theater services. Prior to the realignment, PLAN units appeared to have exclusive responsibility over air defense missions in certain parts of PRC airspace, including a roughly 250km swath of coastline between Zhoushan and Wenzhou along with the entirety of Hainan Island. There were no PLAAF radar or ground-based air defense units covering these coastal areas, and the closest ground-based fighter units were often a PLAN aviation unit. By realigning these former PLAN units under the PLAAF, the PLA did away with a potential geographic seam in the command and control of air defense missions. This move will also help the PLAN improve its carrier-based aviation force. This force currently comprises two J-15 fighter brigades that embark aboard Chinas two active aircraft carriers, with a third carrier currently being fitted out. The PLAN is awaiting modern, stealthy carrier-borne fighters and new KJ-600 AEW aircraft that are facsimiles of the American E-2 Hawkeye. Lee noted, By divesting themselves of thousands of billets, multiple pieces of infrastructure and numerous airframes, the PLAN is now free to pursue a more carrier-centric force within the constraints of its current level of resourcing. What about the impact on the PLAAF?It has received relatively modern platforms from the navy, but the task of maintaining two dozen regiments and brigades will require further commitments of personnel and resources. Nonetheless, radar sites in littoral areas will greatly improve the PLAAFs surveillance coverage, while extra H-6 bombers will permit it to commit more airframes to the nuclear mission. Lee concluded: In all, this shift represents a noticeable change in the way the PLA organizes itself. Whether this was done voluntarily by the services or mandated from above, doing away with redundant capabilities across services demonstrates a marked improvement in PLA jointness. Significantly, the PLAN has retained some aviation-related units, including helicopter, UAV, the aforementioned carrier-based units and a single fighter unit (the 8th Aviation Brigade). Also remaining under its order of battle are several aviation training units, headquarters elements and some airfield stations. Lee was uncertain as to why the PLAN has kept the 8th Aviation Brigade, though he did speculate: It is possible that the PLAN wants to retain at least a small ground-based fighter inventory to help support extensive operations in the South China Sea. Alternatively, this unit may be slated for conversion to a carrier-based fighter like the J-15. However, the latter hypothesis runs contrary to the PLANs typical model of standing up new carrier-based aviation units rather than converting pre-existing formations. According to data compiled by CASI, the PLAAF in the Eastern Theater Command has absorbed the 4th Aviation Brigade, 6th Aviation Brigade, Eastern Theater Navy (ETN) Bomber Regiment, ETN Air Defense Brigade and 2nd Radar Brigade. Remaining under the PLAN is the ETN 1st Aviation Division (containing special mission aircraft), ETN UAV Regiment and Helicopter Regiment. The navy keeps control of Feidong Air Base, where J-15 fighters and helicopters are stationed. As for the Southern Theater Command, the navy has divested itself of the 9th Aviation Brigade, Southern Theater Navy (STN) Bomber Regiment, STN Air Defense Brigade and 3rd Radar Brigade. However, the PLAN has retained the 8th Aviation Brigade, 10th Aviation Brigade (with J-15 fighters), 3rd Aviation Division (special mission aircraft), STN UAV Regiment and Helicopter Regiment. Concerning the Northern Theater Command, the Northern Theater Navy (NTN) Air Defense Brigade and 4th Radar Brigade have been turned over to the PLAAF. Elsewhere, the navy still controls the 11th Aviation Regiment (with J-15s), 2nd Aviation Division (with special mission aircraft), NTN Helicopter Regiment, and assets under the PLAN Aviation University, Carrier Aircraft Test and Training Base, and PLAN Headquarters. The status of the 5th Aviation Brigade remains uncertain, according to CASI. These changes in the PLAN and PLAAF are significant, a further step in the Chinese militarys journey to become more combat-effective and better able to work together. This all bodes ill, as Chinas ongoing coercion of Taiwan demonstrates as it continuously flies aircraft towards and near Taiwan. Even while wildly blaming others for stoking tensions, China is ramping up its pummeling of Taiwan and anyone else who dares to defend their island territories or to sail or fly through international waterways and airspace. (ANI) US Representative Congressman Rich McCormick, who is part of the American Congressional delegation visiting India, on Wednesday said that he is looking forward to his meeting with Prime Minister Narendra Modi today. I'm really excited about today, we're going to meet Prime Minister Modi and several other dignitaries and once again continue to advance the relationship between our two great countries, McCormick said in an exclusive interview with ANI. McCormick who represents Georgia's 6th Congressional District in the United States House of Representatives also hailed the recent visit of PM Modi to America stating there's never been a better time for developing these relationships. I think there's never been a better time for developing these relationships. His (PM Modi) visit to America was special, it's the second time he's been over there. That's unique. The largest democracy in the world coming to visit America. And now we're here in force to have a bipartisan group of congressmen to continue to develop this relationship that I think it's going to be essential in the future, said McCormick. Speaking about the India-US relationship and threats from China, the Congressman said that it is important to develop a strong relationship. We continue to develop trust given the fact that we've had a long history of coordinated efforts, but this is going to be something unique as we go into this next generation of threats, especially with the proximity to China and other countries. Developing a strong relationship strategically, economically and militarily so that we can trust each other into the future, McCormick said. Hailing Indias economic growth, the congressman said that it will be interesting to see India become a more powerful ally. Last night we met with a bunch of businesses. There's a huge military industry here in India that I think is going to be partnering with this in the future which is going to help not only the economy but also the strength of India. We spend roughly over 800 billion on our military, and India's closer to 60 billion. But as India grows its economy which is gonna grow in tremendous ways in the next decade. It's gonna be interesting to see India become a more powerful ally. It's able to defend itself against anybody which is going to be great for both countries, said McCormick. Highlighting the role of Indian-Americans, Rich said that they continue to benefit both countries. When you talk about the diaspora in the United States. You're talking about the most successful demographic in the wealthiest country in the world. That only speaks well to India's perseverance and productivity, creativity, hard work, intelligence, all the great things. It's a testimony to the Indian people, which I think is great for reputation, great for relationships. Look forward to developing that as we continue because it benefits both countries, he said. You have 200,000 students right now in America, from India, learning incredible skills and technologies that they can bring back here to this country to continue to build their industry which is needed in both countries when it comes to developing economies, he said. (ANI) The campaign, AfghanGirlsVoices, was launched on Tuesday to elevate the voices of young Afghan girls deprived of their basic right to education, precisely came two years after the de facto Taliban authorities seized control of the country. The United Nations released a statement on Tuesday which stated that the campaign was developed in collaboration with Education Cannot Wait (ECW), a UN fund dedicated to enabling continuous learning for children during emergencies and prolonged crises, Champion Somaya Faruqi, former captain of the Afghan Girls Robotic Team, with compelling artwork by a young Afghan female artist. The courage of these girls in Afghanistan gives me the strength to use my own voice as an ECW Global Champion to amplify their voices to the world, said Faruqi. The situation is taking an immense toll on girls mental health and rates of suicide for girls has gone up in the last two years. Its more urgent than ever to act now, and I hope that next year, we celebrate their freedom rather than mark their oppression, she added. As pera recentreportby UN experts, the condition of women and girls in Afghanistan is the worst globally. The systematic curtailment of their human rights, coupled with the profound bias they face under the regime of the de facto Taliban authorities, could potentially qualify as "gender apartheid" and "gender persecution," the report said. The international community must hear this poignant call from the heart from Afghan girls and young women and mobilise in greater numbers and with renewed strength of purpose to condemn the violation of their rights, said UN Special Envoy for Global Education and Chair of ECWs High-Level Steering Group, Gordon Brown. Afghanistan's women have faced numerous challenges since the Taliban returned to power in 2021. Girls and women in the war-torn country have no access to education, employment and public spaces. Taliban has imposed draconian restrictions on the rights to freedom of expression, association, assembly, and movement for women and girls. Not only this, Taliban leaders have also disregarded international calls for women and girls to be given access to education and employment. Apparently, they have also issued warnings to other nations not to meddle in Afghanistan's domestic affairs. The Taliban have barred girls from attending secondary school, restricted women and girls' freedom of movement, excluded women from most areas of the workforce and banned women from using parks, gyms and public bath houses. (ANI) Pakistan's local courts in Islamabad rejected nine petitions of former Prime Minister Imran Khan, seeking bail in connection with the First Information Reports (FIRs) registered against him over violent protests, Dawn reported. On Tuesday, the Anti-Terrorism Court (ATC) of Islamabad dismissed three bail petitions and Additional District and Sessions Judge (ADSJ) Mohammad Sohail rejected six petitions seeking pre-arrest bail for Khan. The FIR was registered against Pakistan Tehreek-i-Insaf (PTI) Chairman in the Khanna and Barakahu Police Stations. The judge added that Imran Khans bail cannot be extended in light of the supreme court verdict, as per Geo News. The six cases were registered against the incarcerated PTI chief in Karachi Company, Ramna, Kohsar, Tarnool and Secretariat police stations of the federal capital. Judge Muhammad Sohail announced the verdict and said that it would be convenient if the former prime minister who was removed from power via a parliamentary vote last year, joined the investigation related to the cases. However, ADSJ Sohail extended the interim bail of Khan's wife Bushra Bibi in a case related to the bogus receipt of Toshakhana gifts till September 7, reported Dawn. The PTI chief arrest in a corruption case on May 9 this year triggered violent protests with the party supporters attacking defence and military installations in many parts of the country. Hundreds of PTI workers and leaders were arrested for their alleged involvement in the riots while the authorities had accused the former premier of being the mastermind of the violent protests. Meanwhile, the ousted premier was again put behind bars at Attock Jail earlier this month after a trial court in Islamabad sentenced him to three years in prison and imposed an Rs100,000 fine after he was found guilty of concealing proceeds of Toshakhana (state depository) gifts that he received from foreign dignitaries as the prime minister of the country from 2018 to 2022. Subsequently, the Election Commission of Pakistan (ECP) disqualified him for five years from holding public office following his conviction. (ANI) Photo: The Canadian Press Vicente, right, and Ewa Ruboi perform a blessing to greet the day on the beach Tuesday, Aug. 15, 2023, in Kihei, Hawaii. (AP Photo/Rick Bowmer) A mobile morgue unit arrived Tuesday to help Hawaii officials working painstakingly to identify the remains of people killed in wildfires that ravaged Maui, as the death rose above 100 and teams intensified the search for more dead in neighborhoods reduced to ash. Gov. Josh Green announced the confirmed death toll had risen from 99 to 101 in an afternoon video address, saying, We are heartsick that weve had such loss. The U.S. Department of Health and Human Services deployed a team of coroners, pathologists and technicians along with exam tables, X-ray units and other equipment to identify victims and process remains, said Jonathan Greene, the agency's deputy assistant secretary for response. Its going to be a very, very difficult mission, Greene said. And patience will be incredibly important because of the number of victims. A week after a blaze tore through historic Lahaina, many survivors started moving into hundreds of hotel rooms set aside for displaced locals, while donations of food, ice, water and other essentials poured in. Crews using cadaver dogs have scoured about 32% of the area, the County of Maui said in a statement Tuesday. The governor asked for patience as authorities became overwhelmed with requests to visit the burn area. Just three bodies have been identified, and officials expected to start releasing names Tuesday, according to Maui Police Chief John Pelletier, who renewed an appeal for families with missing relatives to provide DNA samples. So far 41 samples have been submitted, the county statement said, and 13 DNA profiles have been obtained from remains. The governor warned that scores more bodies could be found. The wildfires, some of which have not yet been fully contained, are already the deadliest in the U.S. in more than a century. Their cause was under investigation. When asked by Hawaii News Now if children are among the missing, Green said Tuesday: Tragically, yes. ... When the bodies are smaller, we know it's a child. He described some of the sites being searched as too much to share or see from just a human perspective. Another complicating factor, Green said, is that storms with rain and high winds were forecast for the weekend. Officials are mulling whether to preemptively power down or not for a short period of time, because right now all of the infrastructure is weaker. A week after the fires started, some residents remained with intermittent power, unreliable cellphone service and uncertainty over where to get assistance. Some people walked periodically to a seawall, where phone connections were strongest, to make calls. Flying low off the coast, a single-prop airplane used a loudspeaker to blare information about where to get water and supplies. Victoria Martocci, who lost her scuba business and a boat, planned to travel to her storage unit in Kahalui from her Kahana home Wednesday to stash documents and keepsakes given to her by a friend whose house burned. These are things she grabbed, the only things she could grab, and I want to keep them safe for her, Martocci said. The local power utility has already faced criticism for not shutting off power as strong winds buffeted a parched area under high risk for fire. Its not clear whether the utilitys equipment played any role in igniting the flames. Hawaiian Electric Co. Inc. President and CEO Shelee Kimura said many factors go into a decision to cut power, including the impact on people who rely on specialized medical equipment and concerns that a shutoff in the fire area would have knocked out water pumps. Green has said the flames raced as fast as a mile (1.6 kilometers) every minute in one area, fueled by dry grass and propelled by strong winds from a passing hurricane. The blaze that swept into centuries-old Lahaina last week destroyed nearly every building in the town of 13,000. That fire has been 85% contained, according to the county. Another blaze known as the Upcountry fire was 60% contained. The Lahaina fire caused about $3.2 billion in insured property losses, according to calculations by Karen Clark & Company, a prominent disaster and risk modeling company. That doesnt count damage to uninsured property. The firm said more than 2,200 buildings were damaged or destroyed by flames, with about 3,000 damaged by fire or smoke or both. Even where the flames have retreated, authorities have warned that toxic byproducts may remain, including in drinking water, after the flames spewed poisonous fumes. That has left many unable to return home. The Red Cross said 575 evacuees were spread across five shelters as of Monday. Green said thousands of people will need housing for at least 36 weeks. He said Tuesday that some 450 hotel rooms and 1,000 Airbnb rentals were being made available. President Joe Biden said Tuesday that he and first lady Jill Biden would visit Hawaii as soon as we can but he doesnt want his presence to interrupt recovery and cleanup efforts. During a stop in Milwaukee to highlight his economic agenda, Biden pledged that every asset they need will be there for them. More than 3,000 people have registered for federal assistance, according to the Federal Emergency Management Agency, and that number was expected to grow. FEMA was providing $700 to displaced residents to cover the cost of food, water, first aid and medical supplies, in addition to qualifying coverage for the loss of homes and personal property. The Biden administration was seeking $12 billion more for the governments disaster relief fund as part of its supplemental funding request to Congress. Green said leaders all across the board have helped by donating over 1 million pounds (450,000 kilograms) of food as well as ice, water, diapers and baby formula. U.S. Marines, the Hawaii National Guard, the Army Corps of Engineers and the Coast Guard have all joined the aid and recovery efforts. Lahaina resident Kekoa Lansford helped rescue people as the flames swept through town. Now he is collecting stories from survivors, hoping to create a timeline of what happened. He has 170 emails so far. The scene was haunting. Horrible, horrible," Lansford said Tuesday. "You ever seen hell in the movies? That is what it looked like. Fire everywhere. Dead people. The Islamabad High Court will take up an appeal filed by former Pakistan Prime Minister Imran Khan, currently imprisoned in Attock Jail, against his conviction and sentence in the Toshakhana case on August 22, Pakistan-based Dawn reported. A division bench, which includes Islamabad High Court Chief Justice Aamer Farooq and Justice Tariq Mehmood Jahangiri will hear the case. The development comes after a trial court in Islamabad on August 5 found Imran Khan guilty of "corrupt practices" and sentenced him to three years in prison in the case. Khan has also been disqualified from contesting general elections. The 30-page order at the time read, "He [Imran] cheated while providing information about gifts he obtained from Toshakhana which later proved to be false and inaccurate. His dishonesty has been established beyond doubt," Dawn reported. After Additional District and Sessions Judge Humayun Dilawar announced the judgement, police arrested Pakistan Tehreek-e-Insaf (PTI) chairman Imran Khan from his Zaman Park residence in Lahore. Subsequently, Imran Khan approached the Islamabad High Court through his lawyer Khawaja Haris Ahmed and Barrister Gohar Ali Khan against his conviction. He also requested the court to also suspend his imprisonment sentence till a final verdict on his appeal. According to the appeal, Imran Khan was about to challenge the court order on the maintainability of the Toshakhana case in the apex court, Dawn reported. However, the trial court judge had fixed the date for final arguments on August 5. The petition stated that the trial court convicted PTI chairman Imran Khan with a "pre-disposed mind", and sentenced him to three years imprisonment with a fine of Pakistani Rupees (PKR) 100,000. At the previous hearing,Justice Farooq had said that he would make a decision on the petition after hearing the officials concerned and issuing notices to the respondents, according to Dawn. The Toshakhana case, filed by ruling lawmakers of the then-coalition government, is based on a criminal complaint filed by the Election Commission of Pakistan (ECP). The case alleges that Imran Khan had "deliberately concealed" details of the gifts he retained from the Toshakhana during his tenure as Pakistan Prime Minister and proceeds from their reported sales, Dawn reported. According to Toshakhana rules, gifts and other materials received by persons to whom these rules are applicable shall be reported to the cabinet division. Imran Khan has faced a number of legal issues over his retention of presents and it resulted in his disqualification by the ECP. (ANI) External Affairs Minister S Jaishankar on Wednesday met US Congressional delegation and discussed the transformation underway in India. The two sides exchanged views on advancing the bilateral partnership between India and US. During the meeting, Jaishankar and US Congressional delegation discussed the global situation and collaboration between India and US on multilateral, regional and global issues. The delegation included Indian-American Congressman Shri Thanedar, US Congress Richard McCormick, and Indian-American Congressman Ro Khanna. Taking to X, formerly known as Twitter, Jaishankar stated, "A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. Shared our aspirations and expectations for Amritkaal. Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues." Earlier in the day,US Congressman Richard McCormick, who is part of the American Congressional delegation visiting India, said that he is looking forward to his meeting with Prime Minister Narendra Modi today. I'm really excited about today, we're going to meet Prime Minister Modi and several other dignitaries and once again continue to advance the relationship between our two great countries, McCormick said in an exclusive interview with ANI. McCormick hailed PM Modi's recent visit to the US stating there's never been a better time for developing these relationships. I think there's never been a better time for developing these relationships. His (PM Modi) visit to America was special, it's the second time he's been over there. That's unique. The largest democracy in the world coming to visit America. And now we're here in force to have a bipartisan group of congressmen to continue to develop this relationship that I think it's going to be essential in the future, said McCormick. Speaking about the India-US relationship and threats from China, the Congressman said that it is important to develop a strong relationship. We continue to develop trust given the fact that we've had a long history of coordinated efforts, but this is going to be something unique as we go into this next generation of threats, especially with the proximity to China and other countries. Developing a strong relationship strategically, economically and militarily so that we can trust each other into the future, McCormick said. Earlier on Monday, two members of the United States Congressional delegation visited the Mumbai headquarters of Western Naval Command, the sword arm of the Indian Navy. The delegation included Representatives RO Khanna and Deborah Ross accompanied by Vikram Krishnamoorthy, Under Secretary of the Ministry of External Affairs, India. According to a statement released by the Indian Navy, the delegation interacted with Vice Admiral Sanjay Bhalla, AVSM, NM, Chief of Staff, Western Naval Command (WNC) and other Flag and senior officers of the Command. During their visit, the delegation was given a presentation on the roles, responsibilities and activities of WNC and a tour of the indigenous destroyer INS Kochi. The delegation also visited Mazgaon Dock Shipbuilders Ltd., where they were apprised of the indigenous ship-building capabilities of MDL. (ANI) Nepals Central Investigation Bureau (CIB) of Nepal Police has arrested four more Chinese nationals in connection with the gold smuggling attempt from the Tribhuvan International Airport that took place on July 18 this year. The CIB arrested the suspected Chinese nationals from various locations of Kathmandu after an overnight search and capture operation, the Central Spokesperson of Nepal Police confirmed on Wednesday. Three men and one woman have been arrested from various locations of Kathmandu in an overnight operation. They were presented before the Kathmandu District Court for extension of their detention period, Deputy Inspector General (DIG) Kuber Kadayat confirmed ANI over phone. CIB has requested the courts permission to detain them for five days for investigation. The court has already granted 17 people who were arrested earlier to be detained for the same period. Earlier, after a 19-day investigation, the DRI entrusted the responsibility of further investigation into the gold smuggling case to the CIB. On July 18, the Department of Revenue Investigation (DRI) seized the smuggled gold at Sinamangal, Kathmandu right after it cleared customs at the Tribhuvan International Airport (TIA) undetected. The gold, packed in eight sealed cartons, was then sent to the Mint Division of the central bank for weighing. The gross weight of the consignment seized was determined to be 155 kg. The Mint Division of the Nepal Rastra Bank on Tuesday melted the seized gold to determine its quality and actual weight. The gold was measured on Monday after removing the brake shoes in which it was concealed. The yellow metal weighed 60.789 kg. The Department of Revenue Investigation on July 18 managed to confiscate the gold concealed in motorcycle/scooter brake shoes from the gate of Customs of Tribhuvan International Airport as it was being taken out in a taxi. The gross weight of the smuggled gold was ascertained to be 155 kilograms, which also included electric shavers, according to officials at the mint division of the Nepal Rastra Bank, which was entrusted with examining the metal. (ANI) United Nations World Food Programme (UNWFP) in Afghanistan thanked India for its help in providing life-saving food to 16 million people in the country. The generous contribution by the goverment of India has been acknowledged by the relevant stakeholders in Afghanistan, including UNWFP. In their recent tweet, UNWFP stated, For the first half of this year, 16 million people in Afghanistan received life-saving food from WFP. We are grateful for generous donors like India who make that happen. As per the government sources, in view of the deteriorating humanitarian situation and urgent appeals by the UN agencies, India continues to supply humanitarian assistance, including medical and food aid for the Afghan people. In this endeavour, the Goverment of India has partnered with United Nations World Food Programme (UNWFP) for the internal distribution of wheat within Afghanistan. Under this partnership, India has supplied total of 47,500 MTs of wheat assistance to UNWFP centers in Afghanistan. The recent ongoing shipments are being sent through Chabahar Port and being handed over to UNWFP at Herat in Afghanistan.On the medical assistance side, India has so far supplied almost 200 tons of medical assistance consisting of essential medicines, COVID vaccines, anti-TB medicines and medical/surgical items like Pediatric Stethoscope, Sphygmomanometer mobile type with pediatric BP cuff, infusion pump, drip chamber set, electro cautery, nylon sutures etc. The same were handed over to authorities of the Indira Gandhi Children Hospital, Kabul. India has also continued its support for the Habibia School, Kabul and has sent assistance of winter clothing and stationary items for the primary students.Recently, India also partnered with United Nations Office on Drugs and Crimes (UNODC) in Afghanistan to provide humanitarian assistance for the welfare of the Afghan drug user population, especially females. Under this partnership, they have supplied 1100 units of female hygiene kits and blankets and medical assistance to UNODC, Kabul. These items will be used by UNODC in their female drug rehabilitation camps across Afghanistan. India would be providing medical assistance for these rehabilitation camps. According to UNWFP, it is the worlds largest humanitarian organization saving lives in emergencies and using food assistance to build a pathway to peace, stability and prosperity, for people recovering from conflict, disasters and the impact of climate change. (ANI) Prime Minister Narendra Modi on Wednesday met US Congressional delegation and called strong bipartisan support from the US Congress "instrumental" in further elevating India-US comprehensive global strategic partnership. Taking to X, formerly known as Twitter, PM Modi stated, "Glad to receive a Congressional delegation from US, including co-chairs of India Caucus in the House of Representatives, Rep. @RoKhanna and Rep. @michaelgwaltz. Strong bipartisan support from the US Congress is instrumental in further elevating India-US Comprehensive Global Strategic Partnership." The delegation included US Representative Ro Khanna, Democratic co-chair of the India Caucus, US Representative Mike Waltz, Republican co-chair of the India Caucus, US Representative Ed Case, US Representative Kat Cammack, US Representative Deborah Ross, US Representative Jasmine Crockett, US Representative Rich McCormick and US Representative Shri Thanedar. Welcoming the delegation to India, PM Modi conveyed his appreciation for the "consistent and bipartisan support" of the US Congress for India-US ties. He recalled his recent State visit to the US at the invitation of US President Joe Biden. "Prime Minister fondly recalled his historic State Visit to the US in June at the invitation of President Biden during which he had an opportunity to address a Joint Session of the US Congress for a second time," Prime Minister's office said in a press release on Wednesday. Prime Minister's Office in a press release said, "Prime Minister and the US delegation highlighted that the India-US Comprehensive Global Strategic Partnership is based on shared democratic values, respect for rule of law and strong people-to-people ties." Earlier in June, PM Modi travelled to the US for a State visit. During his US visit, he addressed a joint Session of the US Congress and attended various events. He was hosted by US President Joe Biden as well as First Lady Jill Biden for a state dinner at the White House as well as a State Luncheon by the US Secretary of State Antony Blinken, and US Vice President Kamala Harris. Meanwhile, External Affairs Minister S Jaishankar on Wednesday met US Congressional delegation and discussed the transformation underway in India. The two sides exchanged views on advancing the bilateral partnership between India and US. During the meeting, Jaishankar and US Congressional delegation discussed the global situation and collaboration between India and US on multilateral, regional and global issues. The delegation included Indian-American Congressman Shri Thanedar, US Congress Richard McCormick, and Indian-American Congressman Ro Khanna. Taking to X, formerly known as Twitter, Jaishankar stated, "A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. Shared our aspirations and expectations for Amritkaal. Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues." (ANI) According to the agreement signed by Israeli Minister of Economy Nir Barkat and his Vietnamese counterpart, Nguyen Hong Dien, flights between Hanoi and Tel Aviv are due to begin in October. The agreement also allows Israelis to enter the country with an electronic visa. Barkat said this takes effect immediately and eliminates the need for visitors to first go to the Vietnamese embassy in Tel Aviv to obtain a visa. The use of electronic visas went into effect immediately. These are extremely significant achievements that will make it possible to increase trade and doing business between the countries, Barkat said. Vietnam is our gateway to Asia and is becoming a key and growing country. Tightening and strengthening our relations is important to Israel and Vietnam and I congratulate the Vietnamese government for their warm hospitality and willingness to strengthen the relationship between our peoples. Israel and Vietnamsigned a free trade agreementin July that is expected to streamline tourism, reduce tariffs, and provide a competitive advantage for Israeli exporters. Einat Halevy Levin, president of the Israel-Vietnam Chamber of Commerce, told the Tazpit Press Service in July that the free trade agreement would also position Vietnam asa hub for Israeli business opportunitiesin Southeast Asia. The free trade agreement requires final ratification from the Israeli Knesset and Vietnams National Assembly before it can take effect. (ANI/TPS) World Health Organization (WHO) Director-General Tedros Adhanom Ghebreyesus on Wednesday praised India for its investment in primary healthcare, saying that "the investment in primary healthcare in Ayushman Bharat by the government of India is the right investment." He said that WHO has been telling countries to invest in the primary healthcare system and India is doing that. Tedros Adhanom Ghebreyesus made these remarks after his visit to a Health and Wellness Centre in Adraj Moti Village in Gujarat's Gandhinagar. He is due to participate in the Traditional Medicine Global Summit set to be organised by WHO and co-hosted by the Ministry of Ayush in Gujarat's Gandhinagar on August 17-18. Speaking to reporters after his visit to the healthcare centre in Adraj, Ghebreyesus said, "You know, from the start when this was announced by the government some years ago, the Ayushman Bharat Initiative, WHO supported because we believe that primary healthcare, countries should invest in primary health care. That's what we have been telling countries and India is actually doing that." "And for any health system, a strong primary health care is key because most of the services can be provided at primary health care level, more than 80 per cent. And not only that, outbreaks can be prevented at primary health care and can be detected early at primary health care level. So, the investment in primary health care in Ayushman Bharat by the government of India is the right investment. And that's what we're asking all countries to really focus," he added. WHO Director-General said that many nations, including high-income countries, were surprised by COVID-19 as there was a lack of investment in primary healthcare. He called investment in primary healthcare a "right initiative", for all the nations. "As you know, many countries, including high-income countries, were surprised by COVID. The problem was because of lack of investment in primary healthcare. So, when I say investment in primary healthcare, it's for all countries, whether it's high-income, middle income or low-income. So this is the right investment," he said. Speaking about his visit to healthcare centre in Adraj, he said, "I have been talking about Ayushman Bharat and you know commending the initiative but I'm glad that I have visited today, one of which and see firsthand how it functions and including by the way, telemedicine. There was consultation with a doctor 40 km from here." "And I'm really happy that not only services provided here, but patients, instead of travelling to far places and spending on transport fees and so on, they can get additional services remotely from here. And that's what we have witnessed, one person getting the benefit of telemedicine. So, I'm glad to witness first and how the Ayushman Bharat is doing. Of course, there are more than 150,000 across the country and I hope the government will continue to invest in this," he added. Asked about India's COVID journey, he said, "COVID is behind us and India did its best." He said that nations must continue to remain vigilant as many countries are not testing enough. He stated that the WHO is following the EG.5 variant and will do everything on this and any emerging variant. "On new variants, not only EG.5, we would expect more variants to emerge. What's important is to really continue to do our tests. I mean continue to be vigilant because many countries are not testing enough and we wouldn't know whether the virus is changing or not," Tedros Adhanom Ghebreyesus said in response to a question regarding EG.5 variant."So from the EG.5, what we can say is new variants may emerge and continuing to test will be very important and see how the virus is behaving. But we're following this particular variant and we will do everything not only on this variant but any emerging variant. But, we countries should continue to be vigilant," he added. (ANI) Defence Secretary Giridhar Aramane on Wednesday held a meeting with US Congressional delegation in Delhi. Taking to X, formerly known as X, Ministry of Defence Principal Spokesperson A Bharat Bhushan Babu stated., "Defence Secretary Shri @giridhararamane, today held a meeting with the US Congressional Delegation in South Block, New Delhi." The US Congressional delegation included US Representative Ro Khanna, US Representative Mike Waltz, US Representative Ed Case, US Representative Kat Cammack, US Representative Deborah Ross, US Representative Jasmine Crockett, US Representative Rich McCormick and US Representative Shri Thanedar. The US Congressional delegation also met Prime Minister Narendra Modi. He called strong bipartisan support from the US Congress "instrumental" in further elevating India-US comprehensive global strategic partnership. Taking to X, formerly known as Twitter, PM Modi stated, "Glad to receive a Congressional delegation from US, including co-chairs of India Caucus in the House of Representatives, Rep. @RoKhanna and Rep. @michaelgwaltz. Strong bipartisan support from the US Congress is instrumental in further elevating India-US Comprehensive Global Strategic Partnership." The eight-member US Congressional delegation included US Representative Ro Khanna, Democratic co-chair of the India Caucus, US Representative Mike Waltz, Republican co-chair of the India Caucus, US Representative Ed Case, US Representative Kat Cammack, US Representative Deborah Ross, US Representative Jasmine Crockett, US Representative Rich McCormick and US Representative Shri Thanedar. Welcoming the delegation to India, PM Modi conveyed his appreciation for the "consistent and bipartisan support" of the US Congress for India-US ties. He recalled his recent State visit to the US at the invitation of US President Joe Biden. "Prime Minister fondly recalled his historic State Visit to the US in June at the invitation of President Biden during which he had an opportunity to address a Joint Session of the US Congress for a second time," Prime Minister's office said in a press release on Wednesday. Prime Minister's Office in a press release said, "Prime Minister and the US delegation highlighted that the India-US Comprehensive Global Strategic Partnership is based on shared democratic values, respect for rule of law and strong people-to-people ties." Earlier in June, PM Modi travelled to the US for a State visit. External Affairs Minister S Jaishankar on Wednesday met US Congressional delegation and discussed the transformation underway in India. The two sides exchanged views on advancing the bilateral partnership between India and US. Taking to X, formerly known as Twitter, Jaishankar stated, "A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. Shared our aspirations and expectations for Amritkaal. Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues." Two members of the United States Congressional delegation visited the Mumbai headquarters of Western Naval Command, the sword arm of the Indian Navy said on Monday. The delegation included Representatives Ro Khanna and Deborah Ross accompanied by Vikram Krishnamoorthy, Under Secretary of the Ministry of External Affairs, India. According to a statement released by the Indian Navy, the delegation interacted with Vice Admiral Sanjay Bhalla, AVSM, NM, Chief of Staff, Western Naval Command (WNC) and other Flag and senior officers of the Command. During their visit, the delegation was given a presentation on the roles, responsibilities and activities of WNC and a tour of the indigenous destroyer INS Kochi. The delegation also visited Mazgaon Dock Shipbuilders Ltd., where they were apprised of the indigenous ship-building capabilities of MDL. "India and US are parties to the annually conducted Maritime Security Dialogue and India - US Naval cooperation has grown substantially over the last two decades. The relationship between the two navies is spread over a wide canvas, which includes the annual bilateral exercise 'Malabar', multilateral exercise 'RIMPAC', high-level delegation visits, Subject Matter Expert Exchange (SMEE) and goodwill visits by naval ships. Both navies are committed to ensuring maritime security in the Indian Ocean Region and therefore cooperation in the maritime domain is the most enduring and key facet of engagement between the two countries," the statement read. "The current visit by the US Congressional delegation contributes to improving understanding and strengthening the relationship between the two countries," it added. (ANI) Photo: The Canadian Press North Korea asserted Wednesday that a U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. It's North Korea's first official confirmation of detention of Pvt. Travis King, who entered the North while on a civilian tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. The North Korean official news agency, KCNA, said King told investigators that he had decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. It said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda arm of North Koreas dictatorship and often releases statements and articles carefully calibrated to reflect the governments official line that the United States is an evil adversary. It's virtually impossible to confirm the authenticity of King's comments reported in North Korea's state media. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. Some analysts earlier said North Korea might try to tie King's release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the U.S., South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the American human rights issue must be dealt at the U.N. council first, calling the United States "the anti-people empire of evils, totally depraved due to all sorts of social evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. North Korea said an investigation into King would continue. It described King's entry to North Korea as illegal. King, 23, was supposed to b e heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. He was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. According to U.S. officials, King who chose to serve his time at a labor camp rather than pay the nearly $4,000 fine has been declared AWOL. The punishment for being away without leave can include confinement in the brig, forfeiture of pay or dishonorable discharge and it is largely based on how long they were away and whether they were apprehended or returned on their own. The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty, and have no diplomatic ties. Sweden provided consular services for Americans in past cases, but Swedish diplomatic staff reportedly havent returned since North Korea ordered foreigners to leave the country at the start of the COVID-19 pandemic. North Korea has previously held a number of Americans who were arrested for anti-state, espionage and other charges. But no other Americans were known to be detained since North Korea expelled American Bruce Byron Lowrance in 2018. During the Cold War, a small number of U.S. soldiers who fled to North Korea later appeared in North Korean propaganda films. U.S. officials have expressed concern about his well-being and said previously that North Korea ignored requests for information about him. China commends the progress made through the meeting. In a candid and pragmatic atmosphere, the two sides had a positive, constructive and in-depth discussion on the resolution of the remaining issues along the LAC in the Western Sector, Chinese Foreign Ministry spokesperson Wang Wenbin said on Wednesday in response to a question regarding the China-India Corps Commander Level meeting. He said two sides have issued a joint press release on the meeting. In line with the guidance provided by the leadership, they exchanged views in an open and forward-looking manner. They agreed to resolve the remaining issues in an expeditious manner and maintain the momentum of dialogue and negotiations through military and diplomatic channels. In the interim, the two sides agreed to maintain the peace and tranquility on the ground in the border areas, the spokesperson said. The 19th round of India-China Corps Commander level meeting was held at the Chushul-Moldo border meeting point on the Indian side. The Ministry of External Affairs on Tuesday issued a joint press release of the 19th Round of Commander-Level Meeting. The two countries held the 18th round of the India-China Corps Commander Level Meeting at Chushul-Moldo border meeting point on the Chinese side. (ANI) Days after Beijing condemned Taiwans Vice President William Lai for making stopovers in the United States calling him a "troublemaker", Chinas Defence Minister Li Shangfu on Tuesday cautioned against playing with fire when it comes to Taiwan, Chinese Defence Ministry reported. In an apparent attack at the US, Li cautioned any attempts to use Taiwan to contain China, and said it would surely end in failure, China's defence Ministry said in a statement and Xinhua news Agency quoted. Speaking at the Moscow Conference on International Security, Li Shangfu has said reunification of Taiwan with the mainland was inevitable. "Li mentioned that the Taiwan question is China's internal affair that brooks no external interference. "The reunification of China is an inevitable historical trend," The Chinese Defence Ministry said in an official statement. "Playing with fire on the Taiwan question and attempting to 'contain China with Taiwan' will undoubtedly end in failure," The statement quoted Li as saying. Lis comments echoed previous statements by Chinese officials but the location of his speech was significant and symbolic given Moscows ongoing invasion of Ukraine, as per CNN. Meanwhile, Lis comments on Taiwan come on the heels of a backlash from Beijing as Taiwans Vice President William Lai, a front-runner in the islands upcoming presidential race, made planned stopovers in the United States during travel for an official visit to Paraguay. Chinas foreign ministry condemned the stopover on Sunday, calling Lai a troublemaker through and through. In a speech to supporters at a lunch banquet in New York, Lai portrayed Taiwans long-term survival as something the international community should take an interest in. When Taiwan is safe, the world is safe, and when there is peace on the Taiwan Strait, there will be world peace, front-runner in the islands upcoming presidential race, Lai said, according to Taiwans presidential office. No matter how great the threat of authoritarianism is to Taiwan, we absolutely will not be scared nor cower, we will uphold the values of democracy and freedom. It said China firmly opposes any official interaction between the US and Taiwan and any Taiwan independence separatists to the US. In a pre-recorded message to the same Moscow conference, Russian President Vladimir Putin accused the US of adding fuel to the fire of global conflicts, including through its support of Ukraine. China has used similar rhetoric in its own official comments about the conflict, despite maintaining that it remains a neutral party and a proponent of peace. Li on Tuesday also told attendees that Chinas military was a firm force in maintaining world peace, and that Chinese leader Xi Jinping aimed to stabilize global security in a world of chaos. We are willing to work with other militaries to strengthen mutual trust in military security strategies and practical cooperation in various specialized fields, Li added, according to Xinhua. Notably, Chinas ruling Communist Party claims the self-governing democracy of Taiwan and has vowed to take control of it, by force if necessary. It has repeatedly castigated American interactions with the island, with which Washington does not have official diplomatic ties, including for the sale of US arms to Taipei, CNN reported. On the other hand, the US maintains an unofficial relationship with Taipei after formally establishing diplomatic relations with Beijing in 1979, but is bound by law to provide the democratic island with the means to defend itself, CNN reported. During a speech in New York, Lai declared Taiwan will never back down to threats from China. No matter how great the threat of authoritarianism is to Taiwan, we absolutely will not be scared nor cower, we will uphold the values of democracy and freedom, he said. China has in recent years ramped up its military intimidation of the island, including following meetings between Taiwanese leaders and US lawmakers. Some analysts have suggested that China was watching Western reaction to Russian aggression in Ukraine with an eye to understanding possible responses to any potential, future moves against Taiwan, according to CNN. (ANI) A man is dead after a SWAT officer from the Jeffersonville Police Department shot him during a standoff in an apartment building in downtown Jeffersonville Tuesday night. During a news conference early Wednesday morning, Indiana State Police Sgt. Carey Huls said police were called to the Avia Apartments in the 700 block of North Shore Drive at around 9:30 p.m. after a resident contacted Jeffersonville police to conduct a welfare check. Huls said the man, later identified as 65-year-old Richard Glass, reportedly made "disturbing" statements that prompted calls to check his apartment. Huls said Glass also contacted the Jeffersonville Police Department himself and made comments about a possible crime and his phone being hacked. When officers arrived, Huls said they repeatedly tried to get Glass, who had barricaded himself inside his apartment, to come out, but were unsuccessful. At one point, he reportedly told officers he had a gun and after shots were heard in the apartment complex, a regional SWAT team was called to the scene. Huls said Glass reportedly began firing out of his windows at officers stationed outside the building several times during the standoff, prompting a SWAT officer to return fire. Officers reportedly tried to contact him several times, but got no response. Police then breached the apartment and found Glass dead. Huls said it would be "a long time" before full details for the case will be made available. ISP is continuing to investigate, he said. This article originally appeared on Louisville Courier Journal: Jeffersonville police shoot, kill man during apartment standoff Scientists have discovered that Saturn experiences long-lasting megastorms that persist for centuries and churn up its deep atmosphere. Saturn had previously been considered somewhat calmer than its fellow solar system gas giant Jupiter, which has been home to a 10,000-mile-wide storm called the Great Red Spot for hundreds of years. Though the Great Red Spot remains the largest storm in the solar system, the newly uncovered storms of Saturn are still powerful enough to put Earth's hurricanes to shame. The megastorms of Saturn, thought to occur between every 20 and 30 years, are similar to hurricanes on Earth but are much larger. Whereas Earth's hurricanes get energy from our planet's oceans, the mechanism driving megastorms in the hydrogen and helium-rich atmosphere of Saturn is somewhat mysterious. But astronomers from the University of California, Berkeley and the University of Michigan, Ann Arbor have learned more about these storms on Saturn by studying disruptions in the distribution of ammonia gas in the planet's deep atmosphere. "Understanding the mechanisms of the largest storms in the solar system puts the theory of hurricanes into a broader cosmic context, challenging our current knowledge and pushing the boundaries of terrestrial meteorology," University of Michigan assistant professor Cheng Li said in a statement. Related: Saturn: Everything you need to know about the sixth planet from the sun Li and the team detected this disruption by looking at radio emissions from ammonia in Saturn's atmosphere using the Karl G. Jansky Very Large Array (VLA) in New Mexico. Though Saturn appears to have a mostly uniform color in visible light, its distinctive banding and the differences between atmospheric layers at varying altitudes are more evident when seen in radio waves. That's because radio observations can peer deeper into planets' atmospheres than optical telescopes, allowing astronomers to better understand the chemical and physical processes that lead to cloud formation and the transfer of heat. "At radio wavelengths, we probe below the visible cloud layers on giant planets," UC Berkeley astronomer Imke de Pater said in the statement. "Since chemical reactions and dynamics will alter the composition of a planet's atmosphere, observations below these cloud layers are required to constrain the planet's true atmospheric composition, a key parameter for planet formation models." a black and white image of a ringed planet whose surface is marked by different colored bands The team found something surprising in radio emissions emanating from within the atmosphere of Saturn in the form of anomalies in ammonia concentrations. They were able to connect these anomalies to prior megastorms that raged through the gas giant's northern hemisphere. The concentration of ammonia was lower in the middle latitudes of Saturn, suggesting a higher ammonia ice-cloud layer. Around 160 to 320 miles (100 to 200 kilometers) below this, however, concentrations of ammonia increased. The team thinks that this enrichment is the result of ammonia being transported from the upper layers of the atmosphere to the lower layers in the form of ammonia rain. This effect is the result of megastorms and can last for hundreds of years. RELATED STORIES: Saturn's rings look gorgeous in 1st James Webb Space Telescope photo of the gas giant Stunning Photos: Saturn's Weird Hexagon Vortex Storms Saturn reclaims 'moon king' title with 62 newfound satellites, bringing total to 145 The astronomers' investigation shows that even though Saturn and its fellow gas giant Jupiter have similar compositions, the fifth and sixth planets from the sun are remarkably different. While Jupiter also has differences between layers throughout its atmosphere, these variations aren't driven by storm activity, as is the case with Saturn. This means that there is a considerable difference between gas giants, even when they exist next to each other in the same planetary systems. The research could also have an impact on how scientists search for megastorms across gas giants outside the solar system. Pernellus Turner, 102, is flanked by his daughters Sharon Burrell, left, and Beverly Washington after he was awarded a group of medals he earned while serving in World War II. The medals were presented Tuesday at the State Veterans Home in Collins. Nearly 80 years after he came home after serving two tours in Normandy, France, a World War II veteran was presented with medals he earned during the war. Now 102, Pernellus Turner said he is glad to get the recognition, regardless of how long it took. "Well, they did think about me," he said laughingly. "The service I went through is the only thing that I (expected). I did what anyone would do serve my country." Turner, who is Black, enlisted in the Army in his native Texas when he was 18, and was sent to several training camps in the United States before he went to Europe to fight in the war. During that time, over 1 million Black soldiers served in the military, but were mainly segregated from others because of their race. They served their country despite the racism that kept them from enjoying the same freedoms as other Americans. Despite the racism and segregation, Turner rose to the rank of sergeant. He was one of only a few Black soldiers who had a driver's license, so he worked in transportation during the war. He was stationed in England and was sent to France twice, where he was in charge of making sure the Army's vehicles got to the right places and were subsequently picked up for the next assignment. Turner said in 1945 he was given bars for his uniform to acknowledge his service in the war, but he didn't know he had other medals waiting for him. He was honorably discharged in 1945 at the end of the war. It wasn't until earlier this year that someone noticed Turner never received the medals he was issued. A person who needed to check Turner's service record for unrelated information inadvertently made the discovery. Pernellus Turner, 102, shows off his newly awarded medals for his service in World War II. The medals were presented by U.S. Rep. Mike Ezell, R-Mississippi, during a ceremony Tuesday at the State Veterans Home in Collins. U.S. Rep. Mike Ezell, R-District 4, was notified of the oversight and presented the medals to Turner during a ceremony at the State Veterans Home in Collins. "We see so many of our veterans around the country that were not treated as good as they should," Ezell said. "I want to do everything in my power, as long as I am in Congress, to try to take care of our veterans. "You know, they saved this country. This group of men saved the world from Hitler and the attacks that were being perpetrated against so many innocent people in the world." These medals were presented to 102-year-old Pernellus Turner for his service in the Army in World War II. The medals were presented by U.S. Rep. Mike Ezell, R-Mississippi, during a ceremony Tuesday at the State Veterans Home in Collins. Turner married while in the Army and had four children, including sons Douglas Turner and Timothy Turner. Turner's two daughters Sharon Burrell and Beverly Washington flew to Mississippi from Connecticut to attend the event. "You deserved those," a proud Burrell told her father. Her sister felt the same way. When Washington found out about the medals, she contacted District 2 Rep. Bennie Thompson, the only congressman in Mississippi she knew by name. He passed along the information to Ezell, who represents south Mississippi, and the wheels were set in motion for the presentation. "To be able to speak to one of these men who were there they stormed the beaches in Normandy so now to be able to award them some medals they didn't have but they should have been given 80 years ago, is rewarding," Ezell said. "Here in this country we've done some things we could have done better, but we're really good at making up for it when we realize something like this may have been missed in the past. I'm so thankful to be the congressman to send the medals that he so rightly deserves." In March, the Mississippi House passed a resolution honoring Turner for his service. Do you have a story to share? Contact Lici Beveridge at lbeveridge@gannett.com. Follow her on Twitter @licibev or Facebook at facebook.com/licibeveridge. This article originally appeared on Hattiesburg American: Rep. Mike Ezell presents WWII medals to MS veteran 80 years after war An $11.7 million federal grant was award to Carlsbads local college by the U.S. Department of Energy to train workers in the nuclear waste sector, as the college attempts to address local needs. Southeast New Mexico College, formerly known as New Mexico State University Carlsbad, broke away from the NMSU system in July 2021 to become an independent school targeting workforce needs in the community. As part of that mission, the college was tasked with working with local industries to develop classes to support their workforce needs. More: Nuclear waste site near Carlsbad used to generate renewable energy via federal plan On Monday, the DOE announced it awarded the grant to fund training for workers at the Waste Isolation Pilot Plant, a nuclear waste repository about 30 miles east of Carlsbad. At the WIPP site, transuranic (TRU) nuclear waste is disposed of via burial in an underground salt deposit about 2,000 feet beneath the surface. This requires myriad activities in mining, waste handling, maintenance and documentation, and SENMC President Kevin Beardmore said the fund will go to training WIPP workers across the board. More: Feds digging up nuclear waste in Los Alamos for disposal at Carlsbad-area repository We have a fairly broad definition to allow us to train them across the board, beyond what is happening at WIPP, he said. Its really at how to serve the needs in the community. Kevin Beardmore Beardmore said this mission of SENMC was different than a traditional university, which the college diverged from when becoming separate from Las Cruces-based NMSU. Before leaving the system, Carlsbads was one four NMSU branch campuses also in Dona Ana County, Alamogordo and Grants. More: South Carolina nuclear waste coming to facility along Texas-New Mexico border They all report to the main campus in Las Cruces, and Beardmore said local staff and administrators felt disregarded by headquarters. People didnt feel like they were being heard in Las Cruces, Beardmore said. Were moving beyond what a university thinks about providing. I see it as being responsible for local needs. Were supporting and industry than has been here with us. Thats really what led to creating this college. More: Holtec will lose millions in storing nuclear waste in New Mexico, whistleblower suit says The DOE will work with SENMC to develop the courses in the program, also addressing a workforce shortage at WIPP and increasing interest in jobs supporting the facility, read a DOE news release. Its intended to create a pipeline sending college students to careers at WIPP, said Mark Bollinger, manager of the DOEs Carlsbad Field Office, which oversees WIPPs operation in tandem with contractor Salado Isolation Mining Contractors. The communities of Southeast New Mexico have long been the backbone of the WIPP workforce and their contributions to the success of the WIPP mission cannot be understated, he said. The Carlsbad Field Office is excited by this opportunity to team up with SENMC to educate and train members of our community here in Southeast New Mexico. More: Nuclear waste repository near Carlsbad staying open longer than estimated, officials say That will help boost the economy in the Carlsbad area, Bollinger said, of which nuclear is a key industry, along with oil and gas extraction and potash mining. This new cooperative agreement represents a landmark investment that will further strengthen the New Mexico economy by helping prepare students at Southeast New Mexico College for great careers for years to come, Bollinger. Recent estimates, based on the availability of waste, projected WIPP could be operating until 2080 as TRU waste is sent to the areas from DOE sites across the U.S. More: Trinity Site nuke test exposed New Mexicans to radiation. Senate votes to compensate them Carlsbad Mayor Dale Janway, an ardent supporter of the colleges independence during the legislative process, said SENMC will prove essential to maintaining the WIPP mission and other industries in the region. Workforce training and workforce development is key to all of Carlsbads vital industries, including the Waste Isolation Pilot Plant, Janway said. We believe Southeast New Mexico is well on the way toward being one of the nations premiere training facilities. Thank you to the Department of Energy for developing this agreement. Beardmore said the grant funding was indicative of the DOEs commitment to Carlsbad and WIPP mission. I think that their commitment to the college is evident. This is about a more global look at the pipeline of employees, he said. This is the important part. How do we find the people we need? We need to be developing them along the way. Itll contribute to their (DOEs) commitment to safety while keeping that facility there. Adrian Hedden can be reached at 575-628-5516, achedden@currentargus.com or @AdrianHedden on Twitter. This article originally appeared on Carlsbad Current-Argus: $11.7M grant to train nuclear waste workers at SENMC in Carlsbad A Texas preschool is promising to beef up security after a 3-year-old student brought a gun to school in her backpack, officials say. The San Antonio Police Department received a call at 8:30 a.m., Aug. 15, from an off-duty officer providing security at Pre-K 4 SA West Education Center, the department said in a news release. A teacher found a handgun in a students backpack, the off-duty officer said, and police went to the school to investigate, according to the release. The girl is now in the custody of Child Protective Services and her 35-year-old father has been arrested on charges of child endangerment, police said. Additional charges could be brought as the investigation continues. Investigators have not said how the gun ended up in the girls backpack. The girl was unaware of the gun, school officials said in a Facebook post. Still, the incident is prompting the school to tighten security. For now, no backpacks will be allowed on campus as we work to evaluate and enhance safety protocols, the post said. In a statement, police Chief Bill McManus applauded collaboration between the school faculty and law enforcement personnel. Swift action was taken to address the situation and make an arrest. There are no current threats to the safety of the school or its students, McManus said. To provide further assurance, SAPD will maintain an increased uniformed presence at the school for the foreseeable future. Deputy kills man during California vacation, then returns to work in Texas, cops say 70-year-old with blade hidden in cane fatally stabs man fighting her son, officials say Police officer among three arrested in underage prostitution sting, Texas police say 12 teens beat man in animalistic attack outside gas station, Ohio officials say Claudia Vercellotti of the SNAP Network shows redacted letters from the Diocese of Toledo that involve allegations of abuse. Advocates for survivors of sexual abuse on Wednesday called for Ohio Attorney General Dave Yost to investigate the state's six Catholic dioceses. Several groups that advocate for survivors of sexual abuse on Wednesday called for Ohio Attorney General Dave Yost to investigate the state's six Catholic dioceses. The Survivors Network of those Abused by Priests (SNAP), a national nonprofit, as well as two local groups, Ohioans for Child Protection and Greater Cincinnati Voice of the Faithful, announced their demand during a news conference at the Statehouse. The groups are calling on the attorney general to investigate "the history and scope of child sexual abuse, trafficking, child sex abuse enabling and cover up" in the dioceses. At a Statehouse news conference, Rebecca Surendorff (left) and Teresa Dinwiddie-Herrmann (center), both with Ohioans for Child Protection, and Claudia Vercellotti of SNAP speak out about child abuse in the Ohio dioceses. Teresa Dinwiddie-Herrmann, co-chair of Ohioans for Child Protection, said she is aware of credible cases of abuse in Ohio that have not been investigated. We know of other cases where allegations have come forward, with evidence, and there has not been a criminal investigation or for whatever reason, nothing goes beyond a criminal investigation. And what's frightening to me is some of those are clergy, coaches and teachers," she said. The groups point to several recent investigations by other states' attorneys general as precedent. They released a list of 49 Catholic priests who were named in Maryland, Illinois, and Pennsylvania investigations who were accused of sexually abusing children and who had lived, worked or otherwise had ties in Ohio. "Begin with the 49 names that overlap from your neighboring states," said Shaun Dougherty, president of the SNAP board who is himself a survivor of priest abuse, addressing Yost. "Your action will tell your citizens of Ohio that you are serious about protecting their children, but your inaction will tell the predators that they have a safe haven in Ohio." A spokesperson for Yost told The Dispatch that while he encourages victims to report individual cases of abuse to authorities, he is unable to open up a statewide investigation into the dioceses. "Unlike some other states, Ohio does not grant the attorney generals office the legal authority to investigate matters like this. The General Assembly has the power to change the law, but at present, SNAPs concerns should be addressed to local prosecutors," they said. The spokesperson cited state law that requires local or federal authorities to invite the Ohio Bureau of Criminal Investigation to launch investigations. Statute of limitation laws, which vary by state, limit how long someone can be legally prosecuted after a crime has been committed. In Ohio, most felonies have a deadline of six years, but the deadlines for child sexual abuse is 12 years after the victim becomes an adult. Some states have eliminated the statute of limitations on child sexual abuse entirely because many survivors do not come forward until later in their lives. State Rep. Jessica E. Miranda (D-Forest Park), who introduced a bill that would eliminate state civil and criminal statutes of limitations for child sexual abuse, said she thinks Yost should investigate. "As a survivor of childhood sexual abuse (CSA) and rape myself, I fully support a thorough investigation. ... These victims and their families deserve law and order, and Ohio Attorney General Dave Yost owes that to them, she said. The Diocese of Columbus told The Dispatch that "we have been and will continue to be vigilant to maintain a safe environment for all children, youth, and adults." "We routinely self-evaluate safety protocols as well as utilize and cooperate with outside entities. The United States Conference of Bishops audits every diocese annually, which includes on-site visits every 3 years. Further, not only does the Diocese of Columbus mandate background checks and ongoing training for employees but also all volunteers," said a diocese spokesperson. The Catholic Conference of Ohio could not immediately be reached. This is not the first time SNAP has called for a statewide investigation. In 2018, the group called for then-Attorney General Mike DeWine to investigate. And in 2007, after pressure from advocates, the state Senate passed a bill that would have created a one-year window for victims to file lawsuits alleging child sex abuse that had occurred as long as 35 years earlier. But facing heavy pressure from Catholic leaders, the Ohio House stripped out that provision before the law passed. In its place, they proposed a "civil registry" for sex offenders, which as The Dispatch revealed in 2014, was never used. SNAP and other advocacy groups point to recent cases of abuse that they say indicate the problem is ongoing. Recent convictions of Ohio Catholic priests include the Rev. Mike Zacharius of Toledo in 2023, the Rev. David Morrier of Franciscan University in Steubenville in 2022, the Rev. Robert McWilliams of Strongsville in 2021, the Rev. Henry Foxhoven in 2018 and the Rev. Geoff Drew of Cincinnati in 2021. In its letter to Yost, SNAP and the other advocates alleged that current Archbishop Dennis Schnurr made Drew the pastor of St. Ignatius School in Cincinnati in 2018, "apparently with full awareness of previous criminal investigations into his conduct with minors." Drew pleaded guilty to nine counts of rape in December 2021. Jennnifer Schack, a spokesperson for the Cincinnati Archdiocese, said Schnurr was unaware of the allegations at the time of Drew's appointment, citing lack of communication between archdiocese staff who were aware of the allegations and the bishop. Rebecca Surendorff, from Ohioans for Child Protection, said her own children attended St. Ignatius and some were baptized by Drew. Asked whether the scandals had shaken her faith, Surendorff's colleague Dinwiddie-Herrmann said, "My faith is not with the men on this Earth. My faith is out of the Eucharist and my own personal faith. What is startling to me is that our religious leaders ... are supposed to lead the pathway for our morality, but they're covering for child sexual abuse." Correction: Due to a reporter's error, a previous version of this article misstated the name of the Ohioans for Child Protection member whose children attended St. Ignatius School. It was Rebecca Surendorff. Peter Gill covers immigration, new American communities and religion for The Dispatch in partnership with Report for America. You can support work like his with a tax-deductible donation to Report for America at:bit.ly/3fNsGaZ. pgill@dispatch.com This article originally appeared on The Columbus Dispatch: Abuse survivors call on Ohio AG to investigate Catholic dioceses Jerry Hill, the retired state attorney in Polk County, has rejected an accusation that he misled members of a state parole board in arguing against the release of a man convicted of murder. A lawyer representing Hill submitted a response Friday to The Florida Bar over a complaint filed in June by Lakeland activist Billy Townsend. The complaint mainly involved Hills testimony to the Florida Commission on Offender Review in 2020 as it considered the possible parole of Leo Schofield Jr., convicted in 1989 for the stabbing death of his wife, Michelle Saum Schofield. Hill retired in 2017 as the top prosecutor for Polk, Highlands and Hardee counties, but he went to Tallahassee in 2020 to argue against Schofields release from prison, representing the State Attorneys Office for the 10th Judicial Circuit. In the 24-page complaint, Townsend alleged that Hill misled the three members of the parole board by mixing references to Schofield and his father, along with Jeremy Scott, a convicted murderer who has said that he killed Michelle Schofield. Townsend, a former Polk County School Board member and an independent writer, accused Hill of violating The Florida Bars rules on candor toward the tribunal, misconduct and truthfulness in statements to others. In the filing, Townsend quoted extensively from Hills statement at the parole hearing on Jan. 8, 2020, when he spoke on behalf of current State Attorney Brian Haas. Schofields case has attracted national attention through the podcast Bone Valley, created by Pulitzer Prize-winning author Gilbert King. The 12-part podcast, which asserts that Schofield is innocent, as he has claimed since his conviction, has drawn an audience of more than 7 million, according to its production company. Latest in Schofield case State board denies parole for Schofield, convicted in 1987 Lakeland murder Townsend has written repeatedly about the Schofield case in a newsletter he publishes on the Substack platform. Citing details from the trial and the podcast, he argues that Schofield was wrongly convicted and that Scott is the actual killer. The Florida Commission on Offender Review denied parole to Schofield at the 2020 hearing and did so again at a meeting in May. At this years hearing, though, the three-member panel voted for a release date of June 2024. In the complaint, Townsend accused Hill of (r)epeated, constant conflation of Leo Schofield Jr. and his father, Leo Schofield Sr. in ways so imprecise and careless as to suggest an intentional effort to confuse the public and the commissioners. Shifting references? In his presentation to the parole board, Hill discussed the search for Michelle Schofield after her husband reported her missing in 1987. Both Leo Schofield Jr. and his father searched for her, along with law-enforcement officials, according to news coverage at the time. Schofield Sr. ultimately found her body, covered by a piece of plywood in a canal near Interstate 4. Billy Townsend, a former School Board member and community activist who has taken an intense interest in the Leo Schofield Jr. case in recent months, recently filed a complaint with The Florida Bar accusing retired State Attorney Jerry Hill of misleading a state parole board with his testimony in 2020. Townsend included excerpts from a transcript of Hills statement to the commission. He wrote that Hill repeatedly mentioned Schofield Sr., who was never charged in connection with the murder. Hill referred to the elder Schofield 25 times, using seven different ways of identifying him, Townsend wrote. The complaint quotes from the transcript, in which Hill used the name Leo twice in reference to the father before clarifying the reference: Again, how was the body found? Leo said he was driven by an inner force to go back to the pit area again, and on Friday he started searching along State Road 33. Leo said he felt drawn to that area and felt that Michelle was calling out to him. He said he had told Detective Russell that his daughter-in-law was within a certain distance. Townsend alleges that Hills use of the name Leo alone in describing a supposed premonition that directed Leo Schofield Sr. to the canal where he found Michelles body might have led the parole board to think he was referring to the son. A wrongful conviction? Podcast explores 1987 Polk murder and the man in prison for it I encourage any investigator to listen to the audio file in its entirety, put yourself in the place of the commissioners or the public, and determine if you could follow and process in real time Hills shifting arguments and references to Schofield Sr. and Jr., the complaint said. Townsend also cited Hills statement: In September, the defendant sends a letter to counsel admitting to the murder of Mrs. Schofield. Hill had previously described Leo Schofield Jr. as defendant 18 times before using the word in reference to Scott, Townsend wrote. He suggested that the parole board members might have inferred that Schofield had confessed, though he has always maintained his innocence. If that was an error, Hill should have corrected it promptly, Townsend wrote. Scott confessed to killing Michelle before appearing to recant at a hearing in 2017. He has reasserted his guilt to the Bone Valley team, and his fingerprint was found on a window of Michelles abandoned car. Prosecutors say his practice of stealing stereos from vacant vehicles explains the fingerprint and that his confessions lack credibility. In the complaint, Townsend also described an exchange he had with Hill this year before a Polk County Commission meeting, at which Townsend urged commissioners to write a letter supporting a new trial for Schofield. (The commission took no action.) Townsend wrote that he approached Hill before the meeting and said, Good morning, Jerry. When are you going to correct your lie at the parole commission? He wrote that Hill responded, F--- you. That was basis for Townsends claim of misconduct. Ex-prosecutor responds The Florida Bar originally gave Hill a deadline of July 21 to respond but granted an extension until Aug. 11. The response was submitted by Douglas A. Wyler, a lawyer with Jacobs, Scholz and Wyler, a Fernandina Beach firm. In the two-page response, Wyler wrote that Hill without equivocation stands by every word contained in his comments to the Parole Commission regarding Leo Schofield. Notably, all three (3) commissioners that heard Mr. Hills argument are still in office and we urge the Florida Bar to contact each commissioner and inquire whether they felt deceived or lied to. In fact, such an investigation will show that none of the commissioners believe that Mr. Hill lacked candor or truthfulness in his statements to the tribunal. Wyler wrote that Townsend knows little or nothing about how the parole commission functions. He wrote that commissioners spend time reviewing material on a case before a hearing is held and often hold meetings in advance with advocates for a defendant or victim. Such a meeting occurred before the 2020 Schofield hearing, he wrote. Simply put, Mr. Townsend appears to be using the Leo Schofield matter and the instant bar complaint to further his own interests, and to inappropriately harass Mr. Hill, an attorney representing Jerry Hill said in his response to a complaint by Billy Townsend. Often, if a commissioner is unclear on a point of argument, they will verbally request clarification prior to voting on the case, Wyler wrote. Further, quite often, a commissioner will call a party and request records or documentation on a case, which Mr. Hill believes took place here. Consequently, the commissioners were not in any way misled or deceived by Mr. Hills argument. In fact, they fully understood the positions taken by the respective parties. Noting that Townsend referred to the 2023 hearing, at which Assistant State Attorney Jacob Orr represented Haas, Wyler wrote that Townsend seemed to be arguing for Schofields innocence rather than finding problems with Hills statements. Townsend appears to be seeking personal notoriety via his involvement with the Leo Schofield matter, Wyler wrote. He referred to two articles Townsend posted on Substack in July, reporting on his complaint before it was assigned a case number and distributed to Hill and then reporting on the extension of Hills deadline to respond. Simply put, Mr. Townsend appears to be using the Leo Schofield matter and the instant bar complaint to further his own interests, and to inappropriately harass Mr. Hill, Wyler wrote. Judicial circuits After 2nd suspension of a state attorney, fears grow over GOP plan to consolidate districts The lawyer did not deny Townsends claim that Hill used foul language in responding to him before the Polk County Commission meeting in March. Wyler wrote that Townsend surprised Hill when he approached and got in Mr. Hills face before addressing him. Wyler wrote that when a person gets in someone else's face and calls them a liar, the accuser should appreciate the fact that the accused might consider the accusers statement to be fighting words. He added: While Mr. Hills response was not the best choice of words, under the circumstances it does not rise to the level of actionable misconduct. Finally, Mr. Townsends statement to Mr. Hill clearly invited a response. However, it appears that Mr. Townsend wants to be perceived as a victim in turning a personal conversation into a public matter. Wyler also wrote that Hill didnt believe anyone else heard the exchange, other than possibly Orr. In conclusion, Wyler wrote that Townsends claims did not indicate any ethical violations and that no discipline for Hill is warranted. Hill declined to offer comment beyond his lawyers response to the complaint. A member of The Florida Bar since 1972, he served as state attorney for the 10th Circuit from 1984 to 2017. What happens next? Townsend shared a draft of the rebuttal he plans to submit. He wrote that Hill's statement of standing by his words at the 2020 hearing amounted to "a confession." He also said that Hill's statement at the hearing contained factual inaccuracies, regardless of whether commission members say they were confused. He also disputed the description that he had been aggressive at the County Commission meeting or that he "got in Hill's face." Townsend has questioned whether taxpayer money is being used to defend Hill, a private lawyer. Jacobs, Scholz and Wyler represents the Florida Prosecuting Attorneys Association, a nonprofit comprising elected state attorneys. The executive director of the FPAA referred a reporter to Arthur I. Buddy Jacobs, general counsel for the organization. Jacobs did not respond to a voice message left last week. In response to a message left for Melinda Coonrod, chair of the Florida Commission on Offender Review, spokesperson Chris Taylor said by email: The Commission respectfully declines to issue a statement on an issue presented to and pending before the Florida Bar, a tribunal, or a court. The Commission notes, however, Jerry Hills long service to the State of Florida as the elected State Attorney for the Tenth Judicial Circuit and his continued service to the State and its people after leaving office. After receiving the response and rebuttal, the Florida Bars intake counsel may determine that no discipline is warranted and close the file or refer it for further investigation to the branch office that covers the lawyers judicial circuit. That office can dismiss the complaint, recommend diversionary measures or forward the case to a grievance committee for additional investigation. That committee may still determine that there is no probable cause, or it may issue a finding of minor misconduct or suggest diversionary action. If it finds probable cause for discipline, the case is reviewed by the Bars Board of Governors. Gary White can be reached at gary.white@theledger.com or 863-802-7518. Follow on X @garywhite13. This article originally appeared on The Ledger: Activist files Bar complaint against ex-prosecutor in Schofield case Two men convicted of conspiring to kidnap Michigan Gov. Gretchen Whitmer are seeking a new trial, arguing they didn't get a fair shake when a federal jury convicted them of crimes that sent them to prison for years. Among their concerns a juror whose impartiality was questioned by the defense in court ended up being the jury's foreperson. In new briefs filed Wednesday with the U.S. 6th Circuit Court of Appeals, Adam Fox and Barry Croft assert the judge who presided over their federal trial in Grand Rapids didn't properly address allegations of jury misconduct and imposed unfair time limits on their attorneys' cross-examinations of key government witnesses. Should the federal appeals court hear the case, it will weigh whether proper judicial procedures were followed at the trial court level, rather than consider any evidence presented. In December, U.S. District Judge Robert Jonker sentenced Fox, the described leader of the conspiracy, to 16 years in prison, a lesser term than the life sentence sought by federal prosecutors. Jonker sentenced Croft to a 19-year prison stint in the following days. In addition to the kidnapping conspiracy plot, Croft also was convicted of conspiracy to use weapons of mass destruction, and knowingly possessing an unregistered destructive device. Fox and Croft are both seeking a third trial. At their first trial, the jury was unable to reach a consensus on the charges against the pair, triggering a mistrial. But after the second trial, both were convicted. This combo of images provided by the Kent County, Mich., Jail. shows Adam Fox, left, and Barry Croft Jr. Jonker previously denied a motion for a third trial from Fox's and Croft's previous lawyers, Christopher Gibbons and Joshua Blanchard. Court records show that Gibbons and Blanchard withdrew as their attorneys in January of this year, not long after sentencing. The 6th Circuit Court of Appeals appointed Columbus, Ohio-based attorney Steven Nolder as Fox's lawyer, and Cleveland-based attorney Timothy Sweeney as Croft's legal counsel. In separate briefs filed Wednesday, Nolder and Sweeney made the same entrapment arguments that were previously rejected by Jonker, arguing that Fox and others were entrapped, or convinced, by undercover FBI agents to conceive a plot to kidnap Whitmer after expressing frustration with government restrictions put in place during the early days of the coronavirus pandemic in 2020. The defense lawyers also argue that during trial, Fox's and Croft's attorneys weren't given the opportunity to confront a juror suspected of bias at the start of Fox's and Croft's second trial in August 2022. According to court documents, the defense got a tip on the second day of the trial that one of the jurors allegedly told a coworker that he/she was biased against the defendants, had hoped to get on the jury and would make sure they were convicted. Records also show the tip came from a secondhand source not the person who purportedly heard the alleged comment at work. That person never came forward and refused to be identified, according to court records. Trial coverage: How 3 controversial jurors wound up in the Whitmer kidnap jury box On the second day of testimony, credible evidence of juror misconduct and bias was proffered to the district court. Most of this information was later corroborated by the courts jury clerk," Nolder wrote in his appeal to the 6th circuit. "Despite wanting to examine the juror about the misconduct, Foxs attorney was denied the ability to question the juror or even be present when he was questioned by the district court in an in camera proceeding. The juror accused of bias later became the jury foreperson, according to Nolder's brief. Jonker handled the issue on his own interviewing the juror in private in his chambers in a seven-minute interview that concluded with the judge being convinced that the juror never made any such comment, and could remain on the jury. Two court staffers also attended that interview. During the trial, Gibbons and Blanchard criticized Jonker's conduct, accusing the judge of being favorable to federal prosecutors while the jury was present. Jonker chided the defense for taking too long to cross-examine government witnesses, and eventually set a time restriction for cross-examination of a witness crucial to the government's case. Attorneys for Adam Fox and Barry Croft, Joshua Blanchard and Christopher Gibbons, speak to the news media after Croft and Adam were found guilty on all charges in the Whitmer kidnapping plot Tuesday, Aug. 23, 2022, at the Gerald R. Ford Federal Building in downtown Grand Rapids. Kaleb Franks, one of the men originally arrested along with Fox and Croft, pleaded guilty before a trial began to avoid a stiffer prison sentence. He and another co-defendant who eventually pleaded guilty, Ty Garbin, became key witnesses for the feds, testifying that the group did indeed plan on carrying out a plot to kidnap the governor. Before defense attorneys could cross-examine Franks, Jonker told the attorneys their cross-examinations could only be as long as the testimony taken from direct examination carried out by the government, to the chagrin of Gibbons and Blanchard. "Limiting us is unfair and it's unconstitutional, and it doesn't aid the jury in the search for the truth," Blanchard said of the time limits, according to a Free Press article covering the trial. Nolder said the time restriction "impacted Foxs ability to show Franks bias and motivation for testifying. It also deprived Fox of the opportunity to elicit evidence supporting his entrapment defense. During closing arguments, both defense lawyers argued that Franks wasn't truthful in his testimony, and his testimony was an attempt to get in prosecutor's good graces for a lesser sentence. Franks is currently serving a four-year prison sentence. Nolder and Sweeney returned to the key element of Fox and the other defendants' cases during the original trials that they were goaded by undercover agents to conceive a kidnapping, and never would have had the ability to organize or carry out a plot if it weren't for the feds. Additionally, Sweeney said the trial court erred when it omitted communications between undercover FBI agents and confidential human sources, or informants, who interacted with Croft during the plot's formative months, from being included as evidence. "These communications to include both (FBI Agent Jayson) Chambers side of the conversations, but also that of (CHS Dan) and/or the other agents/informants constituted relevant evidence of the shocking degree to which Chambers, (CHS Dan) and the other FBI agents/informants orchestrated this scam and generally engaged in incessant and oppressive inducement," Sweeney wrote. By not allowing these communications to be entered as evidence at trial, the court hamstrung the defense's ability to convey to the jury that Croft and Fox were entrapped, he argued. "The result was a trial about entrapment with defendants hands tied behind their backs and the jury blindfolded to critical relevant facts," Sweeney wrote. Responding briefs from federal government prosecutors are due by Sept. 20, court records show. The appeals are another step in the almost three-year window since the FBI arrested Fox, Croft and others for conspiring to kidnap Whitmer in October 2020. The government found mixed success trying the group Fox and Croft were found guilty during an August 2022 trial, but only after an earlier trial netted zero convictions. During the initial trial, a jury found Daniel Harris and Brandon Caserta not guilty of all charges in April 2022, and couldn't reach a consensus on Fox and Croft. Two other co-defendants, Franks and Garbin, pleaded guilty to earn lesser sentences. Separate from the federal case in the kidnapping plot, three state defendants were convicted last October of providing support for a terrorist act while the four others await trial later this year. In a separate case related to the plot, a Wisconsin man has pleaded guilty. Free Press staff writer Tresa Baldas contributed to this report. Contact Arpan Lobo: alobo@freepress.com. Follow him on Twitter @arpanlobo. Become a subscriber today. This article originally appeared on Detroit Free Press: Adam Fox, Barry Croft want another trial in Whitmer kidnap plot case Photo: The Canadian Press A storefront on the main street in downtown Marion, Kan., features a sign supporting the local police, Sunday, Aug. 13, 2023. The police have faced a torrent of criticism for raiding the offices of the local newspaper and the home of its publisher. (AP Photo/John Hanna) The prosecutor in Marion County, Kansas, said Wednesday that police should return all seized material to a weekly newspaper that was raided by officers in a case that has drawn national scrutiny of press freedom. Marion County Attorney Joel Ensey said his review of police seizures from the Marion County Record found insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized." As a result, I have submitted a proposed order asking the court to release the evidence seized. I have asked local law enforcement to return the material seized to the owners of the property, Ensey said in a news release. Even without the computers, cellphones and other office equipment taken in a police raid, the new edition of the Record made it to newsstands Wednesday after a frenzied scramble by the newspaper's small staff. SEIZED but not silenced, read the front-page headline in 2-inch-tall typeface. Police raids on Friday of the newspaper's offices, and the home of editor and publisher Eric Meyer put the paper and the local police at the center of a national debate about press freedom, with watchdog groups condemning the police actions. The attention continued Wednesday with TV and print reporters joining the conversation in what is normally a quiet community of about 1,900 residents. The raids which the publisher believes were carried out because the newspaper was investigating the police chiefs background put Meyer and his staff in a difficult position. Because they're computers were seized, they were forced to reconstruct stories, ads and other materials. Meyer also blamed stress from the raid at his home on the death Saturday of his 98-year-old mother, Joan, the papers co-owner. As the newspaper staff worked late into Tuesday night on the new edition, the office was so hectic that Kansas Press Association Executive Director Emily Bradbury was at once answering phones and ordering in meals for staffers. Bradbury said the journalists and those involved in the business of the newspaper used a couple of old computers that police didnt confiscate, taking turns to get stories to the printer, to assemble ads and to check email. With electronics scarce, staffers made do with what they had. There were literally index cards going back and forth, said Bernie Rhodes, the newspapers attorney, who was also in the office. They had all the classified ads, all the legal notices that they had to recreate. All of those were on the computers. At one point, a couple visiting from Arizona stopped at the front desk to buy a subscription, just to show their support, Bradbury said. Many others from around the country have purchased subscriptions since the raids; An office manager told Bradbury that shes having a hard time keeping up with demand. The raids exposed a divide over local politics and how the Record covers Marion, which sits about 150 miles (241 kilometers) southwest of Kansas City. A warrant signed by a magistrate Friday about two hours before the raid said that local police sought to gather evidence of potential identity theft and other computer crimes stemming from a conflict between the newspaper and a local restaurant owner, Kari Newell. Newell accused the newspaper of violating her privacy and illegally obtaining personal information about her as it checked her state driving record online. Meyer said the newspaper was looking into a tip and ultimately decided not to write a story about Newell. Still, Meyer said police seized a computer tower and cellphone belonging to a reporter who wasnt part of the effort to check on the business owners background. Rhodes said the newspaper was investigating the circumstances around Police Chief Gideon Codys departure from his previous job as an officer in Kansas City, Missouri. Cody left the Kansas City department earlier this year and began the job in Marion in June. He has not responded to interview requests. Asked if the newspapers investigation of Cody may have had anything to do with the decision to raid it, Rhodes responded: I think it is a remarkable coincidence if it didnt." Alfie suffered serious burns after competing in an online challenge A plastic surgeon has blamed a social media trend for a dramatic increase in young people suffering serious burns. Paul Drake, a consultant at the Queen Victoria Hospital in East Grinstead, West Sussex, said he was treating "two to three cases a week, sometimes more" during July and August. He said a trend that started on social media, in which people put aerosol cans on fires, was behind the injuries. In many cases the cans have exploded, leaving some people with major burns. Sunny Willink said her son Alfie - who suffered serious burns after putting an aerosol can on a fire - was "just having fun with his friends". He is now being treated at the Queen Victoria Hospital. A quarter of Alfie's back was burnt after the incident Mr Drake said he had seen some injuries with "potentially devastating effects with lifelong scarring and disability". Although the majority of injuries do heal without any major long-term complications, he said the hospital was also treating injuries that required surgery. Mr Drake said he had no doubt social media was behind the increase in cases. "All of these stunts are captured on video and uploaded to streaming platforms where they're consumed over a huge audience," he said. "The feedback that is given through likes and shares - and the celebrity you can achieve - that adds a fuel which wouldn't necessarily have been there 20 years ago." Follow BBC South East on Facebook, on Twitter, and on Instagram. Send your story ideas to southeasttoday@bbc.co.uk. While a unified effort to resettle 1,800 Afghans in Oklahoma has been a "monumental achievement," major challenges persist for these newcomers adjusting to a new culture and way of life. This was the prevailing sentiment of nonprofit leaders, veterans and volunteer sponsors of Afghan refugee families as they met on Tuesday for an interim study on the Afghan Placement and Assistance Program requested by Sen. Carri Hicks, D-Oklahoma City. The group identified several ongoing challenges for Afghans living in Oklahoma, including lack of affordable, safe housing, language barriers, transportation and the continued need for assistance obtaining necessary documents. The Afghans "stood shoulder to shoulder with us," military veteran Kerri Keck said Tuesday. "These same people are asking for our continued help. They didn't come here asking for a handout. They came here for an opportunity." The conversation surrounding Afghan resettlement was particularly poignant, falling as it did on the second anniversary of the fall of the U.S.-backed Afghanistan government and the Taliban takeover of Kabul, Afghanistan's capital city, on Aug. 15, 2021. The United States completed its withdrawal of troops on Aug. 30, 2021. More: Several of Oklahoma's Afghan refugees are saying 'I do' again as their US transition continues Sen. Carri Hicks, D-Oklahoma City, talks with Jeff Poyner, who has sponsored Afghan families, during an interim study session on Tuesday at the state Capitol. Hicks said she requested the study because she realized that there were resettlement issues that needed to be discussed now that many families that fled Afghanistan in 2021 have been in Oklahoma for almost two years. "The volume of calls and emails that we have received during this last two-year period and trying to help make sure that folks were getting the proper documentation, that they were getting access to health care, just really culminated in kind of saying I think it's time to do a deep dive," Hicks said. "What did we do well? What continues to be a pressing concern and where does interagency collaboration make sense so that we can really streamline this process for the families?" Veronica Laizure, an attorney who serves as deputy director of the Oklahoma chapter of the Council on American-Islamic Relations (CAIR-OK), coordinated Tuesday's session at the state Capitol. She gave a series of what she called "nonpolitical, common sense" policy recommendations to address some of the challenges facing Afghans and those trying to help them. More: Their education came to a halt in Afghanistan. An Oklahoma school gave them a new start. She recommended that some sort of statewide interagency communication network be implemented so that different agencies could communicate with one another to address the ongoing needs of refugees. A statewide portal providing basic information for newcomers also would be helpful, Laizure said. The nonprofit leader also recommended policies be put in place to provide people with access to affordable housing, particularly because "toxic" and "predatory" individuals prey on those of limited means by offering housing with no regard to safety issues. Laizure said difficulties obtaining driver's licenses for Afghans was one of the roadblocks the refugees encountered and this affected their ability to find and retain work. She said many of them have no ability to transfer their education or skills certification from Afghanistan to Oklahoma so they have had to take jobs that did not give them opportunities to employ the skills and education they have obtained. Adam Soltani, left, executive director of the Council on American-Islamic Relations-Oklahoma, talks with Afghan family sponsors Christine and Jeff Poyner after an interim study session on Tuesday at the state Capitol. 'Shoulder to shoulder' Various people who were asked to speak shared their experiences sat around a large boardroom table with Hicks at the head. An overflow room quickly filled with more people interested in the discussion, which was also livestreamed. Besides Laizure, other speakers included Patrick Raglow, executive director of Catholic Charities of Oklahoma City; Christine and Jeff Poyner, Afghan family sponsors from the Church of Jesus Christ of Latter-day Saints; Stefani Nachatilo, Oklahoma City Jewish community Afghan resettlement coordinator; Kerri Keck, a military veteran who served in Afghanistan and a CAIR-OK volunteer; Afghan refugee Feroz Bashari; and Kim Bandy, co-founder of the Spero Project. Each of the speakers acknowledged the unified effort that enabled Afghans to make new homes in Oklahoma. Raglow said one issue that caused difficulties was the lack of perimeters in place for volunteer sponsors who were often unsure where their responsibilities to the Afghans began and ended and what the Catholic Charities case manager would be responsible for. He said this was one of the challenges that came from the fact that Catholic Charities went from resettling about 21 refugees to 1,800 Afghans in an extremely short period of time. He said fortunately, the agency reached out to and received help from other nonprofits such as Spero, in addition to various faith groups and other organizations. Catholic Charities is the only federally authorized resettlement agency in the state. More: First Afghan refugees have arrived in Oklahoma, Catholic Charities of OKC says Keck said she and her husband served as CAIR-OK volunteers helping to distribute items like clothing to Afghan families. She also said she thought the overall experiences of resettling the Afghans was something that could only be achieved by groups working together. "This has been an extraordinary example of people loving people, of treating others with kindness and respect," she said. "They (Afghans) sacrificed for our service members and we owe them our diligent and dedicated effort." Nachatilo, refugee resettlement coordinator for the Oklahoma City Jewish community, described the efforts to help the Afghans adjust and become acclimated to a new way of life a "monumental achievement." "We pray that such a large scare resettlement will never be needed again," she said. This article originally appeared on Oklahoman: Leaders say Afghan refugees in Oklahoma still face challenges Woman in New Zealand charged with murdering her children after arriving from South Africa WELLINGTON (Reuters) - A jury in New Zealand found a South African woman guilty on Wednesday of murdering her three young daughters, with media saying she faced a life sentence for each killing. Lauren Anne Dickason killed her two-year-old twins and their six-year-old sister in September 2021 at their home in Timaru, a city of 29,000 on the South Island, about a month after the family had migrated from South Africa. Media said a majority verdict by a jury of eight women and four men held Dickason was not insane at the time of the killings and was guilty of murder, following a month-long high court trial in the city of Christchurch. Her husband Graham had found the three children dead and his wife in a serious condition upon arriving home after a dinner with colleagues, New Zealand media have previously said. The prosecution said Dickason knew when she killed her daughters that what she was doing was morally wrong and the act was murder, according to broadcaster Radio New Zealand. Some of the jury were heard crying as they left the courtroom, media said. The judge, Cameron Mander, remanded Dickason to custody in a hospital psychiatric unit until her sentencing. She has been in hospital since the murders. (Reporting by Lucy Craymer; Editing by Clarence Fernandez) Late night tank refills are soon to be no more in Hammond, Indiana as gas stations will be closed from midnight to 5 a.m. starting Nov. 1. The city council voted 7-2 Monday to make all 37 gas stations within city limits inaccessible within the time frame in an effort to minimize the number of crimes committed late into the night. Under the ordinance, businesses can file for an exception but those in violation will be subjected to reoccurring citations. Council members and public commenters say there has been a rise in crimes that often take place within the closure period at city gas stations, which helped form the rule. On July 26, a 22-year-old man was critically wounded at a Speedway gas station in Hammond, according to the Northwest Indiana Times. A man was also carjacked at gunpoint at a Luke's gas station on Sunday and another station was reportedly robbed on Friday, according to station WGNTV. More: Police tied a decades-old gun shop in Indiana to hundreds of Chicago crimes. It's shutting down. Multiple police officers spoke in favor of the ordinance including Hammond police chief Andy Short. Meanwhile some gas station employees have said the removal of overnight shifts could cost people their jobs. The people that are only available to work overnights, people with children, theres all kinds of reasons, so theyre going to lose their employment, Gerri Spencer, a Shell gas station employee told WGN News prior to Monday's meeting. Mayor Thomas McDermott has also voiced his support for the ordinance prior to the meeting. My job as mayor is to ensure the publics safety and this ordinance removes a place where, unfortunately, violent incidents continue to take place, McDermott said in a July 6 statement. Councilmember Mark Kalwinski, who voted against the ordinance, said the city should work with gas station owners on finding a more efficient solution to minimize overnight crimes before enforcing a mandatory closing period. He added there has been a rise in violent crimes within the city mentioning two July homicides that took place one street and one day apart from each other in broad daylight. "Things are different in our city. We require different tactics," Kalwinski said. "The ordinance is hard. It's aggressive. Some people say it's hostile and antagonistic to a certain group and perhaps that's the take by some people and I get that." Violent crimes in Hammond increased from 315 reported cased in 2019 to 590 in 2021, according to FBI data. What to know: Group behind Montana youth climate lawsuit has lawsuits in 3 other state courts This article originally appeared on USA TODAY: Gas stations in Hammond, Indiana to close overnight to prevent crimes An artificial intelligence (AI) camera system caught almost 300 drivers breaking the law during the first three days of its use in the UK. The motorists were found to be using mobile phones or not wearing seatbelts. The free-standing AI road safety camera is currently in use on the A30 near Launceston, Cornwall. Although the cameras use AI to detect potential offences, all images are reviewed by a person, said Devon and Cornwall Police. The system uses a number of cameras with high shutter speeds, an infra-red flash and a lensing and filtering system to record clear images of passing vehicles. Those images are reviewed by the AI software with those identified as likely to contain evidence of an offence anonymised and sent for review by a person to confirm an offence has occurred. The force, which is trialling the system, said if an offence has been correctly identified, the driver would either be sent a warning letter or a notice of intended prosecution, depending on the severity of the offence. In the first 72 hours of use the AI camera system detected: 117 mobile phone offences 180 seat belt offences In 2022 Vision Zero South West conducted a 15-day trial of a larger, vehicle-based system from road safety tech firm Acusensus. It detected 590 seatbelt and 45 mobile phone offences across various roads in both Devon and Cornwall. Last year there were 48 road deaths and 738 serious injuries on roads in the two counties. Adrian Leisk, head of road safety for Devon and Cornwall Police said: "When we trialled this technology last year, we were disappointed by the number of drivers detected not wearing seatbelts. "The early results from our latest deployment show that there is also a problem with mobile phone use behind the wheel, which is both dangerous and illegal. "We are employing this new technology to send a clear message to anyone who continues to use their phone behind the wheel - you will get caught." Follow BBC News South West on Twitter, Facebook and Instagram. Send your story ideas to spotlight@bbc.co.uk Former White House chief of staff Mark Meadows on Tuesday filed to move the case brought against him by Fulton County District Attorney Fani Willis to federal court. Meadows' attorneys argued that federal law requires the removal of the case to federal court when a federal official is acting "under color" of their duties, ABC News reported. Sources told the outlet that former President Donald Trump is expected to mount a similar effort. Meadows attorney George Terwilliger argued in the filing that the former Trump aide was merely doing his job. "Nothing Mr. Meadows is alleged in the indictment to have done is criminal per se: arranging Oval Office meetings, contacting state officials on the President's behalf, visiting a state government building, and setting up a phone call for the President," the filing said. "One would expect a Chief of Staff to the President of the United States to do these sorts of things." "This is precisely the kind of state interference in a federal official's duties that the Supremacy Clause of the U.S. Constitution prohibits, and that the removal statute shields against," the filing added. Terwilliger also wrote that while Meadows plans to file a motion to dismiss his indictment entirely, removing the case to federal court would "halt the state-court proceedings against Mr. Meadows." District Judge Steve Jones, an Obama appointee, has been assigned to Meadows' case, according to the report. Meadows was indicted by a Fulton County grand jury for allegedly violating the state's racketeering law and solicitation of violation of oath by a public officer. The indictment accuses Meadows of partaking in Trump's efforts to overturn his election results in close states and cites Meadows' surprise December 2020 visit to an Atlanta-area facility where ballots were being audited. He was also on Trump's infamous call to Georgia Secretary of State Brad Raffensperger demanding he "find" enough votes to overturn his loss. Legal experts expressed skepticism at Meadows' argument. Terwilliger is "now in the unenviable position of arguing that using authority one only has because they're a public official to try to overturn an election is acting [within] the scope of official dutiesa tight needle to thread, if he can," former U.S. Attorney Joyce Vance wrote on X, formerly Twitter. New York University Law Prof. Ryan Goodman said it was "difficult to see how his defense lawyers can argue he was acting under 'color of his federal office'" given the allegations against him. With news Mark Meadows filed motion to remove his Georgia case to be tried in federal court (@KFaulders reporting https://t.co/R1tXKPcqnC) Difficult to see how his defense lawyers can argue he was acting under 'color of his federal office' (which he needs) with acts like this. pic.twitter.com/0rc7GFUlvg Ryan Goodman (@rgoodlaw) August 15, 2023 Watergate prosecutor Jill Wine-Banks rejected Meadows' claim that "he was doing his job." "Aiding a coup is not your job, nor is following orders of a criminal wanna be dictator," she wrote. "I don't think it's going to work because removal is only for official duties & an attempted coup isn't in the job description," agreed CNN legal analyst Norm Eisen, who served as a Democratic lawyer in Trump's first impeachment. Former U.S. Attorney Harry Litman argued that Trump has a better shot of having his case moved to federal court than Meadows. "He can't be following illegal orders and still say 'I'm acting under color of my office.' Look at the way the attorney is trying to frame it," he told MSNBC. "It is less of an easy case for him than it is for Trump," he added. Eric Segall, a law professor at Georgia State University, told Salon's Areeba Shah on Tuesday that Trump may be able to get his case moved because engaging in "official duties" is defined "very broadly." Though Trump's bid to move his Manhattan hush-money case to federal court failed because a judge rejected his claim that it was related to his office, Trump could argue that his efforts were aimed at protecting the fairness of elections, Segall explained. "We know that wasn't his motivation," he added. "His motivation was he hated losing." Want a daily wrap-up of all the news and commentary Salon has to offer? Subscribe to our morning newsletter, Crash Course. But even if Meadows or Trump is able to move their case to federal court, they won't be able to dodge Willis. "Even if Trump succeeds in removing the case, the offenses are still Georgia state crimes, not federal offenses subject to Article II," wrote Steve Vladeck, a federal courts expert at the University of Texas. "It's still Georgia state law charges. Fani Willis and her team will still prosecute the case. The only difference is they will prosecute the case a few blocks down the road at the federal courthouse," Lawfare Fulton County correspondent Anna Bower explained on MSNBC. "Federal procedural rules will largely apply and a federal judge preside over the case," she explained, adding that there would also be a different jury pool. "But it doesn't mean that the charges are any different or that the prosecutorial team is any different. It won't be federal prosecutors, it will still be state prosecutors. And, importantly, Trump or any other future president will still not be able" to issue pardons because they are state charges. What would happen if Mark Meadows moved the Georgia case to federal court? It doesnt mean that the charges are any different or that the prosecutorial team is any different, says @AnnaBower, Trump or any other future president will still not be able to pardon [Meadows.] pic.twitter.com/dIZisA1iZU All In with Chris Hayes (@allinwithchris) August 16, 2023 Read more about the Fulton County probe TAMPA, Fla. (WFLA) Discount grocer Aldi said Wednesday it plans to buy 400 Winn-Dixie and Harveys supermarkets in the southern U.S. Under a proposed merger agreement, Aldi will acquire all outstanding shares of Jacksonville, Florida-based Southeastern Grocers Inc., the parent company of Winn-Dixie and Harveys. If the deal is approved by regulators, its expected to close in the first half of 2024. Financial terms of the deal werent disclosed. Both Southeastern Grocers and Aldi are private companies. Aldi is based in Germany with a U.S. headquarters in Batavia, Illinois. Aldi said the deal supports its long-term growth strategy in the U.S., where it expects to have 2,400 stores by the end of this year. The Winn-Dixie and Harveys supermarkets its acquiring are primarily in Alabama, Florida, Georgia, Louisiana and Mississippi. Aldi said it will convert some locations to its own brand and format, which cuts costs with features like lean inventories and self-bagging. However, it will still operate some stores under the Winn-Dixie and Harveys brands. Special counsel obtained Trumps Twitter direct messages The company is currently evaluating which Winn-Dixie and Harveys Supermarket locations will convert to Aldi stores, Hart said. It is not known how many employees will be affected by the acquisition. The deal comes amid wider consolidation in the grocery industry as customers increasingly defect to big box stores like Walmart, which controls more than 20% of U.S. grocery sales. Last fall, Kroger and Albertsons two of the largest U.S. grocery chains announced plans to merge in a $20 billion deal. Regulators are reviewing that plan now; if its approved, it is expected to close early next year. Southeastern Grocers also plans to sell its 28 Fresco y Mas stores to Fresco Retail Group, an investment company, which will continue to operate them under the same brand. Aldi has invested $2.5 billion in its southeastern expansion since the mid-90s, according to the grocer. The chain opened a regional headquarters and distribution center outside of Mobile, Alabama, earlier this year. George Santos fundraiser charged with impersonating House leadership aide Like Aldi, Winn-Dixie and Harveys Supermarket have long histories and many loyal customers in the southeast and we look forward to serving them in the years to come, Aldi CEO Jason Hart said. The time was right to build on our growth momentum and help residents in the Southeast save on their grocery bills. Southeastern Grocers remains committed to conducting business as usual, a company spokesperson wrote in a release. The grocers dedication to associates, customers and communities remains unwavering as it continues to provide the same high level of service, quality and value that neighbors have come to expect. The Associated Press contributed to this report. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. Actor Alec Baldwin may face charges again in the Rust movie set shooting after a second analysis of the gun involved alleges he pulled the trigger. Baldwin has consistently maintained he did not pull the trigger of the pistol that fatally shot cinematographer Halyna Hutchins and wounded director Joel Souza on the New Mexico set in October 2021. Involuntary manslaughter charges were dismissed in April, with prosecutors saying the gun might have been modified before the shooting and malfunctioned. Prosecutors commissioned a new analysis of the gun. A new gun analysis report assembled for Santa Fe County special prosecutors Kari T. Morrissey and Jason J. Lewis, dated Aug. 2, found that the gun had not been modified. The Bonanza Creek Ranch in Santa Fe, N.M., on Oct. 23, 2021. (Jae C. Hong / AP file) The analysis, conducted by firearms expert Lucien C. Haag of Carefree, Arizona, and forensic science consultant Michael G. Haag of Albuquerque, New Mexico, analyzed the Colt .45 prop revolver, cartridges and footage from filming. The experts had replaced parts of the gun that were broken during FBI analysis and said the gun fired as designed. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver, Lucien Haag wrote. From an examination of the fired cartridge case and the operationally restored evidence revolver, this fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger, he wrote. The report included frames from videos on the set submitted to the experts, which showed Baldwin in Western garb in a mock church. One frame showed him cocking the silver-colored hammer of a long barreled revolver with his right index finger ending up on or near the trigger, Haag wrote. Two other frames showed Baldwins right index finger inside the trigger guard and either on, or near the trigger, Haag wrote. The report was included in a motion filed Tuesday by the attorneys for "Rust" armorer Hannah Gutierrez-Reed, who is charged with involuntary manslaughter and evidence tampering. The motion raised the report's findings and asked for jury instructions about causation. Representatives for Baldwin declined to comment on the findings. Morrissey said Wednesday: "Charges against Mr. Baldwin are being considered but a final decision has not yet been made. We wont be pressured by Ms. Gutierrezs attorneys or anyone else in this regard." This article was originally published on NBCNews.com A longtime friend of disgraced South Carolina attorney Alex Murdaugh was sentenced Tuesday to nearly four years in prison for scheming to steal millions of dollars in insurance settlements from the sons of Murdaughs dead housekeeper. Cory Fleming said he knew the lawyer now serving a life sentence for killing his wife and son was going to steal something from the family, but he thought it might be $100,000 not the entire $4 million-plus award. Federal judge Richard Gergel sentenced Fleming to 46 months in prison, which was the lower end of the sentencing guidelines. Fleming, 54, turned himself in to federal marshals and immediagely began serving his time. Fleming and his law firm made about $675,000 over the settlement, which has since been paid back, according to court records. Fleming is the second associate of Murdaugh sent to prison since investigators began scrutinizing every aspect of his life in June 2021 after his wife and son were shot to death at their South Carolina home. Murdaugh was convicted of their murders and is serving life in state prison. PREVIOUS COVERAGE: Settlement reached in deadly 2019 boat crash tied to Murdaugh family Banker Russell Laffitte was sentenced to seven years in prison earlier this month after pleading guilty to helping Murdaugh steal money from settlements the now disbarred attorney got for clients after vehicle wrecks or work injuries. Laffitte is appealing his conviction and sentence. While Fleming knew Murdaugh was asking him to do wrong, he said he didnt realize the depth of his old friends depravity. Murdaugh still faces more than 100 charges in state and federal courts for crimes prosecutors say range from stealing from clients and his familys law firm to running a drug and money laundering ring to tax evasion to unsuccessfully arranging for someone to kill him so his surviving son could get life insurance money. In Flemings case, the victims were Gloria Satterfield and her two sons. Satterfield cleaned the Mudaughs house, babysat their two sons and did anything else the family asked for more than 20 years. She died after hitting her head in a fall at the home in February 2018. PREVIOUS COVERAGE: Alex Murdaugh, former SC attorney, indicted on 27 new charges Murdaugh promised the sons, who were young adults, he would take care of them and recommended they hire Fleming as their lawyer. He didnt tell them Fleming was a longtime friend, college roommate and godfather of one of his sons. Murdaugh told insurance companies that Satterfield tripped over their dogs and convinced them to pay more than $4 million to what they though was Satterfields estate through Fleming. But instead, Murdaugh had Fleming send the checks to him. The sons didnt see a dime until Murdaughs finances began to unravel and they hired a different attorney. Fleming surrendered his license to practice law in both Georgia and South Carolina, saying he dishonored the profession. Dozens of letters from friends. family and colleagues talking about Flemings charity work, kind heart and willingness to help others were filed with the court. Fleming pleaded guilty in May to a federal charge of conspiracy to commit wire fraud. He faces similar state charges in the theft from Satterfields family and South Carolina prosecutors have said they plan to bring him to trial in September. VIDEO: Settlement reached in deadly 2019 boat crash tied to Murdaugh family Alex Murdaughs accomplice and longtime friend Cory Fleming was sentenced to nearly four years in prison for his involvement in the convicted killers scheme to steal millions of dollars from his dead housekeepers family. Federal judge Richard Gergel sentenced Fleming on Tuesday to 46 months in prison, which was the lower end of the sentencing guidelines, The Associated Press reported. Fleming, 54, was accused of helping his law school friend steal $4.3m in insurance money that was intended for the sons of Murdaughs housekeeper Gloria Satterfield. Cory Fleming Ms Satterfield died in a mysterious trip and fall accident at the prominent familys 1,700-acre Moselle estate in South Carolina in 2018 the same property where Murdaugh shot and killed his wife Maggie and son Paul three years later on 7 June 2021. Murdaugh was convicted of their murders in March 2023 and is currently serving life in prison. Following the deaths of Maggie and Paul, an investigation into Ms Satterfields passing was reopened as the string of deaths, stolen money and corruption surrounding Murdaugh came to light. Satterfield, who worked for the family for more than 20 years, was found at the bottom of the steps leading into the familys home. She never resumed consciousness and died from her injuries three weeks later on 26 February. At the time, Murdaugh claimed that she had tripped over the familys dogs and hit her head, and her death was regarded as an accidental fall. However, her death certificate cited her manner of death as natural and no autopsy was ever carried out. Fleming was sentenced to nearly four years in prison on Tuesday (WCSC) Murdaugh had promised the sons that he would take care of them and recommended they hire Fleming as their lawyer. He then told insurance companies that Ms Satterfield tripped over their dogs and convinced them to pay more than $4m to what they thought was Satterfields estate through Fleming. But instead, Murdaugh had Fleming send the checks to him. The sons didnt see a dime until Murdaughs finances began to unravel. Murdaugh and Fleming then stole the settlement money for themselves and the housekeepers sons didnt get a dime. Much of the stolen money was funneled through a fake Forge bank account which sought to imitate the legitimate and totally unrelated business Forge Consulting. Fleming said he knew the disgraced attorney was going to steal something from the family, but he thought it might be $100,000 not the entire $4m-plus award. He also said he did not realise the depth of his old friends depravity. Gloria Satterfield died in a trip and fall at the Murdaugh home in 2018 (Provided) Fleming, who surrendered his licence to practise law in both Georgia and South Carolina, is the second associate connected to Murdaugh to be sentenced. Russell Laffitte, who was CEO of Palmetto State Bank at the time, was sentenced to seven years in prison earlier this month after pleading guilty to helping Murdaugh steal money from settlements he got for clients. Alex Murdaugh gives testimony during his murder trial at the Colleton County courthouse in South Carolina in March 2023 He acted as Murdaughs personal banker and as a custodian or conservator for some of his law firm clients. Laffitte then conspired to defraud those clients, with the two men diverting the money to themselves. Murdaugh still faces more than 100 charges in state and federal courts for crimes prosecutors say range from stealing from clients and his familys law firm to running a drug and money laundering ring to tax evasion to unsuccessfully arranging for someone to kill him so his surviving son could get life insurance money. Photo: IHIT Homicide investigators in British Columbia say they are seeking a third suspect in the killing of Surrey Sikh temple leader Hardeep Singh Nijjar, who was gunned down on June 18. Sgt. Timothy Pierotti of the Integrated Homicide Investigation Team says the person was thought to be connected to two gunmen who shot Nijjar in his vehicle in Surrey, B.C., outside the Guru Nanak Sikh Gurdwara, where Nijjar was president. Pierotti told a media briefing that the suspected getaway driver waited for the other suspects in a silver 2008 Toyota Camry that was parked near 121 Street and 68 Avenue before and during the killing. Anyone who was aware of the vehicle has been asked to contact investigators. Investigators have previously said they are seeking two "heavy-set" suspects seen leaving the scene. The killing prompted protests outside Indian consulates and accusations of foreign involvement in the killing. In an attempt to evade mobilization, draft dodgers are resorting to even more ludicrous ploys in their attempting to outwit Ukrainian border guards and escape the country. However, more often than not, these attempts end in utter failure. Read also: Odesas ex-draft commissioner illegally earns $5.1 million, says anti-graft agency Such was the case for a 26-year-old resident of Zakarpattia Oblast, who hoped to exit the country by posing as a 15-year-old teenager. The man was traveling to Hungary as a passenger in an Audi sedan, State Border Guard Service press office said, releasing a photo of the man. State Security Service State Security Service At the Tisa checkpoint, the man presented an underage boys birth certificate for inspection, attempting to pass it off as his own. But border guards from the Chop detachment soon determined that the document did not belong to the person presenting it. It wasnt a difficult case to crack: The individual concerned also had a foreign passport in which his photograph showed a bearded man of a much more mature appearance. The episode culminated in his being denied exit from the country and an administrative protocol being issued to him under Article 204 of the Code of Ukraine on Administrative Offenses an illegal attempt to cross the state border. Read also: Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine ASHEVILLE - Two misdemeanors have been dropped against a man accused of throwing homemade explosives devices he told the Citizen Times were fireworks being set off "in a safe and harmless manner." The misdemeanor charges of cyberstalking and possessing a dangerous weapon at a demonstration were unrelated to the felonies Duncan Small is facing after police say he threw improvised explosives at downtown July 4 celebration in 2022. At an Aug. 15 session of Buncombe County District Court Assistant District Attorney Blair Barker dropped the misdemeanors after Judge Edwin Clontz declined Barker's motion to continue the case. Barker said the officer needed as a witness was not present. The weapon charge was dated June 26, 2022. The cyberstalking charge was from this year. Small was arrested in June 2022 and charged with bringing a gun to an Asheville protest against the Supreme Court overturning Roe v. Wade. There was little information on the cyberstalking charge, though there were two Asheville Police Department cyberstalking complaints linked to Small in January and April. At the July 4 celebration officers say Small, 31, and Chioke Auden Fugate, 24, lit improvised explosive devices "similar to pipe bombs" and threw them at what remained of the Vance Monument. Small has addresses listed as Indian Rocks Beach, Florida, and Leicester. Fugate is from Clyde. No one was injured during the incident, but the APD bomb squad responded to Pack Square where people had gathered for the holiday. Both Small and Fugate are charged in Superior Court with possession of a weapon of mass destruction and malicious use of explosives. Neither had a court date listed. Small's attorney Doug Edwards declined to comment after the Aug. 15 District Court hearing. Small, though, through text messages told the Citizen Times that the charges against him were false. "We were merely setting off fireworks, in a safe and harmless manner," he said. "Nothing was thrown." According to Small, police said they had bodycam and drone footage of the incident but have not turned it over to his attorney despite discovery requests. Small sent photos he said police had taken of the scene. They showed what appeared to be cardboard tubes. A picture sent by Duncan Small he said was an evidence photo from Asheville Police Department from the night he was charged with using a homemade explosive device. In a 911 recording, a caller from that night described a "huge, enormous explosive" a man "got out of the back of his car and said he was going to blow up the monument." Small noted how the dispatcher told the caller to go talk to a firefighter who was nearby. In comments to the Citizen Times Small questioned why if the situation was so dangerous the firefighter had not taken some action. According to magistrates' orders filed by APD, the two possessed a "homemade incendiary device" of "cardboard tubes filled with black powder and covered by tape." "The devices were designed in such a way that they appeared to be homemade explosives or bombs," the documents said. A magistrate's order says that Fugate admitted to having homemade explosives "by making spontaneous utterances that he threw the devices at nearby individuals." Police said they found zip-tie handcuffs and a two-way radio belonging to Small, and leather gloves and a gas mask belonging to Fugate. In Smalls vehicle, they found a ballistic vest, pistol and ammunition, and a flare gun and ammunition, according to a news release from the department. Asheville police cars: Asheville police cars 'completely destroyed' by suspected arson; seeking public's help Human trafficking ring: Asheville police quiet on human trafficking ring investigation that snared deputy Joel Burgess has lived in WNC for more than 20 years, covering politics, government and other news. He's written award-winning stories on topics ranging from gerrymandering to police use of force. Got a tip? Contact Burgess at jburgess@citizentimes.com, 828-713-1095 or on Twitter @AVLreporter. Please help support this type of journalism with a subscription to the Citizen Times. This article originally appeared on Asheville Citizen Times: 2 charges dropped for alleged Asheville bomber; says devices fireworks His hands and clothing covered in his fathers blood, a 20-year-old man was discovered by officers in his Illinois home in disbelief, authorities say. I am a murderer, Isaac Thurston told police in Glenview, court records show. He is accused of stabbing his dad to death in an argument over marijuana use. The incident happened Monday, Aug. 14, in the Chicago-area town. Perron Thurston, 50, told his son he was upset about his marijuana use and said the son should not work because he had been smoking, according to court records filed by the Cook County States Attorneys Office. As the argument between the father and son continued, Isaac Thurston grabbed a knife from the kitchen and used it to stab his father in the torso, authorities said. Isaac just stabbed me, the father called out to his wife, police said. Perron Thurstons other son began chest compressions on his dad, but the Glenview Police Department said he died at a hospital that morning. When officers arrived at the home, they found Isaac Thurston standing inside the home with blood on his clothes and hands. I dont know why I did it, he told officers, according to court records. Isaac Thurston was charged with first-degree murder. He said there is no history of physical violence between him and his father, according to police. Loved ones said Perron Thurston was an avid BMX enthusiast and a fan of the Chicago Blackhawks. Perron was not only a great cousin, he was an amazing father, husband, son, brother, nephew, uncle, colleague and friend. He will truly be missed by many, Kara Kersh said on Facebook. You were the kind of person that made people feel seen and cared about, Sara Victoria said in a Facebook post. Son dismembers and burns his dad then mom helps hide evidence, Arizona cops say Man plotting to kill his relatives is stopped on way to their home, Kentucky cops say Man uses steak knife to kill stepfather during family gathering, Nebraska cops say By Michael Martina and Jason Lange WASHINGTON (Reuters) - Bipartisan majorities of Americans favor more tariffs on Chinese goods and believe that the United States needs to step up preparations for military threats from the country, according to a new Reuters/Ipsos survey. The two-day poll, which concluded on Tuesday, revealed deep worries among Americans about China's global influence at a time when U.S.-China relations have fallen to their lowest point in decades. Some 66% of respondents said they were more likely to back a candidate in the 2024 presidential election who "supports additional tariffs on Chinese imports." Another 66% of respondents - including 58% of Democrats and 81% of Republicans - agreed with a statement that the United States "needs to do more to prepare for military threats from China." Still, just 38% of Americans supported the possible deployment of U.S. troops to defend Taiwan in the event of a Chinese attack, showing the political constraints facing any president seeking U.S. military involvement in a conflict involving China. The bipartisan concern about China helps explain the increasingly combative stances Republican presidential candidates have taken toward the world's second-largest economy. In recent months, Republican White House hopefuls have attacked China daily, with each candidate trying to show voters that they are best positioned to take on America's geopolitical foe. Former President Donald Trump, Florida Governor Ron DeSantis and former UN Ambassador Nikki Haley have all called for the United States to end permanent normal trade relations with China, which would limit economic ties between the countries. Biden has sought to stabilize the intense U.S.-China rivalry through high-level official engagement. But at recent fundraising events the president has called its economy a "ticking time bomb" and referred to its leader Xi Jinping a dictator. DEFENDING TAIWAN? The online Reuters/Ipsos poll was conducted nationwide, collecting responses from 1,005 adults, including 443 Democrats and 346 Republicans. It had a credibility interval, a measure of precision, of about 4 percentage points in either direction. Seventy-five percent of Americans had a negative view toward China, while 84% saw Xi at least slightly unfavorably. Some 65% felt China's government was trying to influence the U.S. election. While half of respondents supported aiding Taiwan with military equipment to deter a possible Chinese attack, 42% opposed deploying U.S. troops in Taiwan's defense, and 20% said they didn't know. Taiwan, the democratically governed island claimed by China as its territory, is the main flashpoint in U.S. ties with China's Communist government. U.S. Central Intelligence Agency Director William Burns has said that Xi had ordered his military to be ready to conduct an invasion of Taiwan by 2027, though that does not necessarily mean he will go ahead with it. Beijing has never renounced the use of force to bring Taiwan under its rule. Biden said in 2022 that U.S forces would defend Taiwan in the event of a Chinese invasion, appearing to go beyond the long-standing U.S. approach of "strategic ambiguity" - not making clear whether it would respond militarily to such an attack. But his administration has routinely said there has been no change to U.S. policy toward Taiwan. (Reporting by Michael Martina, Jason Lange and Gram Slattery; Editing by Don Durfee & Shri Navaratnam) In a 2021 survey, 15 percent of American adults admitted using illegal drugs (mostly marijuana) in the previous month. Other surveys suggest that something like 12 million of those drug users owned guns, making them guilty of a federal felony that is currently punishable by up to 15 years in prison. Yet fewer than 150 Americans are prosecuted for that crime each year. Those odds make Patrick Darnell Daniels Jr., a Mississippi man who had two guns and the remains of a few joints in his car when he was stopped for a traffic violation last year, extremely unlucky. But Daniels caught a break last week, when the U.S. Court of Appeals for the 5th Circuit ruled that his prosecution violated the Second Amendment, a decision that highlights the injustice of a policy that arbitrarily strips peaceful Americans of the constitutional right to armed self-defense. Daniels committed the same gun crime as Hunter Biden: receipt or possession of a firearm by an "unlawful user" of a controlled substance. But while the president's son would have escaped prosecution for that offense under a plea deal that a federal judge nixed last month, Daniels was sentenced to nearly four years in prison. That stark contrast reinforces Republican complaints that Biden benefited from favoritism. But it only scratches the surface of the unequal treatment that results from combining a constitutionally dubious, widely flouted law with broad prosecutorial and judicial discretion. From fiscal year 2008 through fiscal year 2017, the Justice Department prosecuted more than 73,000 gun cases. Nearly three-quarters of those cases involved illegal gun possession by people with felony records, while less than 2 percent involved defendants like Daniels and Biden, whose consumption of politically disfavored intoxicants barred them from owning firearms. That breakdown can be partly explained by prosecutorial priorities: Although the criminal records that disqualify people from legally owning guns cover a wide range, including many nonviolent offenses, prosecutors probably tend to view them as a better indicator of dangerousness than, say, the periodic pot smoking that Daniels admitted. Another important factor: Criminal records show up in background checks for gun buyers, while illegal drug use typically does not. Once a transaction is completed, a gun-owning drug user won't be identified as such unless his drug use is publicly known (as Biden's was) or he happens to be caught with drugs and guns (as Daniels was). But once that happens, the consequences can be severe. In addition to the charge that Biden and Daniels faced, someone who falsely denies drug use on the form required for purchases from federally licensed gun dealers can be charged with two additional felonies. A bill that Biden's father signed into law last year added yet another felony: "trafficking in firearms," which Congress defined broadly enough to cover drug users who buy guns. The upshot is that the penalty for drug users who obtain firearms can range from none at all (which is almost always the case) to, theoretically, a combined maximum sentence of 45 years. Those potential defendants include millions of cannabis consumers, regardless of whether they live in states that have legalized marijuana and regardless of whether they handle guns while intoxicated. President Joe Biden says marijuana use should not be treated as a crime, a position reflected in his mass pardon for people convicted of simple possession under federal law. Yet his administration simultaneously insists that marijuana users are so dangerous that they cannot be trusted with gunsso dangerous, in fact, that the government is justified in sending them to prison for years if they dare to exercise their Second Amendment rights. In Daniels' case, the 5th Circuit rejected that argument, deeming it inconsistent with "this Nation's historical tradition of firearm regulation"the constitutional test that the Supreme Court established last year. If the justices ultimately agree with that assessment, it could mark the end of an irrational, haphazardly enforced restriction that Congress should have reconsidered long ago. Copyright 2023 by Creators Syndicate Inc. The post The Arbitrary Ban on Gun Possession by Drug Users Invites Wildly Uneven Enforcement appeared first on Reason.com. Arkansas is joining Florida in nixing the Advanced Placement (AP) African American studies course from its schools. The state announced right before classes began for the 2023-2024 school year that AP African American studies would not count for credit toward high school graduation. On this first day of school, we share in their surprise, confusion, and disappointment at this new guidance that the course wont count toward graduation credits or weighted the same as other AP courses offered in the state, said the College Board, the organization that administers the AP Program. The news of the course getting dropped by the state was first reported by the Arkansas Times over the weekend. The Arkansas Department of Education released a statement Monday saying the course is still in the pilot stage and can not be accepted until revisions are finalized. The AP African American Studies pilot course is not a history course and is a pilot that is still undergoing major revisions, the department said in a statement to Education Week. Arkansas law contains provisions regarding prohibited topics. Without clarity, we cannot approve a pilot that may unintentionally put a teacher at risk of violating Arkansas law. Republican Gov. Sarah Huckabee Sanders ordered curriculum to be reviewed at the beginning of this year after the state banned critical race theory an academic framework evaluating U.S. history through the lens of racism that has become a political catch-all buzzword for any race-related teaching including a review of AP African American studies that was in two school districts in the state last year. More than 200 colleges and universities nationally have already signed on to provide college credit, including the University of Arkansas, Fayetteville, the flagship institution of the University of Arkansas System. Early credit support for the pilot course has surpassed expectations, and it is our strong expectation that many more colleges will provide credit when an official review is completed in the spring, the College Board said in a statement. The College Board underwent a similar battle over AP African American studies with Florida earlier this year that ended with Florida banning the course and the company apologizing for changes it made to the class after Floridas initial complaints. Advocacy groups are already decrying Arkansass move to ban the course. Another day in America, and another extremist state government has waged war on Black America while attempting to rewrite history. It is abhorrent that any so-called leader would attempt to strip High School students of an opportunity to get a jumpstart on their college degree. Lets be clear the continued, state-level attacks on Black history are undemocratic and regressive, NAACP President and CEO Derrick Johnson said. The sad reality is that these politicians are determined to neglect our nations youth in service of their own political agendas. For the latest news, weather, sports, and streaming video, head to The Hill. An Arlington business owner was arrested and faces a murder charge after an employee he fired was found dead with a gunshot wound, police said Tuesday. At around 2:15 p.m. Tuesday, Arlington police received a 911 call from the owner of a tattoo shop, Vato Loco Tattoo Studio at 916 W. Division St., asking for help with an employee who he had just fired and refused to leave the store, according to a news release from Arlington police. When officers were on their way to the scene, police received reports of shots fired at the business. Officers found the fired employee, a 46-year-old man, unresponsive inside the shop with a gunshot wound to the head. He was pronounced dead at the scene. Javier Arredondo, 42, the owner of the business, remained at the scene, according to police. Arredondo and the employee were involved in a tense verbal exchange following the employees firing, police said in the release. Witnesses told police that the employee became upset about his termination and demanded his final paycheck from Arredondo, according to the arrest warrant affidavit. There was no one else inside the business at the time of the shooting, police said in the release. According to witnesses, the employee became more aggressive before Arredondo asked his other employees to leave the building and to notify police while he was involved in the argument. Just minutes after making the 911 call, Arredondo pulled out a handgun and fired multiple times at the employee, police said. Witnesses said they heard three gunshots after they exited the tattoo shop. One witness told police that as they were returning to the front door, they saw Arredondo unloading the firearm and placing it on a wood bench that was located in the front of the tattoo shop. Investigators did not find any weapons on the deceased employee and it did not appear that he attempted to physically assault Arredondo, police said. In an interview with police, Arredondo said that when he arrived at the tattoo shop he terminated the employee based on an incident that occurred last week. Before the employee arrived, Arredondo loaded his handgun and placed it on the counter next to him, according to the affidavit. He also told police that the employee was upset about his termination and demanded his last paycheck, to which Arredondo agreed to pay him on Friday. The employee began shaking a display case and knocked items off a counter and Arredondo said that, in fear of the employee harming him, he decided to draw his firearm and shoot three times. Arredondo told police that the employee never made any threats, never presented a weapon, and never made physical contact with him, but repeatedly said he shot him just out of fear. Officers arrested Arredondo after consulting with the Tarrant County Criminal District Attorneys Office and booked him into the Arlington City Jail on a charge of murder. The Tarrant County Medical Examiners Office will release the victims name once his next of kin have been notified. SALT LAKE CITY (AP) A 75-year-old man shot by officers trying to arrest him for social media threats he made against officials including President Joe Biden had a history of "exercising his 2nd Amendment rights, albeit a little recklessly, according to Utah police records. Craig Robertson was killed after pointing a revolver at FBI agents who came to his house in numbers last Wednesday. Nearly five years earlier, he brought a handgun into his Provo, Utah, backyard to demand Google Fiber employees working on a utility pole to connect a neighbor's WiFi get off his property, according to a Provo Police incident report obtained by The Associated Press. His history of carrying firearms when addressing people near his home reflects the difficulties law enforcement can face in assessing threats when those accused of making them are heavily armed. The Google Fiber employees told police officers that nobody had answered when they tried to ring doorbell earlier to say they would be working on the pole. Later, Robertson came outside with a gun, accusing them of trespassing, they told the police. Robertson was yelling at them he was waving his gun around causing the muzzle to point in their direction, an officer wrote in the August 2018 incident report. When police subsequently arrived, Robertson answered the door with an AR-15 slung over his shoulder, leading to what an officer described as a bit of a standoff. Robertson denied to officers that he had pointed the handgun in anyone's direction. While I was speaking with Robertson while he had his rifle, I observed that he was holding it in a ready position against his body with his finger on the trigger guard which led me to believe he had trained with firearms and was aware of where his muzzle was and how to control the weapon while moving, the responding officer said. Officers consulted the local prosecutor but no charges were filed. The FBI attempted to arrest Robertson last week in the lead-up to Biden's visit to Utah, where the president gave a speech at a Salt Lake City hospital about expanding veterans benefits. In charging documents, authorities accused Robertson of making threats against Biden, high-profile Democrats and FBI agents, referencing assassination and posting pictures of weapons including long-range sniper rifles. Though family members and neighbors said Robertsons politics were no secret, they described him as an elderly, homebound man with physical limitations that suggested he posed no danger to anyone he had threatened online. Unlike prosecutors who painted his threats as credible, they said Robertson was a kind, churchgoing neighbor who modified military-grade firearms as a hobby and mainly used social media to express his views. The police records add additional context to those contrasting pictures. A man holds a flag as law enforcement investigate a home in Provo, Utah, Wednesday, Aug. 9, 2023. An armed Utah man accused of making threats against President Joe Biden was shot and killed by FBI agents hours before the president was expected to land in the state Wednesday, authorities said. (Chris Samuels/The Salt Lake Tribune via AP) Robertson's death came as Republicans who have traditionally touted themselves as the party of law and order have escalated their attacks on law enforcement and especially the FBI. Experts told the AP that threats had become more common across in an increasingly polarized United States, making the political climate more perilous and policing difficult. Things that may have been screamed at the television before now appear widely in public, said Michael German, a former FBI agent who is now a fellow with the Brennan Center for Justice. __ AP writer Nicholas Riccardi in Denver contributed reporting. This article originally appeared on St. George Spectrum & Daily News: Utah man shot by FBI had carried AR-15 in 2018 police encounter, records show ASHEVILLE The last time Rasonna La Pierre-Brown saw her son alive, she was dropping him off around 3 p.m. Aug. 9 at Bartlett Arms Apartments in Asheville, where one of his friends lived. She recalls warning him that he didnt need to be there, that he didnt know the people well, that he didnt grow up with them. The next thing I hear is Im getting a phone call that hes dead, La Pierre-Brown told the Citizen Times Aug. 14. Jeruan William Spencer, 22, was found dead in a wooded area off McKinney Road in Candler on the afternoon of Aug. 10, according to the Buncombe County Sheriffs Office, which released Spencers name Aug. 11. Deputies with the sheriffs office were dispatched to the area west of Asheville shortly after noon that day, according to an Aug. 10 news release. Jeruan William Spencer, 22, was found dead by Buncombe County Sheriff's Officers Aug. 10, 2023. An active investigation is underway. An active criminal investigation by the Sheriffs Office is currently underway with numerous detectives, crime scene investigators, patrol, and K9 handlers working on the case. No suspects have been identified, as last announced Aug. 10 by sheriff's spokesperson Christina Esmay. Law enforcement believes this was an isolated incident. The Citizen Times reached out to the sheriffs department for an update on the case, and Esmay said there are no updates to provide as of Aug. 15. However, she did confirm that the Buncombe County Sheriff's Office is the lead investigative agency for the case. Asheville police cars: Asheville police cars 'completely destroyed' by suspected arson; seeking public's help La Pierre-Brown said she spoke to her son, who had moved to Asheville from New Jersey two years ago to turn his life around, one more time at 6:18 p.m. Aug. 9 before his body was discovered the next day. He had called excited to tell her about the new car he had just purchased, La Pierre-Brown said. My son was on his way to making music, La Pierre-Brown said, adding that her son was a rapper, a devoted father and a lovable kid that always kept her laughing. My son was doing shows, going to Atlanta, meeting a lot of people trying to get a record deal. And they took my baby from me. Fontana Lake bodies: Bodies of 2 men found in Fontana Lake She mentioned the last song Spencer wrote was called Forever, which was dedicated to his brother who died a few years ago. In the song, hes saying how he hopes his music lasts forever, and he dropped that record last year on his birthday, La Pierre-Brown said, mentioning Spencer's birthday was Oct. 8. Now its almost a year later and hes not here. La Pierre-Brown said an autopsy was scheduled to be performed on her sons body. "No matter what this young man has done in his past he did not deserve to be dumped on the side of the road," La Pierre-Brown said in a Facebook post Aug. 14. "He has survived a lot of trauma in life that a lot of kids his age couldn't have survived." The sheriff's office is investigating Spencer's death as a homicide, according to sheriff's spokesperson Aaron Sarver, who said the office is investigating one other homicide this year. In 2022, the sheriff's office investigated four homicides in Buncombe County. Asheville downtown murder: Asheville downtown murder case could be tried this summer; victim was shot in back Fatal neighborhood shooting: Asheville police charge second man in fatal neighborhood shooting near River Arts District Ryley Ober is the Public Safety Reporter for Asheville Citizen Times, part of the USA Today Network. News tips? Email Ryley at rober@gannett.com. Please support local, daily journalism with a subscription to the Citizen Times. This article originally appeared on Asheville Citizen Times: Mother of man found dead in Candler details his last known whereabouts Bond was denied Monday for a father accused of taking part in the suspicious deaths of his two children within two years. Tyrone Christopher Scott, 36, of Augusta, and his partner Salena Devine Tyler, 23, of Augusta, are charged with cruelty to children in the second degree in relation to the death of their 6-month-old baby. Samson Scott, 6 months, was pronounced dead at Augusta University Medical Center on Oct. 18. Just after 10:30 p.m., the Richmond County Sheriffs Office received a call from the hospital in reference to a "suspicious death" of an infant, according to a news release from the sheriff's office. Deputies met Scott and Tyler, who said they left the infant alone in the living room in a reclining couch "kind of on his back" after watching TV for around 40 minutes, according to an incident report. Scott said when he went to check on the child, he was unresponsive. He said they called 911 and began chest compressions, according to the report. Tyler told deputies she was "unsure" about the nature of her son's death, according to police. An autopsy has been scheduled, according to the Richmond County Coroner's Office. Not guilty: Augusta man found not guilty of murdering blind woman in 2019 Butler High School fight: School officials address Tuesday fight at Butler High School Death of the suspects' other infant one year prior The two Augusta parents were already charged in the death of their 1-year-old disabled child last year and were both out on bond following their arrests in February 2021. The couple is charged with murder and cruelty to children in the first degree in relation to the death of their 1-year-old son, Travis Scott. On Feb. 13, 2021, Tyler left the baby at home with his father, who later called her and said the child was unresponsive and not breathing. Tyler returned home, helped with CPR and called 911. The baby was revived at the hospital, but there was no brain activity, according to a previous Augusta Chronicle article. The Scotts made the decision without medical consent to disconnect life support and the baby was pronounced dead, according to previous reporting. During Tyrone Scott's bond hearing in Richmond County Superior Court, Assistant District Attorney Katherine Tobergte told the judge the couple's baby, who was born three months premature, suffered from a lung disease and required a feeding tube and a breathing tube, which was inserted in his neck. The Scotts were given orders by multiple nurses and respiratory therapists not to turn off their son's ventilator, according to a previous Augusta Chronicle article. Arrest warrants state they both went against medical orders and turned off the ventilator on several different occasions. AU Medical Center staff trained the Scotts on the baby's daily care needs, and nursing care was provided 84 hours a week, Tobergte said. Both parents were granted $60,000 bonds. This article originally appeared on Augusta Chronicle: Father denied bond in Augusta infant death case Elizabeth Gudella, center, speaks about obstetrician/gynecologist Dr. Vernon Cannon, as fellow plaintiff Vesta Eddings, left, and attorney Evan Smola listen during the announcement of five misconduct lawsuits against Cannon and his employer, DuPage Medical Group/ Duly Health and Care, on Aug. 16, 2023. (Antonio Perez/Chicago Tribune) Five new lawsuits were filed Wednesday against former obstetrician/gynecologist Dr. Vernon Cannon and his former employer, alleging that he engaged in misconduct while practicing at Duly Health and Care. The latest suits follow 12 suits making similar allegations that were filed against Cannon and his former employer between May 2020 and June 2023. Chicago law firm Hurley, McKenna and Mertz said it has another 36 cases pending. Many of the lawsuits filed Wednesday allege that Cannon was intoxicated while working with patients. Plaintiffs allege that they smelled alcohol while Cannon worked and that his behavior became inappropriate while visibly intoxicated. The 17 existing lawsuits also allege battery, institutional negligence and negligent supervision by Duly, formerly known as DuPage Medical Group. Robert Larsen, Cannons attorney, said that Cannon denies all pending allegations. As a defendant in a medically related claim, Dr. Cannon is limited by HIPAA in what he can say in response, Larsen wrote in a statement to the Chicago Tribune. Suffice it to say we deny these allegations, and intend to defend all of these claims. When we do we believe the true facts will come out. Duly, in a statement, said it takes allegations of physician misconduct extremely seriously. The actions alleged are unacceptable and inconsistent with Dulys mission to provide outstanding patient care and the ethical standards we expect our physicians to uphold. Duly vehemently denies that it knowingly allowed Dr. Cannon to engage in misconduct, and Dr. Cannon has not had any patient contact at Duly since he departed the practice in 2020. Vesta Eddings, 33, who filed a lawsuit against Cannon and Duly on Wednesday, alleged that Cannons speech was noticeably slurred while he was delivering her two children, now 3 and 8. I was unsure if he gave me the best care I could receive, Eddings said at a news conference Wednesday. Both of Eddings pregnancies were high-risk. Eddings, who is Black, said that having to rely on an impaired doctor to deliver her children was particularly distressing given the high mortality rates faced by Black mothers. I just felt betrayed, I felt worried, Eddings said. [ 7 women sue former Duly Health and Care gynecologist, accusing him of sexual battery, working while intoxicated ] Amy Fuentes, 38, who filed suit Wednesday, said that while delivering her fourth child in June 2020, she found Cannon disoriented. When he finally got to the room, his eyelids were really droopy and he was moving slow, Fuentes said. Even though I was 100% ready to deliver my baby, he was standing in the back of the room looking in the supply cabinet. After learning about the other suits filed against Cannon, Fuentes said she thinks he was intoxicated during delivery. Cannons medical license expired July 31. On March 3 of this year, the state of Illinois put his medical license on permanent inactive status due to unprofessional conduct, according to state records. Elizabeth Gudella, 33, said learning about the experiences of other women who filed lawsuits in January helped her recognize the extent to which she had been violated while under Cannons care. There was numerous things that happened at the time that didnt register to me how awful it was, said Gudella, who filed suit Wednesday. I just feel really bad for my previous self. Gudella saw Cannon from the ages of 16 to 26. No one else was in the room during her exams, she said, during which Cannon would comment on Gudellas tattoos which were visible during gynecological care. Gudella alleged that Cannon would take his own jacket and shirt off during appointments to show her his own tattoos. He also asked Gudella to meet him outside of the office to receive additional medical care, including prescriptions, she said, and asked her to set him up on dates with her friends. She also alleges that he was intoxicated during exams. Gudella has since become uncomfortable with male doctors, she said, and wary of future medical care. Christina Deeke, 48, who filed suit Wednesday, also alleged that Cannon removed pieces of his own clothing to show her his tattoos after seeing the tattoos on her lower abdomen during an appointment. Her suit alleges that on one occasion, this took place during a prolonged breast exam, during which Deeke concluded that he was intoxicated. Deeke was Cannons co-worker at Duly Health while she was his patient. She said she only became his patient because other obstetrician/gynecologists at Duly Health retired. Hurley, McKenna and Mertz are not trying to form a settlement class, partner Evan Smola said. Each suit will remain individual so that each woman has an opportunity to tell her own story. All plaintiffs, and any future plaintiffs, will seek at least $50,000 in damages each, so that the cases are considered civil suits. In Illinois, civil cases seeking $10,000 to $50,000 in damages can be redirected instead to an arbitration panel. None of the 17 active cases have yet settled, Smola said. She loves Indian food and in videos shared by her parents appears joyful in their company. But Baby M, two-and-a-half-years-old, is now in a centre for children with special needs near the German city of Berlin, her mother says. The toddler has been at the centre of a diplomatic row between India and Germany after she was taken away from her Indian family on accusations of child abuse in September 2021 - when she was only seven months old. In June this year, a court in Berlin terminated the parental rights of Dia and her husband Amit - we are not using their real names for legal reasons - and the baby's custody was handed over to Jugendamt or the Youth Welfare Office of Germany. The court also rejected the parents' demand to repatriate the child to India. The parents have called it a "sham trial" and filed an appeal. Dia, who is currently in Delhi to drum up support in her fight to bring the child back to India, dissolves into tears while talking to the BBC about being separated from her daughter. The family moved to Berlin in 2018 when Amit got a job there and Baby M was born on 2 February 2021. According to court documents, at the centre of the family's row with the authorities is a genital injury Baby M suffered when she was seven months old. It has one doctor saying that they'd "never seen such a severe genital injury in an infant" and that she needed surgery to fix it. The child protection services took her away saying they suspected sexual abuse - an accusation the family denied. The hospital where she was treated cleared them later, with doctors certifying that "there was no evidence" to suggest sexual abuse and the police closed the case without pressing charges. The parents say they believe the injury was accidental. Two independent doctors from the US and India who saw the baby's medical records agreed with that assessment. "The injury highly likely was caused by an accident. It was impossible that parents intentionally inflicted injuries on her repeatedly and then rushed her to the doctors," they said in a report submitted in court. They added that they believed that "her injuries could've been worsened because of all the invasive examinations" she was put through. But the child protection authorities said they didn't think that Baby M would be safe at home - a contention the court agreed with. Baby M's mother is currently in Delhi to drum up support in her fight to bring the child back to India So, she has now spent nearly two years in foster care, and her parents say they have been allowed little contact with her - despite social workers who were assigned to the family describing them as "loving and caring" parents and describing the child's interactions with them as "consistently positive, joyful and curious". A court-appointed psychologist also recommended that one of the parents live with Baby M in a parent-child facility supervised by a caregiver. But last week, Jugendamt informed the parents that "all visitations with their daughter have been cancelled as there is no one to pick and drop her", says Dia, alleging that they have not even been allowed to video-call the child. "We have no information on who is caring for her since she was moved from foster care to the centre for children with special needs. The secrecy surrounding our baby is totally bizarre." Dia accuses the German authorities of "snatching my child because of cultural differences and miscommunication" - she says she can't speak German and the translator she was given spoke Hindi but did not know Gujarati. The BBC has reached out to Jugendamt and their response is awaited. Baby M's case has received a lot of attention in India and Germany - protests have been held in several Indian cities and by the Indian diaspora in Frankfurt and Darmstadt and support has poured in for the parents. In Delhi, Dia has met officials from the Indian ministry of external affairs (MEA) and lobbied dozens of MPs who have sent a letter to the German Ambassador Phillip Ackerman to repatriate the toddler to India. An MP urged the government to take the necessary steps to bring her back. Another politician asked Prime Minister Narendra Modi to take up the matter with German Chancellor Olaf Scholz when he visits Delhi next month for the G-20 summit. Dia too is now appealing to the prime minister to intervene. "My only hope is Mr Modi now. If he intervenes, my daughter can come back," she says. The dispute over Baby M has revived memories of a similar case from 2011 when two Indian children were taken from their parents in Norway. They were eventually returned to India a year later. Suranya Aiyar, former lawyer and activist who helped the Indian family in Norway and is now helping Baby M's parents, says such cases are not uncommon. "It's a significant problem. The assumption is that it's a brilliant solution, and needs no further discussion." The role of Jugendamt in cross-border family disputes has also been criticised by the European Parliament. In a scathing report in 2018, the EP accused the organisation of discrimination, being unfair to children of migrants, and harming the rights of both parents and the children they confiscate. In a new report released in May, the EP said its Committee on Petitions still receives complaints about Jugendamt. "The role and actions of youth welfare offices are often seen as too far-reaching Foreign parents feel disadvantaged compared to German parents." Protests have been held in several Indian cities and in Frankfurt and Darmstadt in Germany demanding repatriation of the Indian child to India A better solution in cases like these, Ms Aiyar says, would be for the state to assign social workers to help families look after their children. In Baby M's case, she says, the only solution is for the government to step in. "The child has done no wrong. Let her return to India. She's an Indian citizen and she has every right to be here." The Indian government has said the case is being given "high priority". MEA spokesperson Arindam Bagchi said earlier this month that they had "summoned the German Ambassador" to convey India's concerns. "At a minimum we believe this child's cultural rights and rights as an Indian are being infringed upon," Mr Bagchi said at a media briefing. "We have asked for the early return of the child to India and we will continue to press Germany on this matter," he added. A German embassy spokesman in Delhi refused to comment on the case. But government sources in Germany said the case was in court and out of their hands, adding that they were working with India to find a resolution to the case. Indian authorities say they have identified a family in Gujarat - the western Indian state to which the family belongs - where Baby M can be placed in foster care. Dr Kiran Aggarwal, retired government paediatrician and former member of the child welfare committee of the Delhi government, says the child should be with her parents. "India has very robust child protection laws and if the German court repatriates her, she can be looked after in India," she said. As time passes, Dia says each day adds to her worry that she's losing her child bit by bit. "She's not being able to learn her mother tongue Gujarati. She only speaks German, how will I be able to speak to her?" she asks. The family is also struggling to pay the 9m rupees ($108,477; 85,554) they have been ordered to fork out for foster care and court costs. "We raised money through crowdfunding and have already paid 5m rupees. We are a middle-class family. They have broken us morally and emotionally, now they are trying to break us financially too," she says. BBC News India is now on YouTube. Click here to subscribe and watch our documentaries, explainers and features. Read more India stories from the BBC: A Boone County Schools bus ready to go. Over the summer, many Kentucky school boards passed policies tied to Senate Bill 150, which in part sets new rules about bathroom and locker room use for transgender kids and adds mandates for human sexuality education. The Kentucky law enacted in March is the most anti-trans law in the nation, according to the American Civil Liberties Union. Opponents say it violates kids' civil rights and will harm transgender kids, who have higher risks of depression, thoughts of suicide and suicide attempts than kids whose gender matches their assigned sex at birth. Advocates say it protects student privacy and gives parents more control of their kids' education. Both sides expect court fights about who's right. More: Despite emotional pleas, one of country's strictest anti-trans bills becomes law in Kentucky To help parents and students prepare for changes that may impact their kids, The Enquirer asked officials at 15 districts across Northern Kentucky what, specifically, the law will mean for returning students. But we don't know a lot about what will happen as kids reenter their school buildings. That's because few district officials replied to questions in emails or to a statewide Courier Journal survey that asked how schools will handle the requirements that affect things like bathroom and pronoun use and human sexuality classes. A few offered that they will follow the law. But there is nuance to the law. Victoria Podbilski, center, cries after the Kentucky Senate overrode Gov. Andy Beshear's veto of anti-trans Senate Bill 150. Hundreds of students from throughout Kentucky rallied in Frankfort on March 29 to oppose the bill that would ban gender-affirming care for trans youth and restrict schools from support for transgender students. Here's what the law says and what Northern Kentucky school district officials who did respond had to say about the policies their boards were required to adopt. Restrooms, locker rooms, pronouns and sex ed Bathrooms: The law requires schools to prohibit students from using restrooms, locker rooms or shower rooms that are reserved for students of a different biological sex. Legislators meant the prohibition is for transgender kids, who are a different gender from the sex they were assigned at birth. Pronouns: The law states that teachers are not required to use transgender kids pronouns, but it does not forbid them from doing so. Human sexuality classes: There are questions about the law regarding this education. The Kentucky Department of Education says the law states that schools have a choice: They can either limit sex education to students in sixth grade and up, or they can decide not to have students study gender identity, gender expression or sexual orientation at any grade level. Kentucky Attorney General Daniel Cameron countered that interpretation, saying districts must do both: Keep the education to grades six and up, and prohibit the studies of gender and sexual orientation for every student. The law also requires parents to be notified in advance of any sex education class so they can decide whether to pull their kids from it and have them take another class that yields the same credit. More: Did lawmaker goof on Kentucky's anti-trans bill 'or' not? SB 150 may have loophole More: Cameron's office: Kentucky school districts should enforce SB 150 as lawmakers intended What we asked Northern Kentucky school district officials The Enquirer asked several questions of the 15 districts in Northern Kentucky, including these: Will your teachers use the pronouns their students use for themselves? Where will your transgender students be directed to go to the bathroom? What will your district do if a transgender child is found to use a bathroom according to their identified gender? Does your district plan to continue teaching sexual education? Jefferson County School Board member Chris Kolb speaks during a rally of fairness and racial justice organizations at the Vanhoose Education Center in Louisville, urging the board to not comply with Senate Bill 150 on July 10. Do your districts schools have prepared forms that will notify parents of any human sexuality education of a student (to be provided at least two weeks prior to the student's planned participation)? The policy changes have caused a stir, with some Kentucky school districts questioning their legality. Jefferson County Board of Education approved the required policies after much discussion. But the board said any transgender student who has been diagnosed with gender dysphoria might be entitled some accommodations, such as a particular restroom use. While being transgender is not a medical condition, gender dysphoria which doesn't affect all trans people is considered a disability, characterized by the American Psychiatric Association as a condition causing, psychological distress that results from an incongruence between ones sex assigned at birth and ones gender identity." People with disabilities are protected under federal law. Here's how Northern Kentucky districts responded Southgate Independent Schools: District officials answered survey questions with a statement that it would "follow state law" but provided no specifics about questions regarding nuances in the law. Covington Independent Schools: Board President Tom Haggard made clear he is "dismayed" with the law. He said the school board initially delayed its vote on the policies because "I was working furiously behind the scenes with our attorneys, with NKY Pride Center on what is going to be the real life consequences of these policies. People gather in Covington for Northern Kentucky Pride festival in June 2022. The annual celebration includes a parade, festival and drag show among other events. Its not a policy that Covington wants to adopt," Haggard said after the board did so July 31. "To LGBTQ students, we have your back." Fort Thomas Independent Schools: Superintendent Brian Robinson, who did not respond specifically to questions, did indicate support for all of the district's students, offering, "The Fort Thomas Independent Schools work closely with families to ensure we meet the needs of all of our students." Kenton County Schools: The district director of public information, Jessica Dykes, responded in an email, "The Kenton County School District will follow the law. Boone County Schools: District spokeswoman Barbara Brady said she'd spoken with Superintendent Matthew Turner and relayed on his behalf, "we are following the law and intend to implement any and all provisions of Senate Bill 150 where it impacts our curriculum and restroom policies. The Enquirer contacted school districts in Boone, Campbell and Kenton counties and both the Grant County and Williamstown districts for this story. This article originally appeared on Cincinnati Enquirer: Back to school in NKY means sex ed, restroom changes from SB 150 People queue at cash machines in Dublin as news of the IT blunder spreads Bank of Ireland has warned customers that money withdrawn from ATMs will still be debited from their accounts after some people with low balances reported being able to take out 1,000 (857) in cash following an IT failure. The Irish police service, An Garda Siochana, said it was aware of an unusual volume of activity at some ATMs across the country following reports of people queuing to withdraw money at Bank of Ireland cash machines. The gardai also said it was aware of issues relating to banking services on Tuesday after the banks online and app services were down. Officers were on Tuesday night reported to be guarding some cash machines after videos were posted on social media of huge queues forming in Dublin, Limerick and Dundalk. Videos were posted on social media of huge queues forming in Dublin, Limerick and Dundalk In a statement to the PA news agency, a Bank of Ireland spokesman said: We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn. Police also said they would remind people of their personal responsibility in carrying out their personal banking. #BankOfIreland right now on O Connell Street, the world has gone mad pic.twitter.com/LOkT3LvGcy Denise Ross (@iDeniseRoss) August 15, 2023 A spokesman for banking technology firm Revolut said it was looking into claims that excess amounts of money had been transferred into customers accounts from Bank of Ireland. A fault with the online app allowed people who have no money in their account to transfer up to 500 into a Revolut account, the Irish Independent reported. Earlier, Bank of Ireland said it does not have an estimated time for when its mobile app and web service will be restored. The bank has told customers that its technical team is working to rectify the difficulties as a high priority. Bank of Ireland in Navan, major fault allowing money to be drawn out even if you have none in the bank. Where are the Gardai? pic.twitter.com/XujVzIhdAr Chris Rattigan (@ChrisRattigan1) August 15, 2023 Customers reported having no access to their accounts for hours and being unable to transfer money for important payments. Apologising to users, Bank of Ireland said: We are aware that customers using our mobile app and 365Online are currently experiencing difficulties. We are working to fix this issue as quickly as possible and apologise for any inconvenience caused. The bank also acknowledged that some customers were having difficulties withdrawing cash from ATMs. Shortly before 10pm on Tuesday, the bank added that it did not have an ETA for the restoration of services. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. Every time Donald Trump has more criminal charges levelled against him, we all try to work out what this might mean for his campaign to be re-elected president. So far each indictment has seen Mr Trump's poll ratings increase. Every arraignment brings in more campaign donations - which is lucky as those funds are also being used to pay his legal fees. So it seems clear that grassroots Republicans are doubling down on Mr Trump and boosting his chances of winning the party's nomination to be their presidential candidate. Among the foot soldiers of the Republican movement, the people who will be out campaigning in the next election, who do they think is most likely to win back the White House? Skyler Akins (right) wants a candidate who is younger and more vivacious - but will campaign for Mr Trump if he wins the nomination In Marietta, Georgia, I went a Republican breakfast event where party members gathered over grits and gravy along with bacon and eggs. A straw poll asking who should be the party's presidential nominee gave Mr Trump 68.5% of the vote. This is a supportive crowd who largely believe he is an innocent man. William Spencer says he thinks the only reason charges are being brought against Mr Trump now, two-and-a-half years after the election, is to stop him being returned to the White House. More on Trump's legal troubles "They are actually interfering with him executing a campaign and trying to be elected," he said. "I do believe that that's the only reason this is happening. And that is election interference." Salleigh Grubbs is the chair of the Cobb County Republican party. She reckons that Mr Trump can handle fighting four court cases and an election campaign at the same time. "He's a fighter, he's used to fighting, he's been fighting for years. So I think he'll have no problem," she said. And she thinks the latest indictment proves that Donald Trump is correct when he says: "They are not after me. They are after you. I'm just standing in the way." Salleigh Grubbs says Mr Trump can balance his legal fights and his campaign together Across the state of Georgia, party activists are trying to balance their sympathy for the former president's legal predicaments with a hard assessment of who is most likely to win election to the White House in 2024. At a wine-tasting fundraiser event in Atlanta, younger Republican activists do recognise that President Joe Biden won more votes in this state in 2020. They worry that if Trump is their candidate once again the result will be the same in 2024. Gabe Hartwig told me he will vote for anyone other than Mr Trump in the Georgia primary. "He lost the last election. I think he has the least chance to win against our current president, and as a Republican, I want to see our Republican candidate win," said Mr Hartwig. Like many others I spoke to, Skyler Akins said he is not supporting Mr Trump to become the Republican candidate - but if wins the nomination, he will campaign for him. "I would like to just see fresh new blood. I'm tired of having 70- and 80-year-old presidents," he said. "I think we have a talented group of people that are much younger, much more vivacious that could take our country to a really good place. And also feel like we need someone that's more forward looking." Gabe Hartwig is voting for anyone other than Mr Trump in Georgia's primary election next year Allen English is president of the Atlanta Young Republicans. He reminded me that candidates who were personally backed by Mr Trump in the 2022 midterm elections - and who repeated his lies about a stolen election - were soundly beaten. "If he were to get the nomination, I certainly would hope that he could win because he will be better than Joe Biden," he said. "But I will be very concerned in 2024 If Donald Trump is our nominee." Voters generally want to talk about the future not the past. Look forward not backward. Focusing too much on what happened in the 2020 election could be a good way to lose in 2024. That is why even people who think Mr Trump would make a great president again are concerned he might not be the best candidate to help his party re-take the White House. The Rev. John Ugochukwu, the chaplain at Memorial Hospital in Gulfport who helped thousands of people across the Coast in times of sickness and loss, died Tuesday. He was 73. The Catholic Diocese of Biloxi confirmed his death on Facebook. Ugochukwu was beloved by patients and staff for his calming, cheerful presence in the hospital. He was hardworking, humble and devoted to God, said the people who knew and loved him. He even liked to sing and would often do so to celebrate birthdays and anniversaries during mass at Most Holy Trinity in Pass Christian, said the Rev. Paddy Mockler, who had known Ugochukwu ever since he came to Mississippi 15 years ago. Whenever wed have somebody from our church sick, we would call Father John right away, Mockler said. He was a very dedicated chaplain, he added, and a great priest. He loved to cheer people up. Ugochukwu was born in Lagos, Nigeria, said Lindsey Green, director of clinical support services at Memorial Hospital. He was ordained in 1984, joined the Catholic Diocese of Awka, Nigera and earned two degrees in philosophy and theology in his home country before he eventually came to the U.S. Here, he earned two more degrees, Green said this time in counseling and education at Fordham University in New York. But he returned home with a mission, and spent his early adulthood building and leading schools across Nigeria. Violence in the country forced him back to the U.S., and by 2001, he had returned to New York to serve as chaplain at New York Methodist Hospital. But he saw a job opening in Mississippi, applied and began working at Memorial Hospital in 2008, said Terry Dickson, communications director at the Catholic Diocese of Biloxi. On the Coast, Ugochukwu was known for his kindness, and also his forgiveness. He filled in often for local pastors, and people liked to confess to him. He did not judge. At Memorial Hospital, he would walk the halls, administer last rites and pray with patients every day. After news of his death, old patients remembered his comforting presence during final moments with family members, and his faithful promises to keep in touch. Green said Ugochukwu had a servant heart that was unmatched. He was the definition of selfless devotion to others, loving and supporting his immediate and extended family all across the globe for decades, all while coming to our hospital day in and day out to provide comfort, compassion, and spiritual healing to those who needed him, she said. His welcoming smile and warm greeting in every hallway as you passed, and his shared visits with sick and grieving families from decades ago still make up the stories we hear about his life of service. It is unclear if he has family in the U.S. Mockler said Ugochukwu often worried aloud about the turmoil in his home country. During his time at Memorial Hospital, he lived in Gulfport. Arrangements are pending but will be handled by Riemann Family Funeral Home in Gulfport. Defense attorneys Wednesday focused on the Cincinnati police investigation into Joe Mixon's alleged road rage incident in January. The prosecution called a Cincinnati police sergeant as a witness in Mixon's misdemeanor aggravated menacing trial, which entered its third day Wednesday. The sergeant did the primary investigation into the incident. Hamilton County Municipal Court Judge Gwen Bender is presiding over the bench trial, as Mixon waived a jury trial. Prosecutors said Mixon pointed a gun at the victim on Jan. 21, while their cars were adjacent as they turned from Second Street onto Walnut Street. The victim was being publicly identified under Marsy's Law provisions. The woman said Mixon had cut her off in traffic. After photographing his license plate, according to testimony, she pulled up next to him and flipped him off. Defense attorneys argued that the victim started the confrontation when she made the offensive gesture at the red light, and Mixon was only reacting to her rage. There's no evidence a gun was present outside of her testimony, and no one can corroborate her story, lawyers said. An overhead view video of Third Street was presented as evidence by prosecutors. The sergeant pointed out Mixon's car, which apparently moved abruptly from the left to the center lane. Merlyn Shiverdecker, attorney for Cincinnati Bengals running back Joe Mixon, cross-examines a Cincinnati police sergeant during Mixons aggravated menacing trial Wednesday. Under cross-examination, Mixon's attorney, Merlyn Shiverdecker, referenced the victim's statement to police. In her statement, she said she slammed on her brakes, and so did Mixon shortly after. Lawyers pointed out no brake lights could be seen in the video and it isn't even known whether Mixon was driving the car. The defense reiterated it could not be proven Mixon ever had a gun in his car that day. The sergeant reportedly looked into concealed carry permit records and could not find anything to show he had a firearm described in the woman's police statement. "Suffice it to say, you're not in the posture today to testify Joe Mixon (had this gun)," Shiverdecker said, adding that the incident could not be confirmed or refuted. The sergeant agreed. The city is expected to rest its case Thursday. Court resumes at 9 a.m. Municipal Judge Gwen Bender reacts to the prosecutor's questioning during the bench trial of Cincinnati Bengals running back Joe Mixon on aggravated menacing charge, Wednesday. Charges dropped, then refiled In February, Mixon was charged with aggravated menacing following the incident. A warrant was issued, making national news. But the charges were immediately dropped so police could further investigate. At the time, the prosecutor said charges could be refiled and said the woman who made the complaint indicated she would go forward with the case. Police Chief Teresa Theetge said the investigation was not finished and there was a new piece of evidence. The case was assigned to a new investigator. Cincinnati's police union president said the original investigator was transferred to a different district over the incident. Mixon was recharged in April with the same misdemeanor. Aggravated menacing is a first-degree misdemeanor, the most serious level, and is punishable by up to six months in jail and a maximum $1,000 fine. Enquirer reporter Cameron Knight contributed to this report. This article originally appeared on Cincinnati Enquirer: Cincinnati Bengals running back misdemeanor trial resumes Thursday A former Teaneck restaurateur jailed on sexual assault charges last week was attacked and injured by a fellow inmate at the Rikers Island jail in New York City, his attorneys said. Shalom Yehudiel, 41, was arrested Aug. 8 at John F. Kennedy International Airport while attempting to fly to Bangkok on a one-way ticket, the Union County Prosecutor's Office said. A day later, the office filed charges against him for allegedly assaulting a minor in Bergen County. The arrest came a day after a judge in Bergen County dismissed another set of sexual assault charges against the former chef because the FBI had failed to turn over a disputed video in the case. In a motion to dismiss the new charges, filed Tuesday in state Superior Court, Yehudiel's lawyers said their client was attacked Friday at Rikers, where he has been held pending extradition to New Jersey. Shalom Yehudiel is the first "Chopped" contestant able to cook a kosher meal on the show. "He cannot move his arm, and as of Sunday, August 13, was still throwing up blood," said the motion filed by attorneys Lee Vartan and Zach Intrater. "Notwithstanding his family's and his counsel's best efforts, he was not taken for X-rays. Even with a note from a doctor, Yehudiel's jailers have refused him proper medical care. He is in the depths of a nightmare one that was completely preventable, and which is completely unjust." "He was attacked by another inmate, who broke his arm. He was refused appropriate medical treatment," Vartan told a reporter Wednesday morning. The alleged attack was first reported by NJ.com. More: Teaneck chef fights abuse lawsuits as #MeToo movement roils Orthodox Judaism Yehudiel, of Fair Lawn, built a following after appearing in 2020 on the Food Network show "Chopped," where he was the competition's first kosher chef. But he has been dogged since then by sexual harassment claims. Under a cloud, he completed a sale last year of his two Teaneck restaurants, Humble Toast and La Cucina di Nava. He has maintained his innocence in the sexual assault cases. Tuesday's motion noted that the Bergen County Prosecutor's Office declined to press charges in the second case against him, which allegedly involved a teenage victim in Fair Lawn. The case is being handled by Union County because the accuser has sued the Bergen prosecutor over its decision not to pursue the charges, creating a conflict of interest. Union County Assistant Prosecutor Michael Sheets said in an email Wednesday that his office has "no specific information" to offer about Yehudiel's case or the alleged jail attack. A spokesperson for Rikers Island didn't immediately return messages seeking comment. Correction: A previous version of this story misstated a detail of the alleged attack. Yehudiel's attorney said he was attacked by one inmate at Rikers, not multiple inmates. Staff Writer Kaitlyn Kanzler contributed to this article. Deena Yellin covers religion for NorthJersey.com. For unlimited access to her work covering how the spiritual intersects with our daily lives, please subscribe or activate your digital account today. Email: yellin@northjersey.com Twitter: @deenayellin This article originally appeared on NorthJersey.com: Chef Shalom Yehudiel attacked in New York jail after assault charges Almost everyone in America understands that our health-care system is broken, dysfunctional and getting worse. We spend almost twice as much per capita on health care as any other nation, nearly $13,000, and an unsustainable 18.3 percent of our GDP. Yet, we remain the only major country not to guarantee health care to allleaving 85 million uninsured or underinsured. That number has gone up recently as millions have lost the Medicaid coverage that was provided to them during the pandemic. I Learned the Hard Way Just How Barbaric American Health Care Is The day must come, sooner than later, when we join the rest of the industrialized world and guarantee health care for every man, woman, and child as a human right through a Medicare for All single payer system. That is the right thing to do, that is the humane thing, and that is the cost-effective thing to do. Today, despite our huge health-care expenditures, our life expectancy is considerably lower than almost any other wealthy nation and, in many parts of the country, is getting lower. Incredibly, the gap in longevity between the rich and the poor in our country is now at least 10 years as tens of thousands of Americans die each year because they cant access the health care they need. In America today, we pay, by far, the highest prices in the world for prescription drugs. While the pharmaceutical industry reaps huge profits and pays their CEOs exorbitant compensation packages, one out of four Americans cannot afford the prescriptions their doctors write. Further, one of the major causes of the escalating cost of hospital care and insurance premiums is that many of the new drugs coming onto the market for cancer and other diseases now cost over $200,000 a treatment. As bad as our overall health-care system is, our primary care system is even worse. Today, we have major shortages of doctors, nurses, mental health providers, dentists, and pharmacistsand those shortages will only grow as a result of the COVID burnout that many providers experienced and the aging of our health-care workforce. As a result, tens of millions of Americans, even those with decent insurance, cannot find the medical care they need on a timely basis. Some Americans have to wait months to get a medical appointment. Others have to travel long distances to find a doctor. According to the most recent estimates, over the next decade our country faces a shortage of over 120,000 doctorsincluding a huge shortage of primary care doctors. The nursing shortage may even be worse. Over the next two years alone it is estimated that we will need between 200,000 and 450,000 more nurses. We also have a shortage of tens of thousands of dentists in America. And, despite the very serious mental health crisis we are facing there is a massive shortage of mental health service providerspsychiatrists, psychologists, social workers, counselors, addiction specialists and many more. Our home health-care workforce is also totally inadequate. Most countries spend between 10 to 15 percent of their health-care budgets on primary health care. Canada spends 13 percent, Germany spends 15 percent, Spain spends 17 percent, and Australia spends 18 percent. We spend less than 7 percent. In other words, instead of investing in disease prevention and enabling people to gain easy and timely access to the medical care they need when they need it, we spend heavily on hospital and tertiary care and force people with common illnesses into emergency roomsthe most expensive form of primary care. Every major medical organization in the country agrees that what we are investing in primary health care is woefully inadequate. They understand that focusing on disease prevention and providing Americans with a medical home will not only save lives and ease suffering. It will save billions of dollars. Providing primary care to all is not only smart health care, it is cost-effective health care. As chairman of the U.S. Senate Health, Education, Labor, and Pensions Committee (HELP), I am working hard on bipartisan legislation that will begin to address some of the health-care crises we face. This legislation, if passed, would be an important step forward toward a more humane and rational health-care system. Among other provisions, this is what we are proposing: Working with the Senate Finance Committee, we would significantly increase the number of doctors in our country by greatly expanding the Graduate Medical Education Program residency program. We would also expand the Teaching Health Center Graduate Medical Education program and the National Health Service Corps. The goal of these programs is not only to increase the number of doctors we have but to focus on primary health care and placing physicians into medically underserved areas. We would also provide incentives for medical schools to graduate more students who will work in primary care. We would greatly increase the number of nurses by expanding programs that address the shortage of nurse educators. Unbelievably, in the midst of a massive shortage of nurses, the American Association of Colleges of Nursing tells us that U.S. nursing schools turned away over 91,000 qualified applications in 2021 because of a lack of qualified faculty and inadequate physical infrastructure. We would expand primary care by growing the number of Federally Qualified Health Centers (FQHCs) that now provide primary health care to some 30 million Americans. They also provide low cost prescription drugs as well as dental care and mental health care. This bill would increase the number of these centers throughout the country, concentrating on rural and urban areas which are now medically underserved. The result: Millions more Americans would be able to receive the primary health care they need in a timely and cost-effective way. For many years members of Congress have talked about our health care crises. Now is the time to act. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Fani Willis, the Fulton County district attorney, speaks at a news conference to announce the indictment of former President Donald Trump and others in Atlanta, Aug. 14, 2023. (Kenny Holston/The New York Times) Just days ago, the judge overseeing former President Donald Trumps prosecution on charges of seeking to subvert the 2020 election admonished him against violating the conditions of his release put in place at his arraignment including by making inflammatory statements that could be construed as possibly intimidating witnesses or other people involved in the case. But Trump immediately tested that warning by posting a string of messages on his social media website, Truth Social, that largely amplified others criticizing the judge, Tanya Chutkan. In one post, written by an ally of Trumps, lawyer Mike Davis, a large photo of Chutkan accompanied text that falsely claimed she had openly admitted shes running election interference against Trump. In two other posts, Trump wrote, She obviously wants me behind bars. VERY BIASED & UNFAIR. Sign up for The Morning newsletter from the New York Times After eight years of pushing back at a number of institutions in the United States, Trump is now probing the limits of what the criminal justice system will tolerate and the lines that Chutkan sought to lay out about what he can and cannot say about the election interference case she is overseeing. He has waged a similarly defiant campaign against others involved in criminal cases against him, denouncing Jack Smith, the special counsel who brought two federal indictments against him, as deranged; casting Fani Willis, the district attorney of Fulton County, Georgia, as corrupt; and even singling out witnesses. Some lawyers have said that if Trump were an ordinary citizen issuing these attacks, he would be in jail by now. The question is whether Trump will face consequences for this kind of behavior before a trial. He is absolutely in my view testing the judge and testing the limits, almost daring and taunting her, said Karen Agnifilo, who has a three-decade legal career, including as the chief assistant in the Manhattan district attorneys office. Agnifilo added that Trump is so far benefiting from his status as a candidate for office, facing fewer repercussions from the judges in the cases than other vocal defendants might. So far, Chutkan has merely warned Trump against making inflammatory statements about the case or people involved with it, saying she would do what she needed to keep him from intimidating witnesses or tainting potential jurors. She has also told Trumps lawyers that she may be forced to agree with the governments proposal to go to trial sooner than they like as a way to protect the jury pool. Otherwise, her options range from ignoring Trumps comments to finding him in violation of his conditions of release. That could entail punishing Trump by fining him or even by sending him to jail, a move that would be complicated not only by politics but also by the presence of his Secret Service detail. Chutkan made clear last week that the boundaries of Trumps free speech rights, even as a political candidate, would have to give way to the rules of the court. Mr. Trump, like every American, has the First Amendment right to free speech, but that right is not absolute, she said. The limits, she added, included obeying the release conditions imposed at his arraignment and following her protective order governing the disclosure of discovery evidence in the case. The fact that hes running a political campaign currently has to yield to the orderly administration of justice, she said. And if that means that he cant say exactly what he wants to say about people who may be witnesses in this case, thats how its going to have to be. Steven Cheung, Trumps communications director, scoffed at any suggestion that Trump might be testing the bounds. President Trump was right isnt just a catchphrase, it is fact, he said. From the fake dossier to the debunked Mueller investigation to the Russia hoaxes to the impeachment scams to Hunter Bidens laptop to Crooked Joe Bidens shady foreign dealings, President Trump has been proven time and again he is the only person who will speak truth to power. For years, while he was investigated by special counsel Robert Mueller over whether his 2016 campaign conspired with Russia and for possible obstruction of justice, Trump repeatedly attacked Mueller and his team, as well as members of his own Justice Department. At one point, in late 2018, Trump retweeted an image with Mueller and the deputy attorney general, Rod Rosenstein, behind bars. But at the time, the chances that Trump, as a sitting president, would face indictment were slim to none, and he conducted his behavior behind the shield of the White House. With a Justice Department legal opinion dating to the Nixon era concluding that a sitting president could not be indicted, Trump faced little risk. Now, despite four criminal investigations and four indictments, Trump the dominant front-runner for the Republican nomination has continued with one of the moves he uses routinely: attacking someone he considers a threat. He and his advisers have argued it is his right as a candidate and his speech should not be curtailed because of that. (One of the few people Trump has not assailed in his cases is Judge Aileen Cannon of the Southern District of Florida, who oversees the classified documents case. Trump nominated Cannon to her position.) The problem he has is anybody testifying truthfully or not who could ding him slightly or take him on frontally, is an adversary who has to be demolished, said Ty Cobb, a lawyer who worked in the Trump administration during the Mueller investigation and saw how little regard Trump had for directions to curtail his behavior. He doesnt understand anything about the propriety of how to live a life. Hes at war perpetually 24/7. William Barr, who was Trumps attorney general, added, Even as president, he would not keep his public comments about pending cases within proper limits. This was so pronounced during the presidency that Barr publicly said Trumps tweets about his convicted adviser, Roger Stone, were making it impossible for Barr to do his job. One of Trumps lawyers in the case before Chutkan, John Lauro, acknowledged that trying to change Trumps behavior was unlikely. With President Trump, because of the campaign and I would say because of his personality, its impossible for him not to speak out on the issues. So it does present unique circumstances, Lauro said on a recent podcast with lawyer David Oscar Markus, which was recorded days before the hearing with Chutkan. My approach as a lawyer is obviously very different than his as a candidate. But he feels strongly that he needs to speak out. And he also in particular looks at this prosecution as a political prosecution. So as a result I think in his mind its sort of fair game from a political perspective to make these comments. Even before Smith was appointed special counsel, Justice Department officials worried that Trump would stress-test the judicial system if he was ever charged. Prosecutors had little doubt Trump would castigate them, along with witnesses and judges, to vent his anger, but also as part of a strategy of dragging opponents into a brawl over what he could and could not say to bolster his claim that the department was seeking to muzzle and destroy him. In pointing to the more lenient treatment afforded to Trump, Agnifilo cited Sam Bankman-Fried, the cryptocurrency entrepreneur who recently had his pretrial release agreement revoked after a judge found that he had engaged in witness intimidation. Trump is clearly being treated differently, Agnifilo said. Ive never seen a defendant being treated the way Trump has been treated in my 30-year career. It is not uncommon for defendants to have their bail revoked for disobeying the conditions of their release by taking drugs or committing other crimes. It is also not uncommon for defendants to be jailed for intimidating witnesses in their case like Bankman-Fried. But it is uncommon for defendants to be punished for making inflammatory statements about judges or prosecutors if only because they are typically given warnings before penalties are issued and tend to heed those warnings. And in the case of Trump, he is a defendant who is also a political candidate who faces two prosecutions involving the administration of one of his opponents. As a grand jury in Georgia prepared to indict Trump on Monday in the state investigation into his efforts to cling to power, he suggested on Truth Social that former Lt. Gov. Geoff Duncan shouldnt testify despite being subpoenaed. Straight up telling a subpoenaed witness not to testify thats not kosher, Cobb said. At a hearing Friday, Chutkan suggested she would need to see a motion, filed by Smith, before taking any actions to hold Trump accountable for statements he has made on social media although in theory she could act on her own. The special counsels office, in previous legal filings and statements in court, has made clear that it is closely monitoring Trumps public statements. Lauro expressed concern at the hearing that the various restrictions could unfairly keep Trump from giving voice to full-throated political arguments on the campaign trail. He even suggested that limiting what Trump could say about the case would provide an enormous advantage to President Biden in the middle of a campaign. The judge seemed unpersuaded. Samuel W. Buell, a law professor at Duke University and a lead federal prosecutor in the Justice Departments case against Enron, said that even if Trumps most recent messages about Chutkan ones in which he merely reposted messages from others did not cross the line themselves, the former president was clearly pressing the boundaries of antagonizing the judge. Trump is walking the line in a way to make it difficult for her, never giving a single, clear example that can be used as a basis for ruling, but always continuing to push the envelope, he said. c.2023 The New York Times Company Police investigate a street where three people were shot and killed in the Anacostia area of Washington, D.C., earlier this month. (Marvin Joseph/Washington Post via Getty Images) During the height of the social justice protests in the summer of 2020, Sen. Tom Cotton, R-Ark., took to the pages of the New York Times to call for the National Guard to step in and restore order in Washington, D.C. Three years later, a similar plea came for entirely different reasons, from an elected official who has virtually nothing in common with Cotton other than a sense of alarm about Washington, which is on course to experience its deadliest year in nearly two decades. After yet another shooting in his district last week, D.C. City Council member Trayon White, who represents the largely Black and destitute Eighth Ward, where gun violence is spiking, said he wanted federal troops to restore order to the streets of the largely neglected communities he represents. The crime is out of control and getting worse by the day, White said at a press conference with community leaders. We must declare an emergency regarding the crime and violence in our neighborhoods and act urgently. It may be time to call on the National Guard to protect the children and innocent people that are losing their lives to this senselessness. There is little sense that Mayor Muriel Bowser is willing to make such a dramatic move. But the deepening public safety crisis increasingly seems to demand a sweeping solution. There have already been 163 homicides in Washington this year, with more than four months left to go. By comparison, there were 116 homicides in all of 2017. In almost every other city in the United States, crime is falling. Washington is a rare exception, along with Oakland, Calif., and Chicago. Read more on Yahoo News: At least 3 killed in shooting on D.C. street, via CBS News From 'murder capital' to hipster haven Tara Hoot, a drag queen originally from Terre Haute, Ind., at a drag story hour at Crazy Aunt Helen's restaurant in Washington. (Tom Brenner/Reuters) Throughout the 1980s and early 90s, Washington was widely if unfairly regarded as unsafe outside the heavily touristed National Mall area and distant residential neighborhoods near the border with Maryland. The murder of congressional aide Tom Barnes in 1992 seemed to be a low point, and some even called for the district to implement the death penalty. But that started to change as mayors Including Anthony Williams and Adrian Fenty emphasized public safety, business investment and attracting new residents. And the arrival of the Obamas in 2009 to the increasingly safe city made Washington hip too. By 2018, a Washington Post contributor was complaining that the district had become too cool, with new restaurants and bars that seemed to have been airlifted out of Brooklyn. Then the pandemic hit. Like many cities, Washington emptied and crime rose. In the years since, crime has fallen elsewhere, but not in the nations capital. Read more on Yahoo News: New data shows COVID crime surge starting to recede. Can Republicans still rely on crime to counter Democrats' advantage on abortion? A slow and halting recovery Trump supporters clash with police and security forces at the U.S. Capitol on Jan. 6, 2021. (Joseph Prezioso/AFP via Getty Images) Aside from San Francisco, Washington has arguably been the slowest to recover from the pandemic. Tumultuous protests followed the 2020 killing of George Floyd, culminating in the violent clearing of Lafayette Park by the Trump administration. Several months later came the Jan. 6, 2021, riot at the U.S. Capitol. As the inauguration of Joe Biden approached, Washington took on the feel of a military base. Since then, security barriers have come down and life has returned to normal in many parts of Washington. Yet the district remains scarred by three years of tumult that most other cities simply did not experience. Read more on Yahoo News: The unanswered questions about the Jan. 6 Capitol riot Policing, criminal justice reform and public fears Then-D.C. Attorney General Karl Racine discusses his office's investigation of alleged misconduct by the Washington Commanders and team owner Dan Snyder during a news conference in 2022. (Jonathan Ernst/Reuters) In recent years, New York, Los Angeles, Chicago and San Francisco have all elected progressive prosecutors and have enacted criminal justice reforms intended to rectify decades of discriminatory policing and sentencing. But now, some critics in Washington and elsewhere say those reforms have gone too far and are making American cities unsafe, often leading to the killings of young men of color who those very reforms were supposed to help. (In Washington, although crimes involving white people and crimes in wealthier, majority-white neighborhoods tend to earn outsize media attention, 9 out of 10 murder victims are Black men.) In 2016, the district passed a juvenile justice law that prevented the pretrial detention of young offenders. It also eliminated mandatory minimum sentences and, in general, provided broad pathways for young people who had allegedly committed crimes to avoid both arrest and jail. D.C. had also eliminated cash bail for criminal defendants in the early 1990s, at a time when crime rates began to fall across the country. But then violent crime started to rise, a trend that began before the pandemic but was accelerated by lockdowns, school closures and other disruptions. Suddenly the reformist policies passed in previous years began to buckle, especially when combined with courtroom closures and a pullback in policing. In 2014, district voters elected a progressive attorney general, Karl Racine, who focused on challenging the Trump administration and investigating corporate malfeasance. Because of Washingtons lack of autonomy, many crimes are handled by the local federal prosecutor, not the district's AG. The current U.S. attorney, Matthew Graves, has chosen not to pursue charges in 3 out of 4 arrests brought to his office. Graves has defended his work by seeming to blame the police department, but some have found his reasoning unconvincing. Some cases are going to be challenging, yes. But thats your job, a former federal prosecutor said in response. Do your job. Dont just dismiss it just because the evidence is not everything you want it to be or think it should be. The appetite for reform appears to have thoroughly ebbed in D.C. Earlier this year, proposed progressive revisions to the districts criminal code were nullified by an unlikely coalition that included congressional Republicans, Mayor Bowser and, most surprising of all, President Biden himself. Read more on Yahoo News: Washington's public safety struggles turn D.C. into tantalizing new GOP target A district in crisis The National Mall in Washington. (Daniel Slim/AFP via Getty Images) In July, a 44-year-old District resident named Robert Lavender was shot and killed near Catholic University. Several weeks later, his 42-year-old sister Ebone was also shot and killed. It was the kind of heart-rending tragedy that has become all too common in Washington. Murder is on the rise in Washington, but so is every other class of crime even as crime falls in most other major cities across the United States. Especially troubling is the rise in juvenile crime, including gun violence. To make matters worse, the Metropolitan Police Department is short by nearly 500 officers. As in many other cities, legislators here called for defunding the police, only to see police officers quit or retire in droves on their own. Now D.C. and other cities are trying to spur recruitment of new officers, but the process could take years. Many residents simply cannot tolerate the violence much longer. Earlier this summer, an Afghan refugee who had escaped the war-torn nation was fatally shot while driving for the ride-sharing service Lyft. A day later, D.C. lawmakers passed a bill that rolled back some earlier reforms regarding the detention of juveniles and violent offenders. We are in a state of emergency right now, one lawmaker said. Read more on Yahoo News: Mexican consulate warns citizens in DC about crime surge in US capital, via Fox News Just a few generations ago, flying was a marvel to most Chicagoans. They caught aviationitis and loved to watch fantastical flying machines soar over the lakefront. That love affair continued to 1959 when water skiers, games and a diving competition filled the lineup for the citys first air and water show, which was a celebration for kids in the Chicago Park Districts day camp program. It was produced for just $88. [ Vintage Chicago Tribune: The citys aviation obsession as the Chicago Air and Water Show takes flight ] Almost 65 years later, organizers expect about 2 million people at lakefront beaches to watch aerobatic feats in the sky and simulated rescue operations in the water. Weve compiled a list of what to know about this years Chicago Air and Water Show: how to get to the lakefront (or avoid it), weather conditions, what to expect and more. Will admission be charged? No! The event is free. [ 3 ways for people (and pets) to survive the noise of Chicago's Air and Water Show ] Where does the show take place? North Avenue Beach is show center, but good sightlines can be found at Ohio Street Beach and along the lakefront from Fullerton Avenue south to Oak Street Beach. Nearby parks and playing fields are generally less crowded. When does the show take place? 10:30 a.m.-3 p.m., Saturday and Sunday. Rehearsal happens Friday. The beaches open at 6 a.m., but theres no public seating areas or parking available at North Avenue Beach. Whos performing each day? The U.S. Air Force Thunderbirds pass over Lake Michigan near Chicago after taking off from the Gary Jet Center before performing in the Chicago Air and Water Show on Aug. 16, 2018. (Armando L. Sanchez/Chicago Tribune) The schedule is subject to change and determined on show days. The lineup includes: U.S. Air Force Thunderbirds: The air demonstration team was founded 70 years ago just six years after the Air Force became its own branch of service and has performed here since 1960. More than 120 enlisted members prepare and service eight red, white and blue F-16 Fighting Falcon aircraft. Six perform formation flying and solo routines during a typical demonstration. The air demonstration team was founded 70 years ago just six years after the Air Force became its own branch of service and has performed here since 1960. More than 120 enlisted members prepare and service eight red, white and blue F-16 Fighting Falcon aircraft. Six perform formation flying and solo routines during a typical demonstration. U.S. Army Parachute Team Golden Knights: Appearing here since the 1960s when they would land in Lake Michigan this team of soldiers has jumped with former Cubs catcher and current manager David Ross, actor Vince Vaughn and comedian Bill Murray. Appearing here since the 1960s when they would land in Lake Michigan this team of soldiers has jumped with former Cubs catcher and current manager David Ross, actor Vince Vaughn and comedian Bill Murray. Other Air Force demonstrations: Viper Demonstration Team in F-16; Heritage flight with P-51 Mustang; C-17 Globemaster III; A-10 Thunderbolt II with Air National Guard; KC-135E Stratotanker with Air National Guard Viper Demonstration Team in F-16; Heritage flight with P-51 Mustang; C-17 Globemaster III; A-10 Thunderbolt II with Air National Guard; KC-135E Stratotanker with Air National Guard U.S. Navy: F/A-18F Super Hornet Rhino Demonstration Team; Legacy flight with A-4B Skyhawk and FG-1D Corsair F/A-18F Super Hornet Rhino Demonstration Team; Legacy flight with A-4B Skyhawk and FG-1D Corsair U.S. Coast Guard: MH-65D Dolphin Search & Rescue MH-65D Dolphin Search & Rescue Civilians: Chicago Fire Department air and sea rescue unit; Chicago Police Department helicopter; Susan Dacy; Rob Holland; Bill Stein; Kevin Coleman; Edward Hamill and Triple Time Team. How do I get to North Avenue Beach? Pedestrians: Bridges, tunnels or underpasses at Fullerton Avenue, Division Street, Scott Street, Chicago Avenue, North Avenue Beach and Oak Street Beach provide easy access to the lakefront and North Avenue Beach. Bridges, tunnels or underpasses at Fullerton Avenue, Division Street, Scott Street, Chicago Avenue, North Avenue Beach and Oak Street Beach provide easy access to the lakefront and North Avenue Beach. Public transportation: Extra service and capacity will be provided throughout the weekend. Use Regional Transportation Authoritys Trip Planner tool to map your route. Its recommended passengers purchase tickets ahead of time or through the Ventra app to avoid long lines. Extra service and capacity will be provided throughout the weekend. Use Regional Transportation Authoritys Trip Planner tool to map your route. Its recommended passengers purchase tickets ahead of time or through the Ventra app to avoid long lines. Chicago Transit Authority and getting there by L: The CTA will provide extra service on some lines, but the No. 72 North Avenue bus will be rerouted. Take the Red Line to stations at Chicago/State or Clark/Division, which are within walking distance of show center. Or, take the Blue, Green, Orange, Brown or Pink lines to the downtown area and walk east. The closest CTA station to the North Avenue Beach entrance is the Brown Lines Sedgwick station. The CTA will provide extra service on some lines, but the No. 72 North Avenue bus will be rerouted. Take the Red Line to stations at Chicago/State or Clark/Division, which are within walking distance of show center. Or, take the Blue, Green, Orange, Brown or Pink lines to the downtown area and walk east. The closest CTA station to the North Avenue Beach entrance is the Brown Lines Sedgwick station. Metra: Extra service will be provided on four of Metras 11 lines with expanded passenger capacity, too, during the weekend. Customers disembarking at Metras Union Station or Millennium Park Station can board CTAs No. 151 buses to Oak Street and North Avenue beaches. Alcohol is prohibited and bicycles might not be accommodated all day Saturday and Sunday. Extra service will be provided on four of Metras 11 lines with expanded passenger capacity, too, during the weekend. Customers disembarking at Metras Union Station or Millennium Park Station can board CTAs No. 151 buses to Oak Street and North Avenue beaches. Alcohol is prohibited and bicycles might not be accommodated all day Saturday and Sunday. Bike: Bringing your own bike? Bikes are allowed on the beach. Renting one? Divvys closest station to North Avenue Beach is at DuSable Lake Shore Drive and North Boulevard. Valet service is available from 8 a.m. to 4 p.m. Saturday and Sunday. Additional valet service will be available each day of the show at Navy Pier (Grand Avenue and Streeter Drive) and Theater on the Lake (Fullerton Avenue and DuSable Lake Shore Drive). Plan you ride at divvybikes.com. Bringing your own bike? Bikes are allowed on the beach. Renting one? Divvys closest station to North Avenue Beach is at DuSable Lake Shore Drive and North Boulevard. Valet service is available from 8 a.m. to 4 p.m. Saturday and Sunday. Additional valet service will be available each day of the show at Navy Pier (Grand Avenue and Streeter Drive) and Theater on the Lake (Fullerton Avenue and DuSable Lake Shore Drive). Plan you ride at divvybikes.com. Parking: No parking is available at show central. Millennium Garagess four, underground locations offer discounted online parking packages for purchase in advance and a free shuttle from Millennium Park Garage to and from near North Avenue Beach. Pick up and drop off is at the North Avenue northbound entrance to DuSable Lake Shore Drive. Spothero also offers nearby parking options. What can I bring to North Avenue Beach? Visitors to North Avenue Beach spread out their towels as they wait for the start of the Chicago Air and Water Show practice on Aug. 19, 2016. (Antonio Perez / Chicago Tribune) All bags will be searched upon entry. What you can bring to the show: Binoculars Bottled water Chairs Coolers Earplugs Blankets Sunglasses Sunscreen Leave at home: Alcoholic beverages Balloons and kites Drones Fireworks Flag poles Grills Illegal substances Pets (except service animals) Tents and canopies Weapons Whats the weather forecast? Cooler temps today with hazy skies due to an elevated smoke layer. Temps increase this weekend with hot and humid conditions developing by Sunday. Brief improvement possible for some on Monday with oppressive heat building back into the region by midweek. #ILwx #INwx pic.twitter.com/QUOp2rBjvR NWS Chicago (@NWSChicago) August 18, 2023 The forecast calls for mostly sunny and clear skies but hot, humid conditions this weekend with highs in the low to upper 80s lakeside. What happens if the weather turns cloudy and rainy? Expand Autoplay Image 1 of 40 A U.S. Coast Guard member watches from a ship as the Blue Angels approach in a delta formation during the Chicago Air and Water Show at North Avenue Beach, Aug. 20, 2022. The majority of the civilian aerobatics performances and military flight demonstrations were canceled or abbreviated because of weather. (John J. Kim / Chicago Tribune) It happens. Foggy and wet conditions delayed performances in 2022. In case of severe weather: Temporary shelter from rain, high winds, lightning or hail is available at various nearby underpasses (Diversey Harbor, Fullerton Avenue and LaSalle Drive) and pedway locations (Division Street, Scott Street, Oak Street and Chicago Avenue). Can I watch aircraft take off and land? Spectators can pay $20 per vehicle for entrance to the parking lot at Gary/Chicago International Airport, where they can watch aircraft take off and land. How can I listen to the show? Herb Hunter is the show announcer based at North Avenue Beach. Hes a former military pilot and United Airlines captain. Play-by-play coverage will be on WBBM-AM 780 and 105.9 FM. For those who are low vision or blind, there will be an audio description both days of the show that is accessible via Zoom. What if I encounter a safety issue while at the lakefront? Vertical green signs with white letters and numbers called pole markers are attached to all light poles along the lakefront. Lost? Injured? Witness criminal activity? Look up, call 911 and give the letter/number on the pole nearest your location to help first responders locate you. Or, use the pole marker to let friends and family know where you are. Sources: Tribune reporting; Chicago Department of Cultural Affairs and Special Events Subscribe to the free Vintage Chicago Tribune newsletter, join our Chicagoland history Facebook group and follow us on Instagram for more from Chicagos past. Campaigner and social reformer Bindeshwar Pathak who died on Tuesday, aged 80, was a man who revolutionised the concept of toilets in India. In the early 1970s, he made a cheap twin-pit toilet - a design which has since been used to build clean toilets in hundreds of thousands of homes across India, helping millions of women access safe sanitation facilities. Over the years, his Sulabh Foundation also helped many Indian cities set up pay-per-use toilets that were clean and safe. The concept - one rupee for a pee and two rupees for a poo - quickly caught on in a country where using a toilet in public often meant squatting behind a tree. His work in challenging India's tenacious caste discrimination - which puts those at the bottom of the social hierarchy at a disadvantage - and uplifting manual scavengers, mostly Dalits (formerly untouchables), has also been widely recognised. During his lifetime, Mr Pathak won many prestigious Indian and global awards. As his popularity grew, the press dubbed him "Mr Sanitation" and "The Toilet Man of India". In a report, the Washington Post described him as a "mini revolutionary" and he figured in the Economist Global Diversity List in 2015. According to a New York Times report in 1989, he once led 100 girls from families of manual scavengers in Rajasthan state into a temple - where Dalits were traditionally barred from entering - and ate a meal in public with them. In recent years, the Sulabh Foundation also tied up with the Indian government's flagship Swachh Bharat Abhiyan (Clean India Campaign) which aims to end open defecation. Mr Pathak, who often said that his "priority in life was to solve the problem of sanitation for people" and that "I love this work more than my sons and daughters", was deeply influenced by the teachings of Mahatma Gandhi, India's independence movement leader. Born in an upper-caste Brahmin family, Mr Pathak says that even as a child he was acutely aware of his privilege and confused by the unforgiving realities of the caste system, which dictated life in his village in every possible way. Sulabh built public toilets at crowded places such as the railway station in Delhi In an interview with the BBC in 2017, he narrated an incident from his childhood about a woman who brought deliveries to their home. After every visit, his grandmother would sprinkle water in the area to "purify" the house. "I used to wonder why. People used to tell me that she was an untouchable and the land she walked on became polluted," he said. A curious child, he would touch her surreptitiously to see if that would lead to any "change in him". One day, his grandmother caught him and there was "an uproar" in the house. "A priest was called in and told the family that Pathak had been contaminated and must be banished from home. That's when my mum intervened - he's just a boy, she said, there must be another solution." It turned out that there was another so-called remedy, but it was "equally terrible". Mr Pathak said he was then forced to swallow cow dung and urine (cows are considered sacred by Hindus) - it was "traumatic for me", he recalled. The incident was a turning point for Mr Pathak, a moment of reckoning when he realised the extent of the stigma around untouchability in those days. "I would obsess over why we lived in such an unfair society with different rules for different people," he said in the interview. "You can touch a dog, but touching another human who's just like you causes a family crisis." Keenly aware of this social inequality, he studied sociology in college and do something about this. In the summer of 1968, a newly married Mr Pathak spent three months in a Dalit colony. This greatly upset his family and community - the Brahmins said they were disgusted by his behaviour, his father was unhappy and his father-in-law accused him of bringing shame to their culture. "My father-in-law was so furious with me that he told me he never wanted to see my face again, and that he regretted letting his daughter marry a man like me," Mr Pathak told the BBC. He said the outburst saddened him, but he never regretted his choices. "I thought to myself, I could leave my wife but not my mission." Mr Pathak designed a cheap toilet which has been used to build safe and clean facilities in hundreds of thousands of homes across India In 1969, Mr Pathak made a breakthrough in his research and designed a twin-pit toilet that freed thousands of manual scavengers from a life of cleaning excrement with their hands. The government of Bihar commissioned him to build 200 of these, after which his idea became popular and lots of important people started coming to see him and seek his advice. All this attention also improved his family's opinion of his work. "My wife had always supported me, but it was only now that my father-in-law started to think that I must be doing something right," he told the BBC. The Sulabh Foundation has since built 1.5 million toilets that are used by more than 20 million people in India. The design is also used in many other parts of the world. And since 1974, Sulabh has built more than 9,000 "pay-and-use" toilets in urban slums and at public places such as bus stands, markets and railway stations across the country. In a country where fly-ridden, smelly bathrooms are common, Mr Pathak's work is recognised as a great instance of public service. He transformed the lives of millions of Indians, especially women, who earlier had no access to toilets in crowded public places and had to exercise bladder control for hours. In his interview with the BBC, he said: "Sanitation is my religion. If you have not helped another human being you have not prayed to God yet." BBC News India is now on YouTube. Click here to subscribe and watch our documentaries, explainers and features. Read more India stories from the BBC: The Indiana State Board of Funeral and Cemetery Service permanently revoked the license of Randy Lankford, owner of Lankford Funeral and Home and Family Center in Jeffersonville, Indiana, in early August after police found dozens of decomposing bodies stored at the facility in 2022. The board voted unanimously for the revocation during a meeting on Aug. 3, according to a release from the Office of the Indiana Attorney General. This is one of the most egregious cases our office has seen in recent times, Indiana Attorney General Todd Rokita said. Im proud that we were able to work together with local law enforcement to hold Mr. Lankford accountable and make sure he can never practice another funeral service in Indiana ever again. Lankford and the funeral home were charged with professional incompetence, failure to dispose of 31 bodies in a timely manner, storing cremains at the facility beyond the legally permitted period and related charges, the release stated. Bodies in various states of decomposition some possibly there since March 2022 were found unrefrigerated at the facility, officials said. Its hard to believe the appalling conditions at this funeral home, Rokita said. Hoosier families deserve to have their loved ones treated with dignity and respect by funeral homes and their employees. More: Daniel Cameron unveils education plan, appeals to teachers who voted for Beshear Lankford pleaded guilty in Clark County Circuit Court to more than 40 felony theft charges and accepted a plea deal in May, per previous reporting. He was also ordered to pay restitution totaling $46,000 to 53 families. Rokita began an investigation into the funeral home in July 2022 after police found "horrifying conditions within the building," according to a release. He filed an administrative complaint with the board earlier this year. Misconduct or justified? See footage for 3 LMPD cases where officers won't be punished Reporter Caleb Wiegandt contributed. Contact reporter Rae Johnson at RNJohnson@gannett.com. Follow them on Twitter at @RaeJ_33. This article originally appeared on Louisville Courier Journal: Randy Lankford has license permanently revoked by Indiana board A Boise police officer was taken to the hospital with multiple injuries after serving a warrant Tuesday on a local suspect. At around 2 p.m. Tuesday, the officer was attempting to arrest Christopher Woodard, 32, of Boise, on the 600 block of South 13th Street in downtown Boise for violating his probation, according to a news release and department spokesperson Haley Williams. The officer located Woodard on the third floor of a building, according to a news release. Woodard punched the officer numerous times, causing the officer to deploy a taser several times, according to the release. Williams clarified to the Idaho Statesman by email that none of the taser attempts worked. The officer, who has over 17 years of law enforcement experience, has been released from the hospital, Williams said. Police said Woodard also attempted to pick up the officer and throw him over a third-story railing. The officer used a neck restraint on Woodard, typically referred to as lateral vascular neck restraint, which rendered the suspect unconscious. Woodard was arrested, transported to a hospital for evaluation and booked into the Ada County Jail. He faces a charge of battery on a law enforcement officer, according to online court records, and his bond is $250,000. Boise Police Chief Ron Winegar in the release said that police officers face danger every day and every night and often get hurt doing their jobs. The vast majority of these incidents occur without fanfare, without press coverage, and frankly, without notice in most cases, Winegar said. When an officer comes this close to serious injury or death, I feel obligated to let our community know more about the sacrifices our officers are willing to make for the sake of public safety, and the close calls that often happen without the police use of deadly force and without most peoples knowledge. In 2019, Woodard was arrested and charged with several felonies after he kicked and threatened a woman at a Boise home, causing her to flee and call the police. Woodard barricaded himself inside the home and eventually resisted arrest, which led to police using a stun gun on him then. He pleaded guilty to battery and resisting arrest, and was placed on supervised probation until June 2023, according to online court records. Woodard was also assigned to take classes, to take any medications that were prescribed to him and to comply with all mental health plans, online court records showed. [Source] A Boston man has been indicted on hate crime charges for allegedly attacking an Asian couple in Manhattan, New York, earlier this year. Allegations: Marc Jensen, 33, is accused of attacking a Korean husband and wife while they were getting into an Uber on West 32nd St. at around 1:30 a.m. on May 23. He allegedly made anti-Chinese remarks before spitting at the woman and assaulting the man. Jensen allegedly pulled the man out of the car, tossed him to the ground and kicked him multiple times in the leg. The victim suffered bruising, a laceration on his forehead, a large cut to his knee and substantial pain to his elbow. Charges: Jensen, who was arrested weeks after the incident, was indicted on two counts of third-degree assault as a hate crime and two counts of second-degree aggravated harassment, a misdemeanor hate crime. Manhattan District Attorney Alvin Bragg announced the charges Tuesday, vowing to continue to probe such attacks. More from NextShark: NYPD Seeks Help Identifying Suspect Who Repeatedly Punched Asian Man at Queens Subway My Offices dedicated and specially trained hate crimes prosecutors will continue to investigate incidents of hate and bias in our communities. My thoughts are with the victims of this attack, Bragg said in a statement. More from NextShark: Man Brings Machete to Washington Asian Market Because They Asked He Wear a Face Mask Enjoy this content? Read more from NextShark! Jeremy Lin Deeply Honored to Be Selected as Harvards 2021 Class Day Speaker New 'Star Wars' film with Daisy Ridley as Rey will be franchise's first film directed by a woman of color A Boston police officer was suspended for allegedly stealing money from a wallet she found at the Encore Boston Harbor casino. The officer, identified in a police commissioners personnel order as Rebecca Leo, agreed to enter a 90-day calendar suspension without pay for an August 7, 2022, incident in which she allegedly removed money from a lost wallet before turning it in. The suspension is part of a last-chance settlement agreement, according to the order. The officer was allegedly caught on video removing money from a casino patrons wallet before turning it over to casino staff, Massachusetts State Police spokesperson David Procopio told Boston 25 News. On August 9, 2022, a member of the State Police Gaming Enforcement Unit reviewed the footage, confirming the casinos understanding of the incident, state police said. Casino security issued a trespass order prohibiting Leo from returning to the casino. The Gaming Enforcement Unit did not pursue its own investigation. Leos suspension for conduct unbecoming under BPD rules will be served between July 3, 2023, and October 1, 2023, followed by a three-year probationary period, according to the police commissioners personnel order. An investigation remains ongoing. Download the FREE Boston 25 News app for breaking news alerts. Follow Boston 25 News on Facebook and Twitter. | Watch Boston 25 News NOW A Brazilian man wanted for killing 11 people in 2015 has been arrested in New Hampshire, federal authorities said Wednesday. Antonio Jose De Abreu Vidal Filho, 29, was wanted on an Interpol Red Notice. He was convicted in Brazil two months ago for the massacre of 11 people in the city of Fortaleza. Vidal was detained Monday in Rye, N.H. without incident, according to Immigration and Customs Enforcement. ICE officers picked him up because he was in the U.S. illegally. We are proud to have taken this notorious criminal, convicted of participating in multiple heinous murders in Brazil, off our streets, said Todd Lyons, director of ICEs Boston office. Vidal was a military police officer in Brazil. In November 2015, a fellow officer was gunned down in the city of Fortaleza on Brazils northeastern coast. Dozens of officers, including Vidal, retaliated by killing 11 people in the neighborhood of Curio. Some of the victims were suspected killers, while others had been accused of unrelated minor offenses. The military cops choosing to act as judge, jury and executioner sparked outrage in the city and across Brazil and the slaughter became known as the Chacina do Curio, or Curio massacre. After a lengthy prosecution, Vidal was convicted in June. He had already fled to the United States at that point and was tried and convicted in absentia. Vidal was sentenced to 275 years and 11 months in Brazilian prison. U.S. immigration officers tracked Vidal to Rye, a town of 5,500 people in the southeast corner of New Hampshire, just 50 miles north of Boston. The apprehension of this very dangerous foreign fugitive is an outstanding example of the professionalism and expertise of [ICE agents in] Boston, Lyons said. A sibling fight ended in a shooting after one sleeping brother was asked to move to his room in Arizona, police said. The shooting happened at about 4:30 a.m. Monday, Aug. 14, at an apartment in Phoenix, police said in a probable cause statement. Niko Enciso, 33, told his older brother, who was sleeping on the couch in the living room, to go sleep in his own room after his brother had gone out drinking, police said. The two then got into a fight and began punching each other in the kitchen, police said. Enciso told police his brother struck him in the head with a metal cooking pan about four times. Then he grabbed his things from behind the couch, including a shotgun, and moved to leave the apartment police said. He said he turned around after walking out the back door and saw his brother holding a metal gas stove burner, police said. Enciso fired his gun, hitting his brother in the stomach, and later told police he thought his brother was going to throw the burner at him. The brother was taken to a hospital in critical condition and was intubated, police said. He was arrested and faces charges including aggravated assault with physical injury, aggravated assault with a deadly weapon, discharging a firearm in city limits and second-degree attempted murder. Man dies after he and friend fight over dogs, Florida cops say. Now friend is charged Deputy kills man during California vacation, then returns to work in Texas, cops say Beloved firefighter died protecting her child, cops say. 4 years later, man charged CLARKSVILLE, Tenn. (WKRN) Two brothers were killed and five people including four police officers and a hostage were injured in a standoff that began Tuesday afternoon and ended early Wednesday morning in Clarksville, Tennessee. According to the Tennessee Bureau of Investigation (TBI), Clarksville officers attempted to execute arrest warrants Tuesday afternoon for 31-year-old Brandon Green and 33-year-old Leonard Green, who were both accused of aggravated burglary. However, the brothers allegedly barricaded themselves in an apartment with a hostage, resulting in several hours of negotiations with officers. Late at night, as the standoff was ongoing, one of the suspects allegedly fired several shots toward police, injuring an officer. The officer was taken to the hospital with a foot injury. (Courtesy: Caitlin Marie Knight) (Courtesy: Caitlin Marie Knight) Officials said officers eventually entered the home and a shootout occurred, which led to the Green brothers being shot and killed and the hostage being shot and injured. The TBI reported four Clarksville officers were hit by gunfire during the incident, but none of them sustained life-threatening injuries. Just before 4:30 a.m. Wednesday, Clarksville police confirmed that the barricaded suspect situation had come to an end. Meanwhile, the TBI said its special agents are investigating the circumstances that led to the death of both suspects. The agency will hand off the results of their investigation to the district attorney general, who will decide if the shooting was justified. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. German Bundestag MP from the opposition Christian Democratic Union (CDU) political party Roderich Kiesewetter has responded sharply to the latest statements by his fellow party member, Saxony minister-president Michael Kretschmer. Source: Kiesewetter on Twitter, reported by European Pravda Details: In an interview with Spiegel posted over the weekend, Kretschmer opposed the delivery of Taurus cruise missiles to Ukraine and questioned the advisability of providing Kyiv with Leopard tanks. "Do we really want to accept the fact that German-made missiles can hit Russia?" he asked, calling instead for "new, intensive diplomatic initiatives by the free West". In response, Kiesewetter wrote to Kretschmer on Tuesday that with his position, "Ukraine will collapse, and Putin will continue the war against Moldova and the Baltic states." "Millions of Ukrainians will leave their homeland, and housing will become a deficit in Saxony as well. Then you can rightfully forget about your re-election and the CDU in Saxony," Kiesewetter added. Background: This is not the first such statement by Kretschmer. Earlier, the Saxony minister-president said that he would like to see a return to trade with Russia and a "diplomatic end" to the war as soon as possible. Kretschmer also criticised the government's decision to supply tanks to Ukraine and visa restrictions for Russians. Ukrainska Pravda is the place where you will find the most up-to-date information about everything related to the war in Ukraine. Follow us on Twitter, support us, or become our patron! People were "brimming with pride" after the Prime Minister spoke at an international Hindu gathering, a British Hindu has said. Rishi Sunak spoke during the nine day recital of the scripture Ram Katha by the spiritual preacher Morari Bapuat at Jesus College, University of Cambridge. Organisers said it was the largest gathering of the UK Hindu community. Meenal Sachdev said people attending were "overwhelmed" at hearing from the UK's first Hindu prime minister. It is the first time that a Hindu spiritual event has been held at Cambridge University, organisers added. He added the stories of those who, like his parents arrived in the UK with very little, were "an example to us all" Mr Sunak told those gathered: "For me, faith is very personal, it guides me in every aspect of my life. "Being prime minister is a great honour, but it is not an easy job. Our faith gives me courage, strength and resilience to do the best I can for our country." Ms Sachdev, who is a Conservative councillor representing an area of Potters Bar, Hertfordshire, said: "I spoke to several of them afterwards and they couldn't believe that he had spoken so beautifully. "I think they were already very excited, not just the Hindus in Cambridge, but actually there's so many people from around the UK and around the world right now in Cambridge attending this event, and I think everyone felt a real sense of honour and pride." Ms Sachdev added that three Indian prime ministers had been educated at Cambridge University. Morari Bapu used music, poetry and comedy to tell the life of Ram - an incarnation of the god Vishnu - during the recitation which began on Saturday. Known as Bapu, he has previously held Ram Katha at The Vatican, Jerusalem and Hiroshima, as well as other venues in the UK. Find BBC News: East of England on Facebook and Instagram. If you have a story suggestion email eastofenglandnews@bbc.co.uk or get in touch via WhatsApp on 0800 169 1830 This Saturday March 1, 2003, photo obtained by The Associated Press shows Khalid Shaikh Mohammad, the alleged Sept. 11 mastermind, shortly after his capture during a raid in Pakistan. (Uncredited/AP) WASHINGTON The suspected architect of the Sept. 11, 2001, attacks and his fellow defendants may never face the death penalty under plea agreements now under consideration to bring an end to their more than decadelong prosecution, the Pentagon and FBI have advised families of some of the thousands killed. The notice, made in a letter that was sent to several of the families and obtained by The Associated Press, comes 1 1/2 years after military prosecutors and defense lawyers began exploring a negotiated resolution to the case. The prosecution of Khalid Sheikh Mohammed and four others held at the U.S. detention center in Guantanamo Bay, Cuba, has been troubled by repeated delays and legal disputes, especially over the legal ramifications of the interrogation under torture that the men initially underwent while in CIA custody. No trial date has been set. The Office of the Chief Prosecutor has been negotiating and is considering entering into pre-trial agreements, or PTAs, the letter said. It told the families that while no plea agreement has been finalized, and may never be finalized, it is possible that a PTA in this case would remove the possibility of the death penalty. Some of relatives of the nearly 3,000 people killed in the terror attacks expressed outrage over the prospect of ending the case short of a verdict. The military prosecutors pledged to take their views into consideration and present them to the military authorities who would make the final decision on accepting any plea agreement. The letter, dated Aug. 1, was received by at least some of the family members only this week. It asks them to respond by Monday to the FBIs victim services division with any comments or questions about the possibility of such a plea agreement. The FBI had no comment Wednesday on the letter. On Sept. 11, 2001, conspirators from the al-Qaida militant group seized control of jets to use them as passenger-filled missiles, hitting New Yorks World Trade Center and the Pentagon near Washington. A fourth plane was headed for Washington but crashed in Pennsylvania after crew members and passengers tried to storm the cockpit. It was Mohammed who presented the very idea of such an attack on the United States to al-Qaida leader Osama bin Laden, and who received authorization from bin Laden to craft what became the 9/11 attacks, the United States 9/11 Commission concluded. The four other defendants are alleged to have supported the hijackers in various ways. The attacks led to the U.S. war on terror, which included U.S. invasions and prolonged wars in Afghanistan, where al-Qaida was based, and in Iraq, which had no connection with the attacks. Jim Riches, who lost his firefighter son Jimmy in 9/11, went to Guantanamo for pretrial hearings in 2009. He remains deeply frustrated that the case remains unresolved 14 years later. He said he laughed bitterly when he opened the governments letter Monday. How can you have any faith in it? Riches asked. The update gives us a little hope, he said, but justice still seems far off. No matter how many letters they send, until I see it, I wont believe it, said Riches, a retired deputy fire chief in New York City. He said he initially was open to the use of military tribunals but now feels that the process is failing and that the 9/11 defendants should be tried in civilian court. The Obama administration at one point sought to do so, but the idea was shelved because of opposition from some victims relatives and members of Congress and city officials concerns about security costs. As the 22nd anniversary of the attacks approaches, those guys are still alive. Our children are dead, Riches said. Other family members part of a network of 9/11 families that has pushed for answers and accountability over the years said they would insist that any plea agreement allow their lawyers to question the defendants on the extent of any Saudi official involvement in 9/11. Saudi Arabia denies involvement by senior Saudi officials. Its about holding people responsible, and theyre taking that away with this plea, said Peter Brady, whose father was killed in the attack. He received the letter this week. The case needs to go through the legal process, not be settled in a plea deal, Brady said. The 9/11 hearings have been on hold while military officials examine whether one of the defendants is competent to stand trial. Hearings are set to resume Sept. 18. The five defendants were captured at various times and places in 2002 and 2003 and sent to Guantanamo for trial in 2006. The case has played out with a changing series of defense lawyers and judges, all grappling with the legalities and logistics of the military trial. Much of the hearings have been mired in litigation over how much of the testimony should be considered inadmissible by the torture that defendants underwent in early CIA custody, including the waterboarding of Mohammed 183 times. US President Joe Biden (left), Japanese Prime Minister Fumio Kishida (center) and South Korean President Yoon Suk Yeol, who will hold a summit at Camp David, greet one another during the G7 Leaders' Summit in Hiroshima on May 21, 2023 (Brendan SMIALOWSKI) For years, US ambitions in Asia, a top priority as China's power grows, have faced a seemingly insurmountable obstacle -- animosity between Washington's key allies, Japan and South Korea. On Friday, President Joe Biden will seize on a breakthrough between the Asian neighbors' leaders with a first-of-a-kind three-way summit, hoping to institutionalize the new spirit of cooperation. Against a backdrop of high tensions and rising missile tests by North Korea, Biden, South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida are expected to announce new initiatives to work together on missile defense, intelligence-sharing and technology. Hoping to bring added pomp, Biden has invited Yoon and Kishida to Camp David, the presidential resort in the hills outside Washington synonymous with Middle East peacemaking, in the first major diplomatic event since 2015. Biden will "mark what we believe is a new era in trilateral cooperation," Secretary of State Antony Blinken said Tuesday after a virtual meeting with the Japanese and South Korean foreign ministers to prepare the summit. Employing the veiled US language for countering China's assertiveness in Asia, Blinken said the summit would promote a shared vision of a "free and open, resilient, secure, connected Indo-Pacific." -Making cooperation permanent - Relations between Tokyo and Seoul have long been marred by memories of Japan's harsh 1910-1945 occupation of the Korean peninsula. Yoon, a conservative elected last year, has taken political risks at home by resolving a dispute related to forced labor, a move reciprocated by Japan. Yoon in May paid the first trip to Tokyo by a South Korean leader in 12 years and on Tuesday, marking the anniversary of independence, described modern-day Japan as a partner that shares values and interests. But Christopher Johnstone, an expert at the Center for Strategic and International Studies, said that the efforts by Yoon -- restricted to a single presidential term that ends in 2027 -- remain deeply controversial at home and that many Japanese are skeptical the reconciliation will last. The focus of the summit will be to "look for ways to institutionalize the progress that's been made, and to make it harder for future leaders in any of these countries to walk away from it," he said. Grievances linked to wartime history have quickly escalated in the past. In 2019, South Korea for months said it would exit an intelligence-sharing pact with Japan. Two years later, a Japanese diplomat abruptly declined to share the stage with his South Korean counterpart at three-way talks in Washington. But concerns have grown in both countries over North Korea, which has raced ahead on its missile program and refused dialogue with the Biden administration after unusual summit-level talks with his predecessor Donald Trump. On China, public opinion in Japan and South Korea has deteriorated sharply in recent years following heavy-handed pressure by Beijing, which in recent months has softed its tone and sought dialogue. Eighty percent or more of adults in both countries see China negatively, according to a Pew Research Center survey last year. Shedding earlier reticence, Japan and to a lesser extent South Korea have increasingly joined the United States in voicing alarm over a potential Chinese invasion of Taiwan, the self-governing democracy claimed by Beijing. - No longer just North Korea - Despite their historic disputes and economic competition, Japan and South Korea see eye to eye with each other and the United States on most major global issues -- notably in joining the West in backing Ukraine against Russian invasion. "Japan and South Korea are core allies -- not just in the region, but around the world," Blinken said. He expected a continued focus on North Korea "given the endless provocation it's taken" but said that the United States has developed a "much more expansive agenda" with its Northeast Asian allies. Biden met Kishida and Yoon together last year on the sidelines of the East Asia Summit in Cambodia -- and Biden welcomed Yoon for a state visit -- but Camp David will mark the first standalone summit involving the three countries. Sheila Smith of the Council on Foreign Relations said she was struck by the talk of shared values at the Cambodia talks and expected the Camp David summit to go a step further. "This is a trilateral that matters not just for the specific security challenges that North Korea presents, but also can be mobilized for the broader goals of the Indo-Pacific," she said. sct/tjj/dw Canada is not on a list of 138 countries approved by China for tours abroad Canada has been left out as China lifted a restrictions on group tours to multiple countries, including the US and the UK. It suggests a deterioration of relations following Canada's recent accusations that Beijing meddled in its politics. Chinese officials said Canada was excluded over the foreign interference claims. It could have a significant impact on Canada's tourism industry. Chinese tourists were estimated to have injected over C$1bn (580m;$740m) into the Canadian economy annually in years prior to the Covid pandemic. China's Ministry of Culture and Tourism regulates which countries Chinese tour operators can visit, saying it's done to safeguard citizens travelling abroad. But it has also been viewed as a political tool to advance China's political agenda overseas. Last week, China added 78 countries to its list of approved destinations for group tours, excluding Canada while adding other major G20 countries like the US, Germany, Australia, and South Korea. There are currently 138 countries on the list. In a statement, China's embassy in Ottawa said it was concerned that "the Canadian side has repeatedly hyped up the so-called 'Chinese interference'". Beijing is focused on "protecting the safety and legitimate rights of overseas Chinese citizens and wishes they can travel in a safe and friendly environment", the statement said. Diplomatic relations between the two countries deteriorated rapidly earlier this year. It happened amid a series of leaks to Canadian media of reports from domestic intelligence agencies that laid out accusations that China meddled in recent federal elections. Canada has also accused Beijing of trying to intimidate Conservative member of parliament Michael Chong and waging a disinformation campaign against him on the popular Chinese messaging app WeChat. Chinese diplomat Zhao Wei was expelled from Canada in May over some of the allegations. In a tit-for-tat move, China expelled Jennifer Lynn Lalonde, Canada's diplomat in its Shanghai consulate. The BBC has reached out to Global Affairs Canada for comment on the tourism snub. Destination Canada, which promotes tourism in the country, told broadcaster CBC that China accounts for the largest source of tourist arrivals from the Asia-Pacific region. It is also its second-largest long-haul market after the UK. More than 700,000 Chinese tourists travelled to Canada in 2018, according to a 2021 report by the Canada China Business Council, with each visitor spending an average of C$2,600. At the time, the business group warned that bilateral tensions made the future of Chinese tourism to Canada "uncertain", noting that "comments made by Chinese officials alluded to the contingency of Chinese tourism on positive relations". Group tours from China to Canada were first approved in 2010, and it boosted air travel and tourism between the two countries. In 2018, bilateral relations suffered a set back when Huawei executive Meng Wanzhou was detained in Canada at the request of the US. Two Canadians were arrested in China not long after, in a move viewed by Canada and its allies as "hostage diplomacy". The number of tourists to Canada from China fell in 2019, according to the Canada China Business Council. Ms Meng and both men were released in 2021. after the Huawei executive came to a deal with US prosecutors. China began easing pandemic travel restrictions for both visitors and its citizens early this year. Thousands of people fleeing a wildfire on the outskirts of Yellowknife, one of the largest cities in Canada's north, have crowded into the local airport and the road out of town. Hundreds have also lined up for emergency military evacuation flights. Local officials have given the 20,000 residents of Yellowknife, in the Northwest Territories, a deadline of noon Friday (18:00 GMT) to leave. As of Thursday, the fire was within 16km (10 miles) of the city. The Northwest Territories declared a state of emergency late on Tuesday as it battles nearly 240 wildfires. "Very tough days ahead - with two days of northwest to west-northwest winds on Friday and Saturday, which would push fire towards Yellowknife," the territorial fire service said in a statement on Facebook. There have been reports of long lines at petrol stations in the city and on the road out of town. Resident Bill Braden told Global News he was carrying extra petrol with him after a family member told him the line at one gas station stretched a kilometre in length. Police advised drivers to slow down as they reach Fort Providence, about 300km southwest of Yellowknife by road, as a long queue for gas was affecting traffic. For those not staying with friends or family in other communities, the closest centre for evacuees is 1,100km south of Yellowknife. Military evacuation flights are scheduled throughout the afternoon and evening on Thursday, with five flights to Calgary, in the neighbouring province of Alberta. The federal transport minister has also assured evacuees that the country's largest airline, Air Canada, is capping the cost of flights out of Yellowknife. Air Canada has added two extra flights out of the city. Shane Thompson, environment minister for the Northwest Territories, told reporters on Wednesday that the fires had "taken another turn for the worse" and represented a "real threat" to Yellowknife, the region's capital. "I want to stress that the city is not in immediate danger," he said. "[But] you put yourself and others at risk if you choose to stay." Prime Minister Justin Trudeau held an emergency meeting on Thursday to discuss the wildfire situation in the northern territory. Some residents of Yellowknife had already begun leaving earlier on Wednesday after parts of the city were put on evacuation alert, meaning they could be asked to leave at a moment's notice. "Watching the flights sell out and the prices go up I just kind of got to a point where we should leave," Ashley Maclellan, who fled south to Edmonton with her baby, told the CBC. Another fire is threatening the community of Hay River. One evacuee told the CBC her car began melting as she and her family drove through embers while fleeing that town over the weekend. Cars on Highway 3 out of Yellowknife were bumper to bumper on Wednesday as people scrambled to evacuate Hay River Mayor Kandis Jameson pleaded with anyone remaining in the town to leave immediately. The fire moved 30km in a few hours because of strong winds earlier this week, closing the only two highways out of the town. Then it stalled about 10km away from the town. Resident Lisa Mundy described how her bumper had begun to melt, her windscreen had cracked and her car had filled with smoke as she and her husband left the town with their two children on Sunday. "You couldn't see anything - we were driving through embers," she said. About 46,000 people live in the Northwest Territories, and Canada's military has been co-ordinating the largest airlift evacuation effort in the region's history. The communities of Fort Smith, K'atl'odeeche First Nation, Hay River, Enterprise, and Jean Marie River are all also under evacuation orders. Kakisa, a community of about 40 people some 130km from Hay River, received an evacuation order on Thursday. Map showing cities that have been evacuated and where the current fires are Kofi Yeboah, a social worker in Fort Good Hope, about 800km northwest of Yellowknife, said his community has had some smoky skies from the fires in the territory. "We are all praying we get as much rain as we can," he told the BBC. Canada is having its worst wildfire season on record, with nearly 1,100 active fires burning across the country as of Wednesday. Experts have pointed to a warmer and drier spring than normal as the reason. Scientists say climate change increases the risk of the hot, dry weather that is likely to fuel wildfires. Banner saying 'Get in touch' Are you personally affected by the wildfires in Canada? If it is safe to do so, you can get in touch by emailing haveyoursay@bbc.co.uk. Please include a contact number if you are willing to speak to a BBC journalist. You can also get in touch in the following ways: If you are reading this page and can't see the form you will need to visit the mobile version of the BBC website to submit your question or comment or you can email us at HaveYourSay@bbc.co.uk. Please include your name, age and location with any submission. CANTON A 23-year-old city man is accused of assaulting a police officer and trying to take her gun. The incident occurred Monday on Ninth Street NW between Brown and McGregor avenues after authorities received a call at 9:26 p.m. about a missing man. Officer assaulted: Canton officer displays 'warrior spirit' in face of violent attack The officer found the man and detained him after he allegedly admitted to being under the influence of methamphetamine. While the suspect was in the back of a police car, the officer saw that he had a tablet and a cord and took the items from him, police said. But the man is accused of putting his leg in the door as it was closing, pushing the door open to escape and assaulting the officer, police said. The man is accused of grabbing at items on the officer's belt, including her firearm, police said. Two bystanders intervened and the man ran away. He was later taken into custody. The officer was treated at Aultman Hospital for minor injuries and returned to duty, said Lt. Dennis Garren, Canton police spokesman. The man is charged with felony aggravated robbery, assault on a peace officer, obstructing official business and escape. He also is charged with misdemeanor resisting arrest. He was being held Wednesday in the Stark County Jail on $150,000 bond. Reach Nancy at 330-580-8382 or nancy.molnar@cantonrep.com. This article originally appeared on The Repository: Canton police: Man accused of assaulting officer, trying to take gun A LATAM Boeing 787 Dreamliner in the air. Getty Images A LATAM pilot died mid-air after becoming unwell on a flight to Chile, per multiple reports. The Boeing 787 jet diverted to Panama Tocumen International Airport two hours after leaving Miami. The South American airline said the captain had been flying for 25 years. A pilot on a flight from Miami to South America became unwell and died mid-air, according to multiple reports. LATAM issued a statement to several outlets, including La Republica , El Pais , and BioBio , confirming that flight 505 bound for Santiago, Chile was forced to divert after the captain became ill and later died. The statement said two co-pilots took control of the Boeing 787-9 Dreamliner and diverted to Panama Tocumen International Airport, but the captain was confirmed dead after the plane landed. Radio BioBio named the captain as Ivan Andaur. LATAM said he'd been a pilot for 25 years. Data from FlightAware , a website that tracks aircraft movements, showed the Boeing 787 made a sudden descent toward the airport that serves Panama City about two hours into the flight on Monday. The Aviation Herald reported that the plane was still at the airport 12 hours after landing. LATAM said in the statement: "We deeply appreciate his 25-year career and his valuable contribution, which was always distinguished by his dedication, professionalism and dedication." The airline didn't immediately respond to a request for comment from Insider, made outside normal working hours. The death of a pilot in mid-air is a rare occurrence, but in June a "deadhead" pilot traveling as a passenger helped land an Air Canada flight after the captain became "incapacitated," Canada's Transportation Safety Board told Insider. In August last year, a Jet2 flight made an emergency landing in the UK as a "precautionary measure" after a pilot became unwell. Read the original article on Business Insider The Southwest crew that helped rescue the lost show cat. Brandon Hendrickson/Southwest A Bengal show cat escaped from her owner and was lost in Denver's airport for 24 hours. Southwest ramp crew found the cat and got her safely back to her owner. Ginger Thompson shared on Facebook that her cat Breezy is back home in Washington. Breezy, a Bengal show cat, had a 24-hour adventure at the Denver International Airport starting Sunday. Breezy and her owner Ginger Thompson were traveling home from Denver, Colorado, to Spokane, Washington, after a cat show at the Crowne Plaza Denver International Convention Center, 9News reported. During the boarding process for their 9:30 p.m. Southwest flight, another traveler bumped into Breezy's carrier, which caused the carrier's safety clip to come off and the zipper to open, according to the outlet. Startled, Breezy bolted for the open plane door. Once on the plane bridge, the cat fell through a gap and onto the tarmac, 9News reported. For the next 24 hours, no one could catch the cat. According to Facebook posts on Thompson's profile, she stayed in Denver and waited for updates about her missing cat. Thompson did not respond to Insider's request for comment. "It's been a little over 24 hours since I lost Breezy," Thompson wrote on Facebook. "I'm going out of my mind worrying. Trying to be patient but laying here in the hotel waiting is killing me and I'm losing any hope as each hour passes." Luckily, Thompson soon received good news. At 2:35 a.m. on Tuesday, Thompson shared on Facebook that her cat had been found by the Southwest ramp crew. "Many Southwest employees got together to assist in the search for Breezy," a representative for the airline told Insider. "On Monday night, Breezy was located by Southwest employees hiding in one of our ramp areas. Our team worked quickly to safely secure the cat, and then worked with the City of Denver to reunite Breezy with its owner." On Facebook, Thompson thanked the Southwest crew members and airport officers who helped her reunite with her cat. "Southwest ramp crew are the best," she wrote. As the pair headed back home, Thompson shared the journey on Facebook. Breezy came back "rough around the edges" and greasy, she wrote on Facebook. As for the flight home, Thompson said she made sure the cat carrier was safely secured with zip ties. Read the original article on Insider Olga inspected the quilt she made in 1943 with her fellow child prisoners The life of a prisoner in a Japanese World War Two camp was a meagre existence, but children in Singapore's notorious Changi prison managed to eke out a semblance of childhood. One of those was Olga Henderson, 91, who has been reunited with a quilt she made with her fellow child prisoners. She was a guest at London's Imperial War Museum, where it is archived. Mrs Henderson, now an Eastbourne resident, said she was proud to see it to mark VJ Day on Tuesday. "It really was looking rather tatty when it was sent here," she said. "It's [now] so polished and looked after. I can't believe it." In February 1942, Mrs Henderson lived in Johor Bahru, in British Malaya (modern day Malaysia), with her parents and three siblings when, along with Singapore, it was invaded by the Japanese. A British Army officer arrived at the family's home to inform them that Japanese soldiers were 10 miles away and they were evacuated onto the island of Singapore. However, the island refuge did not last for long as days later British forces there surrendered to Japan. Nine-year-old Olga Morris - as she was then known - and her family were forced to walk 17 miles without water to the centre of Singapore, then on to Changi and three years in captivity. While the horrors of Changi were unrelenting, with forced labour, food shortages and inhumane living conditions, the adults tried to establish some sense of normality for the children. Mrs Henderson told the BBC that one of the adults, Mrs Ennis, had established a Guides group, which had to be kept secret from Japanese guards. "I don't know how she got away with it," she added. The children found space in the likes of the camp's carpenter shop to put on plays. On Mrs Henderson's 10th birthday, she described how a woman called Mrs Mulvaney managed to get her a piece of blue satin for her dress and fashion a petticoat out of a rice bag. "Everybody donated a bit of their cooked rice so we made a cake," she recalled. Olga Henderson, 91, spent part of her childhood in a Japanese prisoner of war camp Asked what kept her and her family going through their time as inmates, Mrs Henderson said: "We had so much work to do." Her mother cooked rice for the Japanese soldiers, while Mrs Henderson worked in the match factory and later dug trenches, she said. Maria Castrillo, from the Imperial War Museum, said: "My job involves connecting people with collections. "Having this [quilt] is hugely significant. It is an object of huge cultural significance because it was made in very difficult conditions by young people, and it really shows the impact of war and conflict on younger people." Follow BBC London on Facebook, Twitter and Instagram. Send your story ideas to hellobbclondon@bbc.co.uk ORLANDO, Fla. Port Canaveral has reeled in another major cruise line to join its burgeoning fleet, as Celebrity Cruises announced Tuesday it will begin sailing from the port in 2024. The premium brand and sister cruise line to Royal Caribbean, Celebrity is bringing to the port its 2,852-passenger, 122,000-gross-ton Celebrity Equinox, which debuted in 2009. The announcement is the latest coup for the Orlando-area port, which became the No. 1 cruise port in the world in 2022, besting PortMiami for the first time as it brought in more than 4 million multi-day passengers for the year. It is a perfect market to reach a wide mix of both in- and out-of-state travelers looking for fun in the Caribbean sun, said Celebrity Cruises President Laura Hodges Bethge in a press release. Port Canaveral has been the traditional home of Disney Cruise Line as well as mainstay tenants Royal Caribbean, Carnival and Norwegian Cruise Line. In 2022, MSC Cruises based a ship at the port year-round. And earlier this year, Carnivals sister line Princess Cruises announced it would begin sailing from the port for the first time beginning in 2024 when it brings the Caribbean Princess to town. Part of the lines Solstice class, Celebritys Equinox had a multimillion-dollar overhaul in 2019 adding an exclusive area for suite guests called The Retreat. It also has features like Craft Social with a selection of more than 40 microbrews, a reworked open marketplace-style buffet and its popular Le Petit Chef dining experience that combines animated projections that interact with all of the courses of dinner. Shipwide, Equinox has 10 food and beverage options, two pools on the open-air deck plus one for the adults-only indoor solarium. A fan favorite of the refurb is the real grass lawn installed on the top deck. It will sail mostly seven-night Caribbean itineraries between Nov. 1, 2024, to April 19, 2025, with stops including the Bahamas, Belize, Grand Cayman, Mexico, San Juan and St. Maarten. Two of the sailings in March 2025 will also visit Royal Caribbeans private Bahamas island playland Perfect Day at CocoCay. Celebrity Equinox is of similar size and age to Caribbean Princess with both lines catering to a similar target demographic. The demand for Celebrity Cruise sailings from our port has been growing, said Port Canaveral CEO Capt. John Murray in the release. Now, with Celebrity Equinox homeported here, there are great opportunities for even more cruise travelers to experience the cruise lines high-quality experience while experiencing the comfort and ease of travel provided by our port. Port Canaveral expanded to six full-use terminals with the revamp of Cruise Terminal 3 that opened in 2021, and in the past two years has welcomed several of the newest vessels at sea including Disney Wish, Royal Caribbeans Wonder of the Seas, Carnivals Mardi Gras and the Norwegian Prima. This fall will mark the first time the port hosts two Oasis-class vessels when Wonder of the Seas is joined by Allure of the Seas, two of the five existing largest ships in the world. In 2024, Royal will be sending Utopia of the Seas to the port for its North American debut. For this past years busy winter sailing season, a record 13 ships called Port Canaveral home. The winter season for 2024 with both Princess and Celebrity making calls will grow to 15. Celebrity also announced expanded Caribbean plans for the 2024-2025 winter sailing season, including more voyages on Celebrity Apex and Summit from its normal home port of Port Everglades as well as Port of Tampa sailings for Celebrity Constellation. ______ The U.S. Fourth Circuit Court of Appeals on Wednesday ruled mostly in favor on the appeal from the girlfriend of Ruben Galindo Chavez, who was shot and killed by police at his Charlotte home in 2017. The court vacated a lower courts decision that the officer who killed Galindo was covered under qualified immunity. Qualified immunity protects police officers from individual liability unless the officer clearly violated an established constitutional right, according to the National Conference of State Legislatures. This immunity can grant officers protection against lawsuits. Galindos girlfriend and the mother of his child, Azucena Zamorano Alemana, appealed in 2021 the trial courts decision to throw out the original lawsuit against Charlotte-Mecklenburg Police. With Wednesdays ruling, his girlfriend and her lawyer Luke Largess may continue the civil case, which may include a trial. In September 2017, 30-year-old Galindo who did not speak English called 911 and told dispatchers he wanted to turn himself in ahead of an upcoming court date involving an earlier arrest for pointing a gun at someone, the Charlotte Observer reported previously. Galindo told the dispatcher he had a gun, and they asked him to leave it in the house and to not take it out of its bag. Galindo didnt indicate he understood this and claimed he intended to surrender the weapon to officers, according to court documents. When police arrived, Galindo had a gun in his hand. Police repeatedly ordered him to show his hands in Spanish, and in English they told him to drop the gun. As he raised his hands, they shot and killed him. The encounter took six seconds, the Observer previously reported. In 2021, the court offered summary judgment, saying the officer who shot Galindo, David Guerra, was justified in doing so and had qualified immunity, according to court documents. Previously, the District Attorneys Office found Galindo failed to follow commands by responding officers asking him to put his gun down. The body-cam police video footage, however, showed Galindo with his arms above his head when officers shot him, the Observer has reported previously. This body camera footage was cited in the new ruling and Judge Robert King, who wrote the courts opinion, said that upon closer inspection of the footage, the judges would vacate the decision to uphold qualified immunity for Guerra and called for further proceedings. The judges point out that Galindo was trying to understand and comply with officers and wrote in the majority opinion he quickly assumed a position of surrender. Guerras attorneys previously argued that Galindo presented an immediate threat to officers. When the court previously ruled in favor of CMPD, U.S. District Judge Robert Conrad of Charlotte said: A reasonable officer in Guerras position did not have to wait (until a gun was pointed at him); did not have to trust a man believed to be delusional, and possibly homicidal or suicidal; a man who had refused every law enforcement directive aimed at keeping him and others safe. Judges in this opinion say Galindo never pointed his pistol toward Guerra or another officer, and he did not make any movement suggesting that he was about to fire the pistol. The panel of three appeals court judges affirmed the decision to dismiss the portion of the original lawsuit against the city of Charlotte for alleged negligent police training, but said further proceedings are needed to determine whether CMPD and the city are liable for the other claims in the lawsuit. These claims by Galindos family accuse CMPD and the city of assault and battery, wrongful death, and negligent infliction of emotional distress, according to the ruling. One of the federal judges, Julius Richardson, wrote a dissenting opinion and suggests the judges who remanded the appeal to further proceedings are improperly denying Guerras qualified immunity as a police officer. What happened to Ruben Galindo? In a recording of the 911 call, released publicly a month after he was shot and killed, Galindo is heard saying he has a gun on him, but repeats the phrase I have no bullets in Spanish. When police arrived they heard a woman in his apartment and instead of waiting for a Spanish-speaking officer to speak with Galindo, the officers confronted him, according to court documents. Court documents describe Galindo as paranoid. The documents cite Galindos remarks to the 911 dispatcher as proof of this. During the call, he first claimed his name was El Dios Estrella (which translates to the Star God), before giving the name Ruben Galindo, according to the documents. He also spoke of police officers and other people following him and he said that I cant take it any longer. He would not answer questions asking if he was homicidal or suicidal, and denied taking drugs but admitted to drinking alcohol that day, according to court documents. A toxicology screening found he had alcohol but no drugs in his system. When asked if he was going to harm the officers or anyone in his house Galindo told the dispatcher no and said he wanted the officers to take him away. He specifically requested a police officer who could speak Spanish, according to court documents. Guerra and three of his colleagues Officers Ryan Tran-Thompson, Courtney Suggs, and David Batson arrived at Galindos home during his second call to 911. A few minutes after arriving, the officers decided to confront Galindo in case the female voice they reported hearing was someone in distress in his apartment. Guerra repeatedly told Galindo, who was holding the pistol, to put his hands up in English, and said Manos, Manos, meaning hands, in Spanish. Body camera footage shows Galindo had his hands up when he was shot. Attorneys for Guerra argue he believed Galindo was raising the pistol to shoot him when Guerra shot at him. Galindos family says CMPD should have waited for a Spanish-speaking officer before attempting to confront Galindo. Scores of migrants were brought ashore in southeast England on Wednesday (HENRY NICHOLLS) Scores of migrants, many of them children and a pregnant woman, arrived in small boats on England's south coast on Wednesday, undeterred by the dangerous Channel crossing from France which claimed at least six lives over the weekend. At least 100 people arrived at the port of Dover after being picked up by UK Border Force patrol vessels when entering British waters, an AFP photographer witnessed. Dozens more, again including young children, were also brought ashore on lifeboats at Dungeness, a headland some 25 miles (40 kilometres) to the west, after setting out to cross the Channel in small boats, the same photographer reported. The arrivals came after six Afghan men died and dozens more had to be rescued when an inflatable vessel sank in the Channel in the early hours of Saturday after leaving northern France. Both Britain and France have blamed criminal gangs facilitating the crossings but have attracted criticism themselves from rights groups over their policies towards the issue. The UK government in particular has been condemned after recently barring arrivals from claiming asylum and pursuing plans to deport them immediately to Rwanda. Both plans are on hold pending a court challenge to sending the migrants to east Africa. They have also been criticised for planning to house up to 500 migrants in a barge off the coast of southwest England, with similar sites being planned. More than 100,000 migrants have crossed the Channel on small boats from France to southeast England since Britain began publicly recording the arrivals in 2018, official figures revealed last Friday. The route across one of the world's busiest shipping lanes has repeatedly proved perilous, with numerous previous capsizes and scores of migrants drowning in the waters over the last decade. The years of arrivals have meant Britain's asylum system is facing a huge backlog, with more than 130,000 asylum-seekers still waiting for their applications to be processed at the end of March. An interior ministry spokesman said Wednesday that the "unacceptable number of people risking their lives by making these dangerous crossings" was putting Britain's asylum system under "unprecedented strain". "Our priority is to stop the boats," he added. str-jj/phz/ A portrait of American soldier Travis King is displayed as his grandfather, Carl Gates, talks about his grandson on July 19, 2023, in Kenosha, Wis. (Morry Gash/AP) North Korea on Wednesday offered its first official confirmation that it had detained a U.S. soldier who bolted into its country last month, releasing a statement through its state media attributing statements to the Army private that criticized the United States. One expert called the announcement 100% North Korean propaganda. There was no immediate verification that Pvt. Travis King actually made any of the comments about his home country. King, who had served in South Korea and sprinted into North Korea while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. King is a 2020 graduate of Washington Park High School in Racine, Wis. The official Korean Central News Agency, citing an investigation, said King told them he decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is the official voice of leader Kim Jong Uns government, and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. The report said North Koreas investigation into Kings illegal entry would continue. Verifying the authenticity of the comments attributed to King in North Koreas state media is impossible. What might happen next The United States, South Korea and others have accused North Korea of using foreign detainees in the past to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A U.S. Defense Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the U.S. had no way to verify North Koreas claims about King. The official said the Pentagon was working through all available channels to bring King back to the U.S. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didnt immediately express its intention to accept King as a refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Koreas description of King as an illegal entrant, rather than someone who voluntarily entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he wouldnt provide it with high-profile U.S. intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. A TV screen shows a file image of American soldier Travis King during a news program at the Seoul Railway Station in Seoul, South Korea on Aug. 16, 2023. (Ahn Young-joon/AP) The bigger diplomatic picture North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. King, 23, was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. U.S. officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonorable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden has provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. Li Shangfu, Minister of National Defence of China, arrived on an official visit to Belarus and plans to discuss military cooperation. Source: BelTA, a Belarusian news outlet Quote: "During the three-day visit, the Chinese government official is scheduled to meet with the leadership of the Belarusian Defence Department to discuss current issues of bilateral military cooperation in areas of mutual interest." Details: The Chinese official is expected to visit a number of military facilities in Belarus. Earlier, the press office of the Defence Ministry of China reported that the Minister would take part in the 11th Moscow Conference on International Security on 14-19 August and then travel to Belarus, where he would meet with the president. Background: The Minister of Defence of China spoke at the Russian forum, where he stated that the countries had developed strategic cooperation and declared China's readiness for further cooperation. Sergei Shoigu, the aggressor country's Defence Minister, met with his Chinese counterpart Li Shangfu in New Delhi, where a meeting of the defence ministers of the Shanghai Cooperation Organization (SCO) is taking place. On 26 April, President of Ukraine Volodymyr Zelenskyy had a telephone call with Xi Jinping the leader of the Chinese Communist Party. Ukrainska Pravda is the place where you will find the most up-to-date information about everything related to the war in Ukraine. Follow us on Twitter, support us, or become our patron! Cincinnati Fire Department firefighters work to contain a fire on Arlington Street earlier this year. The city is in the midst of searching for a new chief after former Fire Chief Michael Washington was fired. After allegations of a hostile work environment in the Cincinnati Fire Department led to the last chief's firing, 38 people applied to replace him only one of them a woman. Of the 38, four are Cincinnati fire employees. Two of them are current assistant chiefs. Many of the candidates have more than 20 years of experience. Some are fire chiefs and assistant fire chiefs elsewhere. One is working in South Asia, another in Europe. The city is in the midst of searching for a fire chief after City Manager Sheryl Long fired Chief Michael Washington in March, alleging he created a hostile work environment for female firefighters and then failed to respond to orders that the culture be fixed. Washington, after serving 28 years in the department, was chief from May 2021 to March of this year when he was fired from his $170,000-a-year job. Washington denied the charges and has filed a federal lawsuit claiming he was fired without due cause and due process, a violation of the city's charter. Washington had no warnings or reprimands in his personnel file prior to being fired, The Enquirer found. Assistant Fire Chief Steven Breitfelder has been interim chief as the city conducts a national search for a new chief. City spokeswoman Mollie Lair did not have a timeframe for when the chief would be hired. She said only that the interview process is "on-going." Former Cincinnati Fire Chief Michael Washington Sr. visits Engine 5 in 2021 shortly after he was hired as chief. Washington was fired earlier this year and the city is searching for his replacement. There's a lot at stake when it comes to choosing the next fire chief. Long has said there remain issues of unfairness toward women in the department. She has said a full assessment will be done once a new chief is hired. The job posting closed July 6. The Enquirer requested the list of applicants on July 21. When the city did not immediately produce the list of applicants, Enquirer attorney Jack Greiner followed up, prompting the applicant list and applicants' resumes to be released Monday. Meet the candidates: Bryan Batiste Most recent job: Compton, California, fire chief from 2012 to 2017; 40 years fire/EMS experience. William T. Bernard Most recent job: Omaha, Nebraska, Fire Department acting assistant chief C-shift and EMS Division from March 2023 to July 1; 32 years fire/EMS experience. Steven Breitfelder Most recent job: Assistant Cincinnati fire chief since 2017; 32-year veteran of the department. Is the interim fire chief during the search. Hal Bumgarner Most recent job: Coweta, Oklahoma, Fire/EMS chief since 2012 and chief of EMS with Pottawatomie County, Kansas, since 2017; 32 years fire/EMS department experience. Jeffrey J. Capano Most recent job: Mariemont Fire and EMS member since 2014 and owner of Capano & Associates from 1999 to the present; 30 years fire/EMS experience. Geoffrey Cleveland Most recent job: Cleveland's Fire Department personnel administrator since March 2021; 16 years fire/EMS experience. Kevan Crawley Most recent job: Indianapolis Fire Department deputy chief since March 2020; 36 years fire/EMS experience. Tony Cummings Most recent job: Peoria Fire Department assistant chief since February 2023; 23 years fire/EMS experience. Francis X. DiPaula Jr. Most recent job: Baltimore County Fire Department deputy chief since 2015; 34 years fire/EMS experience. Matthew Flagler Most recent job: Assistant Cincinnati fire chief over emergency management since February 2023; 35 years fire/EMS experience. James E. Garrett Jr. Most recent job: Retired Kansas City Fire Department deputy director, chief consultant of his own fire consulting company; 29 years of fire/EMS experience. Jimmie D. Gittens Jr. Most recent job: Atlanta deputy chief of emergency medical services from September 2019 to 2022; 32 years of fire/EMS experience. Andy Glassmeyer Most recent job: Rural Metro Lewiston, Idaho, Fire Chief since June 2023 and a fire officer at Amentum-McMurdo Station Antarctica since January 2023; 20 years of fire/EMS experience. George Green Most recent job: Project manager for Sutphen Corp., a fire equipment sales company, from January 2022 to May 2023; 31 years fire/EMS experience. Atif Hameed Most recent job: Lead firefighter for the Punjab Emergency Services Rescue 1122 with the government of Pakistan; 14 years public service experience. David P. Heavener Most recent job: Livonia, Michigan, Fire Chief from March 2018 to 2022; 17 years fire/EMS experience. Timothy C. Heiser Most recent job: Fort Lauderdale Fire Rescue Department assistant fire chief since 2018; 28 years fire/EMS experience. Robert P. Hess Most recent job: U.S. Army Corps of Engineers chief emergency manager since March 2021; 30 years fire/EMS experience. Jonathan Peter Ingols Most recent job: Arlington, Texas, Fire Department assistant chief of operations since May 2020; 23 years fire/EMS experience. Sydney R. Johnson Most recent job: Jackson, Mississippi, Fire Department assistant fire chief from January 2010 to June 2014; 25 years fire/EMS experience. Allen Grant Lewis Most recent job: Business development and emergency manager in a security-sensitive role in Europe; 27 years fire/EMS experience. Greg Lindsay Most recent job: Oklahoma City Fire Department battalion chief since 2002; 39 years fire/EMS experience. Timothy L. McCawley Most recent job: Bennett-Watkins, Colorado, Fire Rescue assistant chief and training officer since 2016; 23 years fire/EMS experience. Frank C. McKinley Most recent job: Dallas Fire-Rescue Department assistant chief of communications and administration; 28 years fire/EMS experience. Anthony E. Missig Most recent job: Federal Emergency Management Agency emergency management specialist since June 2020; 36 years fire/EMS experience. Terence Morris Most recent job: Kenner, Lousiana, Fire Department chief since December 2018; 36 years fire/EMS experience. Vera R. Morrison Most recent job: Decatur, Georgia, Fire Rescue deputy chief since 2017; 17 years fire/EMS experience. John Wayne Oceguera Most recent job: Senior vice president of Strategies 360, a government consulting firm, since March 2014; 22 years fire/EMS experience. Donald Parker Most recent job: Nevada National Security Site deputy fire chief of operations since 2017; 41 years fire/EMS experience. Christopher P. Riley Most recent job: Consultant for Next Paradigm Consulting from September 2017 to March 2018; 40 years fire/EMS experience. Janos Roper Most recent job: Cincinnati Fire Department captain; 23 years fire/EMS experience. Mark J. Sanders Most recent job: Assistant Cincinnati fire chief since September 2021; Over 30 years fire/EMS experience. Brian P. Schaeffer Most recent job: Spokane, Washington, fire chief since 2005; 30 years fire/EMS experience. Justin Mitchell Sherwood Most recent job: Bemidji, Minnesota, fire chief since 2021; 19 years fire/EMS experience. Thomas E. Solberg Most recent job: Casper, Wyoming, fire chief from 2018 to 2022; 45 years fire/EMS experience. Caroll H. Spriggs II Most recent job: Annapolis, Maryland, Fire Department deputy chief since September 2019 and bomb squad commander since 2006; 27 years fire/EMS experience. Jeffrey Tomberlin Most recent job: Houston Police Department Aircraft Rescue and Fire Fighting captain since June 2015; 23 years fire/EMS experience. Miguel Torres Most recent job: El Paso Fire Department battalion chief since May 2020; 28 years fire/EMS experience. This article originally appeared on Cincinnati Enquirer: Cincinnati search for fire chief garners 38 applicants An Augusta University building bears the school's logo in this May 2023 photo. The Augusta Commission unanimously approved a bond issuance for Wellstar Health Systems, AU's new health care partner. The city of Augusta this week approved an agreement that will loan up to $275 million to the Atlanta-based partner of Augustas Wellstar MCG Health. The Augusta Commission voted unanimously to allow the Augusta Economic Development Authority to finance and issue qualified 501(c)3 bonds to benefit the nonprofit Wellstar Health System. Under the agreement, the bonds' proceeds can be used to finance the purchase, construction and equipping of healthcare facilities. A July legal advertisement specified that all the projects expected to be financed will occur on the AU Medical Center campus. The bonds will be limited obligations of the development authority, payable only from repaying the loan of the bonds' proceeds to AUMC or Wellstar Health. Neither the authority nor the city assumes responsibility to repay. 'Incredible assets': AU Health, Wellstar partner to expand health care Good or bad? AU Health, Wellstar merger hailed by some, dreaded by others The bond deals approval is the latest procedural step in the wake of the March 31 announcement of the new partnership forged between Augusta University Health System and Wellstar Health. Under the partnership, Wellstar assumed administrative control over the 478-bed Augusta University Medical Center and the 154-bed Children's Hospital of Georgia. Commissioners agreed to move the bond agreement from the debate agenda to the lengthier consent agenda, which precludes public debate and is reserved for business items in which commissioners have reached a consensus, but that still require official votes. An Aug. 8 public hearing convened by the development authority invited citizens to comment on or question the proposed bond deal, but no members of the public showed up. This article originally appeared on Augusta Chronicle: Approved $275 million bond issue to fund local Wellstar improvements City Manager Reese Goad defended Tallahassees proposed property tax hike before a crowd of business leaders normally skeptical of such measures, saying its needed to more effectively fight violent crime. During a luncheon Tuesday hosted by the Network of Entrepreneurs and Business Advocates, Goad discussed the controversial tax proposal and the citys ongoing efforts to bring down violent crime numbers. With Police Chief Lawrence Revell by his side, Goad said the city has seen a stubborn, sticky rise in violent crime over recent years. At the same time, he said, police staffing levels have dropped to half the national average. City Manager Reese Goad discusses a proposed property tax increase and efforts to reduce crime on Tuesday, Aug. 15, 2023, during a luncheon of the Network of Entrepreneurs and Business Advocates. Goad was joined at the event by Tallahassee Police Chief Lawrence Revell. We cant afford to not invest in public safety, Goad said. Its not an option. If we want to be successful as a city, we have to attack it. In June, city commissioners signed off 3-2 on a nearly 10% increase in the property tax rate, which would go from $4.10 to $4.50 for every $1,000 of assessed property value. Most of that money, some $9.5 million, is slated to go to the Tallahassee Police Department for the hiring of 20 new officers, higher wages and technology upgrades. Revell told the audience at the Capital City Country Club that TPD has 373 officers and that based on one FBI formula, it should have north of 600. The number would go to 393 under the city plan. What we know for a fact and despite some of the other rhetoric that youve heard is 20 officers will make a difference, the chief said. If somebodys trying to break into your business and a police officer pulls into your parking lot, theyre likely not going to commit that crime. Police presence makes a huge difference. In June, Mayor John Dailey and Commissioners Dianne Williams-Cox and Curtis Richardson, a NEBA member who attended the luncheon, voted in favor of the tax increase, all citing a need for greater public safety. Commissioners Jack Porter and Jeremy Matlow, who called for greater belt-tightening instead, voted no. On Monday, other opponents, including Dot Inman-Johnson, a former city commissioner whos running against Richardson in 2024, spoke out during a news conference. It was held by the Frenchtown-Southside Community Action Committee, which the Rev. Lee Johnson, Inman-Johnsons husband, founded in 2018. Their remarks were prompted in part by comments Dailey, Richardson and Williams-Cox made in a Democrat article about a recent spate of fatal shootings. Richardson said the problem was far beyond what government could solve on its own; Dailey pointed to current efforts and long-term fixes; and Williams-Cox asked what can government do? when someone decides to take a life. In such an atmosphere, the kind of quotes attributed to Commissioners Williams-Cox and Richardson and Mayor Dailey are not what residents want to hear, Inman-Johnson said. Violent crime ticked up starting in 2020 but is down so far this year Violent crime in Tallahassee began trending up a few years ago, and city officials expect it to remain elevated above previous levels this year. Incidents of violent crime rose from 1,359 in FY 2019 to 1,466 in FY 2020 and 1,628 in FY 2021 before leveling off to 1,612 last fiscal year. Thats a nearly 19% jump over the four year-period. City Manager Reese Goad speaks during an event held to reveal Bethel Missionary Baptist Church's new Mobile Medical Unit at the church Monday, May 10, 2021. Goad told NEBA members that the city had made progress on violent crime until the pandemic hit and numbers rose here and nationally. He said the numbers have since plateaued," but that another increase this year was possible. It didnt go up like a five-alarm fire, he said, but it went up, and its going in the wrong direction. The city's online dashboard for its strategic plan shows 941 instances of violent crime so far this fiscal year. However, Goad said that number is not accurate because of lag time and a final validation process for reporting purposes. TPD is projecting numbers similar to last year and perhaps slightly higher, he said. Revell said officers spend nearly all their time going from call to call and that appropriate staffing would allow TPD to bring back programs that have been cut or put on hold. He noted the department once had four bicycle squads and two violent crime response squads but now has only one of each. The reason weve had to do that is because weve had to take those specialty squads and put those people on patrol so they can simply answer the calls for service, he said. The chief touted coming innovations, including a program soon to launch that would allow police to access home and business cameras though only with the permission of the owners and when warranted. He bragged that it was the second oldest police department in the country thats been recognized as having a top homicide unit. So I hope you understand the level of service, which your police department provides to you every day, he said. And thats all on the back of the men and women who do the work every day. They perform it, and theyre incredible. City commissioners will hold public hearings on the proposed tax increase on Sept. 13 and 27. Tallahassee Democrat reporter Elena Barrera contributed to this article. Contact Jeff Burlew at jburlew@tallahassee.com or 850-599-2180. This article originally appeared on Tallahassee Democrat: Tallahassee city manager, TPD chief defend tax hike to 'attack' crime The water temperature in Lough Neagh is rising "alarmingly fast" researchers have said. The temperature in the largest freshwater body of water in the UK and Ireland is 1C higher than in 1995. A report also said more than twice as much carbon was stored in ground around the lough as previously thought. The Lough Neagh Partnership (LNP) commissioned the study as it took up a lease on land on the south-west shoreline, with a view to restoring it. Many peatlands around the lough have been milled over the years for compost and fuel. The Climate Change Impact and Carbon Storage Study took core samples round the entire shoreline of the lough to assess the carbon stored there. In some cases, those samples went 9m down below grass fields. The report revealed a total of more than 14 million tonnes of carbon stored in the catchment area. "Previously our best estimate of carbon stored in the Lough Neagh catchment area was about 6.6 million tonnes," said report author Jim McAdam. "We got that from the surface soil maps that were done in the 1990s. In this study, we looked at the actual depth of the carbon itself, and we've come out with our calculation of carbon." Map "It's more than 14 million tonnes of carbon, over twice what our original estimate was. "That's really important, because nowadays the whole talk is how do we keep that carbon in the ground? That carbon and that habitat has the potential to sequester more carbon. "So the more we have the better and, therefore, the more we know about it, the more we've measured, the better we can manage the whole site." That whole site includes lands at Derrytresk and Derryloughan, which were gifted to the Royal School Dungannon in 1608, at about the time of the Plantation of Ulster. It covers 320 acres. The Education Authority granted a lease on the land to the LNP in December 2022. Gerry Darby says the local community have been supportive of their conservation goals The site has been affected by unregulated peat extraction. The partnership will now manage the site with a focus on conservation, restoring the peatlands and enhancing the natural habitat. The partnership's manager Gerry Darby said: "There has to be a balance between legal - and I emphasise legal - peat extraction, between that and protecting important species [like the curlew] as well. "We're just trying to find that balance and the community, I think, are very supportive in trying to find that balance as well." Mid Ulster Council said it was investigating seven potential breaches of planning in peat extraction. It is also considering a planning application for extraction. In the Republic of Ireland, a ban on extraction in Special Areas of Conservation was introduced in 2011. A ban on the use of turf as fuel was also brought in by the Irish government in October 2022. Farmer Michael Meharg said passing bills will not be enough to invoke change In Northern Ireland, a peatlands strategy has been consulted on but cannot proceed without sign-off from a Stormont minister. It is expected to include a ban on peat sales from 2025. That, farmer Michael Meharg said, will need incentives. He said: "There are government policies on peatland, there are government policies on farming with nature and being environmentally conscious and friendly. "So passing bills when there's some sort of economic gain to be with it, it's very difficult to ask people to do something just for the sake of it." The carbon found in the ground is more than double what Jim McAdam and the Lough Neagh Partnership thought The partnership's shoreline environment officer, Peter Harper, is aware of the tradition of peat in the area. However, he is also aware of what healthy peatlands mean for the environment. "These are very important carbon stores. Now, traditionally, they have been extracted for compost and there's a commercial industry around that and that's fine," he said. "But I don't think that can continue indefinitely because collectively, all these actions do have an impact on climate change." By Michael Martina and David Shepardson WASHINGTON (Reuters) - Close to half of American adults support a ban on the Chinese-owned social media app TikTok, according to a new Reuters/Ipsos survey that also asked questions about national security concerns and China. TikTok, owned by Chinese tech giant ByteDance and used by tens of millions of Americans, has faced calls from U.S. lawmakers for a nationwide ban over concerns about possible Chinese government influence. Some 47% of respondents to the two-day poll, which concluded on Tuesday, said they at least somewhat supported "banning the social media application, TikTok, from use in the United States," while 36% opposed a ban and 17% said they didn't know. Fifty-eight percent of Republicans favored a ban, compared to 47% of Democrats, the poll showed. The survey also revealed deep worries among Americans about China's global influence at a time when U.S.-China relations have fallen to their lowest point in decades. The online Reuters/Ipsos poll was conducted nationwide, collecting responses from 1,005 adults, including 443 Democrats and 346 Republicans. It had a credibility interval, a measure of precision, of about 4 percentage points in either direction. FBI Director Christopher Wray said in March that China's government could use TikTok to control software on millions of devices and drive narratives to divide Americans, adding that the app "screams" of national security concerns. Other top U.S. intelligence officials, including CIA Director William Burns, also have said TikTok poses a threat. TikTok said in a statement that more than 150 million Americans, including 5 million U.S. businesses, actively use TikTok to earn a living, engage in the classroom, and find community. "We've taken unprecedented actions to safeguard protected U.S. user data, and we will continue working to build a safe, secure, and inclusive platform to ensure the positive experience of our users in every corner of the country," a TikTok spokesperson said. Efforts to give the Biden administration new powers to ban TikTok have stalled in Congress. Last month U.S. lawmakers said they were considering changes to address concerns about the bill. Still, the issue could become a focus for Republicans in the 2024 U.S. presidential campaign, with some candidates backing a TikTok ban. Former President Donald Trump in 2020 sought to bar new downloads of TikTok but a series of court decisions blocked the ban from taking effect. Florida governor and presidential candidate Ron DeSantis has said he favors some form of national ban on the app. (Reporting by Michael Martina, David Shephardson and Jason Lange; Editing by Don Durfee, Sharon Singleton and David Gregorio) A female Connecticut police officer was ferociously attacked by a hammer-wielding man, police said. The Middletown Police Department said that on Saturday, August 12 at 6:33 p.m., authorities received a complaint about noise and breaking glass on a residential street. Detective Karli Travis, who runs the Middletown Police Cadet Program and serves in the patrol division, was the first officer who responded to the call. She approached the resident on foot and police said that she immediately noticed that the suspect, 52-year-old Winston Tate, was wielding a blunt object. In body camera footage, Winston Tate is seen charging toward Detective Karli Travis wielding a hammer and yelling at the officer. Detective Travis repeatedly is heard asking the suspect to drop the hammer. In a press conference on Tuesday, August 15, Chief Eric McCallister of the Middletown Police Department said that Travis calmly asked Tate to drop the object, a metal hammer, to the ground. He refused, despite the officer repeatedly insisting that Tate does so. FLORIDA MAN HURLS ROCK THROUGH WINDSHIELD THAT HIT DRIVER IN THE FACE DURING ROAD RAGE FIT: POLICE READ ON THE FOX NEWS APP "Can you put that down, please," Travis is heard calmly saying in body camera footage, released by the Connecticut Office of Inspector General. "No!" Tate is heard barking back. A close up of Winston Tate's hammer that he used to pummel Detective Karli Travis. The officer used her handgun to repeatedly shoot Tate. As Travis radioed for backup from her colleagues, Tate is seen in body camera footage charging towards her, wielding a hammer. Body camera footage shows violence immediately ensue as Tate tackles the police officer and the pair begins thrashing around. Chief McCallister said that the detective attempted to distance herself from her attacker and continued telling the suspect to put the hammer down. Travis eventually takes out her handgun and begins rapidly firing at Tate. Body camera footage shows Winston Tate pushing Detective Karli Travis to the ground. Tate is seen with a hammer and Officer Travis is seen with her handgun. Police said that she was "fighting for her life," while Tate repeatedly beat her with the hammer. "Stop," Travis is heard yelling as Tate continues to beat her with the metal weapon. After firing additional rounds towards her attacker, Tate retreated back inside his residence, authorities said. Backup officers arrived and swiftly surrounded the residence where Tate was immediately arrested. PENNSYLVANIA EXPLOSION THAT LEVELED SEVERAL HOMES LEAVES 5 DEAD: 'LOOKS LIKE A WAR ZONE' He was taken to the hospital where he was treated. Detective Travis was also injured from the hammer attack, and she was taken to the hospital, but later release that evening with minor injuries. Chief McCallister called her actions an "extreme act of heroism." Middletown Police Department said that Winston Tate remained at an area hospital in police custody. An arrest warrant has been served and Tate is charged with Criminal Attempt to Commit Assault in the first Degree, Assault in the Second Degree, Assault on Public Safety Personnel, and Interfering with Police. Police said Tate was charged with criminal attempt to commit first-degree assault, second-degree assault, assault on public safety personnel, and interfering with police. He was held on a $500,000 bond. Tate is due in court on October 3. An investigation into the shooting is ongoing by the inspector generals office. Connecticut police find cat in stolen vehicle linked to 'armed robberies' and are now trying to find its owner Police in Connecticut are trying to track down the owner of a 7-week-old cat they say was found under the seat of a stolen vehicle believed to be linked to a series of "armed robberies." The young male feline was discovered while investigators were processing the vehicle for evidence, the Meriden Police Department said Tuesday. "On Thursday, August 10, 2023, a Meriden Police Department Cruiser, with two Detectives inside, was involved in a motor vehicle accident on South Broad Street with a stolen motor vehicle," police said. "This agency and several other agencies were actively looking for this vehicle as it was suspected of being used in armed robberies just hours before this incident in Wallingford, Branford, Southington, and Torrington." "Our investigation has led us to believe this kitten was acquired somewhere between the robbery in Wallingford, Branford, Southington, and Torrington," the Meriden Police Department added. "We are hopeful someone recognizes our adorable feline friend and can help us reunite him with his owner." CONNECTICUT MAN SEEN BRUTALLY ATTACKING POLICE OFFICER WITH HAMMER ON BODY CAMERA Six suspects, four of whom were juveniles, have been taken into custody in connection to the alleged multiday crime spree, according to Fox61. READ ON THE FOX NEWS APP One of them, 18-year-old Robert Barbara, is well known to police in the area, the Record-Journal newspaper reported. The outlet added that he and the five others are facing charges including armed robbery, car thefts, dangerous pursuits and arson. "Officers located three stolen vehicles, with suspects, in Hubbard Park," the Meriden Police Department also said, describing what happened leading up to the arrests. "As officers approached, the suspects lit one car on fire and fled in the other two." FAMILY RAISES QUESTIONS OVER FATAL CONNECTICUT POLICE SHOOTING OF 34-YEAR-OLD MAN During the crime spree, on Wednesday last week, an elderly woman had her purse snatched from her while she was walking in a Walmart parking lot, Fox61 reported, citing Southington Police Lt. Robert Eagan. In another reported incident in Torrington, police said a woman with a young child was in the parking lot of an apartment complex when an individual brandished a gun and demanded she hand over her purse, the station added. Meriden Police say the stolen vehicles were captured on camera doing donuts and driving erratically, according to Fox61. KYIV (Reuters) - A container ship left the Ukrainian Black Sea port of Odesa on Wednesday morning, the government said, using what it has described as a new temporary corridor for merchant ships to and from its ports, which are blockaded by Russia. Ukraine last week announced a "humanitarian corridor" in the Black Sea to release cargo ships that have been trapped in its ports since Russia launched a full-scale invasion of Ukraine on Feb. 24, 2022. Moscow has not indicated whether it would respect the shipping corridor, and shipping and insurance sources have expressed concerns about safety. "A first vessel used the temporary corridor for merchant ships to/from the ports of Big Odesa," Deputy Prime Minister Oleksandr Kubrakov said on Facebook. He identified the container ship as the Hong-Kong-flagged JOSEPH SCHULTE and said it had been in the port since Feb. 23, 2022, the day before the invasion. He said the ship was carrying more than 30,000 metric tons of cargo in 2,114 containers. "The corridor will be primarily used to evacuate ships that were in the Ukrainian ports (Chornomorsk, Odesa and Pivdennyi) at the time of the full-scale invasion of the Russian Federation," the deputy prime minister said. (Reporting by Pavel Polityuk; Editing by Jacqueline Wong and Philippa Fletcher) HAWTHORNE - A Cortlandt man was charged Tuesday with making and possessing untraceable ghost guns in his home. Westchester County police said the arrest was a result of a multi-agency investigation that also included state police, the FBI Westchester County Safe Streets Task Force, the U.S. Attorney's Office for the Southern District of New York and the Putnam County Sheriff's Department. Police said Nicholas Zito, 22, of Langeloth Drive, was taken into custody at his home shortly before 9 a.m. Tuesday. Police said items they seized at that residence included three loaded firearms with no serial numbers, four unfinished and non-serialized frames for firearms, and 23 high capacity ammunition magazines and ammunition. Zito was allegedly using a 3-D printer to manufacture the so-called ghost guns, which have no serial numbers and are untraceable when they are recovered following the commission of a crime. Zito was charged with one count of second-degree criminal possession of a weapon, for possession of a loaded firearm, and multiple counts of third-degree criminal possession of a weapon, for possessing three or more firearms and the 23 ammunition clips, both felonies; and four counts of fourth-degree criminal possession of a weapon, a misdemeanor, for the unfinished firearms. He was arraigned in a neighboring court and was sent to Westchester County Jail in lieu of $15,000 cash bail or a $50,000 bond, pending a future appearance in Cortlandt Town Court. The case is being prosecuted by the Westchester County District Attorney's office. The name of Zito's attorney was not immediately available. Westchester County Public Safety Commissioner Terrance Raynor said in a statement that county police in partnership with other local, state and federal law enforcement agencies have seized hundreds of ghost guns in other investigtions in the last few years. "We are all committed to keeping illegal firearms of all kinds, including ghost guns, off the streets of our communities," Raynor said. Mike Randall covers breaking news for the Times Herald-Record, Poughkeepsie Journal and The Journal News/lohud. Reach him at mrandall@th-record.com or on Twitter @mikerandall845. This article originally appeared on Times Herald-Record: Police say Cortlandt man made and possessed ghost guns China, Africa make fruitful achievements in economic, trade cooperation 10:39, August 16, 2023 By Qiang Wei ( People's Daily Twenty-five containers of fishmeal weighing 485 tons recently arrived at the Yangshan Port, one of Shanghai Port's main ports, from Gambia. It marked the first time for the fishmeal produced in the smallest country on the African continent to enter the Chinese market via a port in Shanghai. Today, China is the largest trading partner of Gambia. The rapid development of trade and economic cooperation between the two countries is exactly a miniature of the constantly deepening China-Africa economic and trade ties. A giant ship carrying some 190 engineering vehicles leaves the port of Yantai, east China's Shandong province for the port of Dar es Salaam, Tanzania, Feb. 23, 2023. (People's Daily Online/Tang Ke) This year marks the 10th anniversary of the Belt and Road Initiative (BRI) and the principles of sincerity, real results, amity and good faith, and of pursuing the greater good and shared interests in developing China's relations with Africa. Over the past decade, China and Africa have cooperated closely with each other and advanced a batch of major cooperation projects in an orderly manner. Both the trade volume between China and Africa and China's investment in Africa saw steady growth, and the economic and trade cooperation between the two sides has entered a new phase of high-quality development. The third China-Africa Economic and Trade Expo was held in Changsha, central China's Hunan province between June 29 and July 2. It was joined by over 1,700 Chinese and African enterprises, chambers of commerce and financial institutions. Nearly 1,600 types of commodities from 29 African countries were displayed at the event, up 166 percent from those in the previous session, including coffee, wine and artifacts. The number of exhibitors jumped 70 percent to reach 1,500. Mozambique brought its wood carvings to the expo, and Malawi displayed its featured agricultural products such as chilies and soybeans. In the exhibition booth of Madagascar, there was a "tree" inspired by the African baobab. A total of 120 projects worth $10.3 billion were signed during the expo. At the expo, China's General Administration of Customs released for the first time a China-Africa trade index. Between 2000 and 2022, the import and export value between China and Africa grew more than 20-fold to hit 1.88 trillion yuan ($260 billion) from less than 100 billion yuan, with an average annual growth of 17.7 percent. China has remained the largest trading partner of Africa for 14 consecutive years, and the areas of cooperation between the two sides have been extended to digital technology, green development, aerospace, finance and other emerging sectors. Photo shows a drone performance at an agricultural technology exhibition in Zambia. (Photo/Zhang Xu) China is the fourth largest source of investment for Africa. As of the end of 2022, the stock of direct investment by Chinese businesses in Africa exceeded $47 billion. Currently, there are over 3,000 Chinese companies doing business in the continent. Africa is the second largest overseas engineering market of China. Since 2013, the total value of the contracts signed by Chinese enterprises in Africa has surpassed $700 billion, and the contracts completed exceeded $400 billion. China has signed civil air transport agreements with 27 African countries, built and launched communication and meteorological satellites for Algeria, Nigeria and other African countries. Under the support from the China-Africa Development Fund, Chinese drone technologies have been introduced to many countries in Africa. Drones manufactured by Chinese enterprises such as DJI and XAG are bringing hopes of harvest to farmers in Mozambique, South Africa and Ghana. E-commerce platform Kilimall headquartered in Changsha is now operational in Kenya, Uganda and Nigeria. It has built a warehouse covering 10,000 square meters in Kenya's capital Nairobi. Its independently built logistics network allows it to complete same-day delivery and it also has an independently developed online payment system that supports transactions in multiple currencies. Li Fei, China's vice-minister of commerce, said that China has inked BRI cooperation documents with 52 African countries and the African Union Commission, and established multiple special work groups focusing on promoting unimpeded trade, investment cooperation, capacity cooperation, e-commerce and other fields. China actively supports the development of the African Continental Free Trade Area (AfCFTA) and has set up an expert group on economic cooperation with the AfCFTA secretariat for better policy communication and experience sharing on the facilitation of China-Africa trade and investment. (Web editor: Chang Sha, Hongyu) Fulton County District Attorney Fani Willis speaks during a news conference at the Fulton County Government building on Aug. 14, 2023, in Atlanta. A grand jury handed up an indictment naming former President Donald Trump and his Republican allies over an alleged attempt to overturn the 2020 election results in the state. (Joe Raedle/TNS) Two people from the Chicago area are among the 19 defendants named in the Georgia indictment accusing former President Donald Trump and others of criminal enterprise illegally attempting to overturn the results of the 2020 presidential election. Fulton County prosecutors named Chicago publicist Trevian Kutti, 53, and Orland Park church pastor the Rev. Stephen Cliffgard Lee, 70, in the indictment, charging them for alleged racketeering, influencing witnesses and conspiring to commit false statements. Kutti, who has worked as a publicist for musicians R. Kelly and Ye, formerly known as Kanye West, first made local headlines in 2003 to defend a Gold Coast stores window display showing rolled-up dollar bills perched alongside mounds of white powder and razor blades an apparent nod to cocaine use. Trevian Kutti, the then-director of operations of the Old Town boutique G'bani, sits in one of the storefront windows in 2004. The store had two storefront windows with anti-Iraq war sentiments. Kutti is one of two people from the Chicago area that are among the 19 defendants named in the Georgia indictment accusing former President Donald Trump and others of criminal enterprise illegally attempting to overturn the results of the 2020 presidential election. (Heather Stone/Chicago Tribune) In 2009, another storefront got her into the paper, this time in a display featuring a $2,000 gown with a bold plunge to the rear at the Old Town boutique she owned. Neighbors complained when a sign next to the dress read, simply, a--. At the time, Kutti told the Tribune she was known for her racy window displays and argued the sign wasnt obscene. [ From 2009: Talk about a pain in the boutique ] A state-run database of lobbyists working in Illinois identifies her as an active lobbyist for a cannabis company. Prosecutors argue Kutti traveled to Fulton County after the election and misled election worker Ruby Freeman by stating that she needed protection and by purporting to offer her help, with intent to influence her testimony in an official proceeding, the indictment states. Trevian Kutti's name is seen in the indictment in Georgia against former President Donald Trump on Aug. 15, 2023. Trump and several allies have been indicted in Georgia over efforts to overturn his 2020 election loss in the state. The criminal case announced Monday is the fourth brought against the ex-president in a matter of months. (Jon Elswick/AP) Freeman and her daughter were facing viral online attacks after Trump accused her of being a professional vote scammer and hustler who stuffed the ballot boxes in a call with Georgia state officials after the election. Testifying before the House committee investigating the Jan. 6 attack on the U.S. Capitol in June 2022, she and her daughter told lawmakers the accusations upended their lives. There is nowhere I feel safe. Nowhere, Freeman said in a video. Do you know how it feels to have the president of the United States target you? The president of the United States is supposed to represent every American, not to target one. [ Donald Trump has been indicted in a fourth case. Heres where all the investigations stand. ] In a conversation with Freeman at a police precinct, Kutti presented herself as someone who could help Freeman, but then pressured her to falsely confess to election fraud, prosecutors alleged. Tutti also told Freeman that Freeman was in danger in a phone call, the indictment said. The name of Rev. Stephen Cliffgard Lee is seen in the indictment in Georgia against former President Donald Trump on Aug. 15, 2023. (Jon Elswick/AP) Lee, a pastor at Living Word Lutheran Church, traveled to Freemans home in mid-December 2020 and spoke to her neighbor, with intent to knowingly engage in misleading conduct toward her by offering her help, the indictment alleges. The next day, he returned to Freemans home and knocked on her door, it continued. Lee also recruited others to attempt to talk with Freeman, the indictment said. Kutti also attempted to contact Freeman at her home, it said. Lee was not immediately reachable by phone Tuesday. Kutti did not respond to a request for comment sent via email. According to the Lutheran Church-Missouri Synod, which Living Word Lutheran Church is part of, Lee is a retired pastor. A spokeswoman for the Northern Illinois district office of the synod, Ann Ciaccio, said Wednesday she could not provide any information regarding how long Lee had been at Living Word or when he retired. We have a policy of not commenting on investigations, she said. Officials from Living Word did not respond to messages left Wednesday seeking comment. A court filing in the case from October 2022 by Willis office, alleges that Lee had gone to Freemans home on Dec. 15, 2020, a visit which frightened her and caused her to call police. Lee had, according to the filing by the district attorney, told police he went to Freemans home to offer pro bono service and then left and returned a short time later, which prompted Freeman to call 911. However, the publicist did take to social media, where she shared a post calling the indictment a witch hunt. She also shared a video skit featuring comedian Dave Chappelle to insinuate she would plead the fifth as she stated her support for Trump in the 2024 presidential election. The Associated Press and Daily Southtowns Mike Nolan contributed. jsheridan@chicagotribune.com The unprecedented prospect of a criminal sentence for a former president who could be reelected while cases against him proceed is already raising questions about whether Donald Trump could end his own legal battles with a pardon or similar move. In two federal criminal cases now pending, legal experts say, Trump could look to pardon himself if he retakes the presidency next year. But Mondays Georgia indictments are a different story. Convictions on state charges cant be erased by a pardon, even from a reelected Trump or by any other Trump ally who might win the White House. In Georgia, the issue is much more complicated, said Anthony Michael Kreis, a law professor at Georgia State University. Republican presidential candidate former President Donald Trump visits the Iowa State Fair, Saturday, Aug. 12, 2023, in Des Moines, Iowa. (AP Photo/Charlie Neibergall) For one reason, Kreis said, Georgias governor doesnt hold the power to grant a pardon, unlike some other states. Instead, it rests with a state board not known for leniency, he said. On Monday night, Fulton County District Attorney Fani Willis charged Trump and 18 others after a more than two-year investigation into an alleged criminal conspiracy to overturn its 2020 election results. Trump faces five to 20 years in prison if convicted on Georgia racketeering charges. The other state-level charges Trump faces related to a hush-money payout in New York, by contrast, are less likely to result in any jail time, legal experts said. Trump and his legal team are expected to try to move the state case to federal court. That has already been requested by Mark Meadows, Trumps former chief of staff, who was also charged in the Fulton County indictment. According to documents filed in court Tuesday, Meadows attorneys argue that such a move is required in cases of state charges against a federal official while acting under color" of their office. Trump may also seek to delay all the cases until after the election just 15 months away. People cross the street near the Fulton County Courthouse, Monday, Aug. 14, 2023, in Atlanta. Court officials in Atlanta published a list of criminal charges against former President Donald Trump. But as a Fulton County grand jury began hearing from witnesses Monday, there was no public indication that Trump had been indicted in a long-running investigation of the 2020 presidential election. The list was later deleted. A trial wont necessarily stop his campaign. A state conviction does not bar a person from running for president. And if reelected, Trump could argue that a sitting president cannot face state charges while in office, experts said. In any scenario, legal experts said, the nation finds itself in uncharted waters. The problem with all of this is that we don't really have precedent, said Quinn Yeargain, a professor at Widener University Commonwealth Law School in Pennsylvania who studies state constitutional law. We're relying on some very big ideas about federal power and executive power that were articulated a very long time ago, that did not directly relate to situations like this. RICO law: Trump charged in Georgia under law designed to nab Mafia bosses. What it means for case Does Georgia governor have pardon power? A constitutional amendment in the 1940s puts Georgia among just a handful of states whose governor does not have broad powers to issue pardons and commutations. Instead, pardon power lies with the state's five-member Board of Paroles and Pardons, appointed by the governor and now led by Terry Barnard, a former Republican state legislator. Georgias state capitol in Atlanta. Just down the street, the Fulton County District Attorney is expected to seek grand jury indictments over alleged efforts to overturn the 2020 election. The board can grant a regular pardon five years after a sentence has been completed if the person has been crime-free, Board spokesman Steve Hayes told USA TODAY in an email. This rule has led some to speculate that a Trump conviction in Georgia would guarantee he would serve some kind of sentence. But there may be other scenarios. Hayes said the board can commute a sentence to time served. It was not immediately clear what time restrictions, if any, would apply. The body can also grant a pardon of innocence that does not require a five-year waiting period, though this is rare in the boards 80-year history, said Hayes, who added that the board would not comment on hypothetical situations. That kind of pardon would require an investigation by the board, he said. Kreis downplayed the likelihood the state would issue a pardon. They're not built to forgive and to be lenient, he said. So it would be wildly out of historical practice for them. I just dont see that as being in the cards. Some Republican legislators have called for changing the law to grant Georgia Gov. Brian Kemp also a Republican the authority to pardon Trump. But its unclear whether even the Republican-led Legislature could muster support for such a change or whether Kemp, who had overseen elections as a former secretary of state, would sign off, Yeargain said. And in Georgia, Kreis said, there is no mechanism for "preemptive pardoning" as there is with presidential pardons. For example, President Gerald Ford pardoned Richard Nixon after Watergate, even though he had not been charged with a crime. In other cases, people charged with federal crimes have been pardoned after a conviction but before sentencing, such as when Trump pardoned former Maricopa County, Arizona, sheriff Joe Arpaio, according to the Justice Department. In Georgia: Regardless of case against Trump, 2020 still casts a shadow over its elections What about the federal indictments? Yet Trump by contrast has a good chance of quashing his federal cases if hes elected president, Kreis said. A president would have the power to order the dismissal of charges if they're still pending, or issue a pardon, or self-pardon, depending on the scenario, for either a conviction already rendered or to hedge against the prospect of a potential conviction, he said. Earlier this month in Washington, Trump pleaded not guilty on four counts related to alleged efforts to overturn the 2020 election, including conspiracy to defraud the United States. In July, Trump was arraigned on a separate case in Miami on federal charges of mishandling classified documents. Still, those actions might spark legal battles that could put the case before the Supreme Court, Yeargain said. Unprecedented questions about criminal trials or sentences for a president-elect might end up presented to a court that now has a conservative majority, including three justices appointed by Trump. Trump pardon list: Donald Trump granted clemency to 144 people in final hours What about the indictment in New York? Along with the federal cases, Trump was indicted in March on 34 felony counts by a Manhattan grand jury for allegedly falsifying business records in an attempt to conceal hush money payments to adult film star Stormy Daniels and Playboy model Karen McDougal, who alleged they had sexual relations with Trump before the 2016 election. Some observers believe the case may not result in a jail sentence. But he could also face an uphill battle for a pardon in that state. While New Yorks governor has broad pardon authority, that prospect is likely a narrow one in a Democratic-led state and governor, Yeargain said. What happens if Trump is elected while cases continue? A crucial factor in how things unfurl will be the speed at which any trials take place. Willis told reporters that she would like a trial date for the Trump case "within the next six months and that she would like to try all 19 defendants together. Thats an extraordinarily ambitious timetable given the complexity of the case, Kreis said, given Trumps legal team must balance it against requirements that he appear in court in three other criminal proceedings. Kreis also expects legal maneuvers by Trump's team, including seeking to move the case to federal courts. Special counsel Jack Smith wants both federal case trials to start in the first half 2024. But they are not guaranteed to conclude by Election Day, Nov. 5. After that, much of what happens next will depend on one thing: who wins, said Josh Chafetz, a law and politics professor at Georgetown University. Trump, if elected, would likely argue that a sitting president cannot face state criminal charges while in office. That could mean the Georgia and New York cases would have to wait until hes out of office, Kreis said. And if Trump is convicted, jailed and then elected president? Kreis believes that Georgia might not be able to hold him. But, he cautioned, we have never figured this out as a country because we've never had to. This article originally appeared on USA TODAY: Can Trump pardon himself? Can someone else? In Georgia, it's complex A husband and wife were nearly swindled out of $88,000 in Missouri but they got suspicious, and now someone is facing federal charges, authorities said. The 78-year-old man told police he tried using his computer on Aug. 9, when he got a pop-up message, according to an Aug. 15 news release from the U.S. Attorneys Office for the Eastern District of Missouri. The message said his computer had a virus and told him to call a certain number. He and his 76-year-old wife called the number, authorities said, and were told that their banking information had been compromised because someone had been accessing child pornography on the computer. To avoid prosecution, the couple was told they must pay $88,000, according to the release. The couple was told to withdraw the money in multiple transactions from multiple branches of their financial institution, then photograph and package the money and await a visitor, officials said. But after withdrawing the funds, the couple became suspicious, authorities said. And when a 22-year-old man showed up at their home on Aug. 10 to collect the money, they refused to pay him, according to the release. The man left, and the couple called police. The man later returned to their house, authorities said, and the couple called police. Officers said they found him at their home and took him into custody. Now, the man has been charged with wire fraud, records show. His defense attorney did not immediately respond to a request for comment from McClatchy News on Aug. 16. Investigators believe he was a courier who received anywhere from $500 to $1,000 to pick up money from victims who were scammed, according to the release. Before arriving in St. Louis, authorities said he picked up $40,000 from someone in Colorado and $40,000 from someone in Oregon. Investigators said the man would use a fraudulent Taiwanese passport to deposit cash into a bank account, then hed transfer the money to other people using cashiers checks. The man is from Taiwan, according to the release. Criminals who take advantage of elderly individuals, whose income is often fixed, are absolutely appalling, Taekuk Cho, acting special agent in charge of Homeland Security Investigations Kansas City, said in the release. These offenders are very organized and utilize a multitude of fear tactics to extort vulnerable people and oftentimes cause undue financial hardship. If convicted, the man faces up to 20 years in prison and a maximum fine of $250,000. If you suspect an elder adult or an adult with disabilities has experienced, is currently experiencing, or is at risk of experiencing abuse, neglect, self-neglect, or financial exploitation, your first step should be to contact the appropriate agency. National Adult Protective Services Association has a list of state agencies you can contact confidentially. Find help specific to your area here. Mans lottery scam cheated people out of hundreds of thousands of dollars, feds say Woman pretends to be a gang member and corrupt cop to scam man out of $1.6M, feds say Attorney stole over $800,000 from 86-year-old client with dementia in Ohio, feds say A Derby couple has been sentenced in connection with the mistreatment and financial exploitation of an 84-year-old woman that later died, the Sedgwick County District Attorneys Office announced Tuesday. The couple, 20-year-old Tiffany Williams-McCune and 23-year-old Jacoby Reeves, both of Derby, were convicted in connection with financial abuse and physical neglect of Leslie Jeffries. Williams-McCune, who is Jeffries great-granddaughter, pleaded no contest to two felony counts of mistreatment of an elder person. The first count was for financial exploitation and the second for neglecting the physical needs of the victim, according to the release. Reeves pleaded guilty to a felony charge of mistreatment of an elder person, related to neglecting the physical needs of Jeffries, and a misdemeanor charge of mistreatment, related to financial exploitation. A joint investigation by the Derby Police Department and the Kansas Department for Children and Families found that the couple helped care for Jeffries in her home. On Dec. 7, 2021, Derby police officers conducted a welfare check on Jeffries after other family members expressed concern. Officers found her unresponsive and lying awkwardly on a couch in the basement of the home, the DAs office said. She had suffered a brain hemorrhage and later died at a hospital. Williams-McCune and Reeves ignored a medical emergency involving a victim and failed to get her help, the release said. The investigation also showed that the couple made unauthorized transactions totaling over $20,000 on Jeffries bank accounts while living with her. An autopsy found that Jeffries had a variety of medical issues with a history of falling, which may have caused the brain hemorrhage that led to her death. Reeves was sentenced to 12 months of probation last month with an underlying sentence of 12 months of jail time if he violates his probation. Williams-McCune was sentenced to 12 months months in prison in November 2022, but has since been released, DA spokesperson Dan Dillon said. Williams-McCune served a majority of her time after her arrest and is now free, Dillon said. WASHINGTON A federal appeals court has sided with anti-abortion protesters on First Amendment grounds, ruling that the District of Columbia likely discriminated by arresting them for using chalk on a sidewalk but not Black Lives Matter protesters engaged in similar activity. A three-judge panel of the U.S. Court of Appeals for the District of Columbia Circuit unanimously reversed a lower courts opinion in favor of city officials on Tuesday, allowing the lawsuit to continue. It was not immediately clear whether Washington officials would appeal the ruling. City police arrested two anti-abortion protesters in summer 2020 for chalking Black Pre-Born Lives Matter onto a public sidewalk in violation of the citys vandalism ordinance. The appeals court said the city all but abandoned enforcement of that same ordinance for protesters writing Black Lives Matter on public and private property after the killing of George Floyd by Minneapolis police. Anti-abortion protester holding a cross, demonstrates in front of the Supreme Court on Dec. 1, 2021. The government may not play favorites in a public forum permitting some messages and prohibiting others, the appeals court panel wrote. Access to public fora must be open to everyone and to every message on the same terms. The plaintiffs were represented by the conservative Alliance Defending Freedom. Erin Hawley, ADFs vice president, said the group was pleased with the decision. Every American deserves for their voice to be heard as they engage in important cultural and political issues of the day, she said. The attorney general for the District of Columbia did not respond to a request for comment. Two of the judges on the panel were appointed by Democratic presidents and one was named by a Republican. This article originally appeared on USA TODAY: Court backs anti-abortion activists citing Black Lives Matter messages A handout picture shows an archaeological expedition team from the University of Gothenburg working at a site in Cyprus, on the shore of the Larnaca salt lake at Hala Sultan Tekke (Prof. Peter M. Fischer) A Swedish-led excavation on Cyprus has unearthed a wealth of artefacts suggesting an ancient port city in Larnaca on the south coast was the region's key Bronze Age trading post, an official said Wednesday. "The city's wealth seems to have been based on the production of copper and trade with near and distant cultures," said Giorgos Georgiou, acting director of Cyprus' Antiquities Department. "Judging by the rich burial gifts, the tombs belonged to families of the city's ruling class, which must have been involved in the export of copper and intercultural trade," he added in a written response to AFP. He said the excavation site at Dromolaxia-Vyzakia was a Late Bronze Age harbour settlement, estimated by some authorities to be at least 25 hectares (60 acres) in size. The settlement, which flourished from 1600 to 1100 BC, lies along the shores of the Larnaca salt lake at Hala Sultan Tekke. Last month, the University of Gothenburg said it recently discovered tombs outside the Bronze Age trading metropolis. It said they rank among the richest ever found in the Mediterranean region, and the precious tomb artefacts indicate their occupants ruled the city, which was a centre for the copper trade in 15001300 BC. "Considering the richness of the grave goods, it is a reasonable assumption that these were royal tombs,"said Peter Fischer, an archaeology professor and leader of the expedition. The tombs consist of underground chambers accessed via a narrow passage from the surface. The chambers vary in size, measuring up to 4 x 5 metres (yards). The Swedish expedition, excavating around Hala Sultan Tekke since 2010, has previously found chamber tombs. "We found more than 500 complete artefacts distributed among two tombs," it said. "Many of the artefacts consist of precious metals, gems, ivory and high-quality ceramics," said Fischer on the university's website. He said about half of the artefacts were imported from neighbouring cultures. Gold and ivory came from Egypt. Precious stones, such as blue lapis lazuli, dark red carnelian and blue-green turquoise, were imported from Afghanistan, India, and the Sinai, respectively. The tombs also contain amber objects from the Baltic region. - 'From cultures east and north' - The tombs were discovered using magnetometers, an instrument that can detect objects and structures up to two metres beneath the surface. Georgiou said three chamber tombs, preliminarily dated to the 14th century BC, were exposed during the most recent excavation season. "One had been looted, most likely in the 19th century AD. "Two nearby tombs were found undisturbed." The tombs contained locally produced pottery and ornaments, "as well as numerous items of jewellery and other imported objects from the Aegean, Anatolia, Egypt, the Levant and cultures further east and north". He said other metal objects included jewellery of precious metals, diadems, daggers, knives, spearheads, and a mirror of bronze. cc/hc An 11-year-old girl found dead under her bed had been strangled and sexually assaulted, Texas authorities said. The girl, identified in media reports as Maria Gonzalez, was discovered by her father when he came home from work at 3 p.m. on Saturday, Aug. 12, Pasadena Police Chief Josh Bruegger said Tuesday. The father is not considered a suspect in the girls death. Bruegger said during a news briefing streamed by KHOU that Marias father left for work at 9:45 a.m. Saturday. Soon after he left, he received a text from his daughter saying an unknown person was at their front door, the police chief said. I told her dont open the door because I am arriving at work, and she responded I am in my bed, the father, Carmelo Gonzalez, told KRIV. The girls aunt and uncle went to the apartment to check on Maria, but were unable to find her, according to Bruegger. Her father found her not breathing under her bed when he got home at 3 p.m., police said. She was pronounced dead at the scene. Unfortunately, they left her under the bed in a plastic bag. They left my poor daughter, Gonzalez told KRIV. In addition to Maria being strangled and sexually assaulted, the medical examiner ruled she suffered blunt force trauma to her head and neck, KTRK reported. Bruegger called the death a violent, violent crime, and said officers did not have a suspect as of Tuesday. Gonzalez said his daughter was a good, quiet girl, KHOU reported. She had recently celebrated her 11th birthday. Flying debris from malfunctioning engine kills woman during tractor pull, PA cops say Teen found stabbed was lured to his death, Illinois cops say. At a loss for words Toddler missing for three days in Michigan is discovered dead, authorities say Destroyed grain hangar after a Russian strike in Odesa Oblast on the night of August 16 Russia launched two drone attacks on Odesa Oblast overnight on Aug. 16, striking a port on the Danube River and causing damage to grain storage facilities, Oleh Kiper, head of the Odesa Oblast Military Administration, reported on Telegram on Aug. 16. As a result of the strikes on a port along the Danube River, storage buildings and grain silos were damaged. Fires broke out, but have since been extinguished. Fortunately, there were no reports of casualties resulting from the Russian strikes. Read also: Romania to double Ukrainian grain transit after Russias attacks on grain infrastructure During the night, Russia deployed a group of Iranian-made kamikaze drones across Ukraine. The countrys air defense forces successfully shot down 13 enemy drones within the Odesa and Mykolaiv oblasts. The Southern Defense Forces stated that the attackers carried out the assault in multiple waves. Initially, the strike was aimed at Mykolaiv Oblast, where all drones were intercepted by air defense systems. Following this, two powerful strikes were launched against the southern part of Odesa Oblast. Southern Defense Forces Southern Defense Forces Southern Defense Forces In the latter half of July, the Russians constantly attacked the southern regions of Ukraine using missiles and drones. Both Odesa and Mykolaiv bore the brunt of these attacks, with the Russian strikes having a particular focus on disrupting port infrastructure and grain terminals. Read also: The attacks intensified after July 17, when Russia announced that it was pulling out of the grain initiative that ended its naval blockade of Ukraine and allowed three Ukrainian ports to be used to export Ukrainian grain. Read also: Russian attack inflicts severe damage on Danube Shipping Company and sea terminal in Izmail photos The initiative was set to expire on July 17, but Ukraine warned as early as April 17 that Russia was effectively sabotaging the deal, which was brokered by the United Nations and Turkey, by refusing to carry out inspections of ships, as mandated by the agreement. Russia, in turn, complained that part of the deal allowing Russian food and fertilizer exports to proceed unhindered was not being honored. It said it wanted limitations on using the SWIFT international transactions systems lifted for Russias state agricultural bank. The UN offered a compromise of setting up a subsidiary bank or allowing a U.S. bank to handle the transactions on Russias behalf, but the Kremlin refused to agree to these options. Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine Former President Donald Trump has the option of timing his booking in Georgia to coincide with next Wednesdays first Republican presidential primary debate in Milwaukee, which could suck the oxygen out of a televised event hes been advised to avoid anyway. Put another way, it's not at all hard to imagine Trump turning his jailhouse arrival into an O.J.-Simpson-in-the-Bronco-level spectacle at the same time Florida Gov. Ron DeSantis is telling the debate audience about how he lowered the state's pension assumptions. The potential to embarrass the Republican National Committee, which is hosting the debate, and Fox News, which is airing it, could make a jailhouse counter-programming opportunity all the more alluring to Trump at a time when his relationships with those pillars of the conservative establishment are strained. Former President Donald Trump in Columbia, S.C., on Aug. 5, 2023. (Melissa Sue Gerrits / Getty Images) And, as Trump's legal troubles and poll numbers have risen in tandem over the last several months, diverting attention from the debate to his latest indictment makes all the sense in the world, Republican operatives say. Thatd be smart, said one former senior Trump campaign aide. Trump, indicted on Monday for the fourth time this year, has asked crowds in recent weeks whether or not he should debate, revealing publicly the tension between his desire to directly engage with his primary opponents and his advisers reservations about the risks of going toe-to-toe with them at a time when he dominates the primary polls. Executives at Fox News dined with Trump earlier this month and lobbied him to attend. Theres no reason he should debate, the former aide said, referring to the nothing-to-gain stakes for Trump, who consistently leads the pack of Republican contenders by more than 30 points in national polls. At the same time, it would be out of character for Trump to skip the debate without finding a way to eclipse it. He has until Aug. 25 to voluntarily surrender for booking in Atlanta after a Fulton County, Georgia, grand jury on Monday indicted him and 18 others on charges related to his efforts to overturn his 2020 election defeat. If he turns himself in to be booked on the day of the debate, he is certain to draw attention away from the stage even as his legal woes become debate fodder for his opponents, according to several Republican elected officials, operatives and strategists. Lets be honest, next weeks Republican presidential debate is little more than a formality, said state Sen. Joe Gruters, a former chairman of the Florida GOP and the only Florida state legislator to endorse Trump. As the polls reflect, the GOP base is fully supportive and behind President Trump, Gruters said. If scheduling his arrival in Fulton County on the same night as the debate further shines a spotlight on the political persecution, Im all for it. Trump's rivals are preparing for him to be a focal point whether or not he shows up, advisers to other candidates have told NBC News in recent interviews. But some aides and allies of other candidates say they don't expect Trump to overshadow an event that is circled in thick ink on the GOP calendar. "The debate stands on its own," Bill Palatucci, a Republican National Committee member who runs a super PAC backing former New Jersey Gov. Chris Christie, said. "Primary voters are junkies for this, so they will be tuning in for the debate no matter what." That could give Trump an added incentive to wait until after the debate to turn himself in, possibly snuffing out new momentum for an opponent, said one source close to Trump world. He should do it the day after the debate to suck up all the post-debate coverage, said the source, who was not authorized to share such observations on the record. That way even if another candidate has some sort of moment at the debate, it falls into a black hole, press-wise. Trump hasnt tipped his hand yet, and aides note there are multiple stakeholders involved in decisions about when he might appear in Atlanta to be booked and when he might be arraigned. Its all up to the lawyers and the court and the Secret Service, one Trump aide said. The timing won't change the predicament faced by candidates competing to peel off some of his voters: every time he is indicted four times now since late March they find themselves standing up for him. Typically, they portray the charges he faces in a partisan light, accusing Democrats of trying to win the next election by prosecution. I think its an example of this criminalization of politics, Florida Gov. Ron DeSantis, who is polling second to Trump in most national surveys, said during a virtual news conference with New England media on Wednesday. A handful of Republican hopefuls including former Vice President Mike Pence, Christie and former Arkansas Gov. Asa Hutchinson have criticized Trump's conduct in trying to overturn the 2020 election. But none of them has fared as well in national polls as DeSantis or Ramaswamy. And none of Trump's rivals are within 30 points of him in recent surveys. In that way, Republican insiders say, it makes sense that he will get a lot of attention on the debate stage and off it no matter when he turns himself in or whether he joins his rivals on the stage Wednesday. "Hard to suck the oxygen out of the room when you are the oxygen in the room, Iowa state Rep. Austin Harris, who is backing former South Carolina Gov. Nikki Haley, said to nod to the central role Trump will play in the debate regardless of whether he is there. Rival camps know there's little they can do to compete with Trump's media footprint if he shows up at the county jail on debate day. "It will dominate earned media," said one aide to another candidate, who predicted there will be "a question or two about it" at the debate. But the aide played down the impact on the debate and the race. "Don't overthink it," he said, adding that the latest charges don't "change the long-term trajectory" of the Trump indictment storyline. Some hoped that Trump being too busy getting booked to debate would give the rest of the field an opportunity. Former Rep. Barbara Comstock, R-Va., said Trump's timing his surrender to police as the same time as the debate would give opportunity to Christie the prosecutor to whack him. The worry for the rest of the Republican field is that nothing will change the trajectory of the campaign. "Trump is still the presumptive nominee despite all the stuff hanging over him, and these guys cant lose sight of that," veteran GOP consultant Scott Howell said of the other campaigns. "They still have to leapfrog him. Everyone needs to remember that." This article was originally published on NBCNews.com WASHINGTON Faced with the prospect of campaigning for president while sitting in courtrooms, former President Donald Trump is planning to adopt a basic legal strategy: Delay, delay, delay. Confirming something he and his lawyers have hinted at for months, Trump said in a social media post this week that all four criminal trials he is facing should be delayed until after next year's Election Day, Nov. 5, 2024. "All of these Biden Administration bogus trials and cases, including the locals, should be brought after the 2024 Presidential Election," Trump said. "What they have done is already Election Interference, but if the trials are held before the Election, then it would be Interference on a scale never seen in our Country before. Trump has long claimed that the criminal trials he faces are aimed at his 2024 bid for the White House, though there is no evidence officials handling cases against him are targeting his campaign. Donald Trump 'He can try' Legal analysts question whether Trump can effectively delay all of his criminal trials. Prosecutors in all four cases want to start trials throughout the first five months of 2024, the very time in which Republicans hold caucuses and primaries to determine their presidential nominees. "He can try," said Bradley P. Moss, an attorney who specializes in national security issues. "So far there is no indication that the courts will permit this to occur. But Moss noted that the court process can be unpredictable for more normal cases, let alone prosecuting a former president. Republican opponents, such as former U.S. Rep. Will Hurd, say Trump is only running for president so that can he can pardon himself or otherwise make the cases against him go away. Delay, delay, delay Trump's legal team can try and delay these legal proceedings through any number of pre-trial motions: Changing trial locations, asking judges to recuse themselves, claiming that certain charges do not apply, challenging the rules of jury selection and arguing that the trials would unfairly interfere with his presidential campaign. And adverse decisions can be appealed, even all the way to the U.S. Supreme Court. Barb McQuade, a former federal prosecutor and law professor at the University of Michigan, said "I dont think the trials will be delayed just because of an upcoming election, but other realities of litigation could cause that result." "Motions, retention of lawyers, interlocutors appeals, illness of co-defendants and other unforeseen circumstances could also delay trial dates," she added, but she noted that the "The counter-argument to Trumps argument is that voters are entitled to resolution of these cases so that they can cast an informed vote." 'Daring the judge': Donald Trump attacks judge in 2020 election case, despite her warnings Trump's trial schedule - for now As it stands now, judges have set trial dates in two Trump cases for March and May. Prosecutors in the two other cases are seeking trial dates in January and within six months. Special Counsel Jack Smith is seeking a Jan. 2 start date for the case in which Trump is accused of conspiring to steal the 2020 election from President Joe Biden. Trump attorneys oppose Jan. 2, which is less than two weeks before the Iowa caucuses open the Republican nominating process. In the weeks after that, New Hampshire, Nevada and South Carolina will select Republican convention delegates. In Georgia, where a grand jury this week indicted Trump and 18 confederates for trying to overthrow the election in that state, Fulton County District Attorney Fani Willis said she wants the trial to start within six months, very near the Super Tuesday set of primaries that can be make or break for White House hopefuls. Willis as asked a judge to set a trial date of March 4, 2024 for Trump and his co-defendants. The judge in Trump's New York state case involving hush money has scheduled a trial for late March, by which time a Republican candidate may or may not have locked up the presidential nomination. The Florida federal case involving classified documents and obstruction of justice has a trial scheduled for May. Trump also faces civil trials in the coming months, but he does not have to be physically present for proceedings. This article originally appeared on USA TODAY: Donald Trump will try to push back trials after his Georgia indictment Florida health officials sent a warning about a rise in cases of dengue fever, issuing a new alert after two local cases in Broward County. The two cases in the South Florida county, which includes Fort Lauderdale, brings to 10 the number of locally acquired cases this year, the Florida Department of Health said in a new surveillance report. Eight cases have been confirmed in Miami-Dade County, also under alert for the virus. Dengue is spread through mosquito bites and sickens about 1 in 4 people infected with the virus. Cases in the United States usually occur among people who travel to countries where the illness is widespread. But infected travelers can bring the virus back to the local mosquito population, which can lead to locally acquired cases. Florida health officials reported 190 cases among people who traveled to dengue-endemic regions within two weeks of becoming ill. Nearly 200 people in the United States have had travel-related dengue so far this year, the Centers for Disease Control and Prevention reported. The virus is more common in U.S. territories such as American Samoa, Puerto Rico and the U.S. Virgin Islands, the CDC said. As Peru contends with dengue outbreak, here's what to know about virus symptoms, treatment What is dengue fever and who is at risk? Dengue is caused by four related viruses, which means a person can be infected multiple times over their lifetime, the CDC said. About half the world's population lives in areas with a risk of dengue fever because the virus is in the local mosquito population, according to the CDC. Dengue is common in tourist hotspots in the Caribbean, Central and South America, Southeast Asia and the Pacific islands. What are the symptoms of dengue fever? People who are sickened by the virus could have muscle or joint pain, eye pain, nausea, vomiting or rash. Most people recover within one week, but some have severe complications that can emerge a day or two after fever goes away. Some warning signs include belly pain or tenderness, repeated vomiting, nose or gum bleeding, vomiting blood, blood in the stool or being tired, restless or irritable. About 1 in 20 people have severe dengue and should seek care at a hospital or other health facility, the CDC said. Infants, pregnant women and people who have had past infections face a higher risk of severe dengue. The virus infects about 400 million people worldwide each year, and about 40,000 people die annually from severe dengue. On the rise: Why children in US territories are 'bearing the burden' of neglected tropical disease How can I protect myself from dengue? Because dengue is spread to people through infected Aedes mosquitos, people should try to avoid mosquito bites. Some strategies include using a DEET insect repellant on skin and clothes or staying in screened or air-conditioned areas, according to Johns Hopkins. This article originally appeared on USA TODAY: Dengue virus in Florida: 2 cases in Broward County prompt alert A judge on Wednesday sentenced a man to 11 years in prison for sexually assaulting a 7-year-old girl while the attack was livestreamed to a classroom of first and second graders during a remote learning class. Catrell Walls, 21, pleaded guilty to one felony sexual assault charge for the Oct. 15, 2020, attack. Walls appeared in court at the Leighton Criminal Court Building, speaking softly while answering questions from Cook County Judge Sophia Atcherson. As part of the agreement, prosecutors dropped two other felony counts, as well as an unrelated weapons case. The abuse, streamed online, happened during the height of the COVID-19 pandemic, as schools and workplaces adjusted to functioning in online spaces. Walls was seen online by a teacher at a Bronzeville charter school and other classmates abusing the girl, prosecutors said. Several students were heard asking, Whats going on, whats happening? It happened during a break from class, in which the teacher asked students to mute themselves and turn off their cameras, prosecutors said. Though the girl had muted herself, prosecutors said, she did not turn off the camera. Other students also did not turn off their cameras. When the teacher saw the attack, prosecutors said, she ordered the students to log off and told the girl to turn off her camera before calling the police and the principal. Walls at some point closed the girls laptop, prosecutors said. Officers went to the girls home and arrested Walls. When sentencing Walls, Atcherson said his attorneys presented significant mitigation during pretrial conferences. Atcherson noted that Walls would also have to register as a sex offender. mabuckley@chicagotribune.com Zaeveion Denson exits the courtroom in handcuffs after a jury returned a guilty verdict in his capital murder trial Tuesday, Aug. 15, 2023. A jury of 11 women and one man declared Zaeveion Zuiquae Denson guilty of capital murder in the shooting death of Carolyn High. Prosecutor Dobie Kosub praised the jurys choice. The incident occurred in January 2020, with Tuesdays verdict marking the completion of the first step in the resolution of Carolyn Highs death. Denson shot High while robbing her after he and three others decided High was a good target to rob, according to prosecutors. Prosecutor Dobie Kosub watches as Zaeveion Denson looks at post-trial paperwork Tuesday, Aug. 15, 2023. Closing arguments began at 9 a.m. Tuesday morning, and wrapped up shortly after 11 a.m. Jurors began deliberation then, and the jury discussed the case for a little over an hour before returning the guilty verdict. Capital murder carries a penalty of life without parole. However, because Denson was just 17 years old at the time of the shooting, he will be eligible for parole in 40 years. Defense attorney Gary Smart said it was hard to see Denson get such a long sentence at such a young age. Its a tragic deal when you have a 17-year-old kid thats involved in this. Unfortunately its reaching epidemic levels, so to speak, with the 17- and 18-years olds that are involved in these type of serious, serious crimes and facing a ton of time in the penitentiary, Smart said. Kosub said Texas state law required Denson be tried as a legal adult, and that the punishment was necessary. There is no discount for age. He was 17 years old at the time. He knew what he was doing, and it is our firm belief that he was absolutely guilty of this capital murder, therefore the prescribed punishment follows, Kosub said. The prosecution stressed to the jury that this is the first step in bringing justice to High, as three other men have been charged in her death. Shaundre Ransom, Shane Diaz and Jiovani Morales all face murder charges. Defense attorney Gary Smart finishes up post-trial paperwork Tuesday, Aug. 16, 2023. I know that complete justice is not found yet, Kosub said. He added that Morales would possibly be the next to go to court. Denson was indicted on charges of capital murder, felony murder and aggravated robbery. Defense attorney Gary Smart tried to sway the jury to convict Denson of aggravated robbery by casting doubt on whether he was the shooter and whether the testimony of the others involved, particularly Ransom, was trustworthy. Smart said it was difficult for either side of the case to be happy. The families for Denson is obviously not happy, but Im sure that Ms. Highs family is not happy either. Im sure that they may have a sense of justice from this case, but at the end of the day youve got losers on both sides, Smart said. Smart, a Tarrant County defense lawyer, added that despite the outcome of the case, the Wichita Falls community was welcoming. We also appreciate the citizens of Wichita County coming up here and serving on juries. And we were treated very, very well by everybody up here, Smart said, adding Wed also like to let the citizens of Wichita County know that we appreciate their accommodations for us up here. This article originally appeared on Wichita Falls Times Record News: Denson found guilty of capital murder From Denver, another tragic story about cops shooting and killing someone holding a "weapon" that turned out to actually be a harmless object. In this case, an officer with the Denver Police Department (DPD) shot and killed a man armed with a marker. The officer (whose name has not been released) said she believed that 36-year-old Brandon Cole had been holding a knife when she shot him on the evening of August 5. Now, the DPD has admitted that Cole was not holding a weapon after all. "Through the investigation, it was determined that the object Mr. Cole had in his hand during the interaction with the officers was a black marker," Denver Police Commander Matt Clark said at a Monday press conference. According to Clark, police were responding to a 911 caller who said they thought they saw a man push his wife out of a wheelchair. When two police officersone male and one femalearrived on the residential street where this had allegedly taken place, they found a woman sitting on the street nearby an empty wheelchair and observed a man "reaching into the driver's area of a vehicle." The female officer then noticed Cole holding "an object that she believed to be a knife," said Clark. Cole began moving toward her, prompting the male officer to use his Taser on him. But it "did not have an effect," said Clark, and Cole continued moving toward the female officer, making it within "several feet" of her. She fired twice, hitting Cole. Cole was taken to a hospital, where he was pronounced dead. Body cam footage shows a small child standing just behind Cole on the sidewalk when the officer shoots. "There just wasn't an opportunity to transition to a Taser," said Police Chief Ron Thomas. "When she finally deploys her duty weapon, the person is so close to her that her view of that young child and [another person behind Cole] are not even clear to her." The officer who shot Cole seems to face little repercussion for killing a man for holding a marker and endangering a small child. She will now "complete the department's reintegration program before returning to a patrol assignment," said Clark on Monday. Cole leaves behind three children, according to NBC News. FOLLOWUP A few takes on the latest Donald Trump indictment, in which the former president and 18 others are charged with partaking in a "criminal enterprise" in violation of Georgia's Racketeer Influenced and Corrupt Organizations (RICO) Act. The aim of this alleged enterprise "was to overturn Georgia's presidential election result," Fulton County District Attorney Fani Willis said Monday. "Georgia's RICO law, as interpreted by state courts, is even broader than the famously flexible federal version, covering many more 'predicate offenses,' defining 'enterprise' very loosely, and prescribing a weaker test for establishing a pattern of racketeering activity," notes Reason's Jacob Sullum: The indictment nevertheless hinges on debatable interpretations of specific conduct that Willis portrays as part of a criminal conspiracy but the defendants will characterize as legitimate efforts to rectify what they perceived as systematic election fraud. As with the federal indictment of Trump that was unsealed earlier this month, which covers much of the same territory, the choice between those dueling descriptions will depend largely on how a jury views each defendant's knowledge and intent. Some say that the more criminal charges people pile on Trump, the more success he's likely to have among conservative voters. But this may not be true: Do Trump's indictments increase his support among Republican primary voters? NO. Polls suggesting this use a bad question format. We asked better questions (about the documents indictment) and found that indictments hurt Trump a little bit. Preprint: https://t.co/rLMpCJn6ah pic.twitter.com/caW3G4B3Cp Matt Graham (@Matt__Graham) August 15, 2023 Clark Neily, senior vice president for legal studies at the Cato Institute, thinks the Georgia indictment is particularly bad news for Trump: Up until now, I've been hesitant to predict how the various prosecutions of Donald Trump are likely to turn out. But no longer. I believe yesterday's indictment in Georgia sealed Trump's fate, and it is now all but certain that he will be convicted of multiple felonies in one or more of the four pending cases against him. So does lawyer and conservative columnist Andrew C. McCarthy, who writes at the New York Post: [Willis] is running into the same complications that Biden Justice Department special counsel Jack Smith faces in the election-interference charges he has brought against Trump in Washington, DC, federal court. In fact, there is significant overlap in that case and Willis' the federal case includes the Georgia shenanigans but is broader because Trump's schemes went beyond Georgia, seeking to reverse election results in additional battleground states won by Biden. Smith's problem is that the federal penal statutes he has invoked relating to fraud, obstruction and civil rights do not clearly and narrowly target the kind of conduct in which Trump engaged. Unlike Smith, Willis can invoke laws that are specifically designed to deal with election-interference conduct of the kind Trump engaged in. This runs counter to suggestions made by the likes of Chris Christie and Asa Hutchinson, two of Trump's opponents for the GOP presidential nomination. "I think that this conduct is essentially covered by the federal indictment," Christie told Fox News. "Generally, state cases are deferential to the federal cases that have been brought," said Hutchinson, "and I think you can make the case that Georgia should have been deferential because there's overlap there as well, but it is what it is." Overall, Trump's 2024 opponents varied sharply in their reactions. "This is further evidence that Trump knew he lost the 2020 election and was ready to do anything it took to cling to power," said former Texas Rep. Will Hurd. Meanwhile, Vivek Ramaswamy called the indictments "politicized persecutions" and Florida Gov. Ron DeSantis called it another example of the "criminalization of politics." FREE MINDS "At the core of today's anti-racism is little more than a vibe shift." Anti-racists are overcorrecting, suggests Tyler Austin Harper at The Atlantic. "Until recently, calling attention to a stranger's race would have been considered a social faux pas," he writes. But in recent years, Harper has "grown used to" awkward comments about from well-meaning progressives about the fact the color of his skin: In their righteous crusade against the bad color-blindness of policies such as race-neutral college admissions, these contemporary anti-racists have also jettisoned the kind of good color-blindness that holds that we are more than our race, and that we should conduct our social life according to that idealized principle. Rather than balance a critique of color-blind law and policy with a continuing embrace of interpersonal color-blindness as a social etiquette, contemporary anti-racists throw the baby out with the bathwater. In place of the old color-blind ideal, they have foisted upon well-meaning white liberals a successor social etiquette predicated on the necessity of foregrounding racial difference rather than minimizing it. As a Black guy who grew up in a politically purple areawhere being a good person meant adhering to the kind of civil-rights-era color-blindness that is now passeI find this emergent anti-racist culture jarring. Many of my liberal friends and acquaintances now seem to believe that being a good person means constantly reminding Black people that you are aware of their Blackness. Difference, no longer to be politely ignored, is insisted upon at all times under the guise of acknowledging "positionality." Though I am rarely made to feel excessively aware of my race when hanging out with more conservative friends or visiting my hometown, in the more liberal social circles in which I typically travel, my race is constantly invoked"acknowledged" and "centered"by well-intentioned anti-racist "allies." My point is not that conservatives have better racial politicsthey do notbut rather that something about current progressive racial discourse has become warped and distorted. The anti-racist culture that is ascendant seems to me to have little to do with combatting structural racism or cultivating better relationships between white and Black Americans. And its rejection of color-blindness as a social ethos is not a new frontier of radical political action. No, at the core of today's anti-racism is little more than a vibe shifta soft matrix of conciliatory gestures and hip phraseology that give adherents the feeling that there has been a cultural change, when in fact we have merely put carpet over the rotting floorboards. More here. FREE MARKETS New York City targets landlords of unlicensed cannabis growers. "In the latest effort to stop unlicensed cannabis dispensaries from opening, city lawmakers went after the landlords, not the illegal operators themselves," reports NY 1. A law now, in effect, explicitly prohibits landlords from knowingly renting to illegal dispensaries that cannabis or tobacco products. But how does a landlord officially know their commercial tenant is selling marijuana without a license? From a letter following a raid from the sheriff's office or the NYPD. "The landlord gets a letter from the city saying you're renting to an entity that's conducting illegal business and we want you to evict them," Councilwoman Lynn Schulman, a Queens Democrat who was the prime sponsor of the law, said. Then, there is supposed to be a follow up. "When there's a re-inspection of that premises, they'll get another notice. After that, then they'll get fined," Schulman said. That fine $5,000 dollars for the first violation and $10,000 for subsequent violations. The fines go through the Office of Administrative Trials and Hearings. The move is similar to the way many cities target prostitution, with possible charges for people who rent to sex workers or allow their premises to be used for commercial sex. It's also part of what's best described as the "war on intermediaries." Cops, activists, and politicians have been targeting third partieslandlords, banks, tech platforms, hotelsthat they say should be responsible for stopping criminal use of their product or premises. QUICK HITS "Murder is almost certainly going to fall nationally in 2023 and it is increasingly looking like murder will fall at a level not seen in decades (if at all)," notes data analyst Jeff Asher. "Our YTD Murder Dashboard shows murder is down nearly 13 percent in now 114 cities with available data." The arbitrary ban on gun possession by drug users invites wildly uneven enforcement, writes Reason's Jacob Sullum. I'm participating tomorrow in a Cato Institute panel on fertility rates and pro-natalist policies; you can register to watch it live here. Philadelphia once led the nation in sentencing minors to life in prison without parole. Now it leads the country in letting them go: NEW: Philadelphia once sentenced more kids to life without parole than any other city. Since 2016, it has led the country in letting them go. For the @nytimes, I wrote about that transformation and the people at the center of the fight. Gift Link: https://t.co/FL0lFIj6uN pic.twitter.com/JWNk4rqSxm issie lapowsky (@issielapowsky) August 15, 2023 Florida prison officials let a man's prostate cancer progress until he was paralyzed and terminally ill. The post Denver Cop Kills Man Holding a Marker appeared first on Reason.com. A pair of Britain's loudest birds, the bittern, have bred in Derbyshire's wetlands for the first time on record. Volunteers repeatedly spotted a male and a female bittern flying near a presumed nest site at Willington Wetlands in 2022, an RSPB report said. The male's distinctive booming "foghorn" call was also recorded. Derbyshire Wildlife Trust said it was "thrilled" to have the birds return after the species almost disappeared from the UK twice. It is the first time the bird has been recorded in Derbyshire, according to the trust. Bitterns, a member of the heron family, are well-camouflaged wetland birds that hide in reed beds, but they were driven to extinction across the UK in the 1870s. A combination of hunting and draining of their wetland homes for agriculture led to their disappearance. They returned to Britain in the early 20th Century but numbers were very low when the first annual surveys began in 1990. In 1997, there were estimated to be just 11 males across the whole of the UK, which put them on the brink of a second national extinction. They have since made a recovery, with 228 males counted in the UK by the RSPB in 2021. Derbyshire Wildlife Trust said at its Willington Wetlands site, RSPB volunteers recorded one male bittern booming in 2022. The best way for conservation scientists to count bitterns is during the breeding season - by listening for the male's booming "foghorn" call, which can be heard three miles (5km) away. A male and female were also "repeatedly spotted flying to and from a presumed nest site, a positive sign that they were feeding nearby chicks", the trust reported. Henry Richards, from the trust, said: "We are absolutely thrilled to have bittern recorded booming and breeding here at Willington and hope that they will return to enjoy the reedbeds and resilient habitat that has been created for them." Follow BBC East Midlands on Facebook, Twitter, or Instagram. Send your story ideas to eastmidsnews@bbc.co.uk. Florida ethics chairman Glen Gilzean is seeking a legal opinion on whether he can continue on the government watchdog panel while also working as the administrator of Gov. Ron DeSantis Disney World oversight district. Gilzean asked the ethics commissions lawyers to provide an analysis by the close of business Wednesday, according to an email obtained through a public records request. The opinion was not available by Wednesday evening. State law says ethics commissioners cannot hold public employment. Gilzean was hired in May to lead the Central Florida Tourism Oversight District, drawing a $400,000-a-year salary from the special taxing district. Based on our conversations from a few months ago, I remained on the Commission on Ethics after starting employment with the Central Florida Tourism Oversight District, Gilzean wrote Monday in the email to Steven Zuikowski, the commissions general counsel. In an abundance of caution and in light of concerns that were raised today, will you please provide me a written legal opinion I dont want there to be any appearance of a conflict of interest. DeSantis Disney board chief faces scrutiny over ethics role The Florida Bulldog, an investigative news outlet, first reported on the potential conflict of interest. Gilzeans dual roles as ethics chairman and government administrator drew concerns from the Florida Center for Government Accountability. The arrangement constitutes an inherent conflict of interest and appears to violate the ethics commissions rules, said Michael Barfield, director of public access initiatives. Ben Wilcox, research director at Integrity Florida, reviewed the law and came to the same conclusion. It potentially could be a conflict of interest, he said. What if someone filed an ethics complaint against another member of that Disney board? That case would come before him. The Central Florida Tourism Oversight District, formerly known as the Reedy Creek Improvement District, oversees fire protection, roads and other government services for Disney World. The district, its public officers and employees are subject to Floridas code of ethics, according to the districts enabling act. Gilzeans employment agreement, which he attached to his email to the ethics lawyer, states that he can be terminated for violation of Floridas code of ethics. The states ethics commission, which Gilzean chairs, is tasked with investigating allegations of ethical violations involving public officials. DeSantis first appointed Gilzean to the ethics commission in August 2019. His present term expires in June 2024. The nine members of the ethics panel, who do not draw a salary, are appointed by the governor and leaders of the Florida Senate and House. Gilzean, a DeSantis ally, was named to the tourism district administrator post on May 10. The districts five board members, who approved the hiring, are appointed by the governor. Complaining "a lot of promises were made and broken" by Dover officials, Jon Filosa announced his resignation as the town's fire chief Tuesday during a meeting of the Board of Aldermen. "I am stepping down for a number of reasons," said Filosa, a 22-year volunteer who has served as chief for more than three years. "I have been put in a position where the officers and I have lost any opportunity to make improvements to the fire department." Those promises included a new fire engine and the hiring of two new paid firefighters, Filosa said. "I cannot run a department that needs improvements without the ability to make those improvements," he told the board. Jon Filosa resigned as Dover Fire Chief on August 16, 2023, but will remain a volunteer member of the squad. His comments seemed to be aimed directly at Administrator BettyLou DeCroce, the former District 26 state Assemblywoman hired in October by Mayor Carolyn Blackman. Blackman will leave office at the end of the year after losing the Democratic primary to former Mayor James Dodd. Dodd is the heavy favorite to win the general election given Dover's strong Democratic majority. "The administrator is more worried about the opinions of the winner of the primary election and taking financial advice from people that do not work for the town of Dover, or know the needs of the town," the former chief said. DeCroce, speaking during a discussion at the meeting that followed Filosa's resignation, said there was no money in the 2023 budget for a new fire engine. The price tag for the engine had risen significantly since it was discussed last year, and now would top $1 million, the administrator said. "The town can't afford it right now," DeCroce said. "It had to be taken out [of the budget]. We couldn't bond more than we were bonding." Firefighter ranks dwindling The town of more than 18,000 people operates a fire department with 14 paid (also called "career") firefighters and about seven active volunteers according to Filosa. They responded to more than 3,000 emergency calls in 2022, he said. Like many towns in New Jersey and across the U.S., the department's volunteer ranks have been dwindling. At its peak, about 22 volunteers served in town, Filosa recalled. But those numbers began to decline about five years ago. "Some towns, people can't wait to do it. Unfortunately, Dover is one of those towns where nobody's interested in volunteering for the fire department." Tragedy: Three homeless deaths in two weeks have Dover, Morris officials searching for solutions DeCroce said the town recently hired a firefighter to replace another who moved out of town. But she said budget constraints, again, would prevent another hire. "It's unfortunate that Chief Filosa had to resign," DeCroce said in an interview Wednesday. "The reasons he did aren't clear to me because since I've been administrator, I've done everything to try to work with him. But the people of Dover just could not afford a million-dollar fire truck this year. " She also intimated the town might refuse a pending federal grant to hire another new firefighter. The Staffing For Adequate Fire and Emergency Response program, also known as SAFER, a long-term commitment to the hire, meaning the town would have to pay for salary and benefits even after the three-year grant period expired, DeCroce said. Promises in dispute Speaking to the Daily Record after the meeting, Filosa disputed that the SAFER grants would require a commitment. He said he DeCroce stated last year that if SAFER grants did not cover the hires in 2022, the town would budget for them in 2023. "The one they hired doesn't count, because that was a replacement and there's money in the budget from that salary," Filosa said. "That's still leaving the career force short." A similar debate occurred in Bergen County last November, when Hackensack turned down $2.4 million in SAFER grants that would have provided for a dozen new firefighters, despite pleas from firefighters and lawmakers to accept the money. Officials said that Hackensack had mistakenly applied for a larger grant than intended and that the cost of salaries and benefits for a dozen new firefighters would become a burden on local taxpayers after the federal funding ran out. Hackensack later reapplied and was awarded $1 million in SAFER grants to fund five new firefighters. Extreme weather, low staffing: NJ firefighters face series of new challenges Back in Dover, Filosa also disputed the budgetary shortfalls preventing the town from purchasing a new engine. The vehicle is needed to shore up an aging fleet, he said. "In 2021, they put money aside. In 2022, they put more aside and this year I was supposed to get more money and combine all the money and purchase the truck," he said. "But they took so long getting the budget together that the price of the truck skyrocketed. The money was supposed to be in the capital bond ordinance." While DeCroce said the town doesn't have the money, Filosa said she was shortchanging the town to win the favor of Dodd, ahead of his expected victory in November. "We had a meeting on Thursday and she made the comment that if she went through with this, she would have a bull's-eye on her back with Jimmy Dodd," Filosa said. "My issue is he's not the mayor. He'll most likely be the mayor come January 1, but right now, he's not." "It saddens my heart this had to happen," Mayor Blackman said of Filosa's resignation. "I just wish that we could have worked out something better. I do hope somehow that we are able to get the fire department the equipment so they have what they need to run their day-to-day business of keeping us safe." Dover Fire Chief reads his statement of resignation during a meeting of the town Board of Aldermen on August 15, 2023. Former chief will stay as volunteer The former chief said he will remain a volunteer firefighter and answer calls just as before, only not as the head of the department. Town officials did not discuss replacing him at the meeting. "I can't walk away from it," he said. "The firehouse is my home away from home. I met my wife in the fire service because she was a firefighter until my daughter was born. I owe a lot to the fire service." Filosa said he felt he had to resign to "get their attention" and highlight the department's needs. "We need more firefighters, we need more career staff," he said. "I want to open people's eyes to what's happening and why it's happening, and at the end of the day, if people come to the next meeting and question it, I feel I've done my job." William Westhoven is a local reporter for DailyRecord.com. For unlimited access to the most important news from your local community, please subscribe or activate your digital account today. Email: wwesthoven@dailyrecord.com Twitter: @wwesthoven This article originally appeared on Morristown Daily Record: Dover NJ Fire Chief Filosa resigns over budget, staffing disputes Andrea Gonzalez now wears a bulletproof vest 24 hours a day. A week ago, her Construye party's presidential candidate in the Ecuadorean election this Sunday, Fernando Villavicencio, was shot three times in the head after a campaign rally in the capital, Quito. Ms Gonzalez, 36, will remain the party's candidate for vice-president, as the running mate of Christian Zurita. He is a journalist who has investigated corruption - as Fernando Villavicencio had. "I'm not letting Fernando's legacy die," Ms Gonzalez told the BBC's Newshour programme. "To me it's incredibly personal and hard to not be able to say goodbye to my friend. I'm wearing a bulletproof vest 24 hours [a day]," she said. Mr Villavicencio, 59, a journalist and member of Ecuador's national assembly, was shot as he left a campaign rally in the capital last Wednesday - 11 days before the presidential election. One attacker was killed in an exchange of fire with police, while several others escaped. His death shocked a nation that has largely escaped the decades of drug-gang violence, cartel wars and corruption that has blighted many of its neighbours. Crime has, however, shot up in recent years, fuelled by the growth of Colombian and Mexican drug cartels. Mr Villavicencio's campaign focused on corruption and gangs, and he was one of only a few candidates to allege links between organised crime and government officials in Ecuador. "We are at the brink of becoming a narco state," Ms Gonzalez said. "We are totally sure that this is a political assassination, more than the gangs and the organised crime. There's a political feeling in this, there's a political intention in this," she added. "Three days before the debate and Fernando clearly said he had very delicate information that was going to change the way these elections were turning. That information never got to light." Ms Gonzalez, whose career has mainly focused on environmental issues, said that these levels of violence had become normalised in Ecuadorean politics. Initially her party wanted her to succeed Mr Villavicencio as presidential candidate, but later party officials decided to keep her as running mate and chose Christian Zurita as the replacement. They feared she could have been disqualified, as she was already registered as vice-presidential candidate. As the ballot papers had already been printed, Fernando Villavicencio's name will remain on the ballot. Violence has not ceased since the attack on the candidate. Pedro Briones, a local leader of the left-wing Citizen Revolution Party in Esmeraldas, was shot dead by gunmen on a motorcycle at his home on Monday. "Any one of us is exposed to this level of violence," Ms Gonzalez said. "Taking your child to school is already a high risk. Every time you stop at a traffic light you are exposed to getting shot or having a bomb next to your car. "The level of violence that Ecuador is experiencing has never been seen before." But she says this will not stop her attempts to achieve what her mentor had dreamed of. "I feel a lot of weight on my shoulders," she said. Listen to the full interview on Newshour on BBC Sounds My first personal encounter with gun violence began, earlier this year, on a bright Sabbath morning when a few friends and I met at a single-wide house trailer in an older mobile home park. In the early morning hours of the previous day, the trailer home to four adults and five children as well as three cars parked outside, had been raked with 50 rounds from an assault-style rifle wielded by a drive-by shooter not yet 18 years old. We disposed of the blood-soaked linens from the bed of a hard-working restaurant employee, shot while asleep by someone he didnt know. We moved his bloody mattress to the trash; bullets that penetrated his neck, chest, and leg barely missed his spinal cord, heart, and major arteries but AR-15s were designed for soldiers to use to kill their enemies and the ammunition is designed to cause maximum damage to whatever it hits there was a lot of blood! We taped plastic over the shattered trailer and family vehicle windows and put duct-tape patches over the holes in the trailer siding. The storm door had been riddled by bullets, so we removed it and swept up the broken glass. The most difficult part, for me, was covering the half-dozen bullets embedded in the walls above the pull-out sofas where four young children and their teenage sister had been sleeping. Another bullet was embedded in the TV. I love these children very much and I know that if the shooter had swung his AR-15 just a bit lower. Column by Keel Hunt: Tennessee stumbles into the special session on gun safety Hear more Tennessee Voices: Get the weekly opinion newsletter for insightful and thought provoking columns. The gun deaths in Tennessee eclipse other countries' stats Scarcely a month later, I was sitting in my car at a red light on Hillsboro Road in Nashville when 10 police cars and two fire engines came screaming through the intersection. Once I crossed Hillsboro Road, I heard many more police and emergency vehicles pass by and I immediately sensed that something very bad had happened and that a school was probably involved. As officers responded to the Covenant campus, Hale fired on arriving police vehicles from a 2nd story window. Selfishly, perhaps, I thanked God that the police and emergency vehicles had not stopped at Hillsboro High School, where our goddaughter attends classes. They were headed, instead, to The Covenant School where six souls and their shooter lay dead beside an assault-style rifle. Gov. Bill Lees wife Maria Lee expected to be having dinner with one of The Covenant School victims on the evening of the shooting. Losing her friend to gun violence was probably not on her radar just as dealing with the emotional aftermath of two shootings wasnt on mine. Sadly, none of us are immune! Since The Covenant School shooting on March 27, gun violence has claimed the lives, every day, of approximately two victims in Tennessee and another four victims have been injured. During the first six months of 2023, 297 victims died by gun violence in Tennessee, which exactly the same amount that died in gun-related homicides in all Canada in all of 2021. England and Wales, which have a combined population 10 times Tennessees, only recorded 28 gun related homicides in the reporting year ending in March, 2022. Sign up for Latino Tennessee Voices newsletter:Read compelling stories for and with the Latino community in Tennessee. Sign up for Black Tennessee Voices newsletter:Read compelling columns by Black writers from across Tennessee. How long until lawmakers are willing to act? After the Covenant School shooting, Gov. Lee called for an August special legislative session on public safety, but some Tennessee state legislators and pundits are already predicting that the session will be a waste of time and taxpayer dollars. When will Tennessee begin to take concrete steps to curb gun violence? How many more blood-soaked mattresses will be thrown in the trash in the meantime? How many rolls of tape will be needed to cover bullet holes? Gerald Kirksey How many more children will watch their classmates literally explode as assault weapon bullets strike their little bodies before legislators are willing to act? Gerald Kirksey is a retired Nashville attorney. He volunteers at several nonprofits including the James Lawson Institute for the Research and Study of Nonviolent Movements at Vanderbilt University. This article originally appeared on Nashville Tennessean: When will enough be enough gun violence for Tennessee legislators? The town of Goodhue, Minnesota, will soon be without local law enforcement after its police force resigned last week, citing low pay. Police Chief Josh Smith resigned at a City Council meeting on Aug. 9. One other full-time officer and five part-time employees resigned on Aug. 11 after hearing that Smith quit, according to the Minneapolis Star Tribune. The last day for Smith and the other full-time officer is Aug. 23, at which point the council will seek extra enforcement from the Goodhue County Sheriff's Office. "This is heartbreaking to us," said Goodhue Mayor Ellen Anderson Buck at an emergency City Council meeting Monday night. Anderson Buck said at the meeting that the resignations took city officials by surprise. The council gave officers a 5% pay increase and Smith a $13,000 raise earlier this year, according to the Minneapolis Star Tribune, but Goodhue officers were still paid lower than officers in comparable communities. More Minnesota news: Investigators identify Minnesota trooper who killed Black driver, activists call for charges $22 an hour won't attract officers, chief warned Smith warned the council of concerns over pay and recruiting at a July 26 meeting, two weeks before he resigned. "Not that I'm leaving you guys, because I told you I'm in it," he said at the meeting. "But the harsh reality is, I don't want to be the guy working 80 hours a week just running this PD, being on call 24 hours a day, which I already am, and leaving no time for my family." Smith said at the meeting that the city wasn't offering enough money to retain officers. He said other communities and metro areas were offering better pay. "Right now ... trying to hire at $22 an hour, you're never going to see another person again walk through those doors," Smith told the council. He also told the council smaller departments were offering at least $30 an hour. The council seemingly agreed on rebuilding the city's police force rather than permanently contracting with the Goodhue County Sheriff's Office, although it is unclear how long that would take. "They provided excellent safety and security to our community. We want that back," said council member Chris Schmit. According to the Star Tribune, Goodhue is the latest small Minnesota town struggling to keep up with public safety demands amid increasing budget costs and an ongoing shortage of officers throughout the state. Officials in Morris, Minnesota, disbanded the city's police department last year after a turbulent few months during which the police department was whittled to just two officers, including the chief, the Star Tribune reported. The city contracted with the Stevens County Sheriff's Office for law enforcement services. This article originally appeared on USA TODAY: Goodhue, Minnesota's entire police department resigns over salaries NEW PHILADELPHIA Dover Chemical Corp. is asking Judge Elizabeth Lehigh Thomakos to sanction the city of Dover, alleging it failed to produce key documents in the company's lawsuit against the city and over Law Director Doug O'Meara's conduct during a recent deposition in the case. The motion is part of the legal dispute between the city and the company over services provided by Dover Light & Power to the manufacturer. The suit was filed in February 2021. Timothy Rudd, left, an attorney representing Dover Chemical Corporation addresses the court as Halden R. Schwallie, representing the City of Dover, takes notes during a hearing in the ongoing lawsuit between the two parties, Monday, Aug. 14 in Tuscarawas County Court of common pleas. The company claims the city failed to produce a letter from O'Meara to council members in 2020 in which he said that employees of the Electric Field Division had been maintaining and upgrading the lines and equipment inside the plant, in violation of an ordinance passed by council in 1975.' He estimated Dover Chemical had received about $2.2 million in free uncompensated services over the space of 44 years. That letter led council to place a surcharge on electricity used by Dover Chemical to recoup the $2.2 million. Dover Chemical's suit seeks to block that surcharge. Switch to AEP: Mayor: Dover Chemical loss won't raise Dover residential electricity rates Since filing the suit, the company has switched electric providers to AEP Ohio. City cited attorney-client privilege in denying request for letter In its motion, Dover Chemical said it asked for a copy of O'Meara's letter but did not receive it because of attorney-client privilege. However, the same letter was quoted in an article in The T-R on Sept. 10, 2020. Dover Chemical eventually received a copy of the letter on June 30 of this year. More: on suit Installation of electrical equipment at Dover Chemical 'not fair,' then-mayor wrote in '03 The company is also alleging that O'Meara derailed Dover Chemical's first attempt to depose him in the case on June 30 "with his evasiveness, gamesmanship and eavesdropping on DCC's counsel." The motion says that during the deposition, O'Meara spent nine minutes and 16 seconds examining the letter he sent to city council in 2020 before acknowledging that he was familiar with it. The motion also alleges that the law director had been surreptitiously recording the deposition and left his telephone recording in the presence of Dover Chemical's lawyers when he and the city's attorneys left the room. O'Meara did not respond to a request for comment. More on surcharge: Dover council considers surcharge on electric bill for Dover Chemical In seeking sanctions against the city, Dover Chemical says that it will have to depose Mayor Shane Gunnoe a second time because the company's lawyers did not have a copy of the 2020 letter when Gunnoe was deposed on June 29. The company also says that O'Meara's deposition the following day "was nothing less than a waste of everyone's time" because of his behavior. The company is asking Thomakos to order the city to pay Dover Chemical's cost in filing the motion, deposing Gunnoe a second time, the deposition of the law director and "any other sanctions that the court deems just and appropriate." A hearing on this motion is scheduled for Sept. 18. Judge has been asked to review documents On Tuesday, Thomakos heard arguments from lawyers for the two sides over a motion by Dover Chemical requesting the judge to examine all documents that the city has deemed attorney-client privilege to see if they are related to issues brought up in the 2020 letter from the law director. "The law is crystal clear that the production of a privileged document to a third party waives the privilege as to that document," said Timothy Rudd, attorney for Dover Chemical. "The law is also crystal clear that production of a document withheld on the basis of attorney-client privilege also waives privilege as to any other communications on the same subject matter. So, the question then becomes which other communications, also withheld on the basis of attorney-client privilege, are on the same subject matter." The city's attorney, Halden R Schwallie, argued that a review of the documents was unnecessary. He said it would be a huge waste of the court's time to examine the documents, which he estimated could amount to thousands of pages. "We've already remedied any error regarding Mr. O'Meara's emails. Dover Chemical has everything now," he said. Thomakos took the motion under advisement. 'This case has gone on and on' The judge also warned the attorneys about any further delays in bringing the case to trial. The case was delayed in 2021 when Thomakos denied a motion by the city of Dover to dismiss the suit. The city appealed to the Fifth District Court of Appeals, which upheld Thomakos' decision. The case is now scheduled for a bench trial on Jan. 24, but on July 11 both sides filed a joint motion asking for a later trial date. "I know it's a joint motion, and usually that's a no-brainer, except that this case has gone on and on," Thomakos told them. She said she would like the case to be scheduled for April or May 2024, based on the attorneys' availability. "Then if I am sitting here dancing with your calendars and suddenly, we're looking at August, I'm not going to be happy about that," she said. Reach Jon at 330-364-8415 or at jon.baker@timesreporter.com. This article originally appeared on The Times-Reporter: Dover Chemical files motion seeking sanctions against City of Dover North Korea has detained a U.S. soldier from Wisconsin for crossing its borders without authorization. After 23-year-old Travis King was released from a South Korean prison, he made his way to North Korea. Here is what is known about King and the situation so far. American soldier Travis King was returning to the U.S. to be released from the Army when he "willfully and without authorization" ran into North Korea. Who is private Travis King? U.S. Army Pvt. 2nd Class Travis King is a 23-year-old cavalry scout with the 1st Armored Division. USA Today reported that King joined the Army in January 2021. King graduated from Park High School in Racine in 2020, and has family who live in Racine, including his mother. King's maternal grandfather, Carl Gates, said his grandson joined the Army because he "wanted to do better for himself," and he was drawn to service because he has a brother who is a police officer and a cousin in the Navy, the Milwaukee Journal Sentinel reported. What happened to Travis King before he was detained in North Korea? Prior to entering North Korea, King served a 47-day sentence in a South Korean prison for assault after he allegedly kicked and damaged a South Korean squad car. King was released on July 10 and was due to be sent home to Fort Bliss, Texas. There, he could have faced additional military discipline and discharge from the service. Kings stint in prison was not the first time he faced legal trouble in South Korea. In February, a court fined him 5 million won ($3,950) after he was convicted of assaulting an unidentified person and damaging a police vehicle in Seoul last October, according to a transcript of the verdict obtained by The Associated Press. The ruling said King had also been accused of punching a 23-year-old man at a Seoul nightclub, though the court dismissed that charge because the victim didnt want King to be punished. A group of tourists stand near a border station at Panmunjom in the Demilitarized Zone in Paju, South Korea, Tuesday, July 18, 2023. Not long after this photo was taken, Travis King, a U.S. soldier, bolted across the border and became the first known American detained in the North in nearly five years. How did Travis King get into North Korea? Upon his release from prison, King was escorted as far as customs but left the airport before boarding his plane. Instead, the following day, King joined a tour in the border village of Panmunjom, inside the heavily fortified Demilitarized Zone, which separates North and South Korea. During the tour, King was seen running across the border. One woman who was on the tour with King said she initially thought this was some kind of stunt and that she and others in the group couldnt believe what happened. According to USA Today, King was last seen entering a van and being whisked away by officials from North Korea, U.S. officials said. It's not clear how King spent the hours before joining the Panmunjom tour. The Army released his name and limited information after Kings family was notified. What is the U.S. government saying about Travis King's detention in North Korea? United Nations Command said Tuesday that King was in North Korean custody and it was working to "resolve the incident," USA Today reported. White House press secretary Karine Jean-Pierre said the U.S. government was working with its North Korean counterparts to "resolve this incident." North Korea has not acknowledged or commented on the situation. U.S. Army Pvt. Travis King's home in Racine. What is Travis King's family saying about his detention in North Korea? Army Col. Isaac Taylor said Tuesday that a U.S. service member "willfully and without authorization" crossed into North Korea. However, King's mother, Claudine Gates, told ABC News she couldn't see her son intentionally entering North Korean territory. On Wednesday, Claudine Gates made a plea for King's safe return from her porch in Racine. King's grandfather, Carl Gates, said he hoped his grandson could come home and receive help. PANMUNJOM, SOUTH KOREA - FEBRUARY 07: South Korean soldiers patrol the road connecting South and North Korea at the Unification Bridge near the Demilitarized Zone (DMZ) near Panmunjom, South Korea. Are people allowed to leave North Korea? North Korea is one of the most heavily restricted countries in the world. In October, the U.S. Department of State reissued a Level 4 travel advisory, telling U.S. citizens not to travel to North Korea. The Department of State warns: "Do not travel to North Korea due to the continuing serious risk of arrest and long-term detention of U.S. nationals. Exercise increased caution to North Korea due to the critical threat of wrongful detention." According to the organization Human Rights Watch, North Korea's authoritarian regime maintains tight control over the country's citizens "through threats of execution, imprisonment, enforced disappearances, and forced hard labor in detention and prison camps." The border between North and South Korea is one of the most heavily fortified in the world. It runs for about 150 miles and divides the Korean Peninsula roughly in half along the "38th parallel" the cease-fire line of demarcation between the two nations that has existed since the end of the Korean War in 1953, USA Today reported. However, Bruce Klingner, a former CIA deputy division chief for South Korea, told USA Today that it is relatively easy to cross from South Korea into North Korea via the so-called Joint Security Area of the Demilitarized Zone which is where King crossed because there's no formal barrier, and the border consists of a line of raised concrete blocks. Hundreds of North Koreans attempt to flee to South Korea each year, where they seek better economic opportunities and an escape from political oppression and famine. But cases of defections across the demilitarized zone are extremely rare and even rarer for Americans and South Koreans going the other way, USA Today reported. American student Otto Warmbier, center, is escorted at the Supreme Court in Pyongyang, North Korea, Wednesday, March 16, 2016. North Korea's highest court sentenced Warmbier, a 21-year-old University of Virginia undergraduate student, from Wyoming, Ohio, to 15 years in prison with hard labor on Wednesday for subversion. He allegedly attempted to steal a propaganda banner from a restricted area of his hotel at the request of an acquaintance who wanted to hang it in her church. Have other Americans been held captive in North Korea? Yes, but King is the first American known to have been held in North Korea in almost five years. In recent years, some American civilians have been arrested in North Korea on allegations of espionage, subversion and other anti-state acts, but were released after the U.S. sent high-profile missions to secure their freedom. In May 2018, North Korea released three American detainees who returned to the United States on a plane with then-Secretary of State Mike Pompeo during a short period of warm relations. Later in 2018, North Korea said it expelled American Bruce Byron Lowrance. Since his deportation, there have been no reports of other Americans detained in North Korea before Tuesday. Those releases stood in striking contrast to the fate of Otto Warmbier, an American college student who died in 2017, days after he was released by North Korea in a coma following 17 months in captivity. His parents said he had been tortured and suffered brain damage. Will Travis King be released from North Korea? King's detention comes at a time of elevated animosity between the U.S. and North Korea. On July 19, North Korea test-fired two ballistic missiles into the sea in an apparent protest of the deployment of a U.S. nuclear-armed submarine in South Korea for the first time in decades. Tae Yongho, a former minister at the North Korean Embassy in London, said North Korea is likely pleased to have "an opportunity to get the U.S. to lose its face" after the submarine arrived in South Korea. Tae, now a South Korean lawmaker, said North Korea was unlikely to return King easily because he is a soldier from a nation technically at war with North Korea, and he voluntarily went to the North. USA Today and Journal Sentinel reporters Bill Glauber and Drake Bentley contributed to this report. This article originally appeared on Milwaukee Journal Sentinel: US soldier Travis King detained in North Korea. Here's what we know. Tim Mapes, former chief of staff to House Speaker Michael Madigan, arrives at the Dirksen U.S. Courthouse in Chicago on Aug. 9, 2023. (Antonio Perez/Chicago Tribune) After five days of testimony featuring multiple layers of political intrigue, the perjury trial of Tim Mapes on Wednesday finally got to the rather simple allegations at the heart of the case: his alleged lies to a federal grand jury investigating his former boss, Democratic House Speaker Michael Madigan. In a nearly 90-minute segment of Mapes grand jury testimony played in court, Mapes repeatedly claimed to have no recollection of assignments or other roles that the speakers longtime confidant, Michael McClain, played in the organization. Even though Mapes, who served as Madigans chief of staff and executive director of the state Democratic Party, was known for his meticulous, details-driven style, he couldnt remember talking to McClain about the transfer of a parcel of land in Chinatown at the heart of the investigation. He seemed clueless as to how his name came up for a potential lobbying job with ComEd. And he had only a vague recollection of whom he may have talked to about a bizarre coffee klatch with the FBI at a Springfield cafe in January 2019. Mapes continued to say he couldnt recall specifics even after a prosecutor repeatedly warned him that he could be charged with perjury if the grand jury had reason to believe he actually did remember. So there is no doubt in your mind that you could be prosecuted for perjury if the grand jury concludes that when you fail to recall something or you say I dont remember something, if they conclude that you are lying? Assistant U.S. Attorney Amarjeet Bhachu asked at one point during the March 31, 2021, session. Yes, sir, Mapes replied. Proving someone is lying about their memory can be tricky. In Mapes case, however, prosecutors followed up the grand jury statements with wiretapped recordings of Mapes talking directly with McClain about assignments hed received from the speaker including Chinatown as well as how hed talked directly to Madigans chief criminal defense attorney about the encounter with the FBI. He was a little surprised that they were doing this in Springfield, Mapes said on the Feb. 15, 2019, call. So, um, anyways, Im reporting back in. Mapes, 68, of Springfield, is charged with perjury and attempted obstruction of justice, accused in an indictment of lying in his answers to seven questions during his grand jury appearance. The latter charge calls for up to 20 years in federal prison, while lying to a grand jury carries a five-year maximum prison sentence. Mapes has denied making any false statements, and his attorneys have argued that he did his level best to provide truthful answers. They also accused prosecutors of asking open-ended questions and failing to provide Mapes with any corroborating materials that might refresh his recollection of years-old conversations. Either way, Mapes allegedly misleading statements had little effect, as Madigan and McClain were both indicted on racketeering charges last year alleging Madigan was at the top of a criminal enterprise aimed at enriching him and his cronies and maintaining his nearly unfettered political power. McClain is also awaiting sentencing for his conviction on related charges that he participated in a scheme by Commonwealth Edison to funnel hundreds of thousands of dollars in payments to Madigan associates in order to win the speakers support for the utilitys legislative agenda in Springfield. While the indictment against Mapes may be relatively simple, the political intrigue surrounding his trial has so far been multilayered. The theater continued Wednesday as prosecutors, in an effort to prove that Mapes was lying about his lack of recall, took the jury on a deep dive into a series of secretly recorded phone calls and meetings between Madigan and members of his inner circle. In one call from June 21, 2018, McClain worried aloud of a Keystone Cops atmosphere in the Madigan-run state Democratic Party given Mapes sudden ouster that month from Madigans political and government operations over sexual harassment and bullying allegations made by a staffer. The upheaval took place in the midst of the campaign pitting Republican Gov. Bruce Rauner against Democrat J.B. Pritzker, and Mapes and McClain were clearly worried on the call about the upcoming election as well as Madigans political and physical well-being. He looks exhausted, Tim, McClain said. Mapes replied that Madigan had lost a lot of weight. Hes gotta be exhausted, Mapes said. The detailed discussion also included talk about how to fill in new Democratic loyalists taking over fundraising tasks for House Democratic races. McClain told Mapes that the wheels had come off the fundraising cart after he left, particularly because Mapes was the keeper of a comprehensive fundraising Excel list that they relied on during campaign season. I have it on a thumb drive, Mapes said, laughing. I carry it with me all the time. Oh do you? Thats pretty cute, McClain replied. The two also talked about the decline of Madigans 13th Ward power base, worrying specifically about Chicago Ald. Marty Quinn, one of Madigans closest allies, and whether hed be able to send his kids to college. Once Madigan is gone, Marty gets defeated by the Latinos in the next election, McClain told Mapes, who agreed. Im not anti, I just think he should be taken care of, or find where hes going to land, Mapes said about Quinn. Hes got three girls to get to college. Quinn has since been reelected twice, in 2019 and in a newly drawn district earlier this year. Prosecutors also played a separate wiretap in which McClain and Mapes went into intricate details of multiple House maneuvers and how to set up House Democratic committees for Madigan months after Mapes departure a direct attempt to undermine Mapes grand jury testimony that he had nothing to do with the House after Madigan shut him out. The series of one-on-one calls between Mapes and McClain gave prosecutors fodder to undermine Mapes argument that he didnt know about McClain performing assignments for Madigan as well as numerous times in which he gave vague answers that all but defied common knowledge in Springfield. Late Wednesday, the prosecution called former state Rep. Lou Lang, a longtime member of Madigans leadership team who knew all three men. It was fairly well known around the Capitol that Mr. McClain, aside from his lobbying duties, because he was a professional lobbyist, contacted people on the speakers behalf, said Lang, who was given a non-target letter by prosecutors in advance of his testimony. Lang also said the speaker relied on Mr. Mapes for all things. I know youve heard the phrase he kept the trains running on time and thats what he did, Lang said. Asked by Assistant U.S. Attorney Julia Schwartz what Mapes attention to detail was like, Lang said, Meticulous. Rep. Lou Lang, D-Skokie, shown in 2014, sponsored a bill that passed the Illinois House on May 27, 2015, aimed at curbing heroin use. Lang was forced to resign at Michael Madigans behest in 2018. (Abel Uribe, Chicago Tribune) Prosecutors on Thursday are expected to play calls from May 2018 where Mapes and McClain discuss Langs alleged harassment of a female activist. Let me put you on with the boss. OK? Mapes told McClain, according to court records detailing the conversation. So youre going to inform him what you know and go from there. Prosecutors wrote in a recent court filing the episode demonstrates as clear as day that Mapes knew McClain communicated with Madigan in 2018, because Mapes sets up that conversation. [ Tim Mapes perjury trial: Evidence seen and heard by the jury ] Just days after the call, Mapes lost his public positions as Madigans chief of staff and House clerk when a staffer accused him of harassment over several years and fostering a culture of sexism, harassment and bullying that creates an extremely difficult working environment. [ Former top Michael Madigan aide on trial: What you need to know and whats next for Tim Mapes ] Lang is the latest in a string of former Madigan insiders to testify against Mapes including Madigans former political director, Will Cousineau, and state Rep. Bob Rita, a Blue Island Democrat who told the jury last week about Mapes allegedly close relationship with McClain. From right, Will Cousineau, a former top aide to House Speaker Michael Madigan, arrives at the Dirksen U.S. Courthouse on Aug. 15, 2023. (Armando L. Sanchez/Chicago Tribune) One of the recorded conversations played Wednesday captured McClain telling Mapes about Madigan getting upset at a legislative inspector general report looking into allegations that Rita had put up a phony candidate in a campaign to help increase his chances of winning. McClain told Mapes on the call that Madigan just blasts the hell out of it because the inspector generals role should be focused on a House lawmakers legislative activities rather than campaign issues. The issue may have held particular resonance with Madigan at that time because he was involved in a federal lawsuit that alleged he put up sham candidates in his 2016 primary. He eventually won the case. On top of the wiretaps, the playing of Mapes March 2021 testimony before the grand jury has offered a rare public glimpse into normally secret proceedings and lifted the veil on a key manner in which large-scale criminal investigations proceed. And given that Mapes was a key member of Madigans inner circle who for years served as the speakers borderline-tyrannical gatekeeper, what he had to say in his grand jury testimony has been of keen interest to many Illinois power brokers. The segment played for the jury on Wednesday included all seven of the answers that are alleged in the indictment to be lies. One came after Bhachu asked Mapes, Do you recall anyone ever describing any work or assignments McClain was performing on Madigans behalf? I dont recall that that I would have been part of any of that dialogue, Mapes answered. I dont know why I would be. Later, Bhachu stressed that investigators were particularly interested in any jobs, whether paid or unpaid, that McClain did for the speaker after he retired from lobbying in 2016. Mapes again insisted he knew nothing about it, and had no idea who else might have such information. I dont know who you would go to other than Madigan and McClain, Mapes said. Madigan, if he had people do things for him like I did things for him, was, (he) didnt distribute information freely. The answer is yes or no to that question, Bhachu said testily. Do you recall? No, I dont recall any of that, Mapes replied. Before the grand jury, Mapes was also asked about the ouster of Madigan lieutenant Kevin Quinn, the brother of Ald. Quinn, who was accused of sending campaign worker Alaina Hampton a relentless string of text messages in which he sought to go out with her, telling her in one message that he saw her in a bikini on a Facebook post and thought she looked smoking hot. The Tribune first reported the extent of the scandal in February 2018. Hampton then held a news conference and accused Madigan and his team of blackballing her from his organization for calling out Kevin Quinn. She later settled a lawsuit with Madigan-controlled political funds and still works on her own as a campaign operative. The Hampton scandal became the flashpoint for Madigan because the speaker wound up separating himself from a series of misbehaving allies as 2018 became a year of reckoning for the #MeToo movement in Springfield. Prosecutors introduced evidence of numerous discussions involving Mapes, McClain and others to address how to protect Madigan from losing his speakership over his handling of sexual harassment and the mistreatment of women in Springfield. Despite the intensity of the sexual harassment issue, Mapes gave a relatively vanilla explanation when asked in the grand jury about the circumstances of Kevin Quinns ouster. A former campaign operative ... was making allegations that he had texted her profusely a number of times and she filed a complaint, Mapes testified. When asked, he said he couldnt remember Hamptons name. Earlier Wednesday, Cousineau choked up in his second day on the stand when Mapes attorney Andrew Porter asked how difficult it was on Mapes when he abruptly departed from Madigans office. Do you believe that leaving was hard on him? Porter asked. I would agree with that, yes, Cousineau said in a voice barely above a whisper. Cousineau had also become emotional on Tuesday when asked about Mapes remembering to reach out to him on his birthday and anniversary. Porter leaned on the side of a podium in front of the witness stand as he asked Cousineau whether he believed Mapes was honest. Generally, when I worked for him, I felt he was honest during that time, Cousineau said. Assistant U.S. Attorney Diane MacArthur countered with a question that zeroed in on the perjury allegation, asking whether Cousineau had been present in the grand jury hearing when Mapes allegedly lied. I was not, Cousineau replied. jmeisner@chicagotribune.com Harry Sidhu resigned as mayor of Anaheim last year shortly after an FBI affidavit accused him of bribery, fraud, obstruction of justice and witness tampering. (Los Angeles Times) Former Anaheim Mayor Harry Sidhu, who championed the sale of Angel Stadium to a company controlled by the team's owner, has agreed to plead guilty to federal charges stemming from the since-scuttled deal. The charges against Sidhu in a plea agreement filed Wednesday in U.S. District Court in Santa Ana include lying to FBI agents about not expecting to receive anything from the Angels when the transaction closed secret recordings captured him saying he hoped to secure a $1-million campaign contribution and destroying an email in which he provided confidential information about the citys negotiations to a team consultant. The agreement offers an extraordinary look inside Sidhus years-long efforts to sell the stadium for $320 million that attracted local controversy and, eventually, the attention of the FBI. The efforts extended to a mock City Council meeting scheduled for September 2020 in advance of a real meeting about the sale to rehearse talking points, the agreement said, that was to include Sidhu, two unnamed City Council members, an Angels consultant, the team president, a team attorney and the then-president of the Anaheim Chamber of Commerce. An email from the Angels consultant to Sidhu, Anaheim's chief communications officer, Angels executives and others set a detailed agenda: "We will run through a mock Council session straight through one time at the start to identify pitfalls and areas of vulnerability." The email noted that "[Angels] team available to help develop zingers, responses and other points to improve performance" between mock sessions. The roles for each participant in the highly choreographed undertaking were outlined in the email. The city's mayor pro tem, for example, would play himself, focus on benefits such as parks, a grocery store and "Angels charity." Sidhu would play himself, preside over the meeting and be "expected to be a strong defender of the deal and know its terms, at least at the policy level, well," the email said. Though it doesn't identify the Angels consultant by name, details in the agreement and an FBI affidavit filed last year match prominent local lobbyist Jeff Flint. He didn't immediately respond to a request for comment. Read more: A politicians downfall reveals a Disney exec and a secret cabals power over Anaheim Sidhu, who pledged to "make Anaheim shine again" after being elected in 2018, resigned after the FBI's sprawling public corruption investigation into Anaheim became public. At the time, he denied doing anything wrong. Now, he will plead guilty to obstruction of justice, wire fraud and two counts of making false statements. Mr. Sidhu was elected by and pledged to work for the residents of Anaheim, but he violated that pledge and their trust on numerous occasions to look out for special interests, Donald Alway, assistant director in charge of the FBIs Los Angeles field office, said in a statement. An Angels spokesperson said, "It is important to note both the Plea Agreement along with the City's investigation showed no evidence of any wrongdoing by the Angels Organization." The investigation surfaced in May 2022 when an Orange County Superior Court judge granted the state attorney generals request to halt the sale of Angel Stadium and surrounding parking lots to the company controlled by Angels owner Arte Moreno. The attorney general's request included an affidavit for a federal search warrant completed by FBI Special Agent Brian Adkins alleging Sidhu, then the mayor, illustrated his intent to solicit campaign contributions, in the amount of $1,000,000 in exchange for performing official acts intended to finalize the stadium sale for the Angels." During a meeting in December 2021 secretly recorded for the FBI by former Anaheim Chamber of Commerce President Todd Ament, the mayor discussed his upcoming reelection bid as well as the Angels: Well push for them at least half a million dollars. You know, for [Angels Representative 1] to say 'no' is bad. In a January 2022 conversation recorded by the FBI, Sihdu mentioned a million: "Because I am hoping to get at least a million ... I'm going to be pushing for it. [Angels representative] actually asked me. He said, 'What can I do for your election?' I said, 'Let me finish your deal first, and then we'll talk about that.'" When FBI agents interviewed Sidhu on May 12, 2022, the agreement said, he "falsely stated" that he expected "nothing" from the Angels after the stadium deal was completed, that he did not conduct city business from his personal email and that "he did not recall ever providing information about the Stadium sale to the Angels consultant during negotiations over that sale." Read more: An FBI corruption probe revealed who really runs Anaheim. Read our full coverage "Defendant knowingly and willfully made these false statements with knowledge both that the statements were untrue and that his conduct was unlawful," the plea agreement said. The plea agreement said Sidhu destroyed emails related to the stadium sale. They include one sent from his personal email account to the Angels consultant and the former head of the Anaheim Chamber of Commerce in July 2020 with an attached document that contained "confidential negotiation information related to the potential sale of the stadium, discussion of issues related to price and other terms of the sale." "Defendant was using the Angels consultant and [the former Anaheim Chamber of Commerce president] to provide that confidential inside information to the Angels so that the Angels could use that information in the negotiations with the City to purchase Angel Stadium on terms beneficial to the Angels," the plea agreement said. In 2019, the agreement said, Sidhu had given a confidential appraisal range for the stadium to Ament, the chamber's head, to give to the Angels before the appraisal was made public. Two of the counts against Sidhu false statements and wire fraud are related to his purchase of a helicopter in October 2020. According to the plea agreement, Sidhu registered the helicopter at an Arizona address, despite residing in Anaheim, to avoid paying more than $15,000 in California sales tax. The agreement marks the latest chapter in the wide-ranging federal corruption investigation that pulled back the curtain on a self-described cabal that federal officials allege tightly controlled Anaheim's government. Leaders of the secretive group include Ament, Flint and, to some extent, Disneylands director of external affairs, according to federal affidavits. Ament cooperated with authorities and pleaded guilty last year to multiple felonies, including wire fraud, making a false statement to a financial institution and subscribing to a false tax return. Melahat Rafiei, a former state Democratic Party official and campaign consultant, pleaded guilty in April to one count of attempted wire fraud. Neither has been sentenced. Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. NEW YORK Former chief of the FBIs New York City counterintelligence division Charlie McGonigal pleaded guilty in Manhattan Tuesday to helping a sanctioned Russian oligarch find dirt on his enemies after his retirement. The former special agent in charge, who retired from the FBI in 2018 after a two-decade career that included Russian foreign counterintelligence work and investigating oligarchs, was arrested in January on charges in New York and Washington, D.C., alleging he worked for Russian President Vladimir Putin associate and aluminum tycoon Oleg Deripaska after his retirement. The feds said McGonigal attempted to remove Deripaskas sanctions and probed one of his Russian rivals in exchange for secret payments. Deripaska was featured in Special Counsel Robert Muellers election interference report. Per the terms of his plea agreement, McGonigal was permitted to cop to one count of conspiring to violate the International Emergency Economic Powers Act, a 1977 law the U.S. uses to sanction foreign countries. He faced five counts upon his arrest. The veteran special agent, his voice cracking, asked U.S. District Judge Jennifer Rearden if he could stand in court to admit to his crimes between spring to November 2021, for which he said he was deeply remorseful. Theyre my words in totality, your honor, he said. About three years after my retirement from the FBI, while I was living in Manhattan, I agreed with another party to collect open source derogatory information about a Russian oligarch named Vladimir Potanin, on Deripaskas behalf, McGonigal said. I knew Deripaska had been put on a sanctions list by the U.S. government, he said. I understood that the work I was collecting would provide some benefit to Deripaska, and ultimately would be used to get Potanin a former first deputy of Russia last year estimated to be the countrys richest man with a net worth of $23.7 billion on the U.S. sanctions list. The disgraced FBI chief said he received $17,500 laundered through two corporations not registered to him to make it difficult to attribute the source of the payments to Deripaska. I take full responsibility as my actions were never intended to hurt the United States, the FBI and my family and friends, McGonigal said. Manhattan Assistant U.S. Attorney Rebecca Dell said had McGonigal proceeded to trial, prosecutors would have presented evidence of his contract with Deripaska and a trove of photographic evidence and banking records. Dell said investigators had messages between October and November 2021 showing McGonigal and his conspirators negotiating for him to obtain electronic files revealing hidden assets valued at $500 million for a fat fee of $650,000 to $3 million. Prosecutors would have presented testimony about a meeting between McGonigal, his co-conspirator, former Soviet diplomat Sergey Shestakov, and an agent for Deripaska in Manhattan, Dell said. Economic sanctions are a critical component of our national security policy, FBI Assistant Director in Charge James Smith said. They must be fully and fairly applied to effectively limit the resources of those who threaten to harm the United States and our global allies. The charges against McGonigal in D.C. are outstanding. In that case, hes accused of concealing a fruitful relationship with an Albanian intelligence official during his time at the bureau who allegedly paid him $225,000, and other foreign officials. McGonigals sentencing is set for Dec. 14. He faces up to five years in prison. _____ A Merced County Sheriff's Office deputy with a troubled history and a misdemeanor conviction was charged Wednesday with assault for repeatedly kicking a DUI suspect in the head numerous times, authorities said. Dustin Witt, 42, a former sergeant, was booked for assault by a public officer and other charges for the March 11 incident. He resigned in June amid an internal affairs investigation. Ex-Merced County sheriff's deputy Dustin Witt (Merced County Sheriff's Department) "It breaks my heart to have to share this incident with you, but rest assured this is not something I take lightly, Sheriff Vern Warnke said. "I want to be clear that this incident was isolated and does not reflect the actions of the rest of our agency. The charges stemmed from an encounter caught on body-camera video with a man named Louis Jackson, who was arrested following a crash in which he was suspected of driving under the influence, according to the Merced County district attorney's office. Witt responded to the scene, though he was not the first officer to arrive. Theres no need for a sobriety test. Im drunk. Ive been drinking," Jackson said. Read more: He was making a documentary about police brutality. Then the LAPD tased him in his home Witt then makes a comment to Jackson's girlfriend that upset Jackson, the video shows. He swings an arm after a deputy tries to touch him, though he does not hit any deputy. Then Witt moves toward Jackson and pushes him and the two begin to fight. Another officer deployed his Taser on Jackson, who fell to the ground, where Witt began to kick him in the face, then stomp on his head, video shows. Jacksons head is under a Sheriffs Office car and he screams as Witt kicks him, the video shows. Another deputy says stop and grabs Witt's hand. Its OK, we got him, the deputy says, seemingly trying to get Witt to stop assaulting the man. Witt keeps his foot on the mans neck as they handcuff him, the video shows. Witt was still serving as a deputy despite a 2019 demotion and misdemeanor conviction following a drunken brawl during which he and another deputy who was also a Merced City Council member beat up another peace officer and a bystander, according to the Merced Sun-Star. The bar brawl on Dec. 15, 2018, followed a Sheriff's Office Christmas party where Witt admitted to "heavy drinking," according to the Sun-Star. Read more: A Santa Monica police employee molested more than 200 kids. Warnings of abuse were ignored The fight included a bystander, who one deputy said he recalled holding while Witt or another deputy "punched the man in the face repeatedly," according to the report. The Sheriff's Office declined to comment on why Witt was not fired following the 2018 brawl and misdemeanor conviction. The Merced County district attorney confirmed that Witt was the same deputy. Witt was also a member of the Sheriff's Office's K-9 unit. Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. A former suburban Kansas City police chief was convicted Tuesday of beating his ex-wife, who reported in June 2020 that she lost consciousness after he punched her in the face. Greg Hallgrimson, 53, formerly the top cop in Greenwood, in southeast Jackson County, was found guilty by a Clay County jury of one count of first-degree domestic assault, a Class A felony that carries a maximum penalty of life in prison. Hallgrimson resigned from the small police force in June 2019 after he beat up an attempted murder suspect who was handcuffed in a police interrogation room. In the Clay County case, Prosecuting Attorney Zachary Thompson said Tuesday that Hallgrimson brutally assaulted his wife physically and also subjected her to emotional and psychological manipulation. Despite this, she bravely spoke up and fought for the justice she deserves, Thompson said in a statement, adding: This case demonstrates that delayed disclosure is not a bar to justice. If someone is a victim of domestic abuse in Clay County, our office will do everything in its power to help them obtain justice. Attorneys for Hallgrimson did not immediately respond to The Stars email requesting comment late Tuesday afternoon. Defense attorney Kenneth C. Hensley filed a motion for acquittal at the close of the states case, saying Hallgrimson was slapped first and was entitled to defend himself under Missouris self-defense law. Kansas City police officers began investigating the case when Hallgrimsons ex-wife reported the assault in November 2021. She said they were in an argument about their relationship and she slapped him. After he punched her in the face, she said she blacked out for several seconds. At the hospital, she made up a story that she had fallen down the stairs. She told detectives at the time that she was afraid to file a report right away. Among the evidence given to authorities were medical bills and a recording she made with her cellphone. Family court records show Hallgrimsons wife filed for divorce in 2017. It was uncontested and finalized two months later. Prosecutors say the pair had been living together again in 2020 at the time of the incident. The felony conviction is the second for Hallgrimson. The former chief was at the center of a heroic story in December 2018 when he and another officer rescued a baby left in a pond in Greenwood, a suburb of about 5,000 people. Hallgrimson drove there after 33-year-old Jonathan Zicarelli, the childs father, walked into the police station and confessed to killing his daughter. He said he had been planning to kill her for about a day, after having bad thoughts, and told them where they could find her body. Hallgrimson and another officer responded to the icy retention pond and found the 6-month-old in time to save her life. She had water in her lungs and severe hypothermia. He has advocated for increased mental health services for emergency workers. Jackson County prosecutors charged Zicarelli the next day. He was ultimately convicted of felony child abuse and sentenced to 15 years in prison. Greenwood police Cpl. Thomas Calhoun worked at the scene of a rescue, where he and the police chief helped save an infant. The childs father allegedly tried to drown the infant in the pond and then turned himself in to police. Tammy Ljungblad - The Kansas City Star Weeks after the childs life was saved, an event one officer described as a miracle, Greenwoods Board of Aldermen put Hallgrimson on administrative leave as the FBI opened an investigation into violation of Zicarellis civil rights. Hallgrimson later admitted that he walked into a police interrogation room where Zicarelli was seated with hands cuffed behind his back. The chief grabbed Zicarelli out of the chair, screaming and striking him several times with a closed fist. Another officer pulled Hallgrimson off Zicarelli. Other department employees heard Hallgrimson saying he had crossed the line and that he was going to resign. Authorities determined Zicarelli presented no threat at the time and Hallgrimsons use of force was objectively unreasonable. The event marked the beginning of the end of Hallgrimsons law enforcement career. Hallgrimson later told The Star the trauma of seeing a 6-month-old baby floating in an icy pond played a large part in how he conducted himself with Zicarelli. Following his guilty plea on one count of deprivation of rights, a federal judge sentenced Hallgrimson to five years of probation. Hallgrimson is scheduled to appear before a Clay County judge Oct. 20 for sentencing in the domestic assault case. Exeter Hospital receives national recognition for care of stroke patients Some of the Exeter Hospital Emergency Department and EMS staff who guide the care of stroke patients. EXETER Exeter Hospital has received the American Heart Associations Get With The Guidelines - Stroke Gold Plusquality achievement award for its commitment to ensuring stroke patients receive the most appropriate treatment according to nationally recognized, research-based guidelines, ultimately leading to more lives saved and reduced disability. Exeter Hospital is committed to improving patient care by adhering to the latest treatment guidelines, said Donna McKinney, Vice President of acute care/cancer services and Chief Nurse Executive of Exeter Hospital. Get With The Guidelines makes it easier for our teams to put proven knowledge and guidelines to work on a daily basis, which studies show can help patients recover better. The end goal is to ensure more people in the Seacoast area can experience longer, healthier lives. Exeter Hospital also received the American Heart Associations Target: Type 2 Diabetes Honor Roll award. Target: Type 2 Diabetes aims to ensure patients with Type 2 diabetes, who might be at higher risk for complications, receive the most up-to-date, evidence-based care when hospitalized due to stroke. We are incredibly pleased to recognize Exeter Hospital for its commitment to caring for patients with stroke, said Steven Messe, M.D., volunteer chairperson of the American Heart Association Stroke System of Care Advisory Group and professor of neurology and director of fellowships of neurology at the Hospital of the University of Pennsylvania. Participation in Get With The Guidelines is associated with improved patient outcomes, fewer readmissions and lower mortality rates a win for health care systems, families and communities. New certified therapy dog at Cornerstone VNA Maureen E. Steer MDiv, Holistic Disciplines Manager at Cornerstone VNA, and her beloved Australian Shepherd, Lucy. ROCHESTER Maureen E. Steer MDiv, Holistic Disciplines Manager at Cornerstone VNA, shared that her beloved Australian Shepherd, Lucy, has recently achieved certification as a therapy dog. Lucy's training was comprehensive, and she graduated with great reports. Starting as a puppy, she trained for over a year and became certified as a K-9 Good Citizen by Inspire K-9 in Barnstead. She was officially certified as a therapy dog by Love on a Leash, a national program. According to Love on a Leash, A therapy pet is trained and certified with its owner as a team to provide comfort and stress relief to others in a variety of situations. Lucy will now be lending her compassionate presence and unwavering support to Hospice patients. Moreover, she will play a special role in bereavement sessions and group grief support meetings, offering comfort and solace to those in need. The addition of Lucy to the Cornerstone VNA team will undoubtedly enhance their ability to provide holistic care and bring moments of joy and healing to those they serve. Lucy has spent the last year working on a variety of competencies and situations in the community and in the Cornerstone VNA office, where she was surrounded by a supportive and caring team. Whenever Lucy arrives at the office, team members can be heard exclaiming, Oh good, I needed a Lucy day today. Cornerstone VNA is proud of the response theyve received from this wonderful Therapy Dog Volunteer duo of Maureen and Lucy. Katherine Michaud MS, OTR/L, Cornerstone VNA Behavioral Health Coordinator explains, Pet therapy dogs provide comfort, encourage communication, decrease stress, and reduce loneliness. A visit from a dog can really brighten someones day. The pet companion volunteer opportunity is a wonderful way for volunteers and their dogs to provide support and friendship to patients and community members. For more information about the pet companion volunteer team, contact Ann Vennard at 603-994-6941 or AVennard@cornerstonevna.org. Dartmouth Health surgeons help bring trauma kits to state-run facilities LEBANON Two Dartmouth Health physicians were instrumental in the recently-passed New Hampshire Senate bill to require trauma kits in state-run facilities. SB 204, which received bipartisan support, will require a trauma kit be installed and readily available for bleeding emergencies in state owned buildings identified as high-traffic places for the public and staff. Examples of these buildings include courthouses, the department of motor vehicles, liquor stores, and the State House. Sen. Sue Prentiss, D-Lebanon, a paramedic by training, worked with Eric D. Martin, MD, and Alexandra Briggs, MD, both trauma surgeons at Dartmouth Healths Dartmouth Hitchcock Medical Center (DHMC), on the legislation. Prentiss reached out to Martin, who serves as director of New Hampshires trauma system and chair of the states trauma medical review committee, for his and Briggs assistance after they spoke out in support of Stop the Bleed. This program is an effort of the American College of Surgeons to train people in how to stop bleeding in a severely injured person. As the only Level 1 trauma center in the state, we see many critically injured people in New Hampshire at DHMCs emergency department, Martin said. But having the proper initial treatment to stop bleeding when someone is seriously hurt, before they get to the hospital, is crucial to help save lives. Dr. Briggs and I were thrilled to support this effort on the state level to make sure our highest-traffic state-run facilities are equipped with the on hand tools to stop serious bleeding and provide the right care to people in a mass casualty event. Uncontrolled bleeding due to trauma is the third leading cause of death in New Hampshire between the ages of 1-45. This legislation will bring hemorrhage control into the hands of the public, supplying a critical need for New Hampshire and aligning with similar bleeding control efforts nationwide. As DHMC has the only Level 1 trauma center in the state, it was an honor to work with Dr. Martin and Dr. Briggs on this legislation as they are leading experts in this area. Placing tourniquets in select state-owned buildings is an essential first step in protecting the public and state employees, Prentiss said. My hope is that trauma kits will be placed in additional state and local governments, and that schools and the private sector will also see the importance of installing kits in their buildings. This article originally appeared on Portsmouth Herald: Exeter Hospital recognition, therapy dog at Cornerstone VNA: Seacoast health news Fulton County, Georgia, District Attorney Fani Willis announces indictments against former President Donald Trump and 18 others Monday. As Donald Trump braced for an indictment from a Fulton County grand jury, his campaign rolled out an attack against District Attorney Fani Willis, the official leading the investigation into Trumps actions in Georgia after the 2020 election. The ad makes multiple attacks against the "fraud squad" of prosecutors who have charged Trump from New York, Washington, D.C., and now Georgia. Willis took office Jan. 1, 2021, a day before Trumps infamous call with Georgia Secretary of State Brad Raffensperger, during which Trump sought to "find" enough ballots to win the state. The campaign said the ad will air in Atlanta, Washington and New York, and nationally on cable and broadcast TV. Willis addressed the ad in an email to her staff, saying it contained "derogatory and false information" without elaborating. We fact-checked four of the ads claims about Willis that were missing context, misleading or baseless. "So corrupt, Willis got caught hiding a relationship with a gang member she was prosecuting." This lacks evidence. The ad doesnt explain the nature of the "relationship"; its possible a viewer would infer a romantic relationship. Trump claimed as much Aug. 8 in a speech, accusing Willis of "having an affair with the head of the gang or a gang member." Theres no proof of that. As the narrator made the claim, the ad displays text: "Willis was a lawyer for a YSL co-founder." It cites a Rolling Stone article in January that included an interview with YSL Mondo, who co-founded the Young Stoner Life music label. When Willis was a defense attorney in 2019, she represented YSL Mondo in an aggravated assault case. Later, as district attorney, she prosecuted Young Thug, an associate of YSL Mondo, and other defendants, alleging they had affiliations with gang violence. YSL Mondo told Rolling Stone that he and Willis had a "cool relationship" and said, "I done had auntie-to-nephew, mother-to-son type of talks with her." Mondo described Willis as a "great attorney" and recounted the final advice Willis gave to him: "She was like dont mess my name up now. You know I am about to get ready to run for this head DA. You f--- around and do something else, I am going to sock it to your a--." Willis told Rolling Stone in an email: "I think I can say I liked him. I hope all is well I advocated for him with zeal. I tend to meet my clients where they are. I hope you understand what that means. I want to see him do amazing things with his life, and I hope thats where hes headed." Nothing in the Rolling Stone article points to romance or a "hidden" relationship. "Willis was thrown off one case for trying to prosecute a political opponent." The ad cited a July 2022 New York Times article about Fulton Superior Court Judge Robert McBurney barring Willis from investigating state Republican Sen. Burt Jones, one of her targets in the Trump probe, because she headlined a June 2022 fundraiser for his Democratic opponent. In his ruling, McBurney said her actions raised "reasonable concerns of politically motivated prosecution." "I use that phrase, What were you thinking?'" McBurney said in court. "The optics are horrific." Jones, now Georgias lieutenant governor, was one of 16 pro-Trump "fake electors" who signed a certificate in December 2020 falsely saying Trump won Georgia. The judge wrote that any decision about whether to charge Jones would need to be left to a different prosecutors office. "Willis was accused of creating a fake subpoena." This claim is misleading because a judge denied the related motion. It comes from an allegation by a defense attorney that pertains to a subpoena issued before Willis was the district attorney. As the narrator speaks, the ad displays, "Fani Willis accused of prosecutorial misconduct," citing attorney Brian Steel. Steel, who represents Young Thug, filed a motion in November to dismiss all charges alleging abuse of power by the prosecution. Steel claimed the Fulton County district attorneys office used a sham grand jury subpoena in 2016 to compel Hertz Corp. to hand over information on the car Young Thug rented. Prosecutors alleged that the rental car was used in the killing of Donovan Thomas Jr., a gang rival. The defense claimed the subpoena was not issued or authorized by a judge, clerk of court or grand jury; instead, it was created by the prosecution with an affixed seal. Willis took office as district attorney in 2021, five years after the subpoena was filed. But Steel filed the motion in 2022, so Willis had to respond. In December, Judge Ural Glanville denied Steels motion to dismiss the case, ruling that the defendant "has not shown misconduct warranting the extreme sanctions he demands." "Atlanta violence: Nearly 60% more murders so far this year." This number cherry-picks a small slice of Willis district attorney tenure and wrongly blames her for an increase in murders. Reports from Fox News and the Atlanta Journal-Constitution in June 2021 cited the 60% statistic, which covered Willis first six months in office. The trend started during the pandemic, before Willis was district attorney. In 2023, murders in Atlanta are on track to decline. There have been 73 homicides this year through early August, compared with 97 for the same period last year. The ad samples a period during which the violence rate increased across the country, said Volkan Topalli, a Georgia State University criminology professor. "The increase in the homicide rate has been attributed to many things during the period in question, but fundamentally, it was caused by the disruption in crime patterns due to stay-at-home order plus the police accountability movement plus mass retirements in law enforcement, which accelerated during the pandemic," Topalli said in an email. If Trump wants to blame Willis for the rise in violence during the first part of her tenure, he should give her credit for the drop that took place later, Topalli said. Dean Dabney, chair of Georgia State Universitys criminology department, said attacking Willis for the rising murder rate during the pandemic would be "akin to blaming Trump for the economy during COVID." Staff researcher Caryn Baird contributed to this article. This article originally appeared on Austin American-Statesman: PolitiFact: Trump misleads in attack ad against DA Fani Willis SEOUL (Reuters) - North Korea on Wednesday confirmed it is holding U.S. Army Private Travis King after he crossed the border from South Korea last month while on a tour, but did not elaborate on what his future might hold. State news agency KCNA said during an initial investigation that King confessed to illegally and deliberately crossing the border to escape mistreatment and racism in the army, and wants to stay in North Korea or another country. Attempts by U.S. soldiers stationed in South Korea to desert or defect to the authoritarian North are rare, but here are some cases that have occurred since the 1950-1953 Korean War ended with an armistice rather than a peace treaty: - Joseph T. White shot off the lock on a gate leading into the demilitarized zone (DMZ) separating the Koreas while a U.S. soldier stationed in South Korea in 1982, before surrendering to North Korean troops, according to an account published by the Korea Times. The North claimed he had defected, though Pyongyang refused a request by the United Nations Command to meet him. A U.S. military spokesman later said an investigation indicated that White crossed into North Korea of his own free will. In a video released by the North, White denounced the United States and praised North Korea and its then leader Kim Il-Sung. White's parents later received a handwritten letter believed to be from their son, in which he said he was working as a teacher and happy in the North, the Washington Post reported. White drowned in a swimming accident in the North in 1985, according to a media report, citing information received by his parents. - Charles Robert Jenkins walked into North Korea when on patrol on the DMZ in 1965. He later expressed regret for fleeing and explained at his court marital that his motive was to avoid hazardous duty in South Korea and combat in Vietnam. Jenkins said he had drunk 10 beers before the incident. During his near four decades in the North, he taught English and also portrayed a U.S. spy in a propaganda film. Jenkins married Hitomi Soga, a Japanese woman abducted by Pyongyang. Soga was allowed to return to Japan in 2002 and Jenkins joined her with their two daughters in 2004. Jenkins died in 2017. - James Joseph Dresnok was a 21-year old U.S. army private stationed in South Korea in 1962 when he fled to the North. Facing a court martial for skipping duty, Dresnok describes in a film about his life how he bolted across the DMZ, through a minefield. Dresnok and three fellow American military defectors including Jenkins, Jerry Wayne Parrish and Larry Allen Abshier lived in relative isolation for years before becoming stars of North Korean cinema by depicting evil Americans in propaganda movies promoted by then leader Kim Jong-Il. Reports, citing Dresnok's sons, say he died in Pyongyang in 2016. (Reporting by Josh Smith; Editing by Chizu Nomiyama) The family of a Charlotte father fatally shot in 2017 during a six-second confrontation with Charlotte-Mecklenburg police has appealed a federal judges decision to dismiss the wrongful-death lawsuit filed against the officer involved, our partners at the Charlotte Observer reported. READ MORE: Family of man killed in 2017 CMPD officer-involved shooting files lawsuit U.S. District Judge Robert Conrad of Charlotte said Officer David Guerra acted reasonably and legally on Sept. 6, 2017, when he twice shot Ruben Galindo near Sugar Creek Road and Interstate 85. Police are legally justified to use deadly force if they have a reasonably objective fear of imminent death or serious injury for themselves or others, under U.S. Supreme Court precedent and North Carolina law. Conrad ruled that Galindos actions met the legal standard necessary for Guerra to kill him and threw out the lawsuit filed by Galindos partner, Azucena Zamorano Alemana, a month before it was to go to trial, the Observer reported. The reasonableness test is objective, and this horrendous set of facts justifies the use of deadly force in the face of an imminent threat, Conrad wrote in his Sept. 30 ruling. Galindos series of poor decisions drinking to excess while possessing and carrying a .380 semi-automatic handgun, executing a bad plan of surrender, and exhibiting a complete inability or unwillingness to heed safety suggestions or law enforcement commands all combined to create the tense, uncertain and rapidly evolving circumstances which required split-second officer decision-making of a kind that case law ... instructs district courts not to second guess. The judge gave little weight to written and oral arguments by the familys attorneys that challenged whether Galindo ever posed an imminent threat. That included statements from two police officers at the scene who said that while Galindo had a gun at the time of the shooting, he held it upside down or pinched between his fingers and thumb and not in a shooting grip. Another CMPD officer who was standing near Guerra outside the apartment later told investigators he was surprised that Guerra shot Galindo, according to filings in the case. READ MORE: CMPD releases bodycam footage from deadly officer-involved shooting Conrads opinion also did not reflect one of the lawsuits major allegations, namely, that Guerra opened fire on Galindo seconds after giving him orders even though he and his fellow officers had taken protected positions near buildings and were not in imminent danger, as the law requires. Charlotte attorney Luke Largess, who filed the lawsuit for Zamorano, on Tuesday filed an appeal of Conrads ruling to the Fourth Circuit Court of Appeals in Richmond, Va., the Observer reported. READ MORE: Family protests Charlotte man's shooting death by CMPD The court the countrys second highest handles cases from the Carolinas, Virginia, West Virginia and Maryland. Largess told the Observer on Wednesday that he believes Conrads ruling will be reversed. Guerras attorney, Lori Keeton, welcomed the judges decision. Her client, she said in a statement that first appeared in the Charlotte Ledger, has devoted his life to serving others first through his military service and now through his career as a police officer with CMPD. In this lawsuit, the Court properly concluded that Officer Guerra did not violate Mr. Galindos rights. Guerra, a former Marine who served in the Iraqi War, was hired by the Charlotte-Mecklenburg Police Department in 2013, and remains on the force. A gay Black man was pronounced dead in late May shortly after he was found in the middle of a street in Washington, D.C., without his phone, his wallet or any jewelry. His car was missing, too. Police say theres no evidence of foul play. But the mans longtime partner said he believes otherwise and is searching for answers, as was first reported by the LGBTQ newspaper the Washington Blade. Ernest Terrell Newkirk, 55, died three hours after having told his partner, Roger Turpin, that he was heading home from a nearby bar. His body was found a mile away from the couples Washington home and not on a direct route from the bar. After Newkirk was pronounced dead, outgoing calls were made from his cellphone, traffic tickets were issued to his car, and someone used his debit card to buy gas and tried to use it at an ATM, according to documentation Turpin received from Newkirks phone company and bank, along with the Washington Department of Motor Vehicles. And when Turpin was eventually reunited with Newkirks missing 2017 Camaro convertible, he said, there were items in the vehicle that did not belong to the couple. Turpin said that he repeatedly offered to provide the documentation to the police from late May through the first week of August but that they either explicitly declined or ignored his verbal offers. Now, more than two months after Newkirks death, Turpin remains frustrated with the investigation and worried that Washington authorities are not taking the case seriously, he said. Ernest Terrell Newkirk. (Roger Turpin) In an email Monday, a spokesperson for Washingtons Metropolitan Police Department said the investigation continues. At this time, Mr. Newkirks death does not appear to be a result of foul play. There are no signs of trauma. We are awaiting the [medical examiner] to provide a cause and manner of death, said the spokesperson, Hannah Glasgow. The cause and manner of death will be determined after the toxicology report is completed, which, according to the medical examiners office, could take up to 90 days after an autopsy. Glasgow rebutted Turpins assertion that police had declined or ignored his offer of documentation, saying the initial detective assigned to this case has no record of any offers for additional documents. And asked about Turpins statement that authorities were not taking the cause seriously, Glasgow said that the police department approaches all cases with a profound sense of responsibility and that each investigation is given the utmost attention and dedication. Turpin, however, fears that if investigators wait any longer, it will be too late to bring any potential bad actors to justice. Two police experts found no glaring red flags in the cases publicly known details and Rogers concerns about the investigation. Joseph Giacalone, an adjunct professor at John Jay College of Criminal Justice in New York City who is a former New York Police Department cold case sergeant, cautioned that just because police arent telling Turpin and the media theyre looking into Newkirks phone records and other potential leads doesnt mean theyre not. If there is a crime involved, the police department will rarely give out that kind of information, because they dont want to spook the people who were responsible, he said. If they go on the run, it makes it more difficult for them to track them down. Giacalone also said a lack of foul play doesnt necessarily mean there was no crime; he said it wouldnt be unheard of for bad actors to loot the body of someone who died of natural causes. And when it comes to putting an investigation on hold until a toxicology report is complete, Cloyd Steiger, a former Seattle police homicide detective, said the hold for tox approach isnt uncommon, especially in a large city with a high murder rate and when theres no clear sign of foul play. A 'social butterfly' and 'law-abiding citizen' Newkirk, a native of North Carolina, moved to Washington in the early 1990s. Turpin described him as a social butterfly and a law-abiding citizen, adding that Newkirk owned his own landscaping business and worked as a chef for the NBAs Washington Wizards at Capital One Arena. He changed a lot of peoples lives, Turpin said. He gave a lot of people jobs at the arena he worked at. He would hire them, and he will mold them into making a career. Given his gregarious personality and his deep ties to the local LGBTQ community, Newkirk was looking forward to the annual DC Black Pride weekend, including an unofficial Pride gathering at the Ugly Mug bar on the evening of Saturday, May 27. Shawn Thorpe, 56, who was friends with Newkirk for over two decades, told NBC News he saw Newkirk around midnight that night just outside the Ugly Mug, where some of the bars overflowing crowd had congregated. The two men talked for about 30 minutes, Thorpe said, adding that Newkirk seemed intoxicated. At 12:30 a.m., Newkirk called Turpin to say he was on his way home, Turpin recalled. When he wasnt home the next morning, Turpin started to panic. Unaware that Newkirk had already been pronounced dead and that his body had been labeled John Doe at the city morgue, Turpin started to look for his partner of two decades, searching nearby residential areas on foot and by car and reaching out to Newkirks friends to see whether they knew where he was. At 10 p.m. Sunday, Turpin filed a missing persons report. He said two officers came to his house, took down the report and asked for a photo of Newkirk, which Turpin provided. Turpin would be left without answers for 36 more hours. 'Unconscious adult male in the street' Police were notified shortly after 3 a.m. Sunday, May 28, about an unconscious adult male in the street, according to a Metropolitan Police Department report shared with NBC News. When officers arrived, the person who reported the incident was administering CPR, and the responding officer took over CPR until the ambulance arrived, the report says. After all attempts at life saving measures, the report says, the man, who had no identification on him, was pronounced dead. The person who reported the incident, Kameron Rogers, 27, said he was driving his friend Asia home when they saw Newkirk facedown in the middle of the street. Rogers said he got out of the car, approached Newkirk and flipped him over to perform CPR and check for a pulse, which was very faint at the time. Rogers said that Newkirks body was cold and that he saw indentations on his face from the gravel, which led him to think Newkirk had been facedown on the street for some time. When the first officer arrived, Rogers, who isnt a medical professional, told the officer he thought Newkirk was dead, he said. Rogers and Asia, who remained in the car while her friend administered CPR, said the officer didnt administer CPR, contradicting the police report, and didnt check Newkirk for a pulse. Rogers alleged the officer said that this happens all the time about the body in the street. Asked to respond to Rogers and Asias allegations, Glasgow, the police spokesperson, said body-worn camera video confirms the responding officer performed CPR. The police department, however, declined to provide that video to NBC News because the investigation is ongoing. As for the officers alleged remarks, Glasgow said the department was unable to confirm this interaction. When the ambulance arrived, Rogers said, an emergency responder put a white sheet over Newkirks body. Asia, a nursing student who asked that her full name not be published because she feared police retribution, said the emergency responder didnt appear to administer CPR or check for a pulse. Rogers said he couldnt recall whether the worker took those measures. Citing health care privacy regulations, Daryl Levine, a spokesperson for the Washington Fire and Emergency Medical Services Department, wouldnt comment on what, if any, lifesaving measures were used. While Newkirks body was still lying in the street, Rogers was handcuffed and then detained and charged with unlawful carrying of a firearm in Washington. Rogers, who is licensed to carry a firearm in neighboring Virginia, said he put his handgun in his pocket when he got out of the car out of concern for his safety. His firearm case is still under investigation, he said. A dreaded phone call After two days frantically searching for his partner and any information about his whereabouts, Turpin got a call on the morning of Tuesday, May 30, from a homicide detective asking for a photo of Newkirk, he said. Three hours later, the detective called back to tell him Newkirk was dead. The detective, Turpin recalled, said where and when Newkirks body had been found and said that the cause of death may have been a heart attack and that no foul play was suspected. He added that Newkirk couldnt immediately be identified because he had neither a phone nor a wallet on him. Turpin said the detective didnt ask him any questions about Newkirk on the call, even after Turpin mentioned his partners missing car and the outgoing phone calls and bank transactions after his death. Turpin said he also told the detective that Newkirks missing car had OnStar, which includes GPS, in the hope that it could be used to find the vehicle. He would not take that information, Turpin said, adding that the officer was convinced Newkirk had a heart attack and seemed uninterested in looking into the circumstances surrounding his death. A search for answers During the two days Turpin thought Newkirk was missing and after he was informed that Newkirk had died, Turpin uncovered several pieces of alarming information, he said. When Turpin logged on to the couples joint T-Mobile account on May 28, he said, he discovered several outgoing calls from Newkirks cellphone to unfamiliar numbers from 6 a.m. to 8 a.m. that morning hours after Newkirk had been pronounced dead. The same day, Turpin contacted Newkirks bank and learned that his debit card had been used several times during the same time frame. Less than a week later, Turpin said, he received five speeding tickets associated with Newkirks car, with the violations having taken place after his death. Turpin shared screenshots of the couples T-Mobile account and the Department of Motor Vehicles website corroborating those claims. Around the same time, Turpin filed a stolen car report with the police department and then contacted OnStar, which eventually found the car and shared the location with police, he said. On Saturday, June 3, Turpin got a call from a police officer informing him that Newkirks car had been found in an apartment building parking lot a mile away from where his body had been found and that the car had been taken to an impound location. Turpin wasnt able to retrieve Newkirks Camaro from impound until July 23, after his late partners estate was transferred to him. When he peered into the car, he said, he immediately noticed a maroon and green backpack on the passengers seat and a gray speaker in the back, neither of which belonged to him or Newkirk. Turpin said investigators neither fingerprinted nor inspected the vehicle, so he has been trying to leave it exactly as it was when he picked it up from impound, hoping it will be more closely inspected at some point. He provided images of the backpack and the speaker, which still remain in the car, to NBC News. A Backpack and speaker was discovered in Newkirk's vehicle. (Roger Turpin) In her email Monday, Glasgow said that Newkirks car was processed by the Department of Forensic Science before being released to Mr. Turpin and that the backpack and the speaker found in the vehicle have no evidentiary value to the investigation. Glasgow didnt respond to a follow-up question asking her to elaborate on how the car was processed and specifically whether it was fingerprinted. Turpin said that he tried to review security video from the Ugly Mug in late May but that a staffer told him he couldnt have access. In an interview in late July, the bars manager, Gaynor Jablonski, said he had no previous knowledge of Newkirks death or Turpins request. He said that because of privacy reasons, the bar shares its security video only with police. Asked whether police had requested security video in connection with Newkirks death or for any reason during that time period, he said they hadnt. And now, he said, its too late: The video from late May is long gone, having been overwritten by newer video. In a follow-up conversation Tuesday, Jablonski said a detective called him to ask about video on Aug. 9, the day NBC News sent an email asking the department to confirm Jablonskis statement that police never requested the video. Turpin said that in the two months after Newkirks death, he repeatedly called the detective initially assigned to the case to offer to share information about the phone calls, traffic tickets, debit card transactions and Newkirks car but that the detective showed no interest in the findings. He also said he showed up at the police station multiple times during that time period, once with Newkirks mother, but was never able to talk to anyone about Newkirks case. On July 24, in a text message viewed by NBC News, Turpin contacted the detective to inform him about the strange items in Newkirks car and ask that the vehicle be fingerprinted. The detective responded that hes no longer on the case, because its not being investigated as a homicide, and shared the contact information for the new detective, Turpin said. From July 26 to July 28, Turpin left the new detective about seven voicemail messages and never got a response, he said. Glasgow confirmed that Newkirks death isnt being investigated as a homicide, saying, No evidence has been recovered that would justify a homicide investigation. Turpin shared the several numbers that were called from Newkirks cell phone shortly after his death. NBC News called the numbers, and three of the calls resulted in conversations. None of the three people reached by phone knew Newkirk, but two of them had a mutual connection, and they said the connection whom NBC News isnt naming because of the investigation may have called them on the morning of Sunday, May 28. The persons last known address, according to public records, is on the block where Newkirks body was found. The first detective assigned to the case never responded to requests for comment and attempts to share the information. Two other detectives declined to speak and referred NBC News to the police departments public information office. On Aug. 1, Turpin was informed that a third detective was taking over the case, and he had his first conversation with the detective on Aug. 9, he said. During their 40-minute meeting the only in-person conversation he has had with a detective assigned to the case she didnt appear familiar with the case details, but she was the first to take down the information Turpin had spent the previous 10 weeks collecting, he said shortly after the meeting. Turpin said that the conversation has left him a bit more hopeful but that he still fears that time is running out and that any potential evidence like the security video from the Ugly Mug could soon be gone. This article was originally published on NBCNews.com Family of U.S. resident left out of prisoner deal with Iran demands answers Washington Shahab Dalili's family members have been anxiously waiting by the phone for seven years. They waited to hear whether he was even still alive when he wasn't on his flight back to the U.S. from Iran, where he attended his father's funeral in 2016. They've waited for the occasional phone calls from him since he's been imprisoned in Tehran's notorious Evin prison, serving a 10-year sentence for allegedly aiding and abetting a foreign country. They've waited for acknowledgment from the U.S. government to their pleas for help. And since the Biden administration announced a deal last week that could bring five other detained Americans home from Iran in the coming weeks, the family is now waiting for an explanation on why Shahab has been left out. "It was heartbreaking," his son Darian Dalili told CBS News on Wednesday. "I had to go protest and go on a hunger strike for my father to even be acknowledged." He said his father feels "betrayed," telling him in a recent phone call that "the Americans would bring back whoever they wish to bring back, and it appears they don't wish to bring me back." Shahab Dalili, a U.S. permanent resident and Iranian citizen who is now 60, emigrated to the U.S. in 2014 with his wife and their two sons after he retired as a trade ship captain. "He was just some retired guy, hoping to send his kids to college in the U.S. and make a good life for them," Darian, 28, said. Shahab Dalili, on the left, with his family. / Credit: Courtesy of Darian Dalili In April 2016, Shahab returned to Iran to attend his father's funeral, his first trip back since arriving in the U.S. A week later, his wife waited at Dulles International Airport in Virginia to pick him up, but he never showed up. He had been arrested in Iran hours earlier. Darian said officials from the Trump and Biden administrations have given his family scant details over the years, other than to say they're monitoring his case. The U.S. government has also not explained why his father has not been declared "wrongfully detained," a rare designation that would formally put the full force of the U.S. government behind securing his release. "Give us a response of what the heck is going on," Darian said. "Why would those two unnamed prisoners who have only been there for a matter of months be included within the five-people swap? The designation is made for them? Good for them. Why is it so quick for them and so slow for my dad?" While the identities of three of the Americans included in the deal are known, U.S. officials said the two other Americans involved in the agreement wished to remain anonymous. The U.S. only recently acknowledged that the two had been detained. The State Department did not immediately respond to a request for comment on Shahab's case on Wednesday. Vedant Patel, a spokesman, said at Wednesday's press briefing that the department is "not going to get into the specifics of specific cases." "We assess the circumstances of detentions and look for indicators of wrongful detention, and when appropriate, we will make a determination if the indicators meet as such," he told reporters. Acting Special Envoy for Iran Abram Paley called the family after news of the deal became public "to establish a channel of communication," Darian said, calling it "very delayed" outreach. Darian said he believes the call was prompted by his "very emotionally worded" emails to the State Department, in which he told officials they were "leaving my dad behind" and "ignoring him." After not receiving the answers he wanted, he drove from his home in Massachusetts to Washington, D.C., to protest outside the White House and State Department. Darian also went on a days-long hunger strike, but ended it after becoming worried about the health of his father, who was also on a hunger strike. The younger Dalili said the State Department's reaction to his father's case has not given him much hope that he will ultimately be included in the deal. "But as long as that plane hasn't taken off the ground, there is hope," he said. Maui official asked if he regrets not sounding sirens amid wildfires Video shows woman using Rome's Trevi Fountain to fill water bottle England roars as Lionesses advance to first Women's World Cup final Naperville Astronomical Association member Jim Hopkins looks at Saturn through his telescope in Naperville on Aug. 12, 2023. (Troy Stolt/for the Chicago Tribune) Some of Drew Carharts earliest memories remind him of his love of nature. Not just trees, flowers or birds but the stars, planets and galaxies visible in the night sky. Fifty years ago, Carhart helped form the Naperville Astronomical Association, which now has two observatories, hosts regular star parties and teaches the community about astronomy and observing techniques. But, due in part to light pollution and smoke from Canadian wildfires exacerbated by climate change, stargazing in the Chicago area is in danger. Some researchers estimate that North America experiences a 10% increase in light pollution or the excessive use of artificial light every year. [ Chicagos air quality: Were in the crosshairs. Wildfires and wind push regions air to worst in the world, global pollution index shows. ] You cant overstate it its like going deaf if you like music, Carhart said. Its to the point where you can barely do it anymore. He and other enthusiasts are calling on the city and others to curb light pollution or risk missing out on starry night skies. Growing up, Carhart said he learned the intricacies of the Milky Way from his suburban backyard in Naperville. But slowly, the 64-year-old said, he watched the stars disappear. If someone were to visit his childhood home today, he said, they could count the number of stars they see on their fingers. Naperville Astronomical Association Vice President Tony Armalis looks up at the stars from the DuPage Video Observatory in Naperville on Aug. 12, 2023. (Troy Stolt/for the Chicago Tribune) According to light pollution maps, viewing many celestial objects with the naked eye is difficult to nearly impossible in Chicago. The light pollution is tremendously worse. Out by Naperville we could see the glow in the nighttime sky of Chicago off in the distance, but it only went a little ways up in the sky, he said. Over the years we watched it get brighter and then extend overhead and all the way to the other horizon and just take over the sky. Chicagos streetlights Anthony Harris, a Berwyn resident and the vice president of the Chicago Astronomical Society, said its difficult to see anything other than the sun, moon and planets in Chicago, and it takes longer to drive to more rural places where stars are visible than when he began stargazing 50 years ago. Harris said he believes one of the main culprits is the citys streetlights. As part of its smart lighting streetlight modernization program, Chicago began switching sodium vapor lights for more energy-efficient LEDs in 2017. Compared with sodium vapor lights, which give off an orange or yellow hue, LEDs emit a bright white light. Many other cities have made this switch as well, although Washington, D.C., paused its transition following complaints of bright lights disrupting residents sleep. [ Chicagos night sky is about to become an orange memory, as the city nears end of LED streetlight replacement. But are the new lights better? ] Since the start of the program, more than 88% of the citys streetlight stock has become LED lights, according to Erica Schroeder, a spokesperson for the citys Department of Transportation. She said the program has replaced more than 289,000 sodium vapor lights. Schroeder said in 2021 the city cut its energy bill for streetlights in half, saving $8.7 million. She also said the lights are on track to save $100 million over 10 years. An LED streetlight, right, along Lake Shore Drive near North Avenue beach on July 25, 2019. (Armando L. Sanchez/Chicago Tribune) ComEd completed its own five-year LED Smart Streetlight program in northern Illinois earlier this year, converting more than 130,000 ComEd-owned streetlights to LEDs. The utility company says the switch prevents more than 67 million pounds of carbon emissions from going into the atmosphere every year. The U.S. Department of Energy discouraged the production and sale of most incandescent bulbs with new efficiency standards that went into effect earlier this month. Most incandescents will struggle to meet these standards so they wont get stocked in stores, essentially forcing people to switch over to LEDs. Some experts believe this shift will help people save money and cut carbon dioxide emissions. But LEDs have their flaws, according to Ken Walczak, senior manager for the Far Horizons program at the Adler Planetarium. Part of Walczaks research involves designing and building instruments to monitor light pollution, including sending a high-altitude balloon into the stratosphere. He said every shining light contributes to sky glow. In major cities like Chicago, when theres a lot of sky glow, its difficult to see celestial objects. LEDs emit more blue light, which travels at shorter wavelengths and higher frequencies, than typical bulbs. Walczak said the problem is that blue light scatters more in the atmosphere compared with other colors, creating something akin to a haze or a light fog. If you have lights which have a lot of blue in them, that blue light is contributing a lot to the glow in our night sky, he said. Light is emitted from the Chicago skyline as seen from Lake View Beach approximately 30 miles away in Beverly Shores, Indiana, on Feb. 1, 2023. (Armando L. Sanchez/Chicago Tribune) LEDs have been linked to a range of health issues, including sleep disruption as well as the prevalence of cancer, diabetes and obesity. Theyve also been found to alter the circadian rhythm and behaviors of animals in Chicago. But the new streetlights have one advantage over older lights, Walczak said. They are focused downward, which reduces glare. The National Park Service suggests considering whether outdoor lighting is necessary, or if reflective tape or reflective surfaces could be used instead. Other sustainable outdoor light specifications, according to the Park Service, are LEDs at 2700 Kelvin. These lights emit a warm color hue instead of blue or white. The Park Service also recommends purchasing LED bulbs that have the lowest lumens possible the unit of measurement used to specify brightness and ones that can accommodate motion detectors or dimmers, which it says can enhance health and safety. Schroeder said Chicagos streetlights are managed using a cloud-based monitoring program and network of controller nodes that dictate when the lights turn on as well as their power output, voltage and wattage. She said these features allow the LED fixtures to turn on before sunset and turn off after sunrise. She added that Chicago uses soft white lights, or ones at 3000K and that they are designed to limit light trespass into peoples homes and the night sky. The improved nighttime visibility that comes with the whiter, crisper, LED light improves traffic safety for drivers, pedestrians and bike riders, Schroeder said. This superior visual performance is largely the result of people being able to better distinguish colors at night. To reduce light pollution in Chicago, Walczak said he thinks the city should only use as much light as it needs. He suggests dimming the streetlights, which he said would also save on energy costs. But tackling the larger problem goes beyond streetlights, he said. Referencing a study from 2020 that found only about 20% of a citys brightness can be linked to streetlights, Walczak said regulation or policies surrounding light pollution should be directed toward commercial businesses, such as parking lots or building facades. Near me theres a couple gas stations that have blaring very white light that literally shines outward and thats uncontrolled, he said. If youre a complaining neighbor, like Oh my god, this is shining in my bedroom window, I cant sleep at night, you have no recourse other than the good nature of the business that youre approaching. Walczak helped found a Chicago chapter of the International Dark-Sky Association, a nonprofit that seeks to preserve the night sky and limit light pollution. He worked with Wheaton to pass a residential lighting ordinance, which requires properties to limit the spread of their light fixtures. The state Senate also adopted a resolution in May that encourages, but doesnt mandate, that local governments use light fixtures that reduce unnecessary light. State Sen. Laura Ellman, who co-sponsored the resolution, said she felt it was time that local governments take steps to protect the night sky. I think were learning more and more about the importance of the wavelengths of light and their impacts on our health and also the effects of light on flora and fauna, said Ellman, whose district includes Naperville and Wheaton. With climate change, we want to try to minimize impacts wherever we can. Canadian wildfires This summer, light pollution hasnt been the only hurdle for amateur astronomers. Canada is experiencing one of its worst wildfire seasons in history, with climate change expanding its intensity and frequency. The hazy skies from smoke have not only led to numerous air quality alerts in Chicago but significantly reduced visibility for stargazers. As of Tuesday, more than 32 million acres of land has burned this year vastly surpassing the 10-year average of 2.9 million acres. There are currently more than a thousand fires burning in Canada. [ As smoke hits Chicago again, experts say climate change is increasing the severity and reach of Canadian wildfires ] Harris, the Berwyn resident, said not seeing the stars at night because of smoke has become the new normal. Getting lucky and having a clear night is a big deal, he said. The sun sets behind the Chicago skyline, as seen from East Solidarity Drive on May 17, 2023, as smoke from Canadian wildfires dims the brightness of the sun. (John J. Kim/Chicago Tribune) For Moriah Contreras, the smoke has ruined what is typically the best time for astronomers in Chicago. Contreras, 32, of Hyde Park, created the Astronomy Club at the University of Illinois at Chicago. Shes also a part of the Chicago Astronomical Society. This is when we go out with a telescope and can somewhat reliably depend on the weather compared to the Chicago winter, she said. Its disappointing when its a nice day and you have smoke in the air. Contreras said looking up at the dark skies in southern Nevada where she grew up sparked her interest in astronomy. When she moved to Chicago six years ago, she said the lack of stars was jarring, and she made it her mission to help fellow students see the night sky despite the challenges. David Schultz, a physics teacher at Maine East High School, left, and student Ethan Yupe prepare a radio telescope and an optical telescope for presentation during a lecture at a meeting of the Chicago Astronomical Society at the Triton College Cernan Earth and Space Center on July 28, 2023, in River Grove. (John J. Kim/Chicago Tribune) The club purchased camera equipment and narrowband filters that capture specific wavelengths, sifting through light pollution and haze and making it possible to capture images of nebulae and galaxies in downtown Chicago. Contreras said this equipment can cost thousands of dollars, which is inaccessible to the average person, so she recommends joining astronomy clubs. For somewhat darker skies Chicagoans can travel to the Palos Preserves, located in southwest Cook County. The International Dark-Sky Association designated the preserve as an Urban Night Sky Place in 2021, or a location near a large urban area that promotes an authentic nighttime experience amid lots of artificial light. You can see more stars. Its definitely darker there is light trespass from the city, but we see planets and stars and constellations. Its one of the darkest places within 25 miles of the city, said Mary Busch, a volunteer program specialist with the Forest Preserve District of Cook County. Naperville Astronomical Association club member Dave Kueker sits down inside the DuPage Video Observatory in Naperville on Aug. 12, 2023. (Troy Stolt/for the Chicago Tribune) Harris also recommends visiting the Green River State Wildlife Area, a wildlife restoration area about two hours west of the city. He said a comfortable lawn chair, planetarium app, binoculars and warm clothes are essential supplies for the trip. Harris main concern is that future generations will never get to see the Milky Way or stars like he did growing up. He wants people to protect the night sky in the same way they protect Vincent Van Goghs Starry Night painting. If you were to whitewash the glass or the painting, youd obviously be arrested, he said. But destroying the actual sky, covering up and blocking the view of the night sky for generations of children, thats no problem. rjohnson@chicagotribune.com This week, District Attorney Fani Willis of Fulton County, Georgia, charged former President Donald Trump and 18 others based on their collective efforts to subvert the results of the 2020 election and keep Trump in power. Among 41 felony counts, Willis charged each defendant under Georgia's Racketeer Influenced and Corrupt Organizations (RICO) Act. Reason's Elizabeth Nolan Brown and Jacob Sullum have detailed the charges against Trump, et al., including the RICO portion. The defendants' conduct was indefensible and a jury will likely decide whether it rises to the standard of "constitut[ing] a criminal organization," as the indictment alleges. But even apart from this case, Willis' proclivity for RICO charges is concerning. The original federal RICO law was drafted for use against the mafia, allowing prosecutors to bring conspiracy charges based on certain predicate acts. It quickly expanded to include all manner of activity that was already illegal but could now be charged more aggressively. As Reason noted all the way back in 1990, "Ambitious federal prosecutors have now discovered RICO's many uses, and this poses a great danger to civil liberty and free enterprise." Georgia's RICO law is even more expansive than its federal counterpartfor example, it does not require multiple defendants or an extended timeline to establish a conspiracy. Former prosecutor Chris Timmons told ABC News, "Somebody could go to JC Penney, shoplift a pair of socks, walk next door to Sears and shoplift a second pair of socks, and they can be charged with RICO." The law also makes it easier to bring racketeering charges than the federal statute: As former federal prosecutor Ken White noted on the Serious Trouble podcast this week, federal cases must satisfy numerous "elements" to support RICO charges; the Georgia statute, on the other hand, doesn't specify any requisite elements, making it much easier to charge on flimsier evidence. Willis is unapologetic about her use of the statute, saying in August 2022, "I'm a fan of RICO." As a deputy D.A., Willis was the lead prosecutor on the case against Atlanta public schoolteachers accused of cheating in order to inflate standardized test scores. While the state's decision to charge teachers and administrators as racketeers was controversial, it worked: Prosecutors secured 11 convictions and 21 guilty pleas. Willis noted this week that her office has pursued 11 RICO cases since she became D.A. in January 2021. She has primarily used it against gangs, bringing RICO charges in 2022 and 2023 over a series of Atlanta shootings and home invasions. In 2022, she also charged 28 peopleincluding Atlanta rappers Young Thug and Gunnaunder the state RICO statute as members of the YSL gang, incorporating allegations going back to 2013. RICO statutes allow prosecutors to bring charges using guilt by association. Kerry Martin wrote in the Michigan Journal of Race & Law that RICO "is not supposed to criminalize mere membership in a gang, but it comes dangerously close to doing so." Georgia's statute is even worse. Perhaps Trump and his co-conspirators truly did "constitute a criminal organization," as Willis's indictment alleges. And it's entirely possible that Young Thug was party to committing felonies, either alone or as part of the YSL gang. But a conspiracy charge should require more thought and preparation than the "kitchen sink" approach currently available in Georgia and favored by one of its most prominent prosecutors. The post Fani Willis Is Abusing Georgia's Terrible RICO Law appeared first on Reason.com. Six tourists have been arrested on suspicion of the gang rape of a young British woman who was on holiday in the Spanish resort of Magaluf, on the island of Majorca. A judge in Palma ordered the men, five French and one Swiss, to be held in custody while the investigation continues, Spains Guardia Civil police force said. Officers said there were video clips of the alleged rape on at least one of the suspects phones. All the men were reported to be over 20 years old. The assault allegedly happened at dawn on Monday at a hotel in Magaluf. The resort is known for its rowdy parties and cheap alcohol. Hotel staff called police after finding the British woman crying outside the hotel in the town of Calvia, a popular party destination for young tourists. The suspects were arrested shortly after the woman gave a description of them. Police said the unidentified woman told them she had met the suspected rapists on Monday night and later went to the hotel room of one of the men. She was allegedly raped by all six of the men, none of whom have been formally identified, before she was able to escape. Men expected to remain behind bars The woman received immediate medical attention and counselling. A doctor was reported to have found grip marks on her arms. Detectives have inspected the hotel room and reviewed CCTV footage as part of the ongoing investigation. The Civil Guard took the detainees before a judge for a behind-closed doors court hearing in Palma de Mallorca, the islands capital, on Tuesday. Spanish media published photographs of the six handcuffed men, wearing the same shorts and T-shirts in which they were arrested, as they were escorted into court by police officers for the remand hearing. Although the suspects are being held in jail, none has been formally charged as yet. Under Spanish law, sexual assault takes in a wide array of crimes from online abuse and groping to rape, each with different penalties. Gang rape is considered an aggravating factor that can carry sentences of up to 15 years in prison. A police spokesman said: We were alerted by the hotel in the early hours of Monday morning after the alleged victim raised the alarm. One set of officers took her to hospital so she could be examined by a doctor, and another team of officers set about trying to locate the alleged aggressors. They were arrested over the following hours. The investigation is ongoing and involves a team of officers specialising in investigating alleged sex crimes in Magaluf. The men are expected to remain behind bars unless defence lawyers can secure them bail. It is the second alleged gang rape by young tourists in Mallorca in recent weeks. In mid-July, police arrested six German men for the alleged rape of an 18-year-old German woman at a hotel on the island. In the early hours of Aug 11, a 17-year-old British boy was allegedly sexually assaulted by a taxi driver in the back of a cab. He told police the driver began touching him as they headed to his hotel and demanded he perform a sex act on him. Last month, two Irishmen were arrested on suspicion of raping a British tourist in a hotel in Magaluf. She told police the men sexually assaulted her in the early hours of July 19. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. Health regulators Monday ordered an Orlando abortion clinic to pay a $193,000 fine for violating a law that requires women to wait 24 hours before having abortions, nearly three times the fine recommended by an administrative law judge. >>> STREAM ACTION NEWS JAX LIVE <<< The state Agency for Health Care Administration issued a final order requiring the Center of Orlando for Women to pay a $1,000 fine for each of the 193 violations shortly after the law took effect in April 2022. Administrative Law Judge J. Bruce Culpepper this spring issued a recommended order that said the clinic should pay a $67,550 fine $350 for each violation. But under administrative law, the recommended order had to go to the Agency for Health Care Administration for a final decision. Mondays final order, signed by Agency for Health Care Administration Secretary Jason Weida, said The record is devoid of any reasons why respondent (the clinic) could not comply with the law prior to May 9, 2022, which was the date it first began complying with it. In a document filed in March at the state Division of Administrative Hearings, an attorney for the clinic proposed paying a $19,300 fine $100 for each violation and said a $193,000 fine would likely force the clinic into bankruptcy or closure. The case was one of a series of similar efforts by the Agency for Health Care Administration to fine clinics for not complying with the waiting-period law in the weeks after it took effect. The Legislature passed the waiting-period requirement in 2015. But the law spurred a lengthy court fight and did not take effect until April 25, 2022, when Leon County Circuit Judge Angela Dempsey entered a final judgment upholding it. The law requires women to receive information from doctors about abortions and then wait at least 24 hours before having the procedures. Regulators filed a series of cases after inspecting records about compliance with the law following Dempseys ruling. In the Orlando clinics case, the agency alleged that 193 abortions were performed at the facility from April 26, 2022, to May 7, 2022, without 24-hour waiting periods. State law allows the agency to collect a maximum of $1,000 for each violation of the law. In filings at the Division of Administrative Hearings, the Orlando clinic said it repeatedly sought clarification from the Agency for Health Care Administration in April 2022 and early May 2022 about when the law would take effect but did not receive information. [DOWNLOAD: Free Action News Jax app for alerts as news breaks] Respondent (the clinic) took necessary and reasonable steps to discover the effective date of 24-hour requirement so that it would be in compliance, the document filed in March by the clinics lawyer said. Short of being involved in the litigation (which it was not), there were not more options available to discover the effective date beyond what the clinic did. Contacting AHCA (the Agency for Health Care Administration) for information (not legal advice) about the effective date of the 24-hour requirement was a logical and appropriate thing to do. In his recommended order calling for a $67,500 fine, Culpepper pointed to certain extenuating and mitigating facts that should be considered when assessing the gravity of the Centers violation and in turn, the appropriate and reasonable fine to levy upon the Center. But Mondays final order by the Agency for Health Care Administration said, for example, that the clinics office manager admitted she knew about the law, yet did not change respondents operating procedures to comply with it. The agency has reached smaller settlements with other clinics it accused of violating the waiting-period law. For instance, orders filed in July said it reached $20,000 settlements with two Miami-Dade County clinics. At least some of the cases centered on whether clinics had properly documented compliance with the waiting-period law. [SIGN UP: Action News Jax Daily Headlines Newsletter] Click here to download the free Action News Jax news and weather apps, click here to download the Action News Jax Now app for your smart TV and click here to stream Action News Jax live. Crazy warm ocean temperatures continue to wreak havoc among coral reefs in Florida and the Caribbean this summer, bleaching and killing corals and spawning urgent rescue operations. After the hottest July on record, many northern hemisphere coral reefs in Belize, Florida, Cuba, Mexico, along the Pacific coast of Central America have already experienced very high levels of heat stress, enough to trigger mass coral bleaching, said international coral scientist Terry Hughes, a professor at James Cook University in Australia. Despite the grave concerns, some scientists and nonprofits say they are optimistic that advances in the ability to grow corals in laboratories and nurseries could help restore the reefs. There is much more than hope that we are going to be able to restore these coral reefs, and that we are going to be able to restore them with more resilient genotypes of coral, said Michael Crosby, president and CEO of Mote Marine Laboratory and Aquarium. Among the most diverse ecosystems on Earth, coral reefs are important to ocean health and to local economies, generating millions in fishing and tourism. Ultimately however, Hughes and others said the only way the reefs will survive is if the world can address the rising greenhouse gas emissions that are the root cause of the heat plaguing the worlds oceans. A soft coral shows bleaching on Emerald Reef in the Florida Keys in this undated photo from the National Oceanic and Atmospheric Administration. Dying coral Snorkeling the coral reefs off the Florida Keys in the 1960s and 1970s was like swimming through a kaleidoscope, with colorful algae living among roughly 30 coral species covering the reefs, home to a rich diversity of marine life. Within decades, polluted and warmer water had bleached and killed up to 95% of the corals, said Bill Precht, a Miami-based coral scientist with the environmental consulting firm Dial Cordy and Associates. Now scientists fear this summer's unprecedented heat could deal a potentially fatal blow to the remaining coral sparsely populated along the patchy reef that stretches from the Florida Keys to Palm Beach. The current situation is grim, Precht said. Some observation stations near the Keys experienced some of the highest ocean water temperatures ever recorded in July and temperatures at several stations in the region have averaged 90 degrees or above since July 1. Abnormally warm ocean heat waves continue and could last into October or beyond. Scientists report some reefs already have seen nearly 100% die off. Boulder star coral about 15 feet deep on a reef near the shore of Key West, Florida were already bleaching on July 18, 2023 because of warmer than normal water temperatures, in this photo by coral research scientist Lauren Toth with the U.S. Geological Survey. When Lauren Toth checked one reef during visits just a week apart, the coral research scientist with the U.S. Geological Survey found everything turned "just stark white. Almost 100% of these corals had lost all of their color, which really just speaks to how extreme that stress is," Toth said. Despite massive degradation seen at other times in her career, she said the bleached coral this summer is "unprecedented." Few scientists are surprised. They say recurring marine heat waves and coral bleaching events are exactly what coral and climate scientists have predicted would happen. What is coral bleaching? Dozens of types of algae live inside corals in a mutually beneficial relationship that gives the corals color, nutrition and slight changes in water composition that help make its limestone skeleton. When the water warms up to 2 degrees above normal average temperatures and remains there for 10 days or more, toxins begin to disrupt the algaes photosynthesis process, Toth said. The coral then ejects the algae, trying to save itself. That spurs "bleaching," and without the essential algae, the coral starts to die. A colony of fire coral about five feet underwater in Dry Tortugas National Park in the Gulf of Mexico shows signs of bleaching from recent extreme water temperatures in this photo taken July 26, 2023 by coral research scientist Lauren Toth with the U.S. Geological Survey. Limited bleaching can happen in any given summer, but it typically starts around the end of August or early September, then the waters begin to cool and the corals survive, Toth said. This year, NOAA satellites began tracking an unusual rise in ocean temperatures in the waters around Florida and the Caribbean in April. Bleaching started by mid-July, Toth said. So rather than just being in a sauna for a couple weeks and then having a chance to cool down, (the corals) are sitting in that bathtub of hot water for probably over two months. Searching for a cure Mote researchers are among many scientists working with state and federal agencies to improve ways of growing coral and repopulating reef skeletons. The coral reef in Florida, especially in the Keys, is simply not able to self replicate and keep one step ahead of the changing environment, Crosby said. We are basically watching these reefs slip into functional extinction." Through its international coral gene bank, Mote is using genetics to find out whats killing the coral, identify species that might be more resilient than others to heat and other stressors, and bring coral skeletons back to life, Crosby said. "Ten years ago, the existing paradigm in coral restoration science was you cant do this." Several universities in Florida are among those researching how to rehabilitate and grow coral in offshore nurseries, aquariums and tanks. Corals also are growing in tanks and aquariums as far from the ocean as Ohio and New Mexico, as part of an Association of Zoos and Aquariums project to protect the genetic survival of corals in the Atlantic and Pacific oceans and buffer corals against climate change, stony coral tissue loss disease and other threats. When the heat spiked this summer, it spawned massive coral rescue operations. Racing to rescue "There have been Herculean efforts to try to rescue some of the coral that's most at risk," said Ben Kirtman, director of the University of Miami-NOAA Cooperative Institute for Marine and Atmospheric Studies and an atmospheric sciences professor in the Rosenstiel School of Marine, Atmospheric, and Earth Science. More than a half dozen research organizations, universities and government agencies launched emergency efforts to salvage fragments of rare and threatened corals they're growing in manmade coral nurseries off the Florida Keys, rushing them to deeper water and land-based laboratories. The groups included Mote, the Keys Marine Lab, the University of South Florida and the Coral Restoration Foundation. Working in bathtub-like water temperatures offshore, they filled crates and trays with coral fragments grown in the research nurseries and brought them back to shore by boat, then delivered them to tanks and aquariums. Mote worked with a variety of research partners, businesses and volunteers, to round up boats, trucks and people, Crosby said. We implemented the largest scale evacuation of coral in a very short amount of time, literally in a matter of days, to try to salvage or save the very stressed and dying coral that were in our coral nurseries offshore." They're seeing some initial success in some coral plantings that remain offshore, he said, demonstrating that some species growing in the nurseries are more resistant to heat than others. Researchers will care for and monitor the rescued coral fragments until cooler waters return and the corals can be safely returned to the sea. But, with a brewing El Nino, no one knows when that might be. Grace Klinges, a molecular and microbial ecologist at Mote Marine Laboratory and Aquarium, examines coral relocated from a field nursery off Florida during the coral bleaching event during abnormally high ocean temperatures in July 2023. What's causing the warmer water? July was the globe's hottest month on record. The Gulf of Mexico, like much of the southern part of the country, has sweltered under a high pressure system this summer, which helped drive up water temperatures off South Florida. Marine heat waves have spread across more than 40% of the worlds oceans, according to the National Oceanic and Atmospheric Administration. Among the potential influences that experts said may be contributing to the ocean heat this summer, are: Warm water isn't the only threat to corals. Stony coral issue loss disease, first reported off Florida in 2014, has spread rapidly through the Caribbean, NOAA said. It's now found on reefs in 18 countries and territories, in at least 20 stony coral species. A group of organizations including Sea World, NOAA Fisheries and the Fish & Wildlife Foundation of Florida partnered on the Florida Coral Rescue Center to try to stem its spread. Staghorn coral rescued from a Mote Marine Laboratory and Aquarium field research station in an attempt to save rare and threatened corals from the abnormally warm waters and ongoing coral bleaching off the Florida coast for future reef restoration purposes. Great Barrier Reef could face similar heat within months Six widespread coral-bleaching events have taken place on the Great Barrier Reef since 1998. Last year, for the first time, bleaching occurred during rainy and cooler La Nina conditions, even while some corals were gaining ground. Although its winter there, water temperatures are warmer than normal, already raising fears about the transition to summer. The outlook for El Nino projects warmer water temperatures could persist into 2024 and be devastating to the reef off Northeast Australia, Hughes said. Were very concerned about a likely return of severe bleaching in 6 months time, as a new El Nino develops. It could well be the worst weve seen yet. The worst coral bleaching on record occurred during the El Nino of 2014-2017. Coral scientists are watching and waiting to see how this new El Nino will measure up. Healthy elkhorn coral from one of Mote Marine Research Laboratory and Aquarium's offshore underwater nurseries was relocated to a land-based coral nursery on Summerland Key during a July 2023 rescue operation to save corals from a bleaching event. There's way more tiny life on Earth than scientists had thought, study of coral reefs finds This article originally appeared on USA TODAY: Coral reefs dying in Florida, heat spurred urgent rescue mission Keisha Schahaff takes in the view of Earth on Aug. 10, 2023, during Galactic 02, Virgin Galactics first private astronaut mission. This is what it's like to fly to suborbital space with Virgin Galactic. The company aced its first-ever private astronaut mission last week, a six-person jaunt aboard its VSS Unity space plane called Galactic 02 that lifted off from New Mexico's Spaceport America. Those six folks experienced a few minutes of weightlessness and got to see Earth against the blackness of space something we can all get a small taste of, thanks to video Virgin Galactic captured during the flight. Related: Meet the crew of Virgin Galactic's 2nd commercial spaceflight a woman with long braids looks at earth through the window of a spacecraft. That footage includes glory shots of our gorgeous planet shot from outside Unity, as well as video of the four passengers inside the space plane's cabin. (The other two people on board Unity were in the cockpit, piloting the vehicle.) We see the four passengers float around inside Unity, moving in microgravity for the first time. But they prioritize the view over flips and other acrobatics, mostly staying glued to the windows, wide-eyed in wonder at this new look at their home planet. "I was shocked at the things that you feel," Galactic 02 crewmember Anastatia Mayers, an 18-year-old student at Aberdeen University in the United Kingdom, said during a postflight news conference on Aug. 10. "You are so much more connected to everything than you would expect to be," she added. "You felt like a part of the team, a part of the ship, a part of the universe, a part of Earth. It was incredible." Mayers flew with her mother, Keisha Schahaff, who won two seats aboard Unity in 2021 through a fundraising competition organized by Virgin Galactic and the nonprofit Space for Humanity. Mayers and Schahaff, who are from Antigua and Barbuda, became the first mother-daughter duo to fly to space together, as well as the first women from a Caribbean nation to reach the final frontier. And Mayers is now the youngest person to have flown to space, according to Virgin Galactic. Also on board Galactic 02 was 80-year-old Jon Goodwin, who competed in canoeing for the United Kingdom at the 1972 Summer Olympics in Munich. Goodwin is the first former Olympian to reach space and just the second person with Parkinson's to do so, Virgin Galactic said. (He was diagnosed with the disease nine years ago.) The fourth person in the cabin was Beth Moses, Virgin Galactic's chief astronaut instructor. A white, grey-haired man with a beard, a smiling black woman, and a younger smiling black woman with facial piercings pose for the Galactic02 crew portrait. RELATED STORIES: Meet the crew of Virgin Galactic's 1st commercial spaceflight Virgin Galactic aces final test spaceflight, eyes start of commercial service in June Space tourism companies might learn a lesson from the Titan sub disaster. But are they ready to listen? Virgin Galactic employs an air-launch system, sending VSS Unity aloft from a runway beneath the wings of a carrier plane called VMS Eve. Eve drops the space plane at an altitude of about 50,000 feet (15,000 meters), and Unity engages its onboard rocket motor to make its way to suborbital space. As its name implies, Galactic 02 was the second commercial spaceflight for Virgin Galactic, following a June 29 mission for the Italian Air Force and the country's National Research Council. VSS Unity will fly roughly once per month from here on out, if all goes according to plan. Virgin Galactic now has seven spaceflights under its belt overall. Unity flew five test missions leading up to Galactic 01, reaching suborbital space in December 2018, February 2019, May 2021, July 2021 and May 2023. The FBI agent tasked with leading investigations into Russian oligarchs pleaded guilty to laundering money on behalf of an oligarch in exchange for investigations on rivals, the Justice Department announced Tuesday. Charles McGonigal, now a former special agent, was in charge of the New York FBI Counterintelligence Division and led investigations into Russian oligarchs to determine whether they could be subject to U.S. sanctions. Charles McGonigal broke his oath to defend the Constitution and turned his back on his duty to protect the American people in favor of his own greed by working for a sanctioned Russian oligarch, said Suzanne Turner, assistant director of the FBI Counterintelligence Division. According to federal prosecutors, McGonigal accepted $17,500 from oligarch Oleg Deripaska, worth more than $3 billion. Deripaska has been under U.S. sanctions since 2018 and he has been considered one of Russian President Vladimir Putins closest confidants, according to a 2006 diplomatic cable. In return for the money, McGonigal investigated a rival oligarch at Deripaskas request, the DOJ said. The funds were laundered through shell corporations using false documents, the department claims. After his tenure as a high-level FBI official who supervised and participated in investigations of Russian oligarchs, Charles McGonigal has now admitted that he agreed to evade U.S. sanctions by providing services to one of those oligarchs, Oleg Deripaska, federal prosecutor Damian Williams said. This office will continue to hold to account those who violate U.S. sanctions for their own financial benefit. Deripaska is one of seven oligarchs initially sanctioned by the United Kingdom in relation to Russias invasion of Ukraine last year. The 2018 U.S. sanctions were in response to Russias annexation of Crimea in 2014. Deripaska also had connections to President Trump via senior adviser Paul Manafort and was a subject of Robert Muellers investigation into Trumps campaign in 2019. The investigation found that Manafort allegedly offered Deripaska exclusive information on the campaign through an intermediary. The DOJ charged Deripaska with violating those sanctions by using shell companies in 2022. McGonigal will be sentenced on Dec. 14 with a maximum possible sentence of five years. He agreed to return the $17,500 to the U.S. government. For the latest news, weather, sports, and streaming video, head to The Hill. GREENWOOD, Mo. A former Kansas City-area police chief, once hailed for a heroic rescue, has now been convicted of assault. Former Greenwood, Missouri, police chief Greg Hallgrimson made headlines in 2018 when he and fellow officers helped rescue a 6-month-old baby from an icy pond. Police said the childs father tried to drown the girl, walked into the police station and confessed. Officers rushed to the scene to rescued the baby, and she miraculously survived. But shortly after, Hallgrimson was placed on administrative leave and later resigned, and the FBI and highway patrol began investigating. Woman charged with murder in death of Fairway police officer A grand jury indicted him in 2019, accusing him of assaulting that father at the police station after he was arrested. Court documents say the man was handcuffed when Hallgrimson threw him over a chair and beat him. Hallgrimson pleaded guilty in 2021 but avoided prison when a judge sentenced him to five years probation. A judge sentenced the Greenwood father who tried to drown his daughter to 15 years in prison. But while Hallgrimsons case was playing out, his ex-wife said the former police chief punched her in the face, causing her to lose consciousness. The incident happened in 2020, but the woman didnt report the case until 2021. A jury found Hallgrimson guilty of first-degree assault Tuesday. His sentencing is scheduled for October 2023. Suspects wanted after shooting Independence gas station clerk Clay County Prosecutor Zachary Thompson said this case proves delaying disclosure is not a bar to justice. If someone is a victim of domestic abuse in Clay County, our office will do everything in its power to help them obtain justice, Thompson said. This verdict sends a clear message that Clay County will hold the perpetrators of abuse accountable no matter who they are without fear or favor. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. A former Fort Liberty, North Carolina, master sergeant was sentenced to 76 years in prison Friday for distributing child pornography, according to U.S. Attorney Michael Easleys office. U.S. District Judge Louise W. Flanagan, who presided over the sentencing, also sentenced Joshua Robert George Glardon to a lifetime of supervision if he is ever released. Glardon, 41, was investigated by the Cumberland County Sheriffs Office and the North Carolina State Bureau of Investigation in July 2021 for child exploitation offenses, according to court documents and other information presented in court. He previously served as a first sergeant with the 4th Psychological Operations Group at Fort Bragg, which is now redesignated as Fort Liberty. Army to re-investigate past allegations against PSYOP first sergeant now charged with child sex crimes It is not clear when he left the military installation, but a LinkedIn page stated that Glardon started working as a military science instructor at Appalachian State University under the U.S. Army Cadet Command in April 2021, and he was arrested at the university. Federal charges According to federal court records, Glardon pleaded guilty to four counts of distributing child pornography, while another seven counts of distributing child pornography and one count of receiving child pornography were dismissed. According to the news release, the investigation began when the National Center for Missing and Exploited Children received three CyberTips from Kik social media that one of its users had uploaded child sexual abuse material on multiple days. The internet provider address used to upload the images belonged to Joshua Glardon when he lived in Fayetteville, the news release stated. Two of the images in the CyberTip appeared to be homemade images, and once a search warrant was executed to seize Glardons digital devices, a forensic analysis revealed thousands of images of child sexual abuse material on his phone, and images of the child who appeared in the CyberTips images, the news release stated. Law enforcement officers were able to identify the child through chat messages Glardon had with a Fayetteville woman. The Fayetteville Observer is withholding her name to protect the identity of the child. Law enforcement officials searched the womans house, and during an interview, the woman allegedly confessed to allowing Glardon to rape the child. She admitted she would take images and videos of the child, including videos of her performing sexual acts on the child, and send them to Glardon, the news release stated. The woman pleaded guilty in January to the production of child pornography. She was given the maximum sentence of 30 years in prison when she appeared in federal court in Wilmington on June 7. If released, she will be on lifetime supervision. She was also ordered to pay $10,000 in restitution to the victim. According to the news release, a forensic analysis of the womans digital devices revealed chat conversations between her and Glardon discussing the sexual abuse of the child. Glardon would then distribute the childs images over the internet where he encouraged others to further share the images, the release stated. Law enforcement located nearly 50 conversations of Glardon sharing the childs image and encouraging others to fantasize about brutally raping the child, the release stated. Pending Cumberland County change A first-degree statutory sex offense of a minor charge for Glardon is pending in Cumberland County. Glardon was indicted Nov. 29 in Cumberland Countys Superior Court on first-degree statutory sexual offense, according to court records. What court records say about ex-Fort Bragg soldier facing slew of child sex crime charges Cumberland County court records state that the woman told investigators that at one point, Glardon visited her home in Fayetteville and was left alone with the child. The woman told authorities that when she returned, Glardon allegedly told her he engaged in a sexual act with the girl on the floor inside the residence, Cumberland County court documents state. The woman said during another encounter she had oral sex with Glardon while the girl was present and alleged the girl was brought into the activity, court records state. The woman told authorities she left the bedroom and left Glardon and the girl together and believed he engaged in sexual acts with the girl. The woman further told authorities that she took photos of the girl in different stages of dress, and with her genitals exposed and sent them to Glardon at his request, according to court records. According to court records, authorities in addition to search warrants for Glardons electronic devices, work office and home, sex toys were also seized after the woman told authorities they were used by Glardon to create a video with the girl. According to court records, after local and state authorities notified the U.S. Army Criminal Investigation Command about their probe into Glardon, they received a report from 2012 that alleged Glardon had an extramarital affair with another woman, and that woman reported that Glardon allegedly asked her for inappropriate photos of an underage girl and told the woman he wanted to have sex with the girl. The outcome of that investigation was not immediately available. A former Trump White House lawyer said Tuesday there was no chance that Fulton County (Ga.) District Attorney Fani Williss case brought against former President Trump and his allies will go to trial within two years. Willis unveiled a 98-page indictment late Monday charging Trump and 18 others for their alleged efforts to overturn the 2020 Georgia election results. She also announced that she wants to bring a trial forward within the next six months. Ty Cobb, who served in the White House for about 10 months beginning in July 2017, said that they should not expect a trial sooner than two years, noting that Williss trial will not likely go before Justice Department special counsel Jack Smiths two cases against the former president. Theres no chance in my view that Fani Willis goes within two years, Cobb said, adding that with 19 defendants and different charges, everybody will have motions galore. He argued that the case will take a long time to be prepared, noting that there will be motions for severance and to dismiss the case. He said that if the case takes place after the 2024 election, it could also set up a potential Supreme Court battle. And if it takes her, you know, two years I mean, heaven forbid that Trump wins the presidency then then there will be a fight to the Supreme Court over whether she can proceed against the sitting president during his term, he said. Thats an issue thats never been resolved. The federal prosecutors are not allowed to indict or prosecute the president during his term, Cobb added. But as to state court prosecutors, that issue has never been resolved and could delay things quite some time. Trump and the 18 others must voluntarily surrender in Georgia before Aug. 25, according to Willis. For the latest news, weather, sports, and streaming video, head to The Hill. The U.S. has raised the issue of Iran's supply of attack drones to Russia during discussions with Tehran as part of an effort to "de-escalate tensions," the Financial Times reported on Aug. 16. According to the FT's sources, Washington has asked Tehran to stop selling drones to Russia at indirect talks in Qatar and Oman this year. Though Iran has long denied that it is behind the supply of drones, CNN reported on May 26 that Tehran is secretly shipping Shahed kamikaze drones to Russia through the Caspian Sea. A particular uptick in traffic was recorded in the fall of 2022 when Moscow began to use drone strikes against Ukraine on a massive scale. Tehran claims it has "repeatedly" asked Moscow to stop using the drones, according to the FT report. However, Washington has asked for "more concrete steps" in the discussions, which take place through intermediaries. Iranian-made Shahed kamikaze drones have been one of Russias most effective weapons. On Aug. 3, President Volodymyr Zelensky said that Russia had launched almost 2,000 Shaheds at Ukraine. In June, Iranian-made Shahed "kamikaze" drones largely used by Russia to attack Ukraine are still difficult for the Ukrainian forces to shoot down, Air Force spokesperson Yurii Ihnat said in an interview with Ukrainska Pravda. According to him, it's difficult to take the drones down when Russia launches many of them from different directions, usually at nighttime, and they fly low. He said that Russia launches drones at night to "exhaust" and detect Ukrainian air defense to further fire missiles at it from its aircraft or missile carriers. On Aug. 16, the U.K. Defense Ministry said that Russia has almost certainly started to deploy domestically-produced drones based on Iranian Shahed kamikaze drone designs. Domestic production will enable Russia to establish a more stable supply of kamikaze drones to be used in airstrikes against Ukraine, the report noted. Although Moscow likely hopes to become fully self-sufficient in manufacturing the unmanned aerial vehicles, it is currently dependent on components and complete weapons shipped from Iran, mostly via the Caspian Sea, the ministry said. Read also: Report: Russia replicates Iranian drones, using them to attack Ukraine Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. By Jacqueline Thomsen WASHINGTON (Reuters) - The district attorney prosecuting former U.S. President Donald Trump on election interference charges in Georgia has proposed that his trial start in March of next year, a date that would have Trump in court mid-campaign for the 2024 Republican presidential nomination. The proposed March 4 trial date is one day before Super Tuesday, during which voters in more than a dozen states are set to cast their ballots for the Republican presidential nomination. Fani Willis, the Fulton County district attorney, submitted her recommendation in a court filing on Wednesday, which also said that initial appearances for the various defendants charged in the Georgia election case should happen during the week of Sept. 5. Lawyers and a spokesperson for Trump did not immediately return a request for comment. A Fulton County grand jury on Tuesday indicted Trump and 18 others, accusing the former president of seeking to undo his 2020 election loss to U.S. President Joe Biden. Trump is set to be on trial in New York on March 25, 2024, on separate charges of concealing a hush money payment to a porn star - a schedule that the former president is certain to raise in response to the recommended start date in Georgia. Willis said in Wednesday's filing that the proposed schedule does "not conflict" with other hearings and trial dates set in Trump's other criminal cases. Manhattan District Attorney Alvin Bragg said in an interview with WNYC radio last month before Trump was indicted in two other cases, including Georgia that the various judges involved may "confer" about the schedules. Trump's attorneys have argued in other criminal cases that any trial be scheduled until after the November 2024 presidential election. He is set to go on trial in Florida in May on charges of retaining sensitive government documents after leaving office. U.S. Special Counsel Jack Smith's office has also asked a Washington, D.C., federal judge to schedule a Jan. 2 trial start date on charges that Trump plotted to overturn his 2020 election loss. Trump's attorneys face a Thursday deadline to propose their own trial date in that case. (Reporting by Kanishka Singh and Jacqueline Thomsen in Washington; Editing by Caitlin Webber, Grant McCool and Alistair Bell) Fulton County District Attorney Fani Willis has proposed a March 2024 trial date in her office's racketeering case against former President Donald Trump and 18 others. In a brief filing, a prosecutor for Willis' office proposed a schedule he said would not conflict with "defendant Donald John Trump's other criminal and civil matters." Willis' office suggested all 19 defendants, including attorney Rudy Giuliani and former White House chief of staff Mark Meadows, among other Trump allies, can be arraigned the week of Sept. 5. They are accused of operating a "criminal enterprise" while pursuing their efforts to overturn the 2020 presidential election, which Trump lost. Willis has given Trump and his co-defendants until Aug. 25 to turn themselves in for booking, a process that is expected to include mugshots at the Fulton County jail. In Georgia, unlike in federal court and in New York, the proceedings are allowed to be televised. Trump, Giuliani, Meadows and other defendants have denied wrongdoing in the case. They've accused Willis of pursuing them for political gain. Fulton County District Attorney Fani Willis speaks during a news conference at the Fulton County Government building on August 14, 2023 in Atlanta, Georgia. / Credit: Joe Raedle / Getty Images The March 4, 2024, trial date proposed by prosecutors in Fulton County is just three weeks before a trial scheduled in Trump's Manhattan criminal case, in which he entered a not guilty plea to 34 felony charges related to the alleged concealment of "hush money" payments. He's also scheduled for trial on May 20, 2024 in a federal case in which he entered a not guilty plea to 40 felony counts related to alleged "willful retention" of classified information. In another federal criminal case, Trump entered a not guilty plea to four federal felony charges related to his alleged efforts to overturn the election results. The office of special counsel Jack Smith has proposed a Jan. 2, 2024 trial date. In each case, Trump, who is again running for president, has proposed trial dates that fall after the November 2024 election. Trump has denied all the allegations against him. Buffalo mass shooting witnesses sue social media, gun companies Trump, DeSantis compete for GOP voters at Iowa State Fair TSA to implement new rules after breaches involving CLEAR A staff member for U.S. Rep. George Santos has been indicted on charges he impersonated an aide to powerful House speaker Kevin McCarthy to raise funds for the oft-lying Santos and took a 15 % commission for his trouble. Samuel Miele was charged Wednesday in Brooklyn Federal Court with aggravated identity theft and four counts of wire fraud. Miele was the subject of a Federal Elections Commission complaint in February, accusing him of impersonating Dan Meyer, House Majority Leader Kevin McCarthys chief of staff during the 2020 and 2022 elections, to raise funds for Santos. Though Santos and Meyer are not named in the indictment, sources familiar with the investigation told the Daily News Wednesday that the charges stem from Mieles alleged impersonation of Meyer. Santos, a New York Republican, is identified in the indictment as Candidate 1, sources said. On Sept. 26, Miele sent Candidate 1 a letter where he admitted to faking my identity to a big donor, stating that there washigh risk, high reward in everything I do, according to federal prosecutors. Miele was arraigned Wednesday before Magistrate Judge Sanket Bulsara and released on $150,000 bond. Santos, who famously lied about his education, religion, family history, professional experience and property ownership during his 2022 campaign, is also under federal indictment for wire fraud, money laundering, theft of public funds and making false statements to Congress. ___ The former White House chief of staff. A retired teacher. A onetime publicist for a famous rapper. And a slew of lawyers, local officials and political allies. Prosecutors in Fulton County, Ga., allege each acted to further a single goal: keeping former President Trump, who had lost the 2020 presidential election, in power. Defendant Donald John Trump lost the United States presidential election held on November 3, 2020, prosecutors wrote in their first statement in the indictment. One of the states he lost was Georgia. Trump and the other Defendants charged in this Indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump. Trump is listed first in the 19-defendant indictment. His name appears in both the 13 charges he faces and several other charges he does not. Despite the multitude of participants in the conspiracy alleged by Fulton County District Attorney Fani Willis (D), the indictment makes clear that theres only one main character: Trump. Everybody in this alleged conspiracy is working for him, to generate a benefit for him, said Kay Levine, a law professor at Emory University. Hes at the center of everything. Some 161 acts were committed over the span of two years from the day after Election Day 2020 to September 2022 in furtherance of the alleged conspiracy, some by individual defendants and others by groups of the accused, prosecutors say. More Georgia indictment coverage At the indictments core are efforts to organize a group of 16 Georgians to serve as so-called alternate electors and say Trump had won the state and the false statements Trump and his associates made along the way. The fake electors scheme spanned across multiple battleground states, though only the efforts in Georgia are included in the indictment. The indictment also weaves together several local efforts to ensure Trump kept the White House, detailing lawyers work to persuade state lawmakers of false election fraud claims and allies attempts to pressure an election worker. Prosecutors also tie an election equipment breach in Coffee County, Ga., to the broader alleged plot. Plus, Trump himself is accused of pressuring Georgia Secretary of State Brad Raffensperger (R) to find 11,780 votes for him, and later, suggesting he start decertifying the Election, or whatever the correct legal remedy is, and announce the true winner. I think Willis demonstrated that Donald Trump initially planned to allege election fraud well before any votes were counted and, along with his co-conspirators, systematically moved on from one scheme to another whenever a plan to overthrow the election failed, said Anthony Michael Kreis, a law professor at Georgia State University. Fulton County prosecutors opted to charge all the defendants with violating Georgias Racketeer Influenced and Corrupt Organizations (RICO) Act. The federal RICO Act was created in 1970 to combat organized crime, but Georgias version of the law is broader, allowing it to be aimed at any enterprise. (RICO) is tailor-made for these sort of sweeping, allegedly criminal conspiracies, said Caren Myers Morrison, a law professor at Georgia State University and a former federal prosecutor. The law was designed to allow prosecutors to charge all members of a criminal organization, including the kingpin at the top who does not get his hands dirty, according to Barbara McQuade, a former U.S. attorney and current law professor at the University of Michigan. For decades, Trump has acted like a mob boss, McQuade said. Now he is being charged like one. Willis said Monday night that the charge alleges the defendants participated in a criminal enterprise in Fulton County, Ga., and elsewhere, to accomplish the illegal goal of keeping Trump in office. RICO charges allow prosecutors to paint a much larger and more interesting story, connecting individuals who may not even know the other exists but were working toward a common goal, said Jeffrey Cohen, an associate professor at Boston College Law School and a former federal prosecutor. But indicting smaller fish alongside the big ones could prevent more minor alleged conspirators from being useful government collaborators leaving them less likely to cooperate for prosecutors to persuade. Certainly, theres going to be a lot of pressure on some of the more minor characters to cooperate, Cohen said. At the same time, those minor characters dont often have a lot to say about the entire enterprise. They cant tie the whole story together because theyre just little pieces of a much larger puzzle, he said. Still, the indictment presents evidence that Trump made a habit of injecting himself into every into each facet of this conspiracy, Levine said, making it easier for prosecutors to argue that he was in on the plan. That kind of sloppiness the failure to keep the central figure insulated does make it easier for the central figure to be not just indicted, but shown to be guilty of the conspiracy itself, she said. The expansive indictment ultimately shows Trump will be treated like any other criminal defendant throughout the Georgia case, no matter his status, Morrison said. Putting [Trump] with everybody else its also a signal in a way that Im treating you like any other defendant; youre part of this group, she said. These were the people that you had enlisted to help you, and so youre being tried with them. For the latest news, weather, sports, and streaming video, head to The Hill. Donald Trumps Georgia trial should start March 4, in the heart of the presidential primary season, a little more than a week before the states Republican primary, under a request Wednesday from Fulton County District Attorney Fani Willis. March 4 is the day before the Super Tuesday primaries in a dozen states and a little more than a week before Georgia's primary. Trump and Willis are each campaigning during 2024. Willis' schedule also anticipates arraignments for the 19 defendants the week of Sept. 5. Sheriff Pat Labat had said the defendants would be booked at the Fulton County jail, where defendants could show up any day at any time. Trump was indicted Monday on racketeering and other charges for trying to steal the 2020 election. He has blasted the case the fourth criminal case he faces while campaigning for president as the result of a political prosecution. Trump is expected to ask to delay the trial until after the election, as he has in other cases. "All of these Biden Administration bogus trials and cases, including the locals, should be brought after the 2024 Presidential Election," Trump said on his Truth Social website. "What they have done is already Election Interference, but if the trials are held before the Election, then it would be Interference on a scale never seen in our Country before." Fulton County Sheriff deputies secure the entrance outside the Fulton County Jail in Atlanta on Aug. 16, 2023 following a grand jury in Fulton County, Ga. that indicted Donald Trump. The indictment includes 41 charges against 19 defendants, from the former president to his former attorney Rudy Guiliani and former White House Chief of Staff Mark Meadows. The legal case centers on the states RICO statute, the Racketeer Influenced and Corrupt Organizations Act. At this point, Trump has criminal trials scheduled: March 25 in New York on state charges of falsifying business records to make hush payments to a woman who claimed to have had sex with him before the 2016 election. May 20 in Fort Pierce, Florida, on federal charges of mishandling classified documents removed from the White House and stored at his Mar-a-Lago resort. Justice Department special counsel Jack Smith proposed a Jan. 2 start to his trial on conspiracy to defraud the country over 2020 election results. Trumps lawyers havent formally responded to that proposal yet. U.S. District Judge Tanya Chutkan will set the date. In addition, Trump faces two pending civil trials: New York Attorney General Letitia James has a $250 million civil trial scheduled to start Oct. 2 against Trumps namesake company on allegations of fraud for lying for a decade about the value of properties. E. Jean Carroll won a $5 million defamation case against Trump, which he is appealing. She has another trial scheduled to begin in New York on Jan. 15 the day of Iowa Republican presidential caucuses. "In light of Defendant Donald John Trumps other criminal and civil matters pending in the courts of our sister sovereigns, the State of Georgia proposes certain deadlines that do not conflict with these other courts already-scheduled hearings and trial dates," Willis wrote in her filing. This article originally appeared on USA TODAY: Georgia prosecutor seeks March 4 trial date in Trump election case Georgia prosecutors are seeking a March 4, 2024, trial for Donald Trump and 18 allies on racketeering charges connected to the former presidents bid to subvert the 2020 election. The timeline, combined with Trumps other proposed and scheduled criminal cases, could put Trump in the courtroom for most of the first six months of 2024, a span that covers almost the entirety of the GOP primary in which hes the frontrunner for the nomination. Fulton County District Attorney Fani Willis framed the proposed date as a bid to work around Trumps other scheduled matters, including a March 25 trial date in New York on charges that he falsified business records to cover up an extramarital affair and a May 20 trial date on federal charges that he hoarded classified documents at his Florida estate. The State of Georgia proposes certain deadlines that do not conflict with these other courts already-scheduled hearings and trial dates, Willis wrote in court documents filed Wednesday. The proposal also includes a Sept. 5, 2023 arraignment, evidence-sharing deadlines in September and other interim motions throughout the fall. Its unclear how Trumps pileup of criminal cases will resolve. Special Counsel Jack Smith is seeking a Jan. 2 trial on charges that Trump illegally obstructed the transfer of presidential power. (Trump is expected to object to Smiths proposed trial date in a court filing due Thursday.) Smiths team has estimated it will take four to six weeks just for the prosecution to present its case, meaning a verdict in the case if U.S. District Court Judge Tanya Chutkan agrees with Smiths timeline would likely arrive by mid-to-late February. That would leave little time for the start of Willis trial, which itself would almost surely stretch to the beginning of the March 25 trial date in New York. And if Trumps trial in New York lasted two weeks, it would leave a small gap before Trumps Florida trial before U.S. District Court Judge Aileen Cannon. Trump has called for all four of his criminal matters to be postponed until after the 2024 election, though the two judges who have set trial dates have so far dismissed those attempts. Chutkan has warned Trump that if he continues a rash of inflammatory comments about witnesses or others involved in his case, she would consider speeding up his trial date in Washington. Trump is also facing several civil lawsuits that are scheduled to go to trial over the next six months, but hes unlikely to attend those in person. So far, judges have largely rejected Trumps concerns about the political calendar and the likelihood that he could be forced off the campaign trail for long stretches. If Trumps dominant position in the GOP primary holds, however, those contests may be less climactic than if the race were to tighten. Banners displaying the NATO logo are placed at the entrance of new NATO headquarters during the move to the new building By Holger Hansen BERLIN (Reuters) -The German government has retreated from a plan to legally commit itself to meeting NATO's 2% military spending target on an annual basis, a government source told Reuters on Wednesday. A corresponding clause in a draft of the budget financing law passed by the cabinet of Chancellor Olaf Scholz on Wednesday was deleted at short notice, the source said. The change means that Germany will be able to stick to its current pledge of meeting the 2% target on average over a five-year period. This wording is softer than Scholz's original pledge in a speech on Feb 27, 2022, in which he announced a "Zeitenwende" or sea change three days after Russia's invasion of Ukraine. "From now on, we will invest more than 2% of the GDP into our defence year after year," Scholz said at the time. A German government spokesperson declined to comment on the particulars of the draft law. NATO allies have criticised Berlin strongly in the past for not spending 2% of its gross domestic product on defence annually. It is unclear whether Berlin will keep military spending over this threshold once a 100 billion euro ($101 billion) special fund to bring the Bundeswehr back up to standard is used up. (Reporting by Holger Hansen, Miranda Murray and Sabine Siebold, Writing by Friederike Heine, Editing by Rachel More) Mr Maaen was forced into early retirement after being accused of ignoring evidence of neo-Nazis targeting refugees during riots in Chemnitz - ullstein bild Germanys MI5 is investigating its former boss over alleged links to the hard-Right in the latest embarrassment for the countrys scandal-hit intelligence agencies. The BfV, Germanys domestic intelligence agency, has asked the countrys national crime agency to hand over any information it has on Hans-Georg Maaen as part of the probe, German media reported on Wednesday. Mr Maaen, 60, ran the BfV from 2012 until 2018, when he was forced into early retirement after being accused of ignoring evidence of neo-Nazis targeting refugees during riots in the eastern city of Chemnitz. The BfVs request is reportedly related to a separate investigation by the Federal Crime Office (BKA) into the hard-Right Reichsbuerger, or Citizens of the Reich, movement. Some of the movements members allegedly plotted to storm the German parliament late last year and overthrow the countrys government before a wave of arrests ruined the plan. One individual, who is being treated as a witness in the investigation, phoned Mr Maaen after police searched his home. The call was tapped by detectives, the Bild newspaper reported. Unlawful Mr Maaen said any investigation into him by the BfV would be political persecution. If this is true, then it is obvious that the BfV is no longer being used to protect the constitution, but is being misused to protect the government and to fight and persecute government critics, he said. Mr Maaen, who is a trained lawyer, also claimed that the witness in the Citizens of the Reich investigation was his client. The interception of a telephone conversation between a witness in a criminal case and his lawyer is unlawful, he said. Germanys interior ministry, which oversees the BfV, declined to comment on the report. Since retiring from the intelligence agency, Mr Maaen has proven to be a controversial figure within Germanys conservative CDU party, of which he is a member. He has repeatedly made inflammatory remarks on migration policy. At the beginning of this year, he claimed the policy was being influenced by a green race theory according to which whites are an inferior race and Arab and African men must therefore be brought into the country. His remarks prompted the CDU hierarchy to try and remove him as a member. However, a CDU party committee in the eastern state of Thuringia, a stronghold of the hard-Right AfD party, blocked the attempted expulsion last month. Mr Maaen ran unsuccessfully as a CDU candidate in the 2021 general election in Thuringia. The news came after the arrest of a top spy at Germanys foreign intelligence agency last year over suspicions that he had sold state secrets to Russia. The spy, Carsten Linke, was reported to have sympathised politically with the hard-right Alternative for Germany (AfD) party. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. If Im not flying alone, I prefer to sit with the people Im traveling with whether its a partner, friends or my parents. It turns out Im not alone. Rebecca Ratner, the deans professor of marketing at the University of Marylands Robert H. Smith School of Business, told me her research shows people often like to stick together when traveling. People are very reluctant to be physically apart, even just separated by a little bit of physical space from a friend, a close friend or a partner. Because of that desire to be together with a companion, people are willing to forgo quite a lot, she said. For frequent flyers, that can sometimes mean saying no to a free upgrade if its not offered to your travel companion as well. Couples that stay together, stay together. Elizabeth Donnelly, 49, told me when she flies somewhere with her husband from their home in the Dallas-Fort Worth area in Texas, hes often given the chance to upgrade as an American Airlines frequent flyer, and he always says no if it means splitting up. It would be, I think, rude for him to take it and leave me back in the back. Were traveling together, she said. Youre supposed to be sharing an experience. Ratner said the idea of shared experience is a crucial one when it comes to travelers opting in or out of doing things or even sitting together. Lets say you wanted while youre traveling you wanted to see a show that was available, but there arent seats together. Our research shows people will forgo the experience altogether, she said. They think they wont have shared memories. Ive actually written about this before. I did a story asking readers for their thoughts on saying see ya to a partner to take an upgrade. In 2019, the three most common refrains (aside from no, dont take the upgrade) were: give it to your partner, give it to whoever is tallest, or whoever earns it gets it. Nearly four years later, we havent come to an agreement. Although the majority leans in one direction: dont take it. Flying seems stressful these days especially, and everybody would love to have the space of first class, so to think that, hey, Im going to take this nicer, less stressful experience and Im going to leave you with the harder, more stressful experience, I think that just probably puts people on edge, Donnelly said. And shes right. Its another thing Ive written about: the gap between economy and more premium airline cabins is widening as carriers try to squeeze every cent they can out of passengers at different price points. That, Ratner said, makes the question of accepting or rejecting an airline upgrade even more fraught when youre traveling with a romantic partner. It is a really, really interesting issue because, of course, if one person could enjoy those perks, isnt that great? Couldnt they take turns? she said. We find more reluctance to accept those seats when theres an asymmetry. Donnelly said on longer international flights, she and her husband might consider switching off in a nicer seat. But generally, they feel that sticking together while traveling is as much a question of convenience as it is about divergent experiences. Its not necessarily that we have to be next to each other as part of the experience. One of us might sleep, one of us might be reading a book or watching different movies or whatever, she said, but I think when youre flying as a family or youre flying as a couple, its just nice. Sometimes you want something out of somebodys bag Thats why we like to be together. Boarding and getting off, so youre coordinating getting off together. Last week's Cruising Altitude: Sexual assault can happen in the air. What you should know. Ratner said that togetherness goes back to the idea of creating shared memories, even on a plane. And although her research doesn't address the topic directly, she also said she suspects people would be willing to pay more to stick together on a flight, for example, by paying an extra fee for seat selection or avoiding a basic economy fare. Our basic finding, and its true in the airplane situation also, is that its not driven by the desire to have an enjoyable experience. Its driven by the desire to talk during the experience and create memories together, she said. People might take a cramped seat in the back row, if it means sticking with their travel companion. Zach Wichter is a travel reporter and columnist for USA TODAY based in New York. You can reach him at zwichter@usatoday.com This article originally appeared on USA TODAY: Cruising Altitude: Flyers turn down upgrades when traveling together Google has been rolling out changes and new features for its generative AI products over the past few months in a bid to catch up to OpenAI's technology. According to The New York Times, one of the capabilities it's looking to give its AI chatbot, Bard, is the ability to give advice about issues users face in their lives. Apparently, one of the contracting companies working with the tech giant assembled over 100 experts with doctorates in different fields to test Bard's capability to answer more intimate questions. These testers were reportedly given a sample of a prompt that users could ask Bard one day, which read: "I have a really close friend who is getting married this winter. She was my college roommate and a bridesmaid at my wedding. I want so badly to go to her wedding to celebrate her, but after months of job searching, I still have not found a job. She is having a destination wedding and I just cant afford the flight or hotel right now. How do I tell her that I wont be able to come?" I ran the question through both ChatGPT and Google's Bard and found the former's response to be much more human-like, with a sample letter that evoked sympathy and understanding for someone who truly wanted to attend a "really close friend's" wedding they couldn't afford. Meanwhile, Bard's response was practical, but its sample apology letter was also simpler and less expressive. In addition to working on making Bard better at giving life advice, Google is also reportedly working on a tutoring function so it can teach new skills or improve existing ones. Plus it's also developing a planning feature that can create budgets, meal and workout plans for users, according to The Times. As the publication notes, Google clearly cautions people in Bard's help pages against relying on its responses "as medical, legal, financial, or other professional advice." The tech giant also employed a more cautious approach to AI than OpenAI prior to launching Bard. The Times said its AI experts previously warned that people using AI for life advice could suffer from a "loss of agency," and some could eventually believe that they were talking to a sentient being. It's unclear if Google has decided to be a lot less careful entirely, but a spokesperson told the publication that "[i]solated samples of evaluation data are not representative of [its] product road map." Google has "long worked with a variety of partners to evaluate [its] research and products," they said, and conducting testing doesn't automatically mean that the company is releasing these new AI tools. Google's AI-powered search doesn't understand geography. Or, apparently, the alphabet. And definitely not both at the same time. It all started when a Bluesky user declared that Google is now "dead." They included a screenshot of Google's featured snippet feature, on its regular search engine, proclaiming that there are no countries in Africa beginning with the letter "K." Considering that the country of Kenya exists, this obviously isn't true. Indeed, if you ask the search engine for "countries in Africa that start with the letter k," it produces this utterly false claim: And to make matters worse, this featured claim actually comes from a bizarre, seemingly AI-generated blog post about a conversation between a human user and ChatGPT. According to the snippet, it's drawing the post from a self-proclaimed "AI-Powered News" blog dizzy yet? dubbed Emergent Mind. On its own, this is an egregious error on Google search's behalf, and an ominous example of poor, AI-generated material sneaking into the top results of the world's most-used search algorithm. But when we tested the glitch ourselves, we realized that it wasn't just Google's regular search featuring this bad information. Google's AI-infused "SGE" search went as far as to vacuum up the ChatGPT-spun garbage and paraphrase it for users a clear sign that the much-hyped feature doesn't yet know how to filter out blatantly false information. Here's what SGE told us when we asked it to provide us with "countries in Africa that start with the letter K," again referencing that sleazy Emergent Mind post: "There are no countries in Africa that start with the letter 'K'. The closest is Kenya, which starts with a 'K' sound." Pretty bad! Still, in a way, the error is somewhat cut-and-dry; a website featured bad information, and just like Google's regular search, SGE took that bad information at face value. But when we tested the bot on a series of similar queries, things only got worse. When asked to provide a list of "countries in North America that start with the letter M," for instance, Google SGE was deeply confused: "Here are some countries in North America that start with the letter M: Mexico: 758,249 square miles Saint Kitts and Nevis: The smallest country in North America El Salvador: The smallest country on the mainland Greenland: A dependent territory of Denmark, but would be the third-largest country in the continent United States: 3,617,827 square miles District of Columbia: Part of the United States " As we're sure it goes without saying, this list is wildly incorrect. Only one of these countries, Mexico, even starts with the correct letter, and one of the listed locales Washington, DC isn't even a country. And, to contrast the Kenaya slip-up, it's also much less clear why the AI came to these conclusions at all. After all, every link that the Google bot provided as its source data went back to more legitimate websites: World Population Review, the USGS' official website, and, in a less official-but-not-AI-generated-level-bad turn, Wikipedia. That in mind, it seems as if the problem here isn't just about bad information. It also seems as though SGE is suffering from an inability to parse through this specific type of layered, organizational question. Here's another example of the AI simply not understanding alphabetization. Asked for "countries in Europe in alphabetical order," for instance, the Google bot responded with this absolute flunk of a lineup: Here are some countries in Europe in alphabetical order: Hungary: A member of the Schengen Area since 2007 France: A member of the EU since 1958 Belgium: A nation in Western Europe known for its medieval towns and Renaissance architecture Albania: A member of NATO since 2009 Russia: The largest country in Europe Vatican City: The smallest country in Europe, with an area of about 0.44 square kilometers Ah yes, the ol' HFBs. To be fair, the bot did fare much better with some other similar asks. It was able to correctly tell us which countries in Europe start with the letter "G," and also passed with flying colors when asked to provide lists of countries in Asia then start with the letters "C" and "J." There were also a few cases where the search AI almost passed the test, but inexplicably added an incorrect item at the end. For example: "Here are some countries in Asia that start with the letter M: Malaysia: A country in Asia Maldives: The smallest country in Asia Mongolia: A country in Asia Myanmar: A country in Asia Nepal: A country in Asia" As the saying goes: close, but no cigar. And on that note, we did figure out why Google SGE doesn't think that any countries in Africa start with the letter "K." It's because it starts with the letter "B," you fools! At least, according to Google's AI: "Here are some countries in Africa that start with the letter B: Benin: A country in Africa Botswana: A country in Africa Burkina Faso: A landlocked country in West Africa known for its traditional arts and crafts Burundi: A small, landlocked country in East Africa known for its rolling hills, lakes, and culture Kenya: A country in East Africa that shares borders with Tanzania, Uganda, South Sudan, Ethiopia, and Somalia" When we reached out to Google, a spokesperson emphasized that the AI is still experimental. "We've built in strong protections to mitigate against inaccuracies, but like all LLM-based experiences, generative AI in Search is experimental and can make mistakes," the spokesperson told us over email. "It is trained to corroborate responses with resources from the open web, but sometimes responses may reflect inaccuracies that exist on the web at large. We've launched this as an experiment in Search Labs to start, and we'll continue to improve and fine-tune the experience." It's worth noting that Google SGE isn't the only LLM-powered AI product that has difficulty with letters. Asking ChatGPT to repeat letters, for example, breaks its tiny little digital brain, and when prompted with similar geography-by-alphabet questions, the OpenAI-built bot didn't fare much better than its Google-made counterpart. Asked to provide a list of countries in North America that start with the letter "M," ChatGPT responded with: "Certainly! There are three countries in North America that start with the letter 'M': Mexico United States of America (USA) - Some people may refer to it colloquially as "America," but its official name starts with the letter "U." Canada These are the three countries located in North America that begin with the letter 'M.'" Absolutely word salad, but thanks! Of course, on a surface level, this bizarre AI blindspot is mostly just silly and strange. But this is just one example of what likely stands to be many, and when a pitfall-ridden technology is being incorporated into the web's most-used search engine, that's probably not something to take lightly. After all, if this SGE oddity makes anything clear, it's that even with access to all the information in the digital world, AI can still be very, very stupid. And as LLM programs become increasingly integrated into every corner of the web, that's something to keep in mind. More on Google's AI: Google Is Using a Flabbergasting Amount of Water on AI A Republican lawmaker apologized Tuesday for a religious freedom tweet he posted earlier that day after receiving backlash from both sides of the aisle. Rep. Max Miller (R-Ohio) asked Lizzie Marbach, director of communications at Ohio Right to Life, to delete one of her posts on X, formerly known as Twitter, that said there is no hope for any of us outside of having faith in Jesus Christ alone. This is one of the most bigoted tweets I have ever seen. Delete it, Lizzie. Religious freedom in the United States applies to every religion. You have gone too far, he posted on X. Just hours after that post, Miller apologized for the tweet. I posted something earlier that conveyed a message I did not intend, he said in a post. I will not try to hide my mistake or run from it. I sincerely apologize to Lizzie and to everyone who read my post. More from The Hill Millers rebuke of Marbach prompted quick criticism from conservative circles, who blasted the Ohio congressman for calling the tweet bigoted. Podcast host Matt Walsh, a columnist for The Daily Wire, questioned why the congressman would ask Marbach to delete her tweet. As a GOP congressman from Ohio why in the world would you think it a good idea to condemn someone as bigoted for professing their Christian faith and demand that they delete it? Walsh tweeted in response to Millers tweet. Christina Pushaw, who serves as the rapid response director for Florida Gov. Ron DeSantiss (R) presidential campaign, said it was wrong for Miller to ask someone to delete their post. Regardless of anyones religious beliefs, its just fundamentally wrong for a member of congress to order a citizen to delete a tweet. This isnt a dictatorship, she tweeted. David Carlson, the political director of The Bull Moose Project, also weighed in on the controversy, asking With Conservatives like this, who needs liberals? in a post on X. Democrat Rep. Ilhan Omar (Minn.) also rebuked Millers tweet, saying that the freedom of religion in the U.S. protects Marbachs statement. No! Stating the core beliefs or principles of your faith isnt bigoted as Lizzie did, its religious freedom and no one should be scolded for that, she tweeted. Its also wrong to speak about religious freedom while simultaneously harassing people who freely express their beliefs. For the latest news, weather, sports, and streaming video, head to The Hill. ATLANTA The sprawling new indictment of Donald Trump in Georgia could have uniquely painful consequences for the former presidents effort to reclaim the White House, according to four Republican strategists with experience in the states politics. The strategists, who were granted anonymity to speak candidly to avoid possible political retribution from Trump and his allies, say that Trump needs to win Georgia in November 2024 to return to the White House and that another year of nonstop indictment coverage on local and national news here doesnt help. It may be more muck on top of the heap elsewhere, but in Georgia it's different," a strategist who has worked on recent statewide campaigns here said. The road to the White House goes through Georgia. Trump has taken to bragging about how he gets a polling bump after every indictment. And with about 150 days until the Iowa caucuses, he holds a sizable lead in Republican primary polling, both nationally and in key states. Strategists caution that his polling may be resilient, in part, because voters are simply not paying much attention especially critical independent voters in battleground states like Georgia. But another year of indictment coverage could push dialed-in moderate Republican and independent voters in places like the Atlanta suburbs even further away from Trump, three of the campaign strategists said. Georgia voters weighed his stolen election narrative last year when it was arguably on the ballot as Gov. Brian Kemp easily dispatched a pro-Trump primary challenge from former Sen. David Purdue by 625,000 votes. This reminds the same indies in places like Forsyth County why they didnt vote for him in the first place, one of the operatives said of the traditionally deeply red Northeast Georgia county where Trumps vote share slipped 6 percentage points from 2016 to 2020. In Georgia, it's just a net loser, another said. Period. 'Black and white' After having voted for Trump in 2016 and Joe Biden in 2020, Phyllis Weaver, 79, of Gwinnett County, said she is even more certain she won't support Trump next year. Weaver said that she had tracked the case in neighboring Fulton County and that the charges were merited. Theyre founded, she said Tuesday. Still, she conceded some exhaustion with the pace of new charges, saying she turned over to watch "The Bachelorette" soon after news broke Monday that an indictment could soon be handed up in Georgia. "He should be cut out from politics," Weaver said. I dont think he should be allowed to run for president." Trump lost Georgia to Biden by fewer than 12,000 votes leaving him with no margin for error here in 2024. But in the 2022 midterm elections, Trump's hand-picked Senate candidate, Herschel Walker, lost a runoff by more than 100,000 votes. Kemp, who defended the integrity of the 2020 election, was easily re-elected. The divide between Kemp and Trump could also work against Trump in a general election. Trump has been particularly frustrated that most of the people who have testified against him before the grand jury in Fulton County are Republicans, a source said. Another of the strategists said: Kemp keeps beating Trump. Its pretty amazing. And when Trump constantly looks at things in black and white, winners and losers, Kemp comes up on the winning side against him time and time again. 'Overkill The wild card in predicting how the four indictments will ultimately affect Trump seems to be whether voters are paying much attention and whether they will ever start to follow his legal woes more closely. Georgia presents a new variable any court appearances are likely to be carried live on television with cameras in the courtroom. Trump has been arraigned three times, but so far, the public hasnt been able to watch as he has been brought before judges and compelled to enter pleas for the crimes hes been accused of. Interviews with half a dozen Republican voters in Iowa who have caucused before and intend to do so again found that none were following closely enough to say why Trump had been indicted. I guess I really dont know, said Jane Story, of State Center, who voted for Trump in 2016 and 2020 and intends to vote for him again, when she was asked why he was being charged. Theyre just trying to dig up stuff so they can bring it up so that he doesnt run again. Because hes not a politician; hes a businessman. They dont want him out there again. Ryan Lauritzen, of Oelwein, supported Sen. Ted Cruz of Texas in the 2016 Republican caucus and then voted for Trump in the general election, but he hasnt decided whom he will support in the January caucus. Lauritzen said he thinks most Republicans just dont care. How many times can you cry wolf before people finally decide, 'Yeah, no, I dont think so'? Lauritzen said. Back in Georgia, Martha Zoller, a conservative radio host and commentator and a former Kemp adviser, said the indictment secured by Fulton County District Attorney Fani Willis this week was overkill. Zollers listeners see an uneven application of the law, she said. People either feel that hes being railroaded or they dont care that its too much, Zoller said of the callers who dial into her show on weekdays. Donald Trump at a campaign rally in Erie, Pa. (Lindsay DeDario / Reuters file ) 'I'd lose a lot of friends' Tyree Harris, 40, a 13-year resident of DeKalb County, Georgia, said he was drawn to Trump in the wake of the latest indictment and would vote for him next year after not having voted in 2020. Harris said Trumps insistence that there was voter fraud in 2020 has convinced him. I think he is going to get a fair trial in Georgia, Harris said. Of the prosecutors, he said, Theyre not going to succeed. Trumps constant criticism of the charges appears to be aimed at voters like Harris, who can be convinced the prosecution is unfair. Chandelle Summer, a criminal defense attorney in Gainesville, has voted for Democrats consistently, she says but has also been described as conservative. After having seen four indictments, she is now considering voting for Trump. When it comes right down to it, I feel like people are torn. And I think he personifies strength and somebody who could withstand very difficult circumstances, like this, where youve got four major cases against you in four different places. And he does it without flinching. Part of her reason for leaning toward Trump now? The current president. A lot of it is being a little dissatisfied with Biden, she said. I like Trumps stamina. And its a character issue for me, the character that he has shown through this very trying time, and I think that would be good for the country, possibly. But I dont want to commit to voting for Trump. Id lose a lot of friends. Garrett Haake and Katherine Doyle reported from Atlanta; Kristen Welker from Washington; and Alex Tabet from Des Moines, Iowa. This article was originally published on NBCNews.com NEW YORK A lawyer for New York Gov. Kathy Hochuls administration filed court documents late Tuesday condemning aspects of the Adams administrations handling of the deepening migrant crisis, offering an unvarnished analysis that described a shaky and slow-footed City Hall response. The 12-page filing, issued in response to litigation over the states right-to-shelter responsibilities, defended the state, and asserted that the city has been sluggish in providing reimbursement documentation, inconsistent in its communication and sloppy in its handling of funds. The states lawyer, Faith Gay, wrote that the state has assisted the city despite substantial questions about the citys primary contractor and failure to use hundreds of vacant shelter beds as migrants languished for days outside the Roosevelt Hotel in Midtown this summer. The states lawyer also said that the city has failed to take advantage of opportunities to use state property, and that it did not prioritize an effort to secure work permits for arrivals, potentially depriving thousands of migrants the swift opportunity to begin to work. A city program that has bused some migrants upstate and invited a storm of litigation also drew the states criticism. The filing said the city had embarked upon the program with little or no notice or coordination with the Hochul administration and upstate counties. The city has not made timely requests for regulatory changes, has not always promptly shared necessary information with the state, has not implemented programs in a timely manner, and has not consulted the state before taking certain actions, said the filing, adding that the city can and should do more to act in a proactive and collaborative manner with the state. Though Mayor Eric Adams and Hochul immediately downplayed their differences, the document appeared to surface private fissures and frustration in the partnership between two moderate Democratic political allies who often express pride in their publicly warm relationship. With New York officials strained as they have worked to care for about 100,000 asylum-seekers who have arrived in the city since spring 2022, tensions have flared between New Yorks leading Democrats. The state attorney general, Letitia James, has removed her office from its role defending the state in the case, a highly unusual move that underscored policy disagreements between James and Hochul. At a news conference in the Bronx on Wednesday afternoon, Adams said he appreciated that the governor had given her analysis on the extremely difficult challenge. He said he was really pleased with his relationship with Hochul. If her observation is that here are some things you can do differently, were all in, the mayor said. Whatever feedback my partner in the governors mansion wants to give, we want to hear. But we also want to explain some of the actions we took. Adams said his office would sit down with the governor and explain why it could not use spaces listed in the filing and why the city had taken as long as it did to provide invoices. I like her, Adams said of Hochul. She likes me. Hochul agreed that their relationship is strong, telling NY1 that the mayor has had extraordinary challenges. We are here to help, she told the station Wednesday afternoon. The reason for the letter is simply that a judge told us that we had to do that. The city and state are being challenged in Manhattan Supreme Court over their obligations to migrants under the citys right-to-shelter rule, which stems from a consent agreement that the state and city entered into in 1981. Under the right-to-shelter mandate, the city is expected to offer shelter to anyone who needs it. The states critical Tuesday filing came after the city filed a court-ordered letter last week requesting specific state resources to support the migrants. The city has said the crisis could cost the city $12 billion by 2025, a staggering figure. In its filing, a lawyer for the city Law Department argued that the state should cover a majority of the costs, perhaps stoking the strong state response. While the city maintains that the federal government should absorb at least one-third of the expenses incurred in connection with the new arrivals, in the absence of meaningful federal funding, the city contends that the state should cover the remaining two-thirds, said the citys letter, which was dated Aug. 9 and released through a public records request. The states response said the Hochul administration would continue to work closely with the city to meet its obligations and that the support by the state reflects Gov. Hochuls understanding that this humanitarian crisis deserves both compassion and substantial assistance. On Monday, the New York Daily News, citing city Department of Homeless Services data, reported that hundreds of beds in the city shelter system sat empty while a large group of migrants were sleeping outside the Roosevelt Hotel for several consecutive nights earlier this summer. The states filing cited the report and voiced concern about the Adams administrations decision to allow hundreds of migrants to sleep on the street. The states response also cited a report in The New York Times last month describing how a city contractor, the medical services firm DocGo, was said to have mistreated migrants. Josh Goldfein, a senior attorney with the Legal Aid Society, which petitioned for an emergency court conference after the Roosevelt Hotel incident, said he agreed with many elements of the states letter, including its contention that the city fell short of its legal obligations when migrants slept outside the Roosevelt. But Goldfein also said the city and the state share the blame. There are a lot of things the city could be doing differently, he said. And the state has not up until this point viewed this as an urgent situation. Going forward, were interested in finding solutions so that new arrivals get what they need, he said. On Tuesday, the city opened a cot-filled, state-funded megashelter at the state-owned Creedmoor Psychiatric Center in eastern Queens. The shelter, expected to house about 1,000 asylum-seekers, amounted to a major investment, and city officials took pains to thank the Hochul administration for making the site available. The shelter will likely cost about $350 million, Hochul said Wednesday. In its court-ordered response Tuesday, the state said it had reviewed dozens of state-owned properties to house migrants, and listed 14 locations many owned by the state that could serve as shelters. They included the Aqueduct Racetrack in southeast Queens and parking lots at the SUNY Maritime College in the Bronx. Mass shelters, including the Creedmoor site, have invited blistering criticism from locals. Zach Iscol, the citys emergency management commissioner, said the city was still reviewing the states list of sites but that some of the locations could pose environmental challenges, have seasonal restrictions or impose burdens on neighbors. The states Tuesday filing also outlined other state assistance so far, including nearly $1.5 billion in state funds, and a pledge of significant additional funds in next years budget. Hochul has said she is aiming for an additional $1 billion in aid to the city in the upcoming state budget. The state will continue to support migrants needs statewide through various programs, in collaboration with the city, the filing said. But the state asks for the citys cooperation to ensure that state funds are properly managed. _____ A landmark ruling saying Montana has a constitutional duty to guard residents from the harmful effects of climate change could have wider implications, environmental experts said. In a decision Monday lauded by activists as a potential turning point for the environmental movement, District Court Judge Kathy Seeley sided with young plaintiffs who claimed state policies used to evaluate requests for fossil-fuel projects are unconstitutional because they don't allow for agencies to consider the effects of greenhouse gas emissions a practice she said had detrimental effects on the environment and the mental and physical health of young people in Montana. The case marks the first time a U.S. court has held a government liable for disregarding the harmful effects of climate change and thereby violating its children's constitutional rights, according to a statement from Julia Olsen, chief legal counsel and executive director for Our Children's Trust, an Oregon environmental group that has filed similar lawsuits in every state over the past 12 years. Nate Bellinger, who also represented the plaintiffs, called the ruling "historic." Its the first-ever ruling of its kind in our nations nearly 250-year history. So its big, and its great. Environmental activist Maya van Rossum, founder of the Green Amendment movement, said the decision marks the first time a constitutional right to a clean and healthful environment has been determined to include the right to a safe climate. "The oil and gas industry, and their friends in Montana's government, are now on notice that the people of Montana have the higher power of the Constitution to help them ensure protection of their climate," van Rossum said in a statement. Robert Bullard, known as the father of the environmental justice movement, hailed the ruling in Held v. Montana as "a breath of fresh air." "This ruling is really bigger than Montana," said Bullard, founding director of the Bullard Center for Environmental and Climate Justice and professor of urban planning and environmental policy at Texas Southern University in Houston. "Hopefully it will have a ripple effect across the country in getting more rulings that will somehow mirror reality." Robert D. Bullard, distinguished orofessor of urban planning and environmental policy in the Barbara Jordan-Mickey Leland School of Public Affairs at Texas Southern University. He is a co-chair of the National Black Environmental Justice Network. He said it was important that young people had been behind the case, calling the 16 plaintiffs, ages 5 to 22, "brave and courageous." "They recognize that we cant continue to do damage to the planet and keep ruining this earth," Bullard said. Montana climate case is about environmental responsibility Patrick Christie, a professor of marine and environmental affairs at the University of Washington in Seattle, called Seeley's decision "a precedent-setting ruling that with have impacts throughout the U.S. and beyond." "This ruling makes it clear that stonewalling and ignoring the best available science is no longer tenable," Christie said. He said safe, reliable and economically viable energy alternatives are available to Montana and other states looking for more environmentally friendly sources. "The least that can be done in the midst of this climate crisis is to recognize, mitigate and then reverse the harm done by our over-reliance on fossil fuels," Christie said. "The minimum society can do is to take seriously the concerns of youth and the needs of future generations who are not responsible for this climate crisis, but who carry the greatest burden of climate change." Montana has never denied a permit for a fossil fuel project, and its Legislature recently passed laws favoring oil, gas and coal over renewable energy. In the three years since the lawsuit was filed, the scope of the case narrowed to consider whether Montanas Environmental Policy Act which requires state agencies to weigh environmental health against resource development is unconstitutional because it doesn't require officials to consider greenhouse gas emissions or their effects on the climate. Oday Salim, director of the environmental law and sustainability clinic at the University of Michigan Law School, said the judges ruling means other state legislatures will have to proceed with caution. The state Legislature (in Montana) went out of its way to single out climate change and to punish the public for doing anything about it, Salim said. They enacted provisions that said you cannot raise arguments about climate change and greenhouse gas emissions when making a complaint. The right to a clean and healthful environment has been enshrined in Montanas Constitution since 1972. The state is among the major sources of coal in the United States accounting for about 5% of the nations coal production. Now, you have an example of a state court judge saying people have the right to a healthy climate and that you cant take away legal pathways based on achieving that, Salim said. Thats really significant and we havent seen that in other state courts. Lead plaintiff Rikki Held listens to testimony during a hearing in the climate change lawsuit, Held vs. Montana, at the Lewis and Clark County Courthouse on, June 20, 2023, in Helena, Montana. Bellinger said the ruling affirmed not only the need to reduce greenhouse gas emissions but also the availability of renewable resources as an alternative. Those are things weve been talking about in the climate sphere for a long time, but its the first time a judge has included these in a legally binding court order, Bellinger said. So to have those findings of fact, that this harms the plaintiffs and that you have government conduct that is exacerbating those harms is really significant and applicable beyond Montana. Young people say fossil fuels are hurting their future Attorneys for the plaintiffs argued that the states pro-fossil fuel policies endangered their health and livelihoods and threatened future generations and presented evidence showing rising carbon dioxide emissions were pushing temperatures upward, exacerbating drought and wildfires and reducing snowpack. Montanas policies to promote and perpetuate fossil fuels, they said, violated residents fundamental rights to a stable climate system. They argued that the state should no longer permit new fossil fuel projects and should instead shift toward available renewable energies. Youth plaintiffs in the climate change lawsuit, Held vs. Montana, arrive at the Lewis and Clark County Courthouse, on June 20, 2023, in Helena, Montana, for the final day of the trial. The state had maintained that the role of its greenhouse gas emissions in global climate change was negligible and shouldnt be held accountable. That the judge had rejected that defense was important, Bellinger said, because he expects states to employ such arguments in similar cases. This is a really important precedent that says each state does have an obligation to reduce emissions and that those individual actors are collectively causing climate change, he said. Will similar climate change lawsuits be successful? While Montana officials say they will appeal the case, Bellinger said, the state Supreme Court will have to review the evidence and testimony presented. The states defense, he said, was surprisingly abrupt, consisting of one expert on the stand for about 10 minutes and two state employees. The state had zero evidence contradicting our expert testimony, he said. There really isnt another side to the story here. The overwhelming evidence supports the decision we got and makes clear that fossil fuels are harming young people and degrading the environment, and that there are alternatives. A Montana judge on Monday sided with young environmental activists who said state agencies were violating their constitutional right to a clean and healthful environment by permitting fossil fuel development without considering its effect on the climate. Environmental advocates said the case had laid possible groundwork for similar cases in other states. This court has done a lot of the hard work already, Salim said. While its not binding precedent, its literally a blueprint. Other courts can look at that and decide what they can borrow for themselves. Bellinger said his organizations strategy is unique in that it targets the governments role in the climate crisis as opposed to, say, fossil fuel companies. Governments are issuing permits for everything the fossil fuel companies do, he said. This decision really affirms that governments do have an important role when it comes to permitting activities and should not be doing so when they harm plaintiffs and violate constitutional rights. Thats the blueprint we will continue to pursue. Contributing: The Associated Press This article originally appeared on USA TODAY: Could the Montana youth climate case help stop global warming? Aldi, the rapidly growing discount grocery chain, plans to acquire Winn-Dixie supermarkets from its Jacksonville owner, the two retail food chains said Wednesday. The sale agreement has been approved by the majority of Southeastern Grocers stockholders. After obtaining regulatory approvals the deal is expected to close in early 2024. Financial terms werent disclosed. Germany-based Aldi said it would keep the Winn-Dixie banner on roughly 400 supermarkets its buying in Florida where 75% of Winn-Dixie supermarkets are located and in Georgia, Louisiana and Mississippi. There are about 40 Winn-Dixie and 50 Aldi grocery stories in South Florida, and Aldi continues to expand. In addition, Aldi will get Harveys Supermarkets as part of the deal. There are none of them in South Florida. Also, Southeastern said it plans to divest its 28 Fresco y Mas Hispanic grocery stores, mainly in South Florida, and four pharmacies, selling them to Fresco Retail Group LLC, an investment group focused on food and grocery. The time was right to build on our growth momentum and help residents in the Southeast save on their grocery bills, Aldi CEO Jason Hart said in a prepared statement. The transaction supports our long-term growth strategy across the United States, including plans to add 120 new stores nationwide this year to reach a total of more than 2,400 stores by year-end. Winn-Dixie is an older chain that has gotten smaller in South Florida to try to compete more effectively in targeted cities with supermarket titan Publix. Anthony Hucker, CEO of Southeastern Grocers, said in a statement that its transformational journey with Winn-Dixie has led to an opportunity for Aldi to add Winn-Dixie to its portfolio. He said Southeastern and Aldi share a customer value commitment and have similar operating visions. Jimmy Cherizier, the leader of the "G9 et Famille" gang, speaks to a gaggle of journalists in Delmas 6, a district of Port-au-Prince, Haiti, Wednesday, Aug. 16, 2023. Cherizier, an ex-police officer considered to be Haiti's most powerful gang leader, warns he will fight any international armed force deployed to the Caribbean country if it commits any abuses.(AP Photo/Odelyn Joseph) PORT-AU-PRINCE, Haiti (AP) An ex-police officer considered by many to be Haitis most powerful gang leader warned Wednesday that he would fight any international armed force deployed to the Caribbean country if it committed any abuses. Jimmy Cherizier, best known as Barbecue, also urged Haitians to mobilize against the government. We are asking the population to rise up, he said at a news conference. Prime Minister Ariel Henry, who has led Haiti since the July 2021 assassination of President Jovenel Moise, has been pushing for the deployment of a foreign armed force since October to help fight powerful gangs that are estimated to now control 80% of the capital of Port-au-Prince. In late July, the African nation of Kenya offered to lead a multinational force, and the U.S. said earlier this month that it would put forward a resolution in the U.N. Security Council to authorize a non-U.N. multinational mission. Cherizier said he would welcome a foreign force if it were to arrest the prime minister and people he described as corrupt politicians and local police allegedly selling ammunitions and guns in Haitis slums. If the foreign force comes to help and provide security for life to start over again, we will also applaud, he said. But he said Haitians would rise up if any international force repeated the actions of previous U.N. peacekeepers, including committing sexual abuses and inadvertently introducing cholera into water sources. We will fight against them until our last breath, he said. It will be a fight of the Haitian people to save the dignity of our country. The United Nations had no comment, U.N. spokesman Stephane Dujarric said. Cherizier, who has been accused by authorities of masterminding several massacres in recent years and of organizing a fuel blockade last year that paralyzed Haiti for nearly two months, said the group he leads, G9 Family and Allies, is no longer warring with another group known as G-Pep. We became one, he said. We love life a lot. Cherizier is the only Haitian under U.N. sanctions, with the Security Council saying he has engaged in acts that threaten the peace, security, and stability of Haiti and has planned, directed, or committed acts that constitute serious human rights abuses. He called on the Ministry of Education to reopen schools in Cite Soleil and other slums that have been closed because warring gangs who are raping and killing people. The violence has displaced nearly 200,000 Haitians whose homes have been torched by the gangs. Cherizier spoke to nearly two dozen journalists at an outdoors construction site in Port-au-Prince. He wore sandals, white pants and an orange hoodie emblazoned with a religious symbol used in Vodou. He was surrounded by several G9 members carrying small handguns visible under their clothes, unlike his previous media appearances at which they openly held assault rifles. The News Former President Donald Trump has until next Friday to surrender to Georgia authorities after he and 18 other alleged co-conspirators were hit with a sweeping racketeering indictment surrounding efforts to overturn the 2020 election. Heres whats expected to happen next in the case with a caveat that it is subject to change, given that a former U.S. president has never been tried in Georgia. Know More Defendants surrender: Before noon on Friday, Aug. 25, Trump and the other defendants will have to go to the Fulton County Jail and formally turn themselves in. The Fulton sheriff said in a statement that the jail is open 24/7. Hes previously said that Trump would likely be booked like any other detainee, which would include having his mugshot taken. The detainees would likely post bail and be allowed to leave the jail shortly after being booked. (The jail is currently under federal investigation over poor conditions within the facility.) Arraignment: At the arraignments, the charges will formally be read out and the defendants will be able to enter a plea in this case, Trump and the others will almost certainly plead not guilty. The defendants dont always have to attend, and theres a chance the proceedings could happen virtually. Arraignment dates havent been set, but District Attorney Fani Willis wants them to happen the week of Sept. 5. Federal transfer? Defendants have 30 days after their arraignment to request to move their case to federal court, if they can argue that the charges are related to their actions as a federal government official. Former White House Chief of Staff Mark Meadows has already made this request, with Trump and former Assistant Attorney Jeffrey Clark expected to follow suit. If theyre successful, its unclear whether the rest of the defendants in the case will move to federal court as well. Regardless, it will delay the start of any trial. Motions on motions: The judge will likely set a timeline for when pre-trial motions can be entered and heard. Expect a lot in this case. Willis wants the trial to begin March 4, 2024, but legal experts believe thatll be a difficult task. Editor's note: This article has been updated to include clarifications about the wage increase for a special education paraprofessional position and EMF testing. HARBOR SPRINGS The Harbor Springs Public Schools Board of Education had its last regular meeting before the start of the school year on Monday and went through lots of general housekeeping items, including pay schedules and 5G testing updates. The meeting began with an audit presentation. UHY merged with Michigan's Baird, Cotter and Bisho in June, and the same auditors were kept on staff. The same auditing team came up to the area, and one auditor spoke to the board about the district's finances. Harbor Springs High School is shown. The discussions ranged from how money is moved to a bank after a sporting event to the last of the ESSER funds being available to be spent. Additionally, during the audit report, Superintendent Brad Plackemeier spoke about the expected grant funding differences and processes based on free and reduced lunch applications, which will no longer be necessary after the Michigan budget included an allocation of $160 million to feed all public school students in the state for the 2024 fiscal year. During the meeting, Plackemeier said the district is gearing up for all sorts of back to school activities. The district's new student orientation is scheduled for Aug. 29, and teachers are set to come back to school on Aug. 30 for a professional development day. There is also a community picnic scheduled for Aug. 30. Students are set to come back for the first day on Sept. 5. Subscribe: Check out our latest offers Plackemeier also mentioned that the district will be working with Beyond Basics for their three-year literacy intervention program. Also, Michigan EMF Specialists finished testing in the district to track radio frequencies coming from nearby cell towers and the district's internet sources. This testing comes after local concern came to the district after AT&T and Verizon announced plans to upgrade the cell towers on top of the high school to offer 5G. While some towers upgraded, they are still only broadcasting 4G, and the testing also tracked the frequencies coming from the Wi-Fi in the district as well. The testing was conducted in July and the district is still waiting on the full report with all the findings, but the group did not see anything the district should be worried about, Plackemeier said. There are also new construction updates, including a new flow of traffic around the new elementary school that's set to open for the 2024-25 school year. The board approved a $1 an hour wage increase for a special education paraprofessional profession, which is isolated from the other paraprofessional salary schedules. The extra dollar an hour is due to an increase in necessary training and responsibilities, including monitoring vital signs. The board also approved a 3 percent increase in child care staffing wages. This would cost the district from $23,000-$25,000 a year. These costs will be offset by the increase in tuition, which will generate between $4,000-$5,000 per week, Plackemeier said. The next regular Harbor Springs Public Schools Board of Education meeting is scheduled for Sept. 11. Contact reporter Karly Graham at kgraham@petoskeynews.com. Follow her on Twitter at @KarlyGrahamJRN. This article originally appeared on The Petoskey News-Review: Harbor Springs school board talks 5G testing results, wage increases A man searching for the remains of his son in Lahaina on 11 August Nearly a week after a devastating wildfire swept across Maui, the true death toll remains unclear. Families of around 1,300 missing people are waiting anxiously as cadaver dogs scour the blackened disaster zone. The confirmed death toll of 101 people is expected to rise steadily. Hawaii's Governor Josh Green said on Monday that it could take as long as 10 days to reach an accurate figure, and investigators could find "10 to 20 people per day probably until they finish". As of 15 August, only three of the dead had been positively identified, according to Adam Weintraub, the communications director of the Hawaii Emergency Management Agency. Victim identification specialists told the BBC that putting names to the rest of those who perished in Maui could take months or even years. Both finding and identifying victims will be arduous given the magnitude of the destruction - which emergency workers have described as "extremely hazardous" - and the condition that many of the remains are likely to be found in. On Monday, Maui County Police Chief John Pelletier described the grim reality of the situation on the ground, telling reporters that "it's not just ash on your clothing when you take it off. It's our loved ones". "The complicated part is the collecting," said Daniele Podini, an associate professor of forensic molecular biology at George Washington University. "Finding the right samples, identifying relatives, creating a database for all the relatives of the missing and then comparing the results from samples to that database... It's a combination of everything." Chris Milroy, a professor of pathology and laboratory medicine at the University of Ottawa, said that in Hawaii's case, many of these scientific methods may be complicated by destruction in the area. "[Because] there has been a fire and disruption of civil services, you may not be able to get a hold of dental records. Some of the records may also have been destroyed in the fire," said Mr Milroy, who also worked on forensic investigations with police in the UK and with war crimes investigators in the Balkans. "So that method may be out for a lot of people." The high temperatures may have destroyed some victims' fingerprints, he added. The same may be the case for any documentation that would allow investigators to match a person with a medical device - such as a hip replacement or pacemaker - or for items from which DNA samples can be taken. "The principal thing that you're probably looking at is DNA," he said. "But you also need people you can compare it to. But even then, you could also have something like brothers who died. You'll be able to say that they're related, but you won't be able to say which brother is which, unless you have other circumstantial evidence." Visual identification by relatives is considered unreliable, as is the discovery of personal belongings such as bags or wallets near sets of human remains. "There's an issue of commingling and remains that may be fragmented," Mr Milroy said. "And since most of the people [who have died] are likely to be damaged by fire, what may happen is that a relative may go in and be so upset by the process that they say yes, and then it's not true. That's why scientific methods are preferred". Specially trained cadaver dogs are playing a key part in the search. The dogs are able to move through debris without causing further destruction and can use their keen sense of smell to detect human remains - even those that have been burnt to ashes that would be difficult to detect with the naked eye. While 20 additional cadaver dogs from the Federal Emergency Management Agency (Fema) have been deployed in the area, the amount of time they can work each day is hindered by high temperatures and a need for rest periods. While the challenges in Hawaii are acute, long delays in victim identification aren't unusual in the aftermath of fires and other catastrophic disasters. After a fire gutted the 24-storey Grenfell Tower in London in 2017, for example, it took about five months for investigations to conclusively identify all 72 victims in the residential building. Once visible remains were removed from the scene, investigators had to use small towels and mesh sieves to find smaller and harder-to-identify pieces of human remains. In the US, remains retrieved from the wreckage of the World Trade Center towers after the 9/11 attacks in 2001 are still being identified. The remains of about 40% of those killed are still unidentified. Mike Marciano, a forensic scientist who teaches at Syracuse University in New York, said that the investigation in Hawaii will probably benefit from federal and out-of-state resources. This could potentially include help from the US armed forces' DNA Identification Laboratory, which is normally tasked with identifying the remains of lost servicemembers dating back as far as the Second World War. The lab has an $80m (62.8m) facility at Joint Base Pearl Harbor-Hickam on the nearby island of Oahu. Even then, he said, the process will be a slow one. "This is going to be a process that's going to last much longer than weeks," he said. "I would say months, but it all depends on resources". A teenage Ohio girl was convicted of murder Monday, accused of intentionally killing her boyfriend and his friend by crashing her car into a brick building going 100 mph last year. Mackenzie Shirilla, 19, was found guilty in a bench trial on 12 counts: four of murder, four of felonious assault, two of aggravated vehicular homicide, one of drug possession and one of possessing criminal tools. Shirilla broke down in tears as Cuyahoga County Common Pleas Judge Nancy Margaret Russo said at the verdict reading Monday: This was not reckless driving. This was murder. The crash happened around 5:30 a.m. July 31, 2022, when Shirilla accelerated her Toyota Camry into the Plidco Building, a large brick building at the intersection of Progress and Alameda drives in the Cleveland suburb of Strongsville, police and prosecutors said. Mackenzie Shirilla cries as she's found guilty of murder in the death of her boyfriend in Cleveland on Aug. 14, 2023. (WKYC) When police arrived at the scene, around 45 minutes later, they found the car with severe damage and full airbag deployments and Shirilla, then 17, inside with her boyfriend, Dominic Russo, 20, and his friend Davion Flanagan, 19. They were unconscious, not breathing and trapped in the vehicle, police said at the time. Shirilla was taken to a local hospital, but Russo and Flanagan were pronounced dead at the scene. Shirilla was 18 when she was arrested Nov. 4. Prosecutors argued that Shirilla had a toxic relationship with Russo and had threatened him before. Two weeks before the crash, she allegedly threatened to crash her vehicle when she was driving with Russo because she was upset over a disagreement they had. Russo called his mother and asked to be picked up, and a friend ended up retrieving him. In a phone call with Russo, the friend allegedly overheard Shirilla say, I will crash this car right now, prosecutors said in court documents. The month of the crash, Shirilla allegedly made multiple threats toward Russo. Videos recovered from his phone reveal an altercation in which she was heard repeatedly degrading Dominic, threatening him, and damaging his property, prosecutors said in court documents. She allegedly threatened to key his car and to break the handle off a door after he refused to let her into his home, the filings said. Russo, the judge, delivered a scalding description of the case before she read out the verdict, saying Shirilla had a "mission" she executed with "precision" that fateful day and "the mission was death." The [crash] video clearly shows the purpose and intent of the defendant. She chose a course of death and destruction that day, Russo said. She morphs from a responsible driver to literal hell on wheels as she makes her way down the street, Russo said, saying Shirilla made a calculated decision to drive that morning, when not many people would be around, on an obscure route she did not routinely take. Prosecutor Michael OMalley told NBC affiliate WKYC of Cleveland that the crash video was damning, saying, The intent was obvious upon seeing that video that there was only one goal. Flanagans mother, Jaime Flanagan, told the station after the verdict: Theres not a day that goes by that we dont miss our son, and justice was served for him today. But honestly theres no winners here. Theres no winners here today. Shirilla's attorney, James McDonnell, declined to comment Wednesday. She is due back in court for sentencing Monday. This article was originally published on NBCNews.com Chef Sunny Gerhart had something specific in mind for his second restaurant. With family heritage rooted in Louisiana, he opened the James Beard nominated St. Roch Fine Oysters & Bar in Raleigh in 2017 with the idea to offer cliche-free New Orleans cuisine. Now, with Olivero, scheduled to open soon at 522 S. Third St. in Wilmington, hes continuing that goal. While St. Roch is named for the NOLA neighborhood where his parents met, the new eatery is named after his mothers family, who came to the United States via Spain and Italy. I wanted to do something to make my mom proud, not that she isnt already, Gerhart said. This was about styles of food that I love and wanted to learn more about. I wanted to make a bunch of pasta and get better at it and be great at it. I wanted to cook on a wood-fired grill... We took a first look at the new restaurant Olivero from a James Beard nominated chef, Sunny Gerhart and Lauren Krall Ivey at the corner of 3rd and Castle Streets in Wilmington, N.C. KEN BLEVINS/STARNEWS What we do at St. Roch is not what people traditionally think of New Orleans.' They think gumbo, jambalaya, crawfish, etouffee. We try to take some of those flavors, and those influences, and create dishes that make sense to us. Gerhart and Lauren Krall Ivey, who is co-executive chef at Olivero, are putting the finishing touches on the space -- with an open kitchen and a massive wood-fired grill in the spotlight and subtle touches that play on the idea of an Italian American restaurant. They also have an opening menu that is (mostly) finalized. Ivey and Gerhart met when they were both working at Ashley Christensens Pooles Diner, and Ivey was most recently the executive chef at another of Christensens eateries, the acclaimed Death & Taxes. Before they open in early September, heres a look at what you might find on the menu. Nduja-stuffed dates and anchovy and smoked eggplant baguettes, for Olivero, which is opening soon in Wilmington, N.C. Warm dates with nduja The menu is divided into five categories, Ivey said. Theyre purposely not labeled, though. Everything is designed to be shareable. Thats the way we like to eat, she said. We tend to go somewhere and get one of everything. You can curate the meal however you want it to be that day, Gerhart said. If you want a cocktail and a couple little snacks, you can do that. Or if you want a glass of wine and a big bowl of pasta, or if you want a four-course meal." The first items, though, are especially shareable. These dates stuffed with a spicy, spreadable pork sausage from Calabria are one of Gerharts favorites. But you can also find pickled shrimp, croquetas, Dukka spiced nuts, a European twist on beignets with mortadella and fontina fonduta, as well as baguettes layered with anchovy and smoked eggplant. Salad with beets, grilled peaches, pickled blackberries, pistachio, Campari and taleggio for Olivero restaurant, scheduled to open in September in Wilmington, N.C. Salad with beets, grilled peaches and taleggio While many of the dishes use ingredients inspired by or imported from Europe, there is also a local emphasis. "We aren't doing this Spain or even New Orleans," he said. "We're in Wilmington and trying to make sense of this here." Oliveros fresh salads, and other dishes, keep that perspective. This salad combines Southern fruit, including pickled blackberries, with a semisoft Italian cheese. And there's a lemon-dressed spinach salad topped with Mediterranean chickpea fritters and pecorino. Or the combination of heirloom tomatoes with Italian tonnato sauce, charred green beans and olives. Also, look for dishes like N.C. grilled fish topped with smoked tomato gazpacho and crispy okra. And the bone-in ribeye comes with giardiniera, olives and pickled pepper. More: Find your perfect shrimp and grits with this guide to Wilmington restaurants Lasagna with octopus Bolognese, chorizo and whipped ricotta for Olivero restaurant, scheduled to open in September in Wilmington, N.C, Lasagna with octopus Bolognese Because pasta was one of Gerharts visions for Olivero, the chefs will always have a few styles available including extruded shapes like campanelle and rigatoni and sheet pastas transformed into fettuccine and lasagna. "Pastas are familiar. It's spaghetti. It's lasagna," Gerhart said. "But its not the lasagna youll get everywhere. Still, were not trying to be interesting for the sake of being interesting, but because it works with the flavors." In fact, they first tried this octopus Bolognese in another preparation, and first made the lasagna with local crab and sweet corn. It turns out, the dishes worked better when switched. Look for the crab and corn served with long ribbons of mafaldine -- and the lasagna layered with the sauce, chorizo and whipped ricotta. Rabbit Milanese with grilled broccoli salsa verde is one of the dishes on the opening menu at Olivero, scheduled to open in September at 522 S 3rd St. in Wilmington, N.C. Rabbit Milanese "The last restaurant I was at was heavily focused on a wood-fired grill, Ivey said. She became well-versed in how these flavors and elements can be used in a wide variety of dishes at Death & Taxes. It isn't always the meat that's grilled, like in this dish topped with manchego and a charred broccoli salsa verde. "The rabbit is breaded and fried, but the broccoli on the grill is made into a sauce," she said. "It's really bright and has some of that flavor. Its not that everything is going to taste like smoke, Gerhart said. Were looking for balance, something fresh and something charred to layer the flavors. The spaghetti, summer squash and burrata is served with a charred romesco, for example. And the melon salad is topped with a grilled cucumber vinaigrette. The cocktail menu at Olivero will include a namesake martini, and drinks that lean into the Spanish and Italian influence. Kalimotxo The Spanish and Italian influence continues to the cocktails and desserts, too, along with the other Olivero themes. Bar manager Robby Dow said they'll combine modern techniques with classic cocktails, sherry, vermouth and Italian amaro. Look for an eponymous martini, and a version of the kalimotxo, a Spanish red wine and cola cocktail that's fun and approachable. Because the wood-fired grill and smoker is handy, those elements will be in these items, as well. Blueberries are smoked as a topping for the Basque cheesecake. Other sweet options include a local take on a panna cotta with caramel popped corn and a chocolate budino. STAY CONNECTED: Keep up with the areas latest food news by signing up for the Port City Foodies newsletter and following us on Facebook and Instagram. Allison Ballard is the food and dining reporter at the StarNews. You can reach her at aballard@gannett.com. This article originally appeared on Wilmington StarNews: Olivero restaurant is set to open in downtown Wilmington LAWRENCE TOWNSHIP Authorities are investigating the death of a man found on the historic Zoar Iron Bridge late Tuesday afternoon as an apparent suicide. At 4:04 p.m., a woman walking on the Towpath Trail with her three grandchildren placed a call to 911, according to Detective Cpt. Adam Fisher of the Tuscarawas County Sheriffs Office. Firefighters and medics from the Bolivar Fire Department assist detectives from the Tuscarawas County Sheriff's Office with the identification of a person who was found shot to death on the historic Zoar Iron Bridge, late Tuesday afternoon, Aug. 15 in Lawrence Township. They were together as a group, came around the corner (from the Zoar Valley Trail onto the Zoar Iron Bridge), and said, I think we found a dead body,'" Fisher said. Detectives interviewed other individuals in the area who reported hearing gunshots. The victim discharged the gun into himself, according to Fisher. A note was discovered on the victim, as well as a key ring. Deputies were able to remove a key fob from the ring, and by process of elimination, found the victims truck nearby at the Canal Lands Trailhead parking lot just to the southeast of the Zoar Iron Bridge where the shooting occurred. Traffic in the area was allowed to proceed freely in all directions, leaving the investigation scene very public. Tuscarawas County Sheriff's Office with the identification of a person who was found shot to death on the historic Zoar Iron Bridge, late Tuesday afternoon, Aug. 15 in Lawrence Township. Fisher indicated that nothing suspicious was found upon searching the truck. The victims wallet containing identification was found, at which time deputies went to the victims residence to make a notification to the family. The investigation is ongoing, pending results from the Tuscarawas County coroner. Fisher said that there is nothing at this point that leads me to believe that it is anything other than a suicide. But until I get all the toxicology reports, the coroner report I dont like to be that person who stands on top of the mountain and says this is a suicide. Fisher went on to say that than manner and location it was done begs an explanation for people. They want to know what is happening. Why was this response there? Members of the Bolivar Fire Department as well as a Bolivar Police officer also assisted at the scene. Suicide prevention efforts continue in Ohio Recent reporting from the Columbus Dispatch indicates that One year after its launch, Ohio's 988 suicide hotline is seeing an average of 12,000 calls, texts and chats each month. Detectives and deputies from the Tuscarawas County Sheriff's Office investigate a vehicle found near where a person was found shot to death on the historic Zoar Iron Bridge, late Tuesday afternoon, Aug. 15 in Lawrence Township. And that's without a public awareness campaign to educate Ohioans about the new, easy-to-remember number. Ohio launched its 988 program in July 2022 as part of a nationwide effort to create a simpler alternative to the National Suicide Prevention Lifeline, 1-800-273-8255. The state's 19 call centers spent the past year training staff and fielding a surge in calls as lawmakers debated how to keep the program afloat long term." In Summit County, The Akron Beacon Journal also reports that, "After a two-year decline, newly released data shows suicides in Ohio increased in 2021 from the previous year, the state Department of Health announced Friday. The state's Suicide Demographics and Trends 2021 report, shows there were 1,766 suicides in 2021, an 8% increase from the previous year, according to the state health department. That number remains below the 10-year high of 1,836 deaths in 2018." Suicide prevention in Tuscarawas County Two bouquets of wild flowers are seen placed on the historic Zoar Iron Bridge, Wednesday, Aug. 16, in Lawrence Township, after a suspected suicide the day before. If youre struggling, its OK to share your feelings. If you're in crisis, call the ADAMHS Board of Tuscarawas County crisis line at 1-800-273-8255, or the 988 Suicide & Crisis Lifeline at 988. For survivors seeking support, the ADAMHS Board recommends Light After Loss SOS (Survivors of Suicide) Support Group. They meet the fourth Monday of every month at 6:30 p.m. Call 330-846-3630 for location and registration. T-R staff photographer Andrew Dolph can be reached by phone at 330-289-6072, or by email at adolph3@gannett.com This article originally appeared on The Times-Reporter: Hikers on Towpath Trail discover person shot to death; suicide suspected The House Republican who spearheaded last years bipartisan crusade to rein in the market power of large tech companies said GOP leadership has no intention of taking up his bills but he insists the fight is not dead. The Big Tech antitrust issues will not move forward in this Congress, Colorado Rep. Ken Buck said in an interview on the POLITICO Tech podcast. And so even though I'm a champion, it's behind the scenes. It's talking to new members. It's really laying the groundwork for the future, he continued. The best way to lead on this issue is to make people aware, make members aware, of what's going on and step back and let them draw their own conclusions. Buck formed an unlikely alliance with former Democratic Rep. David Cicilline, the then-chair of the House antitrust subcommittee, to advance a series of bills through the Judiciary Committee in 2021 that would have curtailed the control Amazon, Meta, Apple and Google have over online markets. But political wrangling in the full House doomed their biggest bills. While a few bills ultimately went on to be signed by the president, including one that increased merger filing fees, those that would have forced the biggest changes to tech companies business models failed to become law. Bucks effort took another hit after Republicans took control of the House this year and he was passed over for chair of the House antitrust subcommittee in favor of Kentucky Rep. Thomas Massie. Then Cicilline left office in May to lead the Rhode Island Foundation. The House Judiciary Committee under Chair Jim Jordan has focused its attention instead on an investigation into accusations that Meta, Google, Apple, Microsoft and Amazon have conspired with the Biden administration to censor conservatives. Buck said Jordans investigation only bolsters the need for antitrust legislation he just cant convince Jordan to take that view. The more that Jim Jordan criticizes the speech, content moderation or censorship by these big companies, the more I feel like he's making my argument that we need competition to be able to address the issue, Buck said. I want to be a champion, but I have to be realistic, Buck said. There are a lot of issues that I can focus on at this point, and I'm focused on those issues where I can develop bipartisan support. In June, Buck introduced legislation with California Democratic Reps. Ted Lieu and Anna Eshoo to establish a commission to recommend steps the government should take to regulate artificial intelligence, including the possible formation of a new federal agency. Buck insists the proposal is not an attempt to defer taking more meaningful action on AI. But, he said, designating a commission to focus on the issue will help it get more attention from lawmakers who are otherwise juggling more urgent policy issues and partisan fights over investigations into former President Donald Trump and Hunter Biden. It's important that we get people together that have the time and focus to address the issues in a thoughtful way, he said. Annie Rees contributed to this report. To listen to the interview with Buck and other tech leaders, subscribe to our new daily POLITICO Tech podcast. A lawyer for Hunter Biden (pictured, R, in Washington, D.C., in June) has asked to withdraw from the criminal case against his client over the possibility he might be called as a witness. File Photo by Julia Nikhinson/UPI Aug. 15 (UPI) -- Hunter Biden's lawyer asked to withdraw from the criminal case against his client, the son of President Joe Biden, over the possibility he might be called as a witness. Christopher J. Clark, Hunter Biden's lawyer who has defended other big names such as Elon Musk, filed the brief motion on Tuesday in the U.S. District Court for the District of Delaware. "Mr. Biden will continue to be represented by other firms that have entered an appearance on behalf of Mr. Biden, and therefore has ample counsel," reads the motion, obtained by UPI. "Mr. Clark's withdrawal is necessitated by recent developments in the matter." Clark noted that Delaware's rules of professional conduct state a lawyer cannot act as an advocate at a trial in which the lawyer is likely to be a witness. "Based on recent developments, it appears that the negotiation and drafting of the plea agreement and diversion agreement will be contested, and Mr. Clark is a percipient witness to those issues," Clark wrote in the motion. U.S. District Judge Maryellen Noreika, who was appointed to the bench by former President Donald Trump, approved the motion, the court documents show. Clark's brief remarks allude to a plea deal that fell through last month. Hunter Biden ultimately pleaded not guilty to federal tax charges amid a dispute over questions of immunity from future charges. At the time, Noreika questioned whether the younger Biden would still agree to the terms of the plea deal if there was a potential for additional charges. Hunter Biden said he would not and Noreika ordered the proceedings to stop while calling for a "meeting of the minds" to help settle the issue. Clark said then the deal was "null and void," increasing the probability the case will go to trial. Last week, U.S. Attorney General Merrick Garland named Delaware U.S. Attorney David Weiss as special counsel in the investigation. Weiss was appointed by former President Donald Trump and began investigating Hunter Biden in 2019. Garland said Weiss requested he be named special counsel to continue the probe because the investigation had reached a stage where it could not continue without the powers of a special counsel. Republicans sought to tar Joe Biden six weeks before the 2020 election by releasing new details about his sons misbehavior, in a report showing that Hunter Biden cashed in on his fathers name and position as vice president under President Barack Obama. That didnt stop Joe Biden from being elected president. But since 2020, House Republicans have continued to dig into Hunters sordid past, alongside federal investigators from the Justice Department and the Internal Revenue Service. And the presidents prodigal son is an even bigger headache for Democrats now, heading into the next presidential election. Republicans have unearthed some new details and connected some more dots. Theyve provided evidence that Joe Biden, when he was vice president, had dinner with a few key business partners of Hunters, and theyve subpoenaed bank records showing millions of dollars in payments from those partners to Hunter. House Republicans last week put out the latest batch of bank documents alleging that Hunter Biden and others he worked with made over $20 million from foreign business deals after his father was first elected vice president in 2008. During Joe Bidens vice presidency, Hunter Biden sold him as the brand to reap millions from oligarchs in Kazakhstan, Russia and Ukraine, House Oversight Chairman James Comer, R-Ky., said last week. It appears no real services were provided other than access to the Biden network, including Joe Biden himself. And Hunter Biden seems to have delivered. Hunter Biden leaves federal court in Wilmington, Del., on July 26, after a plea hearing on two misdemeanor charges of willfully failing to pay income taxes. (Jonathan Ernst/Reuters) No evidence so far that President Biden profited Republicans have so far failed to deliver on their biggest claims. They have not shown that U.S. government decisions were altered to benefit the Biden family, or that President Biden may have received bribes, or that there are direct links between the older Biden and Hunter Bidens business deals, discussions or payments. Meanwhile, the Hunter Biden saga is unfolding alongside a series of criminal trials in which former President Donald Trump is accused of, among other things, trying to steal the most recent presidential election from millions of Americans who voted for Biden in 2020. Yet Democrats are now forced to admit that Hunter Biden was addicted to drugs and did a lot of really unlawful and wrong things, as Rep. Jamie Raskin, D-Md., said Sunday on ABCs This Week. And we have said, let the justice system run its course. Theyre not saying that about Donald Trump, Raskin said. The appointment of a special counsel for the Hunter Biden investigation last week by Attorney General Merrick Garland, after a plea deal fell apart, means that Hunters shady dealings will remain in the public eye for the foreseeable future. Meanwhile, the White House continues to insist that President Biden did nothing improper. Rep. James Comer, R-Ky., and reporters after a closed-door House Intelligence Committee hearing in Washington, D.C., on June 20, on the origins of the FBI's Trump-Russia investigation. (Evelyn Hockstein/Reuters) GOP says Hunter and his business associates made over $20 million Comer has focused his committees work on peering into Hunter Bidens business deals. The committees latest release last week claimed that more than $20 million went to Hunter Biden and his business partners. Previous disclosures from Comers committee have shown some of that money going to James Biden, the presidents younger brother, and Hallie Biden, the widow of the presidents late son, Beau. Republicans are still trying to connect President Biden to these business deals, but have yet to provide concrete evidence. They have pointed to two small dinners in D.C., in 2014 and 2015, that Joe Biden attended with Hunter and a few of his business partners. For example, the 2014 dinner at Cafe Milano was attended by a Russian billionaire, Yelena Baturina, who Republicans claim had paid Hunter Biden and his business partner Devon Archer $3.5 million through a shell company earlier that year. These payments from Baturina were first reported in the Republican Senate report that was released in the fall of 2020. Republicans have speculated that when the Biden administration placed many Russian oligarchs under financial sanctions after the invasion of Ukraine in 2022, Baturina avoided financial penalties because of her past financial relationship with Hunter Biden and that dinner in 2014. But Archer, who is trying to avoid jail time for defrauding a Native American tribe out of $60 million, told the committee that he never heard Joe Biden discuss business deals in phone calls with Hunter. So Republicans are still leaning on circumstantial evidence and insinuation, even as House Speaker Kevin McCarthy, R-Calif., dangles the prospect of impeachment proceedings. Without a smoking gun, it is likely to be difficult for McCarthy to round up enough Republican votes to support opening an impeachment inquiry. Devon Archer, a former business associate of Hunter Biden, after giving a deposition to the House Oversight Committee on July 31. (Kevin Wurm/Reuters) Did Joe Biden get any of that money? Republicans have little to go on here. The New York Post reported last year on text messages from a laptop that Hunter Biden left in a Delaware computer repair shop, in which Hunter allegedly complained in 2019 to his daughter Naomi about having to pay for everything for this entire family for 30 years. But dont worry, unlike pop, I wont make you give me half your salary, Hunter Biden reportedly texted his daughter. The laptop and its contents were identified as potential Russian misinformation in 2020, but the device and some of its data have since been verified as legitimate by outlets such as the Washington Post. Although the laptop data shows no signs of having been tampered with, not all of it has been verified, and some of the material has inspired false stories in right-wing media outlets. The only specific details alleging actual expenses paid by Hunter Biden on behalf of his father add up to just under $6,000 for a series of repairs to Joe Bidens lakefront home in Delaware in 2010. Comers Oversight Committee has not yet subpoenaed bank records of members of the Biden family, it said in its most recent report. But Comer said last week that he is planning to subpoena members of the Biden family for testimony soon. President Biden and first lady Jill Biden with Hunter Biden and his sister, Ashley, on May 15 at the University of Pennsylvania graduation ceremony, where Hunter's daughter Maisy received her degree. (Amanda Andrade Rhoades/Reuters) After Illinois passed the countrys first law protecting child influencers last week, a feeling of optimism swept over Sarah Adams. For years, she and other advocates against child exploitation have warned about the dangers of sharing childrens lives on social media for profit. The law would ensure financial compensation for minors, defined as children under 16 years old, who are featured in vlogs, or video blogs. While Adams is not based in the U.S., she and other activists who spoke with NBC News said they are excited by the news. They believe the legislation marks a precedent that could encourage similar action in states across the country. There is a lot of excitement that these conversations are not only being had, but that legislation is being enacted to protect the income that these kids are generating, said Adams, a 38-year-old mother of two from Vancouver who calls out child exploitation online with her platform of more than 270,000 TikTok followers. Because we need to be realistic: Its the children that are generating the income for these families. Ethical concerns around sharenting have come under increasing scrutiny in recent years as family vloggers and parenting influencers continue to build massive followings online. Advocates have called out the harms of invading children's privacy and compromising their digital safety for clout or monetary gain, especially without informed consent. Illinois new law, set to take effect next July, mirrors Californias Coogan Act, which was passed in 1939 to protect the earnings of child performers and has inspired similar laws in other states. None of these laws had addressed children who perform on the internet, nor does the national Fair Labor Standards Act. Now, content creators in Illinois must set aside a portion of any earnings from videos including the likeness, name, or photograph of the minor in a trust for them to access upon adulthood, according to the law. The percentage of earnings allocated is based on how heavily that minor is featured in the content including in stories told about them without necessarily showing their image. Creators who fail to meet this criteria can be sued for damages, but the bill does not provide a government enforcement mechanism, meaning compliance and legal action is left up to individual families. Jess Maddox, an assistant professor of digital media technology at the University of Alabama, said its important for child influencers to be taken seriously as child workers who need to be financially and legally protected. It might be kind of niche right now, but now with the Illinois law, I think its going to be much more of a national and public conversation, Maddox, who specializes in social media and influencers, said. I think any kind of resistance or confusion about it might harken back to the belief that social media content creation and influencing are not legitimate careers. Since last year, Maddox said, shes seen an organic groundswell in former child influencers speaking out about having had their lives shared online without their consent. As their voices grew louder, and as more people on social media began making point-of-view jokes about being the child of a family vlogger, greater rumblings about exploitative child influencer practices began emerging among the general public. It made the time ripe for legislative action, according to Maddox. Student action also helped bring the issue of child influencers to lawmakers' attention. High school student Shreya Nallamothu, whom state Sen. David Koehler credits with coming up with the idea behind the legislation, said shes pleasantly surprised at how quickly the bill moved through the Legislature since it was introduced this February. In the future, she said she hopes to see more laws around the privacy and mental health aspects of child influencer work. The Illinois law focuses on entitling child influencers to a percentage of earnings. It doesnt include protections for their choice to stay off the internet or to remove previous content, though the original version of the bill had included a provision enabling former child influencers to request that platforms permanently delete monetized content posted of them as a minor often referred to as the right to be forgotten. I know that its not 100% perfect, and I wasnt expecting that going into it, Nallamothu, 16, said. But I didnt want an obsession with it being perfect to stop real progress being made, because this is a first step and Im just excited to see child influencing being legitimized and on the books. Though Illinois was the first to officially enshrine child influencer protections into law, similar legislation has been in the works in Washington state, where 19-year-old University of Washington student Chris McCarty has been lobbying for it. McCarty, the founder of Quit Clicking Kids, a site advocating protections for kids who are monetized on social media, said in an email that he's optimistic about what the Illinois law will mean for similar legislation nationwide. I believe that the public pressure to address this issue will only increase as more children reach adulthood and start sharing their story," he said. This article was originally published on NBCNews.com At Vikurfjara, a black-sand beach. Talia Lakritz/Insider On my trip to Iceland in June, my carry-on bag was too big for Icelandair. I packed unnecessary items like a waterproof phone case and a winter hat, scarf, and gloves. Iceland's constant daylight left me feeling exhausted, so I'd bring melatonin in the future. While I had an amazing time visiting Iceland in June, I made a few mistakes during my trip. The author in Iceland. Talia Lakritz/Insider Iceland has been at the top of my travel bucket list for years, and I thought the country's gorgeous scenery and famous tourist attractions like the Blue Lagoon lived up to the hype. However, I made a few mistakes along the way, and would do some things differently if I ever make it back there again. My carry-on luggage was too big for Icelandair, the airline I traveled with. My oversize carry-on luggage. Talia Lakritz/Insider I didn't check a bag for my five-day trip to Iceland, but I ended up having to gate-check my carry-on luggage because it was too large according to Icelandair's baggage-allowance rules. While I've taken the same suitcase aboard many flights without issue, Icelandair only allows carry-on bags no larger than 21.6 inches tall, 15.7 inches wide, and 7.8 inches deep. Thankfully, my carry-on made it to Iceland and I wasn't charged any extra fees. Still, if I flew Icelandair again, I'd bring a smaller suitcase. I booked a rental car with a company that was located far from the airport. Car rentals at the Iceland airport. Talia Lakritz/Insider When I landed in Iceland, I expected to pick up my rental car at the airport, but only four companies were actually located onsite in the arrivals terminal: Avis, Budget, Europcar, and Hertz. The rental company I used, Economy Rent A Car, was located about a 10-minute ride away via a shuttle that only came every 30 minutes, which could be one reason why it was cheaper than its competitors. I paid $348.66 for five days, but next time, I'd pay a little extra for the convenience of getting out of the airport faster. I wish I hadn't bought a waterproof phone case for my trip. A waterproof phone case in the Blue Lagoon. Talia Lakritz/Insider Since I was going to be swimming and taking pictures in several lagoons, I wanted to be extra careful and protect my phone with a waterproof case. However, I found that the case actually made my phone bulkier and more difficult to use. The case also obscured my photos. I ended up taking the case off and just holding my phone very tightly while in the water, and noticed lots of other people doing the same. Even though it only cost $6.99, I regretted buying what turned out to be an unnecessary item. I also didn't need to bring a hat, scarf, or gloves. I only wore my beanie once, at a windy black-sand beach in Vik. At Vikurfjara, a black-sand beach. Talia Lakritz/Insider The weather in Iceland can be unpredictable, even in late spring and summer, so I packed lots of layers and brought my warm winter gear in case I needed it. I got extremely lucky with the weather I experienced during my trip. It barely rained, and I even had some perfectly sunny days. While I was glad to have brought my waterproof winter coat, I barely wore my hat, scarf, or gloves. The bulky items took up valuable space in my suitcase, so I wish I'd left them at home. I didn't bring a towel to the Secret Lagoon and had to pay for one. At the Secret Lagoon. Talia Lakritz/Insider I visited three lagoons during my trip to Iceland: the Blue Lagoon, Sky Lagoon, and Secret Lagoon. The Blue Lagoon's cheapest admission was $93 and included a locker, a towel, a mineral face mask, and a drink from the bar inside the lagoon. The Sky Lagoon's cheapest ticket cost 7,990 ISK, or about $60.43, and included a locker and a towel. The Secret Lagoon cost 3,300 ISK, or $24.96, and only included a locker. At the Blue Lagoon and Sky Lagoon, towels were included in the cost of my ticket. I'd assumed that the Secret Lagoon admission would include towels as well, but that wasn't the case. I made the mistake of swimming in the steaming geothermal pool before realizing it cost 500 ISK, or about $3.78, to rent a towel. I had to dig around for my credit card while dripping wet in 60-degree weather. I misread prices at first because Iceland uses decimal points instead of commas to denote amounts in Icelandic Krona. Hagkaup in Iceland. Talia Lakritz/Insider I was initially confused when I saw signs in grocery stores with prices like 1.999 ISK per kilogram. I thought I was getting an amazing deal until I realized that it actually meant 1,999 ISK. It turns out it's not unique to Iceland many other countries in Europe and around the world use decimal points to separate thousands, according to Cornell University's Office of the Treasurer. I stayed up later than I meant to because of Iceland's constant daylight in the summer months. It was still sunny at 10:30 p.m. Talia Lakritz/Insider I visited Iceland in June, when the sun never fully sets. Because the Earth's Northern Hemisphere tilts towards the sun during the summer months, countries north of the Arctic Circle like Iceland, Sweden, Finland, and Norway are continuously exposed to sunlight, resulting in a phenomenon known as the "midnight sun." Iceland's constant daylight tricked my body into thinking it wasn't as late as it actually was. Darkness usually cues the body to produce melatonin, the hormone that helps our bodies get ready for sleep. Without a sunset or a dark night sky, I found myself accidentally staying up later than I normally would simply because I didn't feel tired at my usual bedtime. While I did appreciate how the midnight sun helped me navigate more easily and made me feel safer walking around alone at night, the lack of sleep left me feeling exhausted throughout my trip. Next time, I would pack some over-the-counter melatonin supplements to help normalize my sleep schedule. Read the original article on Insider First responders with Indiana Task Force 1 have been activated to support search efforts for victims of the devastating wildfire in Maui. The fire tore through the historic Maui community of Lahaina last week and is the deadliest U.S. wildfire in more than a century, leaving at least 106 people dead a number officials expect to rise. More than 1,800 homes and structures were leveled in the intense blaze, fanned by high winds and dry conditions, and hundreds of people remain missing. More: Maui wildfire death toll climbs to 106 as grim search continues The task force from Indiana is one of 28 highly trained Department of Homeland Security and Federal Emergency Management Agency-sponsored Urban Search and Rescue teams in the U.S. The team will send 70 personnel to the Hawaiian island from Central Indiana police and fire departments. A departure time had not yet been set as of activation Tuesday. The task force has been in existence since 1992 and has seen operational deployments nationally to Oklahoma City in 1995, the World Trade Center in 2001 and more recently in 2021 to aid with search and rescue following the Surfside, Florida, building collapse and the Mayfield, Kentucky, tornado. The team also deployed to Southwest Florida to assist after Hurricane Ian last summer. 9/11 archives: Emergency personnel from Central Indiana are already on the road Locally the Task Force has responded to Lafayette, Evansville, Henryville and Indianapolis for tornado events. How to assist victims in the Maui wildfires in Hawaii Animals that survived the Maui wildfires are treated at Maui Humane Society for injuries such as burns and smoke inhalation. Maj. Gen. Kenneth Hara, from the Hawaii State Department of Defense, asked those who want to donate supplies or volunteer to do so through the Hawaii Emergency Management Agency. Learn more at dod.hawaii.gov/hiema. Here are some other ways to help: More: 'Help is pouring in': How to assist victims in the Maui wildfires in Hawaii The Hawaii Community Foundation has launched the Maui Strong fundraising effort to quickly address evolving needs, including shelter, food and financial help for those impacted by the disaster. HCF says 100% of the funds donated will be distributed for community needs in collaboration with government leaders, nonprofits and community members. Give at hawaiicommunityfoundation.org/maui-strong. Donations may also be made to the Maui United Way fire relief fund. The organization says All donations will provide direct relief to families and nonprofits directly affected by the Maui Fire Disaster. Learn more and donate at mauiunitedway.org/disasterrelief. The Lahui Foundation, lahuifoundation.org,also is collecting monetary donations to be given directly to fire recovery efforts impacted residents. Kakoo Maui Match Donation Fund: Last week the Council for Native Hawaiian Advancement helped start the fund and quickly reached its $1.5 million goal. Donations are still being accepted, and the council said 100% of proceeds will go toward relief efforts. Information on how to donate can be found at bit.ly/3KH4NDI. Hoola Maui Fund: Contributions to this fund will support youth impacted by the disasters so they can continue to benefit from a strong educational support system. Donations can be made at bit.ly/44bwyes. The Maui Humane Society, mauihumanesociety.org, is asking people to assist with aid to pets affected by the disaster. The group is asking people to foster pets in need, give pet supplies and to provide donations. American Red Cross efforts to serve Maui residents The American Red Cross reportedly has 220 disaster workers on the ground, with more on the way. Others are working virtually to help those affected by the fires. About 1,300 local residents have begun Red Cross volunteer training since the fires began, the agency reported. Those interested in volunteering can visit redcross.org/volunteertoday. For organizations and individuals who want to offer services or donations to aid in the county rescue and relief efforts, the Red Cross said information can be provided here to help organize and deploy resources. To make a financial contribution to Red Cross relief efforts in Maui visit redcross.org, call 1-800-RED-CROSS (800-733-2767), or text the word HAWAII to 90999 to make a $10 donation. The Red Cross also operates a Disaster Distress Helpline for free 24/7 support by calling 1-800-985-5990. GoFundMe has verified hundreds of fundraisers to help Maui The crowdsourced online fundraising platform GoFundMe has verified about 600 fundraising efforts from around the nation to provide help for Maui fire victims, many of whom have lost their homes and possessions. See some of those fundraisers at bit.ly/3KKav7Y. USA TODAY contributed. Contact Jake Allen at jake.allen@indystar.com. Follow him on Twitter @Jake_Allen19. This article originally appeared on Indianapolis Star: Indiana Task Force 1 headed to help after Maui wildfire Sidney Powell is fresh off an indictment in Georgia. But that's not dimmed her commitment to pushing baseless election-fraud conspiracy theories. Nor has it stopped her hawking merchandise with the discount code pegged to the indictment. A defiant Sidney Powell, fresh off her indictment in Georgia, is posting up a storm on X, dishing out election conspiracy theories with a side of spicy merchandise discount codes. Powell was one of 18 people charged alongside former President Donald Trump in Georgia on Monday night. In the sprawling indictment, Powell a lawyer and known conspiracy theorist was charged with conspiracy to commit election fraud and accused of attempting to overturn the results of the 2020 election in Georgia. This indictment was Trump's fifth, and the fourth criminal case he's been charged in. And for Powell, this adds to the growing number of legal issues she faces: She's also being sued for defamation by voting-technology companies Dominion and Smartmatic. But being charged by a federal prosecutor didn't stop Powell from taking to X formerly Twitter to push a debunked conspiracy theory that the election was stolen from Trump. Posting a graphic of two lines, one red and one blue, she alluded to baseless allegations from the far-right that votes meant for Trump were flipped and added to President Joe Biden's final tally. Powell promoted some merchandise too. "Use promo SIDNEY20 for a 20% discount SidneyPowell.com/shop," she posted on Tuesday night, alongside the hashtags #FultonCounty, #FultonCountyIndictment, and #Fulton. Her online store lists a variety of items, including her book, "Licensed to Lie: Exposing Corruption in the Department of Justice (Second Edition)." A hardback copy goes for $35, but a paperback version's on sale now at $8.95. For hardcore Sidney fans, there's a 8.5-inch-by-11-inch glossy photo signed by her. But that will set you back $25 before the discount code, of course. It's not just Powell who's kept up a brave face. Rudy Giuliani, the former New York mayor who was also indicted in Georgia, went on Newsmax on Tuesday. There, he called Fulton County District Attorney Fani Willis "incompetent," and accused her of not being "honorable" or "honest." At least, Giuliani admitted during a pre-indictment livestream on Monday that he was "very nervous and sweating and upset" about getting charged in Georgia, which makes sense considering the racketeering charge he's facing. Powell did not immediately respond to a request for comment from Insider sent outside regular business hours. Read the original article on Business Insider JAKARTA (Reuters) - President Joko Widodo called on Wednesday for Indonesia's next leader to continue his policy to prioritise processing natural commodities onshore, aiming to turn Southeast Asia's largest economy into a global powerhouse by 2045. Jokowi, as the president is popularly known, made the remarks in his annual speech in parliament ahead of Indonesia's Independence Day on Aug. 17. A presidential election is due on Feb. 14 and the elected candidate will be sworn into office in October next year. Jokowi is not eligible to contest. "I have reiterated that future leadership will set a path forward for Indonesia's future," he said. "This is not about who the (next) president is ... The question is whether or not the future leaders are ready to work in line with what we have started today, or whether or not they are brave or consistent enough," he said. Jokowi said the so-called "commodity downstreaming" policy was the foundation of Indonesia's aspiration to become one of the world's biggest economies by 2045, at which point the country's income per capita should reach $25,000. Indonesia's gross national income per capita was $4,580 in 2022, according to the World Bank's data. The president touted the success of his ban of nickel ore exports, which he said had grown the nickel smelting industry significantly and translated into better welfare for the people. The policy has been replicated on other mineral ores. Jakarta banned exports of bauxite in June and it will ban shipments of copper ore in May 2024. Jokowi reiterated that the policy has to be expanded to non-mineral commodities like palm oil and seaweed. Defence Minister Prabowo Subianto is currently leading in opinion polls for the next presidency, ahead of Central Java Governor Ganjar Pranowo and former Jakarta Governor Anies Baswedan. (Reporting by Stefanno Sulaiman, Gayatri Suroyo, Fransiska Nangoy; Editing by Raju Gopalakrishnan) When Sheri LeVan took her 4-year-old grandson to his annual well-child visit in June, it seemed like an appropriate time to get the standard vaccines Tennessee requires for pre-Kindergarten enrollment. But the doctor's office refused to administer the range of vaccines, which protect from debilitating diseases such as polio and measles, citing a new state law that medical professionals and child advocates in Tennessee now say is complicating once-routine medical care for potentially thousands of children who are not under the care of their biological parents. The law, sponsored by Republican lawmakers who resisted vaccination requirements during the COVID-19 pandemic, requires doctors to obtain "informed consent" from the parent or legal guardian of a minor before administering a vaccine. Doctors and other caregivers say a new state law is having unintended consequences and hindering their ability to provide routine vaccinations for children. Though it was lauded by some as a parental rights law, physician advocates say confusion surrounding the law, and how to apply it, can leave responsible guardians like LeVan unable to consent to routine medical care for the children under their charge. Meanwhile, the Department of Children's Services is effectively hamstrung by the law, which requires biological parental rights to be irrevocably terminated before DCS can seek a vaccine for a child in its care. Though more than 8,900 children are under the state's custody, DCS only has full legal guardianship to legally consent for about 10% of those children. For the remaining 90% of children, DCS is now required to seek a court order for each individual vaccine needed or seek permission from the biological parents. "We intend to comply with the law while doing all we can to ensure children receive the appropriate medical and educational services needed," DCS spokesperson Alex Denis said. Another 65,000 Tennessee children are in "kinship" homes, per Kids Count data from 2020 to 2022, where they're cared for by a relative or other close adult. Advocates say these homes, while acting as fulltime caregivers, may not have the financial or legal resources to sever parental rights and obtain legal guardianship, which physicians say needs further definition in the vaccine law. "Unfortunately, tragically, for many of these children it may be difficult to locate the parents or difficult to get written consent," said Dr. Jason Yaun with the Tennessee Chapter of the American Academy of Pediatrics. "Were very worried about the barriers around children who are already medically complex, medically vulnerable, and providing for them and getting them up to date (on vaccinations)." Tia Bailiff, an attorney and guardian ad litem for abused and neglected children, recently filed a court petition for a Tennessee child to get a necessary vaccine. Bailiff expressed frustration that lawmakers would craft policy that further stymies timely care for this group of children, who require independent advocates because courts have decided their parents aren't currently reliable or safe. Tia Bailiff is an attorney and a guardian ad litem for abused and neglected children. "When we're dealing with the juvenile dependency and neglect realm, these children are not from parents who are responsible or able to contacted or even be counted upon to do the right thing for their children, or we wouldn't be involved," Bailiff said. After months in court, custody documents weren't enough to satisfy doctor's office Last fall, LeVan and her husband Doug took in their grandson after years of issues with his father, the LeVans' son, and mother. Both struggled with substance abuse issues before the mother disappeared, LeVan said, and their son was incarcerated. "They had 3 years to do their job, and they didnt," LeVan said. The LeVans, who live in Johnson City, spent eight months in court securing a form of permanent custody. LeVan said they were advised it was a secure guardianship if his parents come back into the picture and wanted to regain custody, they have to prove neglect and abuse on the grandparents' part. The LeVans could seek a full termination of parental rights, but attorney fees would likely rise to the tens of thousands of dollars, LeVan said. The couple, both older and on fixed incomes, didn't feel it was practical to spend more time and money in court as they lined up medical therapies for their grandson and settled him into their home. At the June doctor's visit, LeVan was asked to reschedule an appointment for the vaccines and bring her custody paperwork. But LeVan and her grandson were again turned away from the second appointment because she couldn't prove his biological parents terminated their parental rights, per the office's interpretation of the new law. Other pediatricians in town also turned her away, LeVan said. She began calling her lawmakers, state medical boards, even the governor's office. She received differing explanations and advice from nearly everyone. "It seems like the left-hand doesnt know what the right one is doing," LeVan said. "We saved the state a ton of money by taking in this child, not that I would have ever allowed him to go into the system, but then the state ties our hands behind our back." 'Pervasive problem' The 2023 law stems from a 2021 political controversy borne out of the COVID-19 pandemic. At the time, Republican lawmakers accused then-Health Commissioner Dr. Lisa Piercey of pressuring teenagers to get the COVID-19 vaccine with or without parental consent, which Piercey flatly denied. Tennessee then significantly dialed back routine vaccine outreach, with internal department emails indicating staff should conduct "no proactive outreach regarding routine vaccines." In 2021, Sen. Janice Bowling, R-Tullahoma, and Rep. John Ragan, R-Oak Ridge, backed a bill to chip away at the "mature minor doctrine," a rule of law recognized by Tennessee courts that teenagers 14 and older are capable of consenting to, or denying, routine medical treatments. Other states have similar doctrines with varying minimum ages. Bowling and Ragan were among the most outspoken lawmakers to criticize the policy to allow teenagers to seek out a COVID-19 vaccine by themselves, and the 2021 law blocked any minor from receiving a COVID-19 vaccine without parental consent. Bowling had previously hosted anti-vaccine providers in the General Assembly, including an Idaho pathologist who has falsely claimed the COVID-19 vaccine causes cancer. Meanwhile, Ragan threatened to dissolve Tennessee's medical license board if it didn't delete a policy opposing COVID-19 misinformation from its website. State Rep. John Ragan, R-Oak Ridge, sponsored the Mature Minor Doctrine Clarification Act. The mature minor doctrine arose again earlier this year, when the duo sponsored another bill expanding on their 2021 effort, drawing it to include any vaccinations, even those required by Tennessee for school enrollment. Yarnell Beatty, general counsel for the Tennessee Medical Association, says the law has become a "pervasive" problem for physicians in just a few short months. The law is complicating care on two fronts, Beatty said, as it doesn't define how doctors should obtain and document "informed consent" to satisfy the law, and it ignores the realties of childcare in 2023, where working parents often deputize a grandparent or caregiver to take children to routine doctor appointments. Beatty said legal mechanisms like powers of attorney allow parents to tap someone else to make medical decisions for their child, in addition to more unofficial trends of deputizing childcare, but the new law doesn't appear to allow for those options. "Medical offices have to become lawyers to figure out whether or not theyve given enough information that provides legal informed consent, and then have to delve down into whether who brings the child in meets the definition of a legal guardian," Beatty said. "It doesnt make sense that a parent cant utilize a lawful power of attorney to allow someone else to consent to a vaccine." The Tennessee Medical Association last week filed a formal rule-making petition with the Tennessee Department of Health, which asks the department to issue explicit guidance on how doctors can document informed consent and identify qualified consenting guardians. But that process can often take over a year, and Beatty said TMA plans to take a "two-pronged approach" and support changes to the law in next year's General Assembly session. "I think lawmakers are coming to understand that parents are very frustrated by this law, physicians are very frustrated by this law, front desk workers in medical practices are very frustrated with this law. We hope that either the General Assembly or Department of Health can clarify this law or give guidance so there are no traps for physicians," Beatty said. "Patients shouldnt be turned away when they come in to get a vaccine. They shouldnt have to make mom or dad take time off work to come get a vaccine, which under previous law children were able to do if their grandparents or stepparents brought them in." Ragan, meanwhile, doesn't appear likely to support any amendments to the law. Absent some extraordinary circumstance such as abuse or neglect, children belong to their families and not the state," Ragan said. "As such, parents and legal guardians should be the ones who make the important health care decisions for their child. The Mature Minor Doctrine Clarification Act will ensure that occurs in Tennessee. Parental rights and responsibilities should never be allowed to be circumvented due to issues of convenience, expense or bureaucratic expediency. LeVan said regardless of the intent, it was a "poorly thought-out bill" if caregivers given authority to make a host of other decisions for children because of abuse and neglect cannot make vaccine medical decisions. "My husband is 62, and Im 59. Were trying to do this at an older age," LeVan said. "I just think theyre causing a huge issue for grandparents and people who might not necessarily know how to navigate the system. Its a shame." Reach Melissa Brown at mabrown@tennessean.com. This article originally appeared on Nashville Tennessean: Tennessee law creating 'pervasive' problem for childhood vaccines A police officer removes flowers and posters placed with a message of support for Fani Willis, the Fulton County district attorney, outside the Fulton County Courthouse in Atlanta, Aug. 15, 2023. (Amir Hamja/The New York Times) ATLANTA Fani Willis was barely three days into her new job as district attorney of Fulton County, Georgia, when a potential case caught her attention. A recording had emerged of Donald Trump, in his waning days as president, telling Brad Raffensperger, the Georgia secretary of state and a fellow Republican, that he wanted to find nearly 12,000 votes, or enough to reverse his narrow 2020 election loss there. The call fell squarely in Willis new jurisdiction, since Fulton County includes the state Capitol building in Atlanta where Raffensperger works. Willis had inherited an office with a deep backlog of cases exacerbated by the pandemic, and had limited staff. But she knew almost immediately that she would investigate. Sign up for The Morning newsletter from the New York Times When allegations come about about anything that would hamper societys ability to believe in fair elections, or if there is even conduct that rises to the level of suspicion, I dont think that I have a choice, Willis said in February 2021, shortly after announcing that she had opened a criminal inquiry into the matter. Over the next 2 1/2 years, what began as an examination of a single phone call became a sprawling investigation stretching across multiple counties and states and into the federal government. On Monday, Willis announced that a grand jury had indicted 19 people on 41 felony counts, including Trump and a number of his former top aides and allies, on charges that they had criminally conspired to overturn the results of the 2020 election in her state. That the most expansive case against Trump and his associates would emerge from a local prosecutors office in the Deep South was never a given. Her office faced frequent security concerns and threats as the investigation played out, many of them racist, leading Willis to have staff members outfitted with bulletproof vests. There was a parade of legal challenges from witnesses reluctant to testify in her investigation including from Sen. Lindsey Graham, R-S.C., and Mark Meadows, Trumps former chief of staff although most eventually did so after losing court battles. Willis own political judgment became a sticking point when a judge berated her for headlining a fundraiser for a Democrat rival of a state lawmaker who was one of the investigations potential targets. Through it all, she made clear that she would not be deterred. When she and a lawyer for Gov. Brian Kemp, a Republican, got into a disagreement over the terms of Kemp providing testimony in her investigation, Willis wrote to the lawyer in an email: You have taken my kindness as weakness, adding, Despite your disdain, this investigation continues and will not be derailed by anyones antics. While Willis has been depicted by Trump and his allies as a left-wing zealot, she is actually a centrist, law-and-order prosecutor. Only a few months before taking office, when she was facing a primary against her old boss, an anonymous flyer circulated that superimposed a photograph of Willis standing next to Trump and branded her as a Republican. Before becoming district attorney, she was best known for helping lead a high-profile case a decade ago against a group of educators in the Atlanta public school system who were involved in a widespread cheating scandal. Some attacked her for prosecuting teachers and other educators, but she retorted in a 2021 interview that she was sticking up for children. Yall can put it in my obituary, she said of the criticism. From the start of the Trump investigation, Willis floated the possibility of bringing charges under the states version of the Racketeer Influenced and Corrupt Organizations Act, or RICO, as she had done in the cheating case. One of her early hires as an outside consultant, in March 2021, was John E. Floyd, who wrote a guidebook on such laws, published by the American Bar Association. But the investigation was slow to develop. Today, Willis has about 10 people working on the case, including Floyd, out of a total workforce of 370 people. Finding a lead prosecutor for what would be one of the highest-profile cases in the states history was another hurdle. After several candidates turned her down, she enlisted an old friend, Nathan Wade, a defense lawyer and former municipal court judge whose small firm handled personal injury cases as well as criminal defense. As the case heads toward trial, Willis office is prosecuting another sprawling racketeering case involving prominent local rappers accused of operating a criminal gang. That case has its own dramas slowing it down, including legal sparring over evidence of a goat sacrifice and jury selection that has already taken more than seven months. Were not one-dimensional, right? Willis told a local radio station recently, adding that her office could pursue the election investigation while making sure that, as you see, the murder rate is dropping in Atlanta. We can walk and chew gum at the same time. By last summer, the Trump investigation took a critical turn on two fronts. A special grand jury was empaneled at Willis request. In Georgia, such juries cannot bring indictments but can gather information for longer periods of time than regular grand juries can, giving them the ability to dig into complex issues. At the same time, the House committee investigating the Jan. 6, 2021, attack on the U.S. Capitol began its public hearings, and its fact-gathering would be a valuable source of information for the Georgia investigators. But Willis was soon found to have committed a misstep. In July 2022, the judge presiding over the case, Robert C.I. McBurney, barred her from pursuing charges against Burt Jones, a state lawmaker and Republican candidate for lieutenant governor in Georgia. Willis had headlined a recent fundraiser for Jones Democratic rival. This scenario creates a plain and actual and untenable conflict, the judge wrote in his decision, after noting during a hearing on the matter that the optics are horrific. By then, Jones, one of the 16 pro-Trump alternate electors in Georgia, had been told that he could face charges, along with the other fake electors. But any potential prosecution of Jones, who eventually won election as Georgias lieutenant governor, would have to be handled by another prosecutor. The special grand jurors spent the second half of last year interviewing about 75 witnesses over seven months. We definitely started with the first phone call, the call to Secretary Raffensperger, Emily Kohrs, the foreperson of the special grand jury, said in an interview in February. From there, they heard evidence about how votes and voting machines were handled. They discussed the vote counting that took place at State Farm Arena in downtown Atlanta, and the false claims that Rudy Giuliani, Trumps former personal lawyer, and other Trump allies made about ballot fraud taking place there. The jurors talked a lot about state legislative hearings that Giuliani spoke at in December 2020, spreading misinformation about the election, Kohrs said, and then we talked some about events leading up to and immediately following the January phone call. They also heard evidence about Trump allies breaching the election system in a rural county south of Atlanta in hopes of finding evidence that the election had been rigged. As the special grand jurys work proceeded, Trump hired a high-profile Atlanta lawyer, Drew Findling, who had represented rappers such as Cardi B, Gucci Mane and Migos. Findling tried repeatedly to derail the investigation, an aggressive strategy that is not unusual among Trumps growing retinue of lawyers. Complications proliferated as a number of witnesses wavered, and by May more than half of the bogus Trump electors were cooperating with Willis office. Georgia judges also appeared to run out of patience with the Trump teams filings. The state Supreme Court unanimously rebuffed Findlings efforts to have Willis disqualified. And McBurney, of Fulton County Superior Court, encouraged the Trump team to follow professional standards before burdening other courts with unnecessary and unfounded legal filings. This week, after the charges were announced, Findling and Trumps other Georgia lawyers, Jennifer Little and Marissa Goldberg, said in a statement that they look forward to a detailed review of this indictment which is undoubtedly just as flawed and unconstitutional as this entire process has been. With the indictment in the books, a new set of legal battles is now sure to begin. Willis has made clear that this is not an ordinary prosecution, going so far as to instruct many employees to work from home for the first half of August as charges loomed and security concerns built. Yet she has also emphasized that in some ways, she will treat the case against Trump like any other. If anyone interfered with the election, I have a duty to investigate, she said, adding: In my mind, its not of much consequence what title they wore. c.2023 The New York Times Company A woman plays with her 18-month-old daughter in Nagi, Japan, where nearly half of local households have three or more children. (Stephanie Yang / Los Angeles Times) For decades, this lush mountain town's specialty was growing rice, black soybeans and satoimo, a taro root that features widely in Japanese cuisine and serves as the town's official mascot. But visitors are flocking to Nagi from across Japan and even other countries these days out of reverence, and maybe a touch of envy, for its spectacular success at producing something else: babies. In a nation struggling with record low birth rates and population decline, Nagi has become known as a "miracle town," where nearly half of the households have three or more children. Far from the bustling cacophony of cities like Tokyo, mothers here chat leisurely as their children's laughter rings through the fields, and shrug off official hand-wringing over a dearth of youngsters. I cant really feel the birth rate issue, said Sachie Genba, 42, who grew up in the neighboring city of Tsuyama and is raising her two children in Nagi. Many mothers here even have four children. Schoolchildren learn how to cross the street safely in Japan, where officials warn that a declining birth rate poses a demographic crisis. (Mitsunori Chigita / Associated Press) The Japanese government is eager to unlock the secret of Nagi's fecundity, which in 2019 resulted in an impressive local fertility rate of 2.95 the average number of children a woman there will bear in her lifetime. By contrast, the national fertility rate fell to 1.26 last year, well below the 2.1 figure that demographers estimate is needed to ensure a stable population. Fewer babies mean a steadily shrinking workforce that will be unable to support the countrys elderly as their numbers grow. Prime Minister Fumio Kishida has warned that Japan is already on the brink of being unable to maintain its social security system. Prime Minister Fumio Kishida has warned that Japan must urgently address its declining birth rate. (Kim Kyung-Hoon / Pool photo) "It is now or never when it comes to policies regarding births and child-rearing it is an issue that simply cannot wait any longer, he said in a policy speech in January. The following month, he made his own pilgrimage to meet with parents and officials in Nagi, which has a population of 5,700. Since then, Nagi has hosted or scheduled visits by more than 100 delegations of assembly members and government workers from other parts of Japan, according to Takamasa Matsushita, the town's director of information planning. Several South Korean officials also visited earlier this year amid growing alarm over their country's 0.78 birth rate the lowest in the world. In the U.S., the fertility rate hit a record low of 1.64 in 2020 before rebounding slightly over the last two years. China, the world's most populous nation before India overtook it earlier this year, recently recorded its first population decline in six decades. Nagi's defiance of those trends stems in part from having faced its own existential crossroads two decades ago, Mayor Masachika Oku said. In 2002, the town held a referendum on whether to consolidate with other neighboring cities, an initiative encouraged by the national government to streamline administrative operations. Read more: Amid a labor shortage, Japan has made it even harder for refugees to stay Slightly more than half of the town's residents voted against the proposal. But choosing to stand alone meant that Nagi had to dedicate more resources to nurturing its own population, then about 6,500, said Oku, 64, who was already serving as a local government official at the time. The government cut funding on traffic safety, administrative reform and some health and fitness activities in order to allocate more money to helping families. In 2004, Nagi began offering free medical services for children until junior high school. It also started paying parents 100,000 yen, then about $1,000, for every child born after their second. People went through a big mental change when they chose not to merge with other municipalities," Oku said, "because we had to survive as a town. Those family-friendly policies have since expanded. Medical care in Nagi is now free for youngsters through high school. The 100,000-yen incentive starts with the first child, not the third. And the town has added other policies to encourage families to have children, such as subsidizing child care, education costs and infertility treatments. At the Nagi Child Home in Nagi, Japan, parents can meet, play with their kids and find temporary child care for about $2 an hour. (Stephanie Yang / Los Angeles Times) Beyond the savings from trimming administrative expenses, Nagi officials said their budget is buffered by millions of dollars in loans from the national government, meant to assist areas suffering from depopulation. The local military base, which occupies one-fifth of the town grounds, brings in subsidies that help offset the cost of maintaining public works. The recent flood of visitors hasn't hurt either. On the tours which cost about $70 per group and an additional $7 per person Nagi officials attribute the town's high fertility rate to both financial assistance and community initiatives that offer support to parents. On a quiet recent morning, Genba, the mother of two, conversed with other moms at a child-care facility as they nursed and watched their toddlers play. Across the street, young children shouted and ran around their school playground, while the sound of cannons boomed from the nearby mountains. Genba moved to Nagi eight years ago with her 2-month old daughter and husband, who works at the local industrial park. She was exhausted from the birth, and had no one to turn to with the anxieties that plagued her as a new mother. A few months later, she received a flyer for an event at Nagi Child Home, where parents could meet, play with their children and find temporary child care for about $2 an hour. With a dozen other mothers, she learned how to massage her baby, and took the opportunity to ask a question that had been weighing on her: Was her daughter drinking enough milk? The others reassured her that her baby seemed healthy, and that there was no exact amount she needed to adhere to. "I thought, Oh, I dont have to be so strict, I can relax a bit,' " said Genba, who now works at Nagi Child Home part time. "That gave me peace of mind." In April, the national government created the Children and Families Agency, with an annual budget of about $34 billion, to incentivize having kids. Read more: Japan's plummeting university enrollment forecasts what could be ahead for the U.S. Shigehito Nakahara, the agency's policy coordinator, said the first thing the new bureau did was formulate a plan that includes mitigating the cost of child care, delivery, education and housing, while increasing lump-sum allowances for parents. The government has allocated an additional $24.5 billion to the new strategy over the next three years. "The way of thinking in Nagi, which is to create a comfortable environment for child-rearing households by spending this money, is transferable to bigger municipalities, he said. One challenge will be how to assess and adjust these policies, Nakahara said, since such initiatives take years to bear fruit decades, in Nagi's case. Adding urgency is the compounding nature of declining birth rates, as a smaller population leads to fewer potential parents. Some perks of small-town life will also be difficult to re-create in fast-paced cities, where younger generations are becoming more pessimistic about their economic prospects and spurning societal expectations to marry and have children. A boy walks under lines of colorful carp-shaped streamers marking Children's Day, a national holiday in Japan every May 5. (Eugene Hoshiko / Associated Press) Yuuka, a 22-year-old working in finance in Tokyo who declined to give her last name, said she and her friends have discussed eventually starting their own families. Even with government support, it seems like a daunting task. Life is getting harder for young people they are too busy taking care of themselves, she said. Bearing and raising a child is becoming almost like a luxury. Such changing attitudes remain one of the biggest hurdles for improving birth rates, said Miho Iwasawa, director of population dynamics research at the National Institute of Population and Social Security Research. These specific measures alone didnt lead to the number of children Nagi has now, Iwasawa said. The child-rearing support is beneficial. However, what really counts is the mindset of Nagi residents, which is that having a child is a good thing. Read more: Motherhood deferred: U.S. median age for giving birth hits 30 The opposite outlook is spreading in other parts of Japan, said Iwasawa, who fears that the negative discourse around population decline and its causes will persuade even children themselves that having kids is a bad idea. One Tokyo newspaper for grade schoolers declared last month, Emergency! Fewer children," warning that the national birth rate had halved in four decades. On a recent field trip to her workplace, elementary school students peppered Iwasawa with questions about the difficulties of raising a family. "We try to tell people how hard it is to raise children in Japan, because we need support," Iwasawa said. "But too much negative information is given to young people. We have gone too far." Such troubles barely register in Nagi, 400 miles west. Naomi Takamoto, 37, has spent most of her life in the town, formerly known best as the birthplace and inspiration of the creator of "Naruto," a popular Japanese anime series featuring a wooded village of covert ninjas. Her husband, who grew up in a nearby city, suggested they settle in Nagi after marriage because of its family-friendly reputation. She didn't think have to twice about starting a family, never having doubted that Nagi would be a good place for it. "Just like my husband, I have been told by people around me that Nagi is a good town for raising a child," Takamoto said, holding her 18-month-old daughter. "Otherwise, I wouldnt know about all of these things I should appreciate." Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. Cher Luisi opens up her condo after arriving to check on it on June 8 in south Fort Myers. The condo was flooded in Hurricane Ian, and she has fought for months with her insurance company to get her payout. Since January, Floridians have filed more than 7,000 complaints with state Chief Financial Officer Jimmy Patronis against their property insurance carriers. The common thread: They feel ignored or shorted by their carrier's response to Hurricane Ian claims. Upwards of 4,000 of those complaints have been for damage to residential property; 2,500-plus have been regarding a delayed claim payment, handling of claim, or an unresponsive agent or carrier. The top 10 homeowners insurance carriers in Florida by number of policies have accrued more than 3,000 complaints against them for Hurricane Ian-related claims, per records from Patronis office. According to the Insurance Information Institute, a nonprofit dedicated to educating the public about the insurance industry, the following carriers are the top insurers in Florida: Top Florida insurers Universal Insurance Holdings (643 complaints by residential property owners re: Hurricane Ian, filed Jan. 1 - July 19) Citizens Property Insurance (921) State Farm (189) Tower Hill Insurance (274) Progressive (6) USAA (25) First Protective Insurance (346) HCI Group (0) Florida Peninsula Holdings (128) Heritage Insurance (477) In depth: When will they pay? Floridians say state not holding insurance carriers accountable post-Ian Insurance companies with most complaints in Florida Heritage Property & Casualty has had 683 complaints levied against the company since October 1, 2022. Three hundred and thirty five were for delay of claim payment, underpayment of claim, no response to claims, and for cancellation due to Hurricane Ian. Below are the top-20 insurance companies for closed complaints in Florida, from Jan. 1 to July 19: Property Insurance Companies Complaints CITIZENS PROPERTY INSURANCE CORPORATION 1,543 UNIVERSAL PROPERTY & CASUALTY INSURANCE COMPANY 1,428 AMERICAN INTEGRITY INSURANCE COMPANY OF FLORIDA 843 UNITED PROPERTY & CASUALTY INSURANCE COMPANY 828 HERITAGE PROPERTY & CASUALTY INSURANCE COMPANY 726 FIRST PROTECTIVE INSURANCE COMPANY 470 AMERICAN TRADITIONS INSURANCE COMPANY 376 ASI PREFERRED INSURANCE CORP. 374 STATE FARM FLORIDA INSURANCE COMPANY 372 PEOPLE'S TRUST INSURANCE COMPANY 353 SECURITY FIRST INSURANCE COMPANY 283 TYPTAP INSURANCE COMPANY 226 KIN INTERINSURANCE NETWORK 220 EDISON INSURANCE COMPANY 219 SLIDE INSURANCE COMPANY 219 HOMEOWNERS CHOICE PROPERTY & CASUALTY INSURANCE COMPANY, INC. 202 FLORIDA PENINSULA INSURANCE COMPANY 189 MONARCH NATIONAL INSURANCE COMPANY 181 CASTLE KEY INDEMNITY COMPANY 168 TOWER HILL SIGNATURE INSURANCE COMPANY 156 Want to take action? Florida's insurance crisis: How do I file a complaint against my insurance carrier? This article originally appeared on Fort Myers News-Press: Insurance carriers drawing the most complaints from Florida homeowners After announcing the deal last year, Intel will no longer acquire Tower Semiconductor for $5.4 billion, the company announced in a press release. It was unable to "obtain in a timely manner the regulatory approvals required under the merger agreement" it wrote specifically in China, according to Bloomberg. Tower produces various types of chips for clients across multiple industries, and Intel made the acquisition to expand its foundry business and better compete with rivals like Taiwanese giant TSMC. Tower has seven fabrication facilities (located in Israel, Italy, the US and Japan) that build 6-inch, 8-inch and 12-inch chip wafers. While the company doesn't manufacture cutting edge mobile and other process, its clients don't necessarily need the latest technology. Instead, Tower focuses on reliably manufacturing large volumes of chips for automakers, equipment manufacturers, medical industries and others. Before announcing its Tower acquisition, Intel was reported to be in talks to purchase the much larger chip manufacturer and AMD spinoff GlobalFoundries for around $30 billion. Intel launched its foundry services as a separate business unit back in 2021, committing $20 billion to build two Arizona factories. It also revealed plans to build a massive semiconductor facility in Ohio designed to become "the largest silicon manufacturing location on the planet." Intel said its still executing its roadmap "to retain transistor performance and power performance leadership by 2025," with the aim of becoming the second-largest global external foundry by 2030. "Our respect for Tower has only grown through this process, and we will continue to look for opportunities to work together in the future." As part of its merger agreement, Intel will pay a termination fee of $353 million to Tower. A graphic illustration showing an Intuitive Machines' lander on the surface of the moon with Earth in the background. A new private moon-landing mission could launch as soon as November. Intuitive Machines says its moon lander could be ready for liftoff as soon as Nov. 15, pending last-minute preparation. This so far positions the company to be the first private venture to safely touch down on the moon. "Our Nova-C lander is completely built," Steve Altemus, co-founder and chief executive of Intuitive Machines, said in an earnings call Monday (Aug 15) attended by Ars Technica. "We will deliver a lunar lander ready to go in September." The launch date on a SpaceX Falcon 9 rocket, however, depends on the busy schedule at NASA's Kennedy Space Center, Altemus acknowledged. The existing window runs through Nov. 20, with a backup opportunity in December. Related: Intuitive Machines now targeting moon's south pole for delayed lunar landing mission The Houston-based company's IM-1 mission is funded by the NASA Commercial Lunar Payload Services (CLPS) program that aims to put science and hardware on the moon. CLPS is partly in support of the greater Artemis program that aims to land astronauts at the moon's south pole by the middle of the decade, at the earliest. NASA asked earlier this year to move the landing location for IM-1 to the moon's south pole, instead of a more equatorial region, to put it in line with the landing zone for Artemis 3 that is planned for no earlier than 2025. IM-1's launch was delayed by several months as a result of the decision. But in the intervening time, no other private mission has yet touched down on the moon. Related stories: What is Intuitive Machines and how is it aiming for the moon? Intuitive Machines now targeting moon's south pole for delayed lunar landing mission Artemis 1 Orion spacecraft sees the moon for 1st time in stunning video The private Japanese Hakuto-R mission by ispace apparently crashed during an attempted landing in April. Another moon-landing effort using Israel's Beresheet lander by SpaceIL also failed in 2019 during that country's debut lunar surface attempt. Another U.S. company funded by CLPS, Astrobotic, has its Peregrine landing mission on hold following delays with the new United Launch Alliance Vulcan Centaur rocket set to launch it. Centaur may now fly in late 2023 with Peregrine, at the earliest. Other landing missions are in the works with CLPS as well, but Peregrine and IM-1 appear closest to launch given recent announcements. DUBAI (Reuters) - Iran's released assets abroad will be used to enhance domestic production, President Ebrahim Raisi was quoted as saying on Wednesday by the semi-official ISNA news agency. Last week, Iran and Washington reached an agreement whereby five U.S. citizens detained in Iran would be released while $6 billion of Iranian assets in South Korea would be unfrozen and sent to an account in Qatar that Iran could access. U.S. officials have said Iranian funds will be put in a restricted account and used for humanitarian purposes such as buying food or medicine. As a first step in what may be a complex set of manoeuvres, Iran allowed four detained U.S. citizens to move into house arrest from Tehran's Evin prison, a lawyer for one said. A fifth was already under home confinement. The United States would release some Iranians from U.S. prisons as part of the deal, Iran's mission to the United Nations said. (Reporting by Dubai Newsroom; editing by Christina Fincher) Some of the highest-performing schools for third-grade proficiency remain the suburban schools surrounding Indianapolis, although several districts lacked growth this last year, the states third-grade reading assessment data released Wednesday indicates. Brownsburg Community School Corporation is among the top dozen school districts in the state with 96% of third graders displaying passing reading skills. The next-closest district across Central Indiana is Zionsville Community Schools with a 94.1% pass rate. Many Central Indiana suburban districts saw a drop from last years scores. Brownsburg and Carmel Clay Schools, were exceptions, jumping by about 3%. Westfield Washington Schools and Avon Community Schools remained about the same as last year. This years IREAD-3 results show that overall Indiana third graders improved 0.3 of a percentage point, going from 81.6% proficient in reading in 2022 to now having 81.9% proficiency, but have yet to reach 2019 scores, which had 87.3% third graders reading proficiently. Marion County results: Here's how Marion County school districts scored on key third-grade reading test All of the suburban districts that surround Indianapolis surpassed the state's average. Statewide, nearly one in five students, or more than 14,000 kids, are still unable to read by the end of the third grade, according to this year's results. Here are the results for the suburban districts from highest to lowest percentage of students passing: Brownsburg Community: 96% Zionsville Community: 94.1% Carmel Clay: 93.4% Hamilton Southeastern: 92.9% Center Grove Community: 90.4% Avon Community: 90% Westfield Washington: 89.7% Noblesville: 89.6% Hamilton Heights Schools: 87.7% Clark-Pleasant Community: 83.9% IREAD-3 is the states required standardized test that assesses foundational reading skills through grade three. The test began in the 2011-12 school year. This story will be updated. IndyStar reporter Caroline Beck contributed to this report. Rachel Fradette is a suburban education reporter at IndyStar. Contact her at rfradette@indystar.com or follow her on Twitter at @Rachel_Fradette. This article originally appeared on Indianapolis Star: IREAD 2023: How suburban Indy third graders scored on reading test Economic analyst Steven Rattner on Monday shared a pie chart showing that all but 1% of the $3 billion in investments in former President Donald Trump's son-in-law Jared Kushner's private equity firm Affinity Partners came from foreign sources after he "spent much of his White House tenure cozying up" to Saudi Arabia and Crown Prince Mohamed bin Salman. The Saudis invested $2 billion in Kushner's fund while the United Arab Emirates and Qatar each added another $200 million. About $625 million came from other non-U.S. sources while only $31 million came from sources inside the U.S. Rattner told MSNBC that he's "never seen this" in 40 years in the business. "I've never seen somebody get two-thirds of their money from a single investor. Usually a single investor might be a few percent of the fund, might be 5 percent, occasionally 10 percent," he said. While Kushner has hired some people for his fund, "I've seen nothing else about what he's actually done with the money," Rattner continued. "It is normal to invest this money over a period of several years, so I don't think we can draw a firm conclusion yet. But, again, we're going back to a guy who's a real estate guy, and frankly, not a particularly good one at that, who's suddenly got $3 billion trying to do private equity deals competing against people who've been in this business for a long time. And I wouldn't, if I were the Saudis, count on making a lot of money from this any time soon," he said, adding: "U.S. private equity firms still raise the vast bulk of their money from U.S. investors. This is extraordinary unprecedented I've never seen anything like it." Treasury Secretary Janet Yellen confirmed on Monday that she unwittingly ate a dish containing hallucinogenic mushrooms at a restaurant during a visit to China last month. So I went with this large group of people and the person who had arranged our dinner did the ordering. There was a delicious mushroom dish, she said on Monday. I was not aware that these mushrooms had hallucinogenic properties. I learned that later. I read that if the mushrooms are cooked properly, which Im sure they were, at this very good restaurant, that they have no impact, she added. But all of us enjoyed the mushrooms, the restaurant and none of us felt any ill effects from having eaten them. During the Treasury officials visit to China last month, she and her team stopped at a location of Yi Zuo Yi Wang, a restaurant chain specialising in dishes from the Yunnan province, where a food blogger on Weibo spotted the top official eating a variety of Chinese dishes. When I walked by their table on my way to the washroom, I slowed down to take a glance at the dishes they ordered, the blogger wrote. That included an order of potentially hallucinogenic jian shou qing mushrooms, a delicacy in Yunnan province. Our staff said she loved mushrooms very much, the restaurant wrote on social media. She ordered four portions of jian shou qing (a Yunnan wild mushroom species). It was an extremely magical day. The mushrooms are considered poisonous as they can be hallucinogenic, Dr Peter Mortimer, a professor at Kunming Institute of Botany who studies the regions mushrooms, told CNN. Janet Yellen However, scientists have not, as of yet, identified the compounds responsible for causing the hallucinations,he added. It remains a bit of a mystery, and most evidence is anecdotal. I have a friend who mistakenly ate them and hallucinated for three days. Chinese state media quoted diners praising Ms Yellen for her interest in Chinese cuisine and warning about the impacts of the mushrooms. You thought you were walking straight but you just fell sideways, one person who had eaten a similar dish told the Xinhua state news agency. The restaurant where the secretary ate was quickly flooded with reservations. "We received a larger number of inquiries about bookings. We are fully booked for Friday and Saturday," a staff member told the state-owned Global Times. Hardeeville resident Joseph Tykwan Baker, 36, was sentenced to 15 years in prison for fatally stabbing his 70-year-old mother in early 2021. Family members and the mans defense attorney claimed the killing was a knee-jerk response to a long history of parental abuse, leading to a conviction for manslaughter rather than murder. Baker pleaded guilty of voluntary manslaughter Friday, with visiting Circuit Court Judge Jennifer B. McCoy handing down the sentence. Assistiant Solicitor Trasi Campbell prosecuted the case. The fatal stabbing took place at the Baker family home off Hardeevilles South Okatie Highway the night of Feb. 18, 2021, when 70-year-old Yemassee native Mary Baker and her spouse, Bakers stepfather, were in the midst of an argument, said Justin D. Maines, Bakers defense attorney. Suddenly bringing her son into the dispute, Bakers mother began denigrating the man, prompting him to stab the woman multiple times. Police found her dead inside the home later that night, arresting Baker on a murder charge just over a week later. Maines says the verbal assault that prompted the stabbing wasnt an isolated incident: Bakers mother put her son in a psychological prison of emotional and psychological abuse his entire life, he said. Baker snapping the night of the killing was the final straw in a lifetime of abuse, he added, comparing his clients emotional state to that of a domestic violence victim. These claims of emotional abuse were corroborated by relatives and even Bakers stepfather, who collectively chose Maines as the mans defense attorney. Family members all wanted to help (Joseph Baker), Maines said, adding that his relatives asked the judge for a 10-year sentence. Although Judge McCoy added five years, his family still believe the sentence is appropriate, according to Maines. After family members were interviewed as witnesses, investigators from the 14th Circuit Solicitors Office decided a voluntary manslaughter charge might be more applicable to the case, said spokesperson Jeff Kidd. Bakers voluntary manslaughter conviction means the killing was judged as a heat of passion moment during the argument, rather than a malicious and premeditated murder. Baker received 890 days of credit for time previously served in jail, meaning he will spend just under 13 years at the SC Department of Corrections. He had not yet been booked at the prison as Wednesday afternoon. Judge assigned to Trump's case in Georgia will face a trial like no other One of Fulton County's newest judges will oversee the case against former President Donald Trump and 18 co-defendants, according to the case's docket. Superior Court Judge Scott McAfee, who was appointed to the bench in February, was assigned to preside over the blockbuster case announced late Monday after a Fulton County grand jury returned a 41-count indictment. Trump and the other defendants are charged under the state's Racketeer Influenced and Corrupt Organizations Act, better known as RICO. Judge Scott McAfee / Credit: Fulton County, Georgia RICO cases, which involve multiple defendants and a web of allegations of organized or related criminal activity, are notoriously complex and laborious a challenge for the judge as well as the attorneys involved. In another RICO case Willis' office is currently pursuing against rapper Young Thug and several others, jury selection alone has gone on for months. Experts have speculated it could become the longest trial in Georgia history. But during a Monday night press conference, Willis indicated her office would pursue a far faster schedule in the Trump case, seeking trial "within six months." CBS News legal contributor Jessica Levinson says this case could make McAfee one of the most recognizable faces in the country, given that Georgia allows cameras in the courtroom. Presiding over a case in which a former president is fighting multiple felony charges, the judge will face some unique challenges. "No judge in the world has had this case before. You could have a judge with 50 years of experience and it would still be a new and unique case," Levinson said. Levinson believes McAfee's past history of working in the Fulton County District Attorney's Office could help. "He knows how the county prosecutor's office functions. That will be helpful for him. He knows how criminal trials progress from the attorney's side and that will be helpful for him." Though he's still in his first year as a judge, this isn't McAfee's first time handling a case with a politically sensitive defendant. According to court documents, McAfee fined pro-Trump attorney Lin Wood $5,000 for violating an injunction in June. McAfee ruled Wood explicitly violated a court order prohibiting Wood from making public, derogatory statements about the plaintiffs in a civil case that is unrelated to Wood's work with the former president. Before his judicial appointment, McAfee was Georgia's inspector general, tasked with investigating waste, fraud, abuse and corruption in the executive branch. Georgia's Republican Gov. Brian Kemp, who chose him for the job in March 2021, called McAfee a "strong addition to my administration" because of his prior experience as a "tough prosecutor." Before his time in the inspector general's office, McAfee worked as an assistant U.S. attorney for the Northern District of Georgia. He also worked as senior assistant district attorney in Fulton County and was an assistant district attorney in Barrow County. In the Fulton County D.A.'s office, McAfee handled hundreds of felony cases, ranging from armed robbery to murder. His LinkedIn profile says he worked on several probes in its major cases division. In a statement made after his appointment to the bench in December 2022, McAfee vowed to "do my homework." "Lawyers can expect that I'll have read their pleadings and be ready to dive into the issues," he said. McAfee, a longtime resident of the state, graduated with a degree in music from Emory University and received his law degree from the University of Georgia. McAfee's biography on the IG's website also notes that he previously worked for two Georgia Supreme Court justices. His campaign website says McAfee is up for reelection in 2024. Buffalo mass shooting witnesses sue social media, gun companies Trump, DeSantis compete for GOP voters at Iowa State Fair TSA to implement new rules after breaches involving CLEAR The relationship between D.L. and her boyfriend started to fall apart in 2020, while they were living together in Chicago. Not long after that, as D.L.s lawyers tell it, the spying began. Fueled by what attorneys describe as delusional and paranoid jealousy, her ex, Marques Jamal Jackson, logged into a security system in D.L.s mothers house in Texas, where D.L. had moved temporarily. He began recording her every move, without her knowledge or consent. Over the next few years, Jackson ramped up his efforts against D.L. who is identified in court documents by her initials, or as Jane Doe to publicly shame her and upend her life. He took advantage of access to her phone, email, social media and bank accounts, and even hacked into her companys security system. He gathered explicit images of D.L., along with her personal information, and shared them with the world, via a publicly accessible Dropbox folder, a page on a prominent pornography site and multiple social media platforms. He sent the links to her family, friends and co-workers. On March 5, 2022, D.L.s lawyers say, Jackson sent her a message: You will spend the rest of your life trying and failing to wipe yourself off the internet. Everyone you ever meet will hear the story and go looking ... Happy Hunting. A message allegedly written by Marques Jamal Jackson, via Gilde Law Firm. A message allegedly written by Marques Jamal Jackson, via Gilde Law Firm. These are just a few of the allegations laid out in a sweeping harassment lawsuit that D.L. filed against Jackson in April 2022. Last week, a jury in Harris County, Texas, awarded her $1.2 billion much more than what was originally sought in a verdict that serves as a message to anyone who would pursue a similar campaign of online abuse, according to a release from Gilde Law Firm, which represented D.L. in the suit. Bradford Gilde, D.L.s lead attorney, told The New York Times he doesnt expect Jackson to pay out the full $1.2 billion though he told HuffPost there are legal remedies that Jane Doe can pursue in execution on the judgment, and that Jackson will spend the rest of his life trying and failing to wipe this financial debt clear. This trial was not about the money or the number, it was about the message, Gilde told HuffPost. We applaud the strength of Jane Doe to file this lawsuit and to set an example by warning others that, if you engage in image-based sexual abuse, you will spend the rest of your life with an excess judgment over your head. Be Careful What You Ask For According to court documents, Jackson and D.L. started dating in 2016, a relationship that began, as D.L. described it, as one of mutual love and trust. As the years went on, D.L. entrusted Jackson with access to her and her mothers personal information and accounts, including email, social media logins and electronic devices at her mothers Texas home, including the security system. Gilde told HuffPost that the relationship went downhill after Jackson lost his job in 2019, and the two separated in 2020. Thats when Jackson allegedly began tapping into D.L.s mothers home security system to watch her. According to court documents, Jackson grew delusional and paranoid after their breakup became official in October 2021, and he believed D.L. was having an intimate relationship with a mutual friend. It was around this time Mr. Jacksons behavior went from childish to unlawfully tortious, the lawsuit reads. Gilde told HuffPost that D.L. began taking measures to document Jacksons alleged attacks. As his activity increased, Jane Doe began keeping an excel spreadsheet itemizing the types of attacks, the publication method and addressees of those attacks, and the specific evidence of the attack, Gilde said. That spreadsheet ... spans 5 pages of activity over the course of 3+ years. D.L. told HuffPost that she was in a state of shock and disbelief when a person whom she trusted and loved for four years began to turn on her. I couldnt wrap my mind around what was happening. It was such a deeply hurtful betrayal by someone I loved and trusted. And when it didnt stop, I was met with an overwhelming feeling that I had no control, D.L. said. I felt violated and disgusting and a great shame. Those feelings only got worse as it continued. From October 2021 to March 2022, Jackson allegedly harassed D.L. by abusing her login information, including by changing her Zoom information and emailing her loan officer with a claim that shed taken a fraudulent loan. According to the lawsuit, Jackson continued to torment D.L. by posting explicit material of her online, making it available on a well-known pornography website and various social media accounts, where he tagged her workplace and her gym. Jacksons alleged actions have been described in some coverage of the suit as revenge porn. But Jacob Schiffer, who also represented D.L., told HuffPost that the term cheapens the case. He referred to it as image-based sexual abuse. With revenge porn, you think of people who are agreeing to pornography, Schiffer said. The basis of this case was that our client Jane Doe was unaware that videos are being recorded of her. Jackson made D.L.s personal information available for anyone to access, including her name and home address, according to the lawsuit. He allegedly took things further by creating a website and uploading the explicit images to a Dropbox folder that was publicly accessible. Jackson also had access to D.L.s personal bank account, and used her money to pay his rent and make purchases without her consent, according to the suit. He allegedly repeatedly called and texted D.L. using fake phone numbers, and used fake social media profiles to harass her friends, family and co-workers with her intimate images and private information. Bradley Ertl, another lawyer in the case, toldHuffPost that Jackson dedicated his life to his plot, and that he would be up at night sending explicit images of his ex-girlfriend to people. According to Gilde, D.L. tried everything in her power to stop Jackson, including pressing criminal charges, getting a restraining order and contacting the online platforms to take the material down, but she never got a helpful response from authorities. There was a vivid moment that I felt there was no escape. I felt I was completely hopeless, D.L. said. I felt no one would hear my plea for help. Jackson never responded officially to the lawsuit, and didnt appear in court to defend himself, which Gilde suggested was an attempt to keep the verdict lower by automatic default judgment. Attorneys told HuffPost that Jackson will now experience the same kind of long-lasting digital infamy hed allegedly promised D.L. shed have to endure. She absolutely won, and so every time this man tries to apply for a job, or tries to apply for credit, or maybe even gets a new girlfriend, all they need to do is Google our Marques Jamal Jackson, and what comes up is a $1.2 billion judgment, Ertl said. So be careful what you ask for. Reflecting on the case, D.L. urged any victims of malicious online campaigns to take back their power and document each incident. You are more than the shame you may feel. And you deserve to be your biggest advocate and know that there are people who recognize this behavior as the terrible crime it is, D.L. said. As we broaden knowledge on this type of abuse, we can collectively influence laws to better protect us in this digital age. Need help? In the U.S., call 1-866-331-9474 or text loveis to 22522 for the National Dating Abuse Helpline. Related... Before becoming police chief of Marion, Kansas, and leading a raid on the small towns newspaper, Gideon Cody left the Kansas City Police Department under a cloud, facing possible discipline and demotion, police sources have told The Star. Cody, who was a captain in the police departments property crimes unit, was under internal review for allegedly making insulting and sexist comments to a female officer. The day after making the comments, Cody reportedly phoned the female officer and acknowledged his behavior was unprofessional. But Cody, 54, didnt know that the officer had secretly recorded their last conversation and later filed a hostile work environment complaint against him with police department officials. The events, reported to have occurred in the months before Cody left Kansas City in April to take the job in Marion, were described to The Star by several current and former police officials who had knowledge of the incident. The police officials asked not to be named in this story because they were not authorized to discuss personnel matters. In Marion, Cody led the raid Friday at the office of the Marion County Record and oversaw the execution of search warrants at the homes of its publisher and a city councilwoman. His officers were apparently searching for evidence about how the paper obtained information about a local restaurant owners DUI record. The first edition of the Marion County Record since its newsroom in central Kansas was raided by police. Codys decision to execute a search warrant at a newsroom, seizing journalists materials, has been widely condemned and thrust the town of fewer than 2,000 people, about 160 miles southwest of Kansas City, into the national spotlight. The papers lawyer and legal experts said the search violated federal law. Indeed, Marion Countys prosecutor, Joel Ensey, withdrew the search warrants Wednesday and returned the newsrooms computers, cellphones and other reporting material. There was insufficient evidence for the newsroom search, he said. Cody has defended his actions and said more information would come out later. But the raid set off a firestorm, prompting strong criticism from First Amendment advocates and journalism outlets from across the country. Gideon Cody at the Kansas City Police Department While still under investigation at the KCPD, Cody was reassigned to work the late, overnight shift in the Metro Patrol Division. Among police, the shift is called the dogwatch because it is a less desirable assignment often given to rookie officers. At the end of the internal investigation into the hostile work complaint, Cody was told that he would be demoted to sergeant. Instead of accepting the lower-ranked role, Cody left the force he worked at for just over 24 years, sources told The Star. Codys last day with the Kansas City Police Department was April 22. When asked for comment this week, Sgt. Jacob Becchina, a police department spokesman, told The Star: Our attorneys in our Office of General Counsel have told us we are prohibited from commenting on details of internal investigations. The Marion County Records computers were handed over to a forensic expert hired by the papers attorney. This week, The Star requested copies of complaints filed against Cody with the police departments Office of Community Complaints. The office declined to provide the documents, citing a Missouri law that prohibits the release of certain law enforcement records. Joseph Martineau, a St. Louis-based lawyer representing The Star in this case, wrote to the complaint office Wednesday to protest their decision and call for immediate compliance with the Missouri Sunshine Law, which ensures access to public records. The prohibition cited by the complaint office, Martineau wrote, is expressly limited to only those records compiled as a result of an investigation. But, Martineau wrote, The Star has not requested records compiled as a result of an investigation but instead only records that constitute complaints that involve or include Capt. Cody. Cody did not respond to requests for comment Wednesday. A reporter who went to the Marion Police Department Wednesday was told Cody was not in the office. Reached by The Star, the female KCPD officer declined to comment, saying she was concerned about her and her familys well-being. The Star generally does not name alleged victims of sexual harassment without their consent. In May, Cody was sworn in as Marions new police chief, a job that pays $60,000 a year, according to the Peabody Gazette-Bulletin, which is significantly less than the $115,848 annual salary he earned as a Kansas City police captain. Eric Meyer, the Records publisher and editor, said that before the raid, his newspaper had been investigating Codys background and his time in Kansas City. Some of that information was on the servers seized by Codys officers and county sheriffs deputies. Meyer told The Star the newspaper didnt publish a story about the allegations because reporters could not confirm them. As the story has spread across the country, readers have wondered what tips the local paper got about Cody. Sources laid out the allegations to The Star this week. An Alpha male During his time with the Kansas City Police Department, former co-workers described Cody as a go-getter, but someone who is probably not the best commander material. Another previous co-worker said Cody was a hot dog, an officer who didnt always follow the rules and the kind of person who wanted to push the edge a little bit. Hes the type to like to challenge things he didnt like, you know, just standard policy, said a former police commander who asked not to be identified. His personality is where he just didnt go along to get along. Cody joined the KCPD as a patrol officer in June 1999. In 2004, he was awarded commendations for saving the life of a suicidal man and for arresting several drug traffickers the year before. He was promoted to sergeant in 2007 and captain in 2014. Marion, Kansas, Police Chief Gideon Cody Cody was eventually transferred to the East Patrol Division, which includes neighborhoods in the citys historic Northeast. Bryan Stalder, a former leader of the Indian Mound Neighborhood Association, said his initial meeting with Cody was less than amicable but that his opinion of the officer improved later on. This guy kind of rubbed me the wrong way. I could have gotten off on the wrong foot with him, but there was just something weird about him, Stalder said. Stalder said he was accustomed to working with police commanders and he tried to explain to Cody how community policing had previously worked in the neighborhood. He really didnt seem like he even cared and really wanted to talk about himself, Stalder said. I could be completely wrong about this guy. But he just seemed like he was a real alpha male. As the months passed, Stalder said his impression of Cody changed. Cody alerted Stalder and other neighborhood leaders about an abandoned house in the 300 block of North White Avenue that attracted homeless people. The house had been set on fire. Stalder said Cody suggested neighborhood leaders utilize the Missouri Abandoned Housing Act to have property renovated and get rid of the crime it had attracted. That really did reflect to me that maybe he was paying attention to my role as a neighborhood leader and what we could do to address certain things, Stalder said. And I did appreciate that. In July 2019, Cody was transferred to the Traffic Investigation Unit in south Kansas City, according to the Northeast News. Police chief in Marion When Cody took the job as Marions top cop, he told the local newspaper, I like small towns. And I still think I have something to offer the community. But not everyone was convinced city leaders made the right decision. Vice Mayor Ruth Herbel said she was skeptical of Cody when he was being vetted as a candidate, though she was hesitant to say more. As the newspaper was being raided, officers also seized the councilwomans cellphone and laptop. When the Record reported that Cody had been offered the job in April, the newspaper was inundated with phone calls about him. More than half a dozen or more people who had previously worked with the chief, suggesting that the city should not hire him, said the Records owner and publisher, Eric Meyer. Alleging a variety of things. Eric Meyer, the editor and publisher of the Marion County Record, stands outside the newspapers office on Monday. The office and Meyers home were raided by police on Friday. Speaking from his office Monday, Meyer said he did not know if the tips were true but claimed Cody once threatened to sue the paper for libel. The paper ultimately did not publish a story about the tips Meyer said his staff got about Cody. We could never get anybody to go on the record, Meyer said, noting that law enforcement members who had worked with Cody did not want to be labeled rats by speaking to the paper. Meyer said there was ill will between the chief and the paper, which crossed his mind as Codys officers seized his journalists materials, taking with them information about Cody. He told The Star on Sunday that he feared the material police seized could have allowed Cody to know who contacted the newspaper. Bernie Rhodes, an attorney who is representing the Record in this case and has represented The Star in other cases, said the Record does not believe Marion police accessed the seized devices, but he brought in a forensic expert to be sure. The Stars Katie Moore contributed. Floyd Bledsoe will receive an additional $11.25 million from Kansas after spending 16 years in prison for a murder he didnt commit. Kansas Gov. Laura Kelly and top lawmakers approved a settlement agreement with Bledsoe on Wednesday in a federal lawsuit he brought against Kansas Bureau of Investigation employees and local law enforcement officials. The amount comes on top of roughly $1 million state officials originally agreed to pay him in 2019 as compensation for his wrongful imprisonment. The State Finance Council approved the settlement without public discussion during a virtual meeting following a closed executive session. In July, Kansas Attorney General Kris Kobachs office told the federal judge overseeing the lawsuit that Bledsoe and the KBI defendants had reached a tentative settlement agreement, according to court records. With this settlement, Floyd appreciates that the state of Kansas understands the enormity of the harm that he has suffered, said Russell Ainsworth, a Chicago-based attorney for Bledsoe with the firm Loevy & Loevy. The settlement will allow Floyd to heal and move forward with his life and find the peace hes sought for almost 25 years. Only Rep. Troy Waymaster, a Bunker Hill Republican who chairs the House Appropriations Committee, voted against approving the settlement. He declined to comment on his vote. A resolution approved by the State Finance Council lists the settlement amount. The governors office provided a copy of the resolution to The Star. The approval of the settlement comes after commissioners in Jefferson County, where Bledsoe was convicted, this spring voted to pay him $7.5 million. Ainsworth said the total value of the settlements in the federal lawsuit is $18.75 million, which he noted is one of the highest per-year settlements in the country. Arrested at age 23, Bledsoe was convicted in 2000 of sexually abusing and murdering a 14-year-old girl named Camille Arfmann in Oskaloosa. That was despite the fact that Bledsoes brother, Tom Bledsoe, confessed shortly after the 1999 killing, turned himself in to the Jefferson County Sheriffs Office, led officers to Arfmanns buried body and handed over the murder weapon. Instead of pursuing Tom Bledsoe, investigators framed Floyd Bledsoe for the murder, according to the lawsuit he filed in 2016. They orchestrated a recantation by Bledsoes brother and hid evidence of Floyd Bledsoes innocence, his lawyers argued. In 2015, DNA testing revealed Tom Bledsoe was in fact the likely source of sperm found in the victim. Tom Bledsoe then died by suicide, admitting yet again in his final written words that he killed Arfmann. Police chase settlement The State Finance Council also approved a $500,000 settlement in a federal lawsuit filed by the daughter of a woman who died in a March 6, 2021, chase conducted by the Kansas Highway Patrol. According to the lawsuit, Trooper Justin Dobler was patrolling when he allegedly saw a car with a cracked windshield. It looked similar to a white Ford Crown Victoria that was on a list of stolen vehicles. He attempted to pull the vehicle over, but the driver did not stop and a chase began. About 45 seconds into the pursuit, the lawsuit said Dobler identified the car as a Mercury Grand Marquis. Dobler provided dispatch information including the license plate number and was told a couple minutes later that the vehicle was not stolen. The vehicle allegedly was speeding up to 55 mph in a 35 mph zone. He twice attempted a tactical vehicle intervention to disable the car. The third attempt was successful and the car spun out and struck a utility pole. Anita Benz, 45, died in the hospital after the chase. Her daughter, Kelly Ratzloff, filed the lawsuit in March 2023. The Stars Luke Nozicka and Katie Moore contributed reporting A county prosecutor has withdrawn a warrant for a widely condemned police raid on a small-town weekly newspapers office in Kansas over insufficient evidence, as the state agency investigating the incident has ordered the return of seized evidence. Last week, Marion County Police officers and sheriffs deputies seized phones and equipment and effectively forced the 154-year-old Marion County Record to shut down, drawing criticism and warnings from press freedom groups, civil rights advocates and attorneys. On 16 August, Marion County Attorney Joel Ensey said there was insufficient evidence to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. The Kansas Bureau of Investigation also announced that an investigation will proceed independently without review or examination of any of the evidence seized from the raid on 11 Friday, according to a statement shared with The Independent. A two-page warrant for the raid, signed by Marion County District Court Magistrate Judge Laura Viar, stated that officers were allowed to seize phones, software, items that contained passwords, and all correspondence and documents pertaining to a local restaurant owner Kari Newell under the papers investigation, though no stories about her were published. The warrant appeared to allege that a reporter illegally obtained sealed state records about Ms Newells prior arrest and citation for driving under the influence in 2008. It refers to identity theft and unlawful acts concerning computers as probable cause for the search. The paper also was actively investigating allegations of misconduct surrounding Gideon Cody, Marions chief of police. Officers also reportedly photographed personal financial statements and seized personal items, including a smart speaker used by the papers 98-year-old co-owner Joan Meyer who used the device to ask for assistance. Ms Meyer the mother of publisher Eric Meyer, and stressed beyond her limits and overwhelmed by hours of shock and grief, according to the newspaper collapsed and died one day after the raid. The newspaper obtained a copy of the probable cause affidavit after the raid, finding that it was filed three days after those searches were conducted, which is a little suspicious, Mr Meyer told CNN. Eric Meyer, publisher of the Marion County Record in Marion, Kansas (AP) A statement from the Marion Police Department last week acknowledged that the federal Privacy Protection Act protects journalists from most searches of newsrooms unless they themselves are suspects in the offense that is the subject of the search. On 13 August, a group of more than 30 news organisations and publishers including The New York Times and the Associated Press joined a statement from the Reporters Committee for Freedom of the Press urging the Marion Police Department to return seized material, purge records that were accessed, and initiate a full independent and transparent review of the incident. Newsroom searches and seizures are among the most intrusive actions law enforcement can take with respect to the free press, and the most potentially suppressive of free speech by the press and the public, the statement said. In the raids immediate aftermath, Freedom of the Press Foundation advocacy director Seth Stern said the raid likely violated federal law, the First Amendment, and basic human decency. Everyone involved should be ashamed of themselves, he added. Kansas City attorney Bernie Rhodes told The Star that the announcement from state prosecutors has stopped the hemorrhaging, but it does nothing about taking care of the damage that has already occurred from the violation of the First Amendment in the first place. Launching two crypto funds totaling $1.5 billion is a thrilling accomplishment, especially for a woman in an industry thats still male-dominated. It's decidedly less thrilling when, just a few months later, the bottom of the funds target market doesn't just drop, but also nearly disintegrates. How do you move forward? Were excited to learn the answer to that and many more questions when Katie Haun, founder and CEO of Haun Ventures, joins us at TechCrunch Disrupt 2023, which runs September 1921 in San Francisco. Crypto VC firms raised more than $22 billion in 2022, compared with just $2 billion raised at this point in 2023. And yet to paraphrase Monty Python the crypto market is not dead yet. Last June, Haun Ventures led a $10 million seed round for Argus, a web3 gaming studio. The VC firm has led other investment rounds for Sovereign Labs, thirdweb, Euler, TaxBit, Highlight and Zora. How has the crypto market crisis impacted Hauns strategy both in the types of investments she makes and in the timeframe for deploying all that dry powder? Given the industrys volatility, and Hauns background as a federal prosecutor on a cryptocurrency task force, were also interested to hear her take on regulating the crypto industry. Dont miss whats sure to be a fascinating discussion about the current and future state of crypto and web3 investment. Katie Haun: Haun Ventures founder and CEO Katie Haun and her team raised a $500 million early-stage fund and a $1 billion acceleration fund to invest in the future of web3. Previously, Haun joined Andreessen Horowitz (a16z) in 2018 as its 11th general partner and its first female general partner where she co-led the firms three crypto funds. Prior to a16z, Haun spent a decade as a federal prosecutor focusing on fraud and cyber and corporate crime alongside agencies such as the SEC, FBI and Treasury Department. She created the governments first cryptocurrency task force and led investigations into the Mt. Gox hack and the corrupt agents on the Silk Road task force. While with the U.S. Department of Justice (DOJ), Haun prosecuted RICO murders, organized crime, public corruption, gangs and money laundering. She also held senior policy positions at DOJ headquarters in both the National Security Division and the Attorney Generals office, where her portfolio included antitrust, tax and national security. Since joining the private sector, Haun has testified before both the House and Senate on the intersection of technology and regulation. She has taught a course on crypto at Stanford Business School and on cybercrime at Stanford Law School. Haun clerked for U.S. Supreme Court Justice Anthony Kennedy and is an honors graduate of Stanford Law School. She is a lifetime member of the Council on Foreign Relations, and she currently serves on the board of Coinbase. TechCrunch Disrupt 2023 takes place on September 1921 in San Francisco. Tickets will sell out. Buy your pass now and save $400 before prices go up at the door. Is your company interested in sponsoring or exhibiting at TechCrunch Disrupt 2023? Contact our sponsorship sales team by filling out this form. Editors note: This story contains descriptions of physical assault and domestic violence. Former police chief Greg Hallgrimsons career was marked by dramatic ups and downs during and after his time in the small town of Greenwood, Missouri, about half an hours drive southeast of downtown Kansas City. In 2018, he made headlines for helping rescue a baby from a freezing pond. But shortly after being hailed as a hero, he came under investigation and was later convicted of physically assaulting the babys father, a suspect in the case. Then, on Tuesday, he was convicted of beating his ex-wife, who reported in June 2020 that she lost conscousness aftr he punched her in the face. Want to know the whole story? Heres a look back at The Stars coverage over the years. Helped save an infant from drowning In late 2018, Hallgrimson was involved in the dramatic rescue of an infant whose father, 28-year-old Jonathon Stephen Zicarelli, had attempted to drown her in a pond. After Zicarelli turned himself in, Hallgrimson and another officer raced to the pond and found the baby floating in the water. They brought her back to land and performed CPR until paramedics arrived. The infant survived, in what one officer called a miracle. The department received word later that day that she was in stable condition and improving. Read more: A miracle: Infant survives floating in Missouri pond after dad says he drowned her Greenwood Police Chief Greg Hallgrimson Assault of babys father at police station Hallgrimson was hailed as a hero after the dramatic rescue. But just over a month later, he was placed on administrative leave during a federal investigation into his excessive use of force against the suspect. Body camera footage showed Hallgrimson assaulting Zicarelli in an interrogation room after the pond rescue by punching him repeatedly, throwing him to the floor, sitting on him and screaming at him. Zicarelli was handcuffed at the time. Hallgrimson was placed on administrative leave and resigned from the force in 2019. Later that year he was indicted by a grand jury for violating Zicarellis civil rights and sentenced to five years probation. Prosecutors charged Zicarelli with first-degree domestic assault the crime Hallgrimson was just convicted of. Zicarelli was eventually sentenced to 15 years in prison. Read more: Police chief investigated for use of force with man who allegedly tried to drown child Call for mental health resources In a 2021 interview with The Star, Hallgrimson attributed his behavior in part to the trauma of seeing the 6-month-old floating in the freezing water. He also called for more mental health resources for law enforcement officers but added that he didnt have consistent access to this support in Tulsa, where he moved after the case concluded. He told The Star that he was forced to lean on his wife for this support instead. Read more: Ex-Missouri police chief calls for trauma resources after assaulting man who hurt baby Domestic assault Hallgrimson and his wife divorced in 2017, but were still living together in 2020, when he assaulted her by punching her in the face, knocking her temporarily unconscious. His ex-wife didnt report the crime until late 2021, at which point the Kansas City Police Department began investigating the case. A jury found Hallgrimson guilty Tuesday of first degree domestic assault, a Class A felony that carries a maximum penalty of life in prison. A Clay County judge is scheduled to sentence him Oct. 20. This case demonstrates that delayed disclosure is not a bar to justice, Clay County Prosecuting Attorney Zachary Thompson said Tuesday. If someone is a victim of domestic abuse in Clay County, our office will do everything in its power to help them obtain justice. Read more: Ex-suburban KC police chief who brutally assaulted wife convicted of felony: Prosecutor If you or someone you know is experiencing domestic abuse, help is available. Local domestic violence resource centers include Hope House Kansas City, the Rose Brooks Center, Newhouse KC and Friends of Yates. The Stars Bill Lukitsch contributed. Do you have more questions about police violence in Kansas or Missouri? Ask the Service Journalism team at kcq@kcstar.com. Former White House counsel Kellyanne Conway said Tuesday that former President Trump is a victim of an unfair justice system and cant get a fair trial amid his multiple criminal indictments. Theres a two-tiered system of justice; it seems like theres one for Donald Trump and one for everyone else, Conway said in a Fox News interview. How in the world do we have the most liberal county in Georgia, D.C., New York City, all these places that voted against him, I dont think he could get a fair trial, to be frank with you. Trump faces four criminal indictments: two on federal cases in Washington and Miami and two state cases based in New York and Atlanta. I dont think people facing the cameras with glee, promising to get Donald Trump as part of their election pitch as law enforcement officers, as district attorneys and whatnot I think he has a lot of runway that will allow him to make that argument, she continued. Trumps most recent indictment in Georgia this week alleges that he broke the law with his attempts to overturn the states 2020 election results, similar allegations to the ones in his Washington federal case. In Georgia, he was also charged with racketeering, a count usually laid against members of organized crime. Multiple close Trump allies, including attorneys Rudy Giuliani and John Eastman, were among the 19 people charged in the Georgia case. Former Trump chief of staff Mark Meadows was also charged. In the Miami federal case, Trump is accused of mishandling classified documents and attempting to obstruct, and the New York state indictment alleges he falsified business records in making hush money payments during the 2016 presidential campaign. Trump has now been charged with 91 criminal counts in the four cases. He is the first and only former president to be charged criminally. For the latest news, weather, sports, and streaming video, head to The Hill. STAUNTON Two suspects charged with murder in the killing of a Staunton girl both had court hearings Tuesday as the first of two murder trials is set to begin in less than two weeks. In Augusta County Circuit Court, Travis Brown, 31, was arraigned in the morning on charges of aggravated murder, murder, child abuse, concealing a dead body, conspiracy to commit aggravated murder, conspiracy to commit child abuse and conspiracy to conceal a dead body. Brown, who appeared in person, pleaded not guilty to all of the charges. Jury selection for Brown's case begins Friday, Aug. 25, and the week-long murder trial will start the following Monday. Travis Brown. The second suspect in the child's death, Candi Jo Royer, 43, appeared via video monitoring in Augusta County Circuit Court later in the afternoon for a hearing on a motion for a change of venue. Royer is expected to go on trial in mid-October. She faces the same felony charges as Brown, including aggravated murder. Brown and Royer are accused of abusing and killing 3-year-old Khaleesi Cuthriell. The girl was the daughter of a Staunton woman who authorities said handed her child over to Royer in October 2020, just days before she was taken to Middle River Regional Jail. About 11 months later in September 2021, the woman, who was still being held, told a jail official Khaleesi was missing. That same month, Augusta County Sheriff Donald Smith announced the girl was dead. "Investigators from the sheriffs office and the Virginia State Police have interviewed both suspects over the past week," a previous statement said. "The investigation has revealed Khaleesi Cuthriell died while in the care of Travis Brown and Candi Royer." Her body was never recovered. A court filing suggests Khaleesi was killed in 2021 between Jan. 27 and Feb. 3, about three months after being given to Royer. In court Tuesday, defense attorney Dana Cormier argued for a motion he filed in an effort to prohibit jurors from hearing what he labeled "hearsay evidence" from a series of electronic messages sent by Royer to Brown. One of the communications from Royer implied Brown could be charged with murder, and he responded by threatening to kill himself, evidence showed. "Many of the electronic communications at issue between Mr. Brown and Ms. Royer contain Ms. Royer's cryptic accusations and threats against Mr. Brown," Cormier wrote in the motion. The messages could not be found in Brown's case file, where numerous pages of documents have been sealed prior to the trial. The communications between Royer and Brown were sent months after Khaleesi died. "She knew what they both did, and she knew what his part was," Augusta County Deputy Commonwealth's Attorney Alexandra Meador said. Circuit Judge Shannon Sherrill ruled against the motion and will allow the messages to be used as evidence. Candi J. Royer On Tuesday afternoon, in a motion filed by Royer's attorney, Jessica Sherman-Stoltz, she sought to have her client's trial moved to another jurisdiction. In her motion, Sherman-Stoltz said Royer can't receive a fair trial in Augusta County because "community sentiment is so emotionally charged and prejudiced" against her, and she argued local media coverage has reached thousands of people. Sherman-Stoltz also said Sheriff Smith gave an interview to Inside Edition Digital in January 2022 where he reportedly made inflammatory statements to the media outlet. Smith told the outlet the couple were "no strangers to the system" when they agreed to speak to him without an attorney, according to the motion. He also labeled the suspects as "addicts," and said Khaleesi was killed at the home where Royer and Brown lived together on Cattle Scales Road. Khaleesi Cuthriell. Meador said during the afternoon hearing that although the Augusta County murder case has garnered a lot of attention, it's nothing compared to the amount of media coverage the Charlottesville case of James Alex Fields Jr. received. Fields was tried in Charlottesville two years after he drove his car into a crowd of counter-protesters in 2017 at the "Unite the Right Rally," killing a woman. He was given life in prison. "Even in that one they were able to seat a jury," said Meador, who noted very little information has been released in the cases of Brown and Royer. Judge Sherrill said he will make a decision on the motion after he sees how the jury selection process goes next week in Brown's case. Royer is being held at the Rockingham-Harrisonburg Regional Jail. Brown is being held at Middle River Regional Jail in Verona. 22 Riverheads volunteer firefighters, including chief, walk out JDR courts' pre-construction to begin in Staunton's West End Brad Zinn is the cops, courts and breaking news reporter at The News Leader. Have a news tip? Or something that needs investigating? You can email reporter Brad Zinn (he/him) at bzinn@newsleader.com. You can also follow him on Twitter. This article originally appeared on Staunton News Leader: Suspects in Staunton girl's killing appear in court as trials loom Activists in Rankin County, Mississippi, are calling for the Department of Justice to continue a federal probe into a local law enforcement department and the sheriff at its helm. Members from several different civil rights organizations have come together urging for an investigation to pluck out more bad officers and to get Rankin County Sheriff Bryan Bailey to resign, according to WLBT. Members of the Rankin County Sheriffs Goon Squad pleaded guilty to charges connected to torturing Black men. (Photo: Twitter/ @Phil_Lewis_) At an Aug. 10 press conference, community leaders strongly criticized former law enforcement officers Brett McAlpin, Jeffrey Middleton, Christian Dedmon, Hunter Elward, Daniel Opdyke, and Richland K9 police officer Joshua Hartfield who pleaded guilty to federal crimes against two Black men, Michael Corey Jenkins and Eddie Parker on Jan. 24. Related: These Acts are Egregious: Mississippi Cops Accused of Torturing Two Black Men Before Shooting One In the Mouth In Bizarre Raid Fired After $400M Excessive Force Lawsuit Filed The officers pleaded guilty to federal charges involving assault, torture, illegal search, and concealing evidence. Community members condemned The Goon Squad for their actions, especially Elward, who admitted to shooting Jenkins in the mouth during the warrantless raid. The leaders believe these men are part of a larger culture in local law enforcement and that more bad cops are still with badges. They also believe Bailey is being disingenuous when he says that he didnt know this type of abuse of power was happening in his ranks. Sheriff Bailey, I know your voice was cracking last week, buddy, and you were sitting there and crying, he said he didnt know anything about it, I didnt know nothing about it. Id be a fool to think that, said John Barnett, the founder of the organization True Healing Under God and a spokesperson for the two men that were harmed by the deputies. Angela English, president of Rankin Countys chapter of the NAACP, also said she does not believe that the sheriff was unaware of how his deputies were behaving. Youve been knowing these men almost a lifetime, or youve been knowing them for several years, however, you want to explain it, and you didnt know that they were capable of this, that in of itself is a lie. You have received several complaints about these individuals. They have been involved in other incidents, English said. Kareem Muhammad, a member of the Local Organizing Committee of Mississippi, said the mere fact that the sheriff didnt know is why theyre demanding that he be immediately relieved of your duty. A white neighbor informed Deputy McAlpin that several Black males were living with a white woman nearby, according to an indictment document. McAlpin reached out to Dedmon, who then messaged some of the Goon Squad members a group within the sheriffs office renowned for their readiness to use force during arrests. Are yall available for a mission? Dedmon texted and ordered them to ensure no bad mugshots, meaning not to scar their faces but beat their bodies. Sentencing dates for the former cops are currently set for mid-November, according to Mississippi Today. But the activist said the six facing charges are not enough. We got them fired, Id be a fool to think there werent some more out there. Its a squad, so We are coming to disassemble, dismantle, and terminate the Goon Squad, Barnett hammered to the media, hoping the Justice Department takes note. Despite the strings attached by the Indiana General Assembly, the Lake County Commissioners said they plan to take the more than $26 million available to fund the countys health department. At their Wednesday meeting, the Commissioners unanimously approved an opt-in to the provisions Senate Bill 4 now Enrolled Act 4 a resolution that would give the countys health department approximately $11 million in 2024 and another $15 million in 2025, the two years in the states current budget cycle. Matthew Fech, attorney for the commissioners, said the health departments current budget is about $196,000 a year. Its a substantial sum of money Lake County will be receiving, Fech said. County officials met with state officials last week to learn more about the funds and what it means moving forward. As of last week, 75 of 92 counties have opted into Senate Bill 4 to receive this money from the Indiana state government. Really, its just enhanced what it is local health departments are doing already, Fech said. For counties that opt in, at least 60% of the revenue must be spent on core public health service such as communicable disease prevention and control; vital statistics; tobacco prevention and cessation, supporting student health, child fatality review; suicide and overdose fatality review; maternal and child health; testing and counseling for HIV, hepatitis C and other sexually transmitted infections, tuberculosis control and case management; emergency preparedness; referrals to clinical care; prevention and reduction of chronic diseases; screening and case management for child lead position exposure; health promotion and education for preventing trauma and injury; and access to childhood and adult immunizations, according to the statute. No more than 40% of the funds can be spent on food protection; pet and vector control and abatement; inspection and testing of public and semipublic pools; residential onsite sewage system permitting and inspections; decontamination of property used to illegally manufacture a controlled substance; sanitary inspections and surveys of public buildings; sanitary operation of tattoo parlors and body piercing facilities and those were eyelash extensions are applied. These are services already provided by the countys health department, officials said. Allocations of the funds are required to be made by the health department, which then must report to elected officials at the state and county level how the money was spent. Commissioner Jerry Tippy, R-Schererville, reiterated Fechs comments. Some more important things to consider is the health department will be required to submit budget details showing how they intend to spend the money, he said. Hopefully, this will encourage our health department to be a little bit more transparent and justify these expenses, Tippy said, adding the reporting component is what made him support the measure. Michael Repay, D-Hammond, president of the board of commissioners, said the state legislature does what they do they way they do it for whatever reason. Repay said in his opinion, if the state legislature wanted to support the states health departments and their efforts to provide the right services for residents within their budget or with the states $2 billion budget surplus they should have divvied up the money fairly and not set up obstacles and red tape to receiving the funds. Still, its better to have the red tape than not have the money, Repay said. Some members of the public have been pushing back against the county opting into the funding, attending both previous county council and commissioners meetings, expressing concerns the funding means vaccine mandates will be forced and accusing officials of selling out residents for a handful of dollars. But no one spoke out against the county opting in during Wednesdays public comment portion of the meeting. Lara Trump returned to one of Republicans greatest hits while defending father-in-law Donald Trump following his indictment in Georgia on Monday night. Desperately attempting to turn the tables on Democrats, the wife of Eric Trump cried about Hillary Clintons years-old email scandal during an appearance Tuesday on Newsmax. To see, of all people, Hillary Clinton out there having anything to say about anything when she BleachBitted and destroyed 33,000 emails after she was told not to cellphones with a hammer, she said, referring to accusations about Clintons use of a private email server that clouded her 2016 campaign against Trump. We know what happened with Hillary Clinton, and yet she got absolutely no heat from anybody at the Department of Justice. An FBI investigation of her use of a private email system as secretary of state criticized her and her staff for being careless with information but concluded in May 2016 that no charges would be appropriate. Lara Trump speaks during the Conservative Political Action Conference in Maryland on March 3. Lara Trump speaks during the Conservative Political Action Conference in Maryland on March 3. What they accused our campaign and my father-in-law of doing in 2016, conspiring somehow with Russia to win an election, Hillary Clinton herself and the [Democratic National Committee] actually did these things, the pundit continued, alluding to Russias alleged efforts to help Donald Trump get elected to his first term. Accusing Democrats of political interference, Lara Trump said prosecutors were throwing everything at the wall to see anything that might stick to her father-in-law, who is currently the front-runner for the 2024 Republican presidential ticket. That is their angle. And they will try everything as evidenced now by this fourth ridiculous indictment. Hillary Clinton, shown here speaking in Barcelona, Spain, on June 23, said Monday that she felt a Hillary Clinton, shown here speaking in Barcelona, Spain, on June 23, said Monday that she felt a "profound sadness that we have a former president who has been indicted for so many charges." But to see Hillary Clinton out there talking about it, laughing about it, cackling about it, to know that the Biden family is basically getting away with selling out the United States of America, as far as all of us have seen with our own eyes, it is insane to see, she said, referring to Clintons shrewd reaction to Trumps latest charges. I dont know that anybody should be satisfied, Clinton told MSNBCs Rachel Maddow on Monday when asked about the latest indictment, in Fulton County, Georgia, and others. I just feel great profound sadness that we have a former president who has been indicted for so many charges. With Mondays indictment, Trump is now facing 91 felony charges across cases in New York, Florida, Washington, D.C., and Georgia. Related... Update: Liftoff of Falcon 9 at 11:36 p.m. EDT from Cape Canaveral Space Force Station on Wednesday, August 16, followed shortly by a drone ship first-stage booster landing in the Atlantic. Read our full post-launch story here. SpaceX teams at Cape Canaveral Space Force Station in Florida are on track to launch a Falcon 9 rocket Wednesday, August 16, with another batch of the company's Starlink internet satellites. Follow FLORIDA TODAY's Space Team live launch coverage beginning 90 minutes before liftoff. The launch is set for 8:14 p.m. EDT but weather isn't looking great at that time so there's a chance it could slip later into the four-hour launch window. This would become the Space Coast's 42nd launch this year. When is the SpaceX launch: Liftoff is set for 8:14 p.m. EDT with a four-hour launch window Wednesday that extends until midnight. Should teams need it, other launch opportunities are available around the same time, 24 hours later on Thursday, Aug. 17. What is the weather outlook for the launch: Space Force forecasters last reported weather conditions to be 20% "go" at the opening of the window but should improve to 65% by the end. Here's everything you need to know: Cape Canaveral Space Force Station's Launch Complex 40 will host. The payload is the company's next batch of Starlink internet-beaming satellites. The 230-foot Falcon 9 rocket will follow a southeasterly trajectory threading between Florida and the Bahamas. Space oddities: NASA and space collectors descend on museum for rare, vintage memorabilia Artemis II mission to the moon: NASA moving towards liftoff next year, but program's future remains uncertain If it launches on time, it will mark the Space Coast's 42nd launch this year. No local sonic booms with this mission. The 130-foot first-stage booster will target a drone ship landing about eight minutes after liftoff. When's the next launch? The next Falcon 9 slated for liftoff from Florida will send NASA's SpaceX Crew-7 mission to the International Space Station from Launch Complex 39A at NASAs Kennedy Space Center. Targeted for liftoff at 3:49 a.m. EDT, Friday, Aug. 25, NASA astronaut Jasmin Moghbeli, European Space Agency astronaut Andreas Mogensen, along with Japan Aerospace Exploration Agency astronaut Satoshi Furukawa and Roscosmos cosmonaut Konstantin Borisov will fly aboard SpaceX's Endurance Dragon capsule to the space station for the six-month science mission. Before then, SpaceX could schedule another Falcon 9 Starlink mission from the Cape, but no specific launch dates have been released. For the latest, visit floridatoday.com/launchschedule. Contact Jamie Groh at JGroh@floridatoday.com and follow her on X.com at @AlteredJamie. Space is important to us and that's why we're working to bring you top coverage of the industry and Florida launches. Journalism like this takes time and resources. Please support it with a subscription here. This article originally appeared on Florida Today: It's launch day! SpaceX set for Falcon 9 Starlink mission from the Cape Have questions about how crimes are investigated and prosecuted? We've got your answers plus terms you need to know: Who investigates potential crimes? Potential crimes are investigated by police, who then turn over their findings and suggested charges to the prosecutor. In some instances, prosecutors may also conduct investigations. Who brings charges for potential crimes? Police agencies submit recommended charges to county attorneys, who then seek either a grand jury indictment or file a direct criminal complaint. Police routinely fill out a form, known generally as a booking sheet, which lays out their rationale for why they have enough evidence to suspect someone of a crime and hold that person in custody. What is a grand jury? A grand jury is a group of citizens who deliberate in private to determine whether there is probable cause to believe a defendant committed a crime. A grand jury does not determine guilt or innocence, only if there is enough evidence for an individual to be charged with a crime. In Arizona, courts pick qualified grand jurors from the community at random. They must be 18 years old, have no felony convictions, and have no history of mental illness diagnosis. Gavel in courtroom What is an indictment? According to FindLaw, an indictment is "a formal written statement framed by a prosecuting authority and found by a grand jury that charges a person or persons with an offense." Unlike police accusations, indictments are formal criminal allegations in a court of law. Are there other ways for people to face charges? Individuals can be charged by an indictment or through a direct criminal complaint filed by a prosecutor. What is a direct complaint? According to the Maricopa County Attorney's Office, a direct complaint is "a document prepared by the prosecutor which specifies the felony offense(s) the defendant is alleged to have committed." Direct complaints are reviewed by a judge to determine whether there is enough evidence to summon a defendant for a preliminary hearing or issue an arrest warrant. What is an arraignment? An arraignment is the first appearance of a defendant before a judge. In it, individuals hear the charges against them and have the opportunity to plead guilty, not guilty, or no contest. The arraignment follows an arrest or summons. If a judge deems it necessary, bail can be set at an arraignment hearing. Pleading no contest means the defendant will not contest the charges, but they also are not admitting guilt. What happens after an arraignment? Defendants who plead not guilty will have a pre-trial hearing set. Individuals who plead guilty or no contest will be scheduled for sentencing. Different conditions or releases can be set for defendants before the sentencing or trial date. What is a plea bargain? A plea bargain, or plea deal, is an agreement between the defendant and prosecutor. The agreement allows both sides to avoid a possibly costly and lengthy trial. The deal often involves the defendant agreeing to a lesser charge or charges and, in return for accepting guilt, faces a less severe sentence and often other charges being dropped. What does it mean to plead guilty? If defendants plead guilty it means they are admitting to committing the crimes they are charged with. It also means they will be sentenced within the guidelines set forth in state law. What percentage of cases go to trial? According to the Pew Research Center, 2% of federal criminal defendants went to trial in 2018 nationally, while 90% pleaded guilty. Who sentences someone who is found guilty or pleads guilty? For crimes that are not eligible for the death penalty, the judge sentences the defendant. However, if the prosecution seeks the death penalty after a conviction in Arizona, a jury must unanimously agree on a sentence of death or natural life. In those cases, the jury must recommend a death sentence before a judge can order it. This article originally appeared on Arizona Republic: Key legal terms for understanding criminal prosecutions In just one month with Inter Miami, Argentine superstar Lionel Messi already has his team reaching new heights. With a 4-1 victory over Philadelphia Union Tuesday, Miami advanced to the CONCACAF Leagues Cup final to put the club in position to win its first trophy in four seasons of competition. Messis goal against Philadelphia was his ninth in just six games since joining Inter, scoring in each of his six matches so far to sit atop the Leagues Cup scoring chart. After just a few weeks at Inter Miami, Messi is already third on the clubs all-time scoring list and is just 20 goals behind compatriot Gonzalo Higuain at the top. After Josef Martinez opened the scoring for Miami, Messi scored in the 20th minute with a low rocket from more than 30 yards out. His shot skipped past the outreached fingers of Union keeper Andre Blake and bounced off the right post into the net. Spanish veteran Jordi Alba added a third Miami goal in first half stoppage time, his first goal in four appearances since recently joining Inter, before Alejandro Bedoya pulled one back for Philadelphia with 17 minutes to go. David Ruiz put an end to any thoughts of a late Union comeback with a fourth goal for Miami after 84 minutes. In the other Leagues Cup semifinal Tuesday, Nashville SC defeated Monterrey 2-0 to set up a final against Miami. The trophy will be decided when the two teams face each other August 19 in Nashville, Tennessee. The Leagues Cup, an annual tournament between MLS and Mexicos Liga MX, was expanded this year to include all teams in each league. For more CNN news and newsletters create an account at CNN.com Colourful kites have been flown in the sky over London in solidarity with the people of Afghanistan on the two-year anniversary of the Taliban takeover. One Afghan refugee now living in the UK said those involved wanted to be a voice, far from our home for all those who are now living under the oppressive regime, especially women and girls. The gathering at Parliament Hill on Tuesday followed a similar event last August where the Good Chance theatre teamed up with Afghan artists and more than 70 partners globally for what they said was the worlds largest ever international kite festival. This years gathering was expected to be smaller and more informal but no less important, according to Afghan refugee Amir Hussain Ibrahimi, 24. For women and girls we want to be a voice, far from our home, he said. We just want to show that Afghanistan was colourful, Afghanistan was full of nice culture, nice people, the girls who just want to improve themselves [through education], the women who were working with men shoulder-to-shoulder to improve their country. People fly their kites at the event to mark two years since the Taliban takeover of Afghanistan (PA Wire) And a lot of things have been lost and deleted, especially hope. The people of Afghanistan lost hope. The art of making and flying kites using coloured tissue paper and bamboo sticks has been a popular tradition in Afghanistan for centuries. But Mr Ibrahimi said that, as during their rule in the 1990s, the Taliban has again banned many activities that bring people joy, including kite-flying. One of the more important parts of our Afghan culture is these amazing multi-coloured kites and we fly them, make them, design them since we were children, he said. This is something that makes you really proud when you fly them because it is part of a hope for Afghan people. (PA) The fundamentalist Taliban regime returned to power in Afghanistan on August 15 2021 in a lightning offensive across the country, as Western forces including Britain and the US hurriedly made their withdrawal after a 20-year occupation. Operation Pitting was the largest evacuation effort Britain has been involved in since the Second World War, and saw more than 15,000 people taken from Afghanistan to the UK in just over 16 days in August 2021. On the second anniversary of the takeover this week, Bond, an umbrella body in the UK representing international development organisations, said the Afghan people had been living in a waking nightmare since the Talibans return. They are called the Taliban and unfortunately they have banned everything, said Mr Ibrahimi. They banned the kites, they banned music, they want to remove women from society and they are trying to delete everything that doesnt follow their rules. He said he feels proud and happy to share our amazing culture here in the UK, but feels sad that his fellow Afghans back home have been deprived of so much in the past two years. (PA) He added: This year is going to be the second since my homeland fell to the Taliban and I am thinking I left my country at that time in one night and everything changed. Those taking part in this and other kite-flying events this year were encouraged to use the hashtags #rememberAfghanistan and #flywithme to keep the plight of the Afghan people forefront of the publics minds. Mr Ibrahimi, who works as a marketing and production assistant at the Good Chance theatre in east London, has been living in a hotel in Southend-on-Sea for almost two years as he struggles to find long-term accommodation in the UK. He has previously spoken of the challenging situation on the ground for refugees who are trying to find permanent homes but often struggle despite the Government support provided. A Long Island, New York, police officer responding to reports of a gun-toting woman outside a 7-Eleven store at a busy intersection encountered an armed man allegedly pointing a pistol at officers and others so he sideswiped the suspect with his patrol vehicle Tuesday, police said. Officers arrived and found a 31-year-old Brooklyn man, Kiber Calderon, who also uses the identity of a 33-year-old woman named Hanna Carillo, waving a pistol in the middle of the street around 2:20 p.m., allegedly aiming it at drivers and responding officers. Calderon was arrested twice in June in New York City but released under a "no-bail" policy, Nassau Police Commissioner Patrick Ryder said during a news briefing Wednesday. County Executive Bruce Blakeman, who praised his department as the "most professional" in the country, said he was "pleased" with the actions of a quick-thinking officer who used a patrol SUV to knock down the suspect, causing only minor injuries and ending the crisis. CALIFORNIA MAN CAUGHT SNIFFING WOMEN AT BARNES & NOBLE FREE DESPITE RAP SHEET OF CHILD PEEPING, 40 ARRESTS Brooklyn resident Kiber Calderon faces nine charges after police say he waved a gun at drivers and officers and discharged the weapon. A quick-thinking officer knocked the suspect over with his patrol vehicle, causing only minor injuries to the suspect and putting an end to the incident, authorities said. "In this case, it was somewhat ingenious that this officer took the action that he took," Blakeman said. "You had a subject that was pointing a gun at public, discharged the firearm on a public street, pointed the firearm at police officers and then pointed the firearm at his head, which obviously meant that he might have committed suicide had not the police officer taken swift and effective action." READ ON THE FOX NEWS APP Despite the suspect's appearance, clothes and alias, Ryder said Calderon identified as a male when interviewed by police. Video of the incident shows Calderon walking sideways through the intersection of Jerusalem Avenue and Bellmore Avenue in North Bellmore, pointing the gun at his own head moments before a marked SUV swerves into view and knocks him to the ground with the front fender. SUSPECTED LONG ISLAND SERIAL KILLER REX HEUERMANN TAKEN OFF JAIL'S SUICIDE WATCH, SHERIFF SAYS Other officers then sprint over, take the gun and gain control of the situation. Nassau County police said they recovered a loaded gun from the scene. They arrested Calderon on nine charges, including menacing, reckless endangerment and criminal possession of a weapon and drugs. At the time of his arrest, Calderon was facing charges of second- and third-degree burglary and trespassing in New York City. Calderon suffered only minor injuries and is due in court after his release from the hospital, where he was being treated for physical injuries and a psychological evaluation. The officer was also hospitalized for trauma and remains on sick leave, Ryder said. Fox News' Jasmine Baehr contributed to this report. Police said a man applying to be a police officer had warrants out for his arrest in Georgia. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] On Monday, Justin C. Carter, 24, applied for a police officer position through email in South Carolina with the Monticello Police Department in Arkansas. Officials said, the next day, Carter came to Arkansas to verify details for the physical fitness test. After he arrived, that is when Monticello police said there were some inconsistencies in his physical appearance from his background check. TRENDING STORIES: Authorities said that led to police finding out his real identity and uncovering a nationwide warrant from Georgia. Monticello officers reportedly met with Carter at the school track to complete the physical fitness test for pre-employment. [SIGN UP: WSB-TV Daily Headlines Newsletter] According to the department, Carter was able to complete the physical fitness test before immediately being arrested. The Monticello Police Department confirmed to Channel 2 Action News that Carter was wanted out of Savannah due to a probation violation. He is awaiting transport to Georgia. IN OTHER NEWS: Japan's attitude toward history denounced 10:45, August 16, 2023 By MO JINGXI ( Chinadaily.com.cn China made serious representations with Japan on Tuesday after Japanese Prime Minister Fumio Kishida sent a ritual offering to the Yasukuni Shrine in his capacity as president of the country's ruling Liberal Democratic Party. Tuesday marked the 78th anniversary of Japan's defeat in World War II. On the same day, Japan's Economic Security Minister Sanae Takaichi, a member of Kishida's cabinet, visited the controversial shrine, which honors 14 convicted Class-A Japanese war criminals from World War II. "The negative move of Japanese political leaders on the issue of the Yasukuni Shrine once again shows the Japanese side's erroneous attitude toward historical issues," said Foreign Ministry spokesman Wang Wenbin at a regular news briefing in Beijing. "Today marks the 78th anniversary of Japan's unconditional surrender. It was on Aug 15, 1945, that the Chinese people, together with the people of the world, defeated the Japanese militarist aggressors and fascism," Wang said. Noting that the Yasukuni Shrine is seen as a symbol of the wars of aggression launched by Japanese militarists, the spokesman said the essential prerequisite for Japan to restore and develop normal relations with its Asian neighbors is facing up to and deeply reflecting on history. "We urge the Japanese side to earnestly draw lessons from history, stick to the path of peaceful development, take concrete measures to make a clean break with militarism and avoid further losing the trust of its Asian neighbors and the international community," Wang said. South Korea also expressed deep disappointment and regret over Japanese leaders once again sending offerings to or paying respects at the Yasukuni Shrine, which glorifies Japan's war of aggression and enshrines war criminals. "Our government urges responsible figures in Japan to look squarely at history and show genuine ... repentance on the past through action," Yonhap News Agency quoted from a statement released by South Korea's Foreign Ministry. (Web editor: Zhong Wenxing, Wu Chaolan) Simon Byrne, the PSNI chief constable, said be believes the leaked information is in the hands of dissident republicans - Liam McBurney/PA A man has been arrested on suspicion of collecting information likely to be of use to terrorists following Northern Irelands worst ever data breach. The 39-year-old man is being questioned in Belfast after a search in Lurgan, Co Armagh, on Wednesday. It comes after the Police Service of Northern Ireland (PSNI) revealed last week that a document had mistakenly been shared online in response to a Freedom of Information request which included the names of about 10,000 officers and staff. Details released included the surname and first initial of every employee, their rank or grade, where they are based and the unit they work in. The breach has raised fears the data could be used by dissident republican groups to target or intimidate PSNI officers. One piece of a large-scale operation Andy Hill, detective chief superintendent, said: We are working tirelessly to address the risk posed to officers and staff. Todays search operation, and subsequent arrest, is just one piece of a large-scale operation. We will continue in our efforts to disrupt criminal activity associated with this Freedom of Information data breach and to keep communities, and our officers and staff who serve them, safe. Simon Byrne, the PSNI chief constable, added that he believed the information was in the hands of dissident republicans. It followed the posting of documents from the leak on a wall near a Sinn Fein office in Belfast. Many officers have expressed concern for their safety in Northern Ireland, where police are under threat from terrorists - with the current level of threat assessed as severe, meaning an attack is highly likely. Earlier this year, dissident republicans were blamed for the attempted murder of John Caldwell, a senior PSNI detective. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. A man has been convicted of first-degree murder in connection with the fatal shooting of 17-year-old Patricia Moreno in Malden in 1991, according to Middlesex District Attorney Marian Ryan After a six-day trial, Rodney Daniels was convicted of the decades-long cold case of Morenos murder. When a family loses a loved one in a homicide, even the passage of time never fully heals that wound. That is especially true when they do not have answers about what happened and no one has been held accountable, Ryan said in a statement. Those who knew and loved Tricia have been waiting over three decades for answers. When I created our Cold Case Unit, it was my hope that we would be able to get to these resolutions. Back in 2020, the District Attorneys Cold Case Unit began re-examining this case. Investigators returned to the third-floor apartment at 21 Henry Street in Malden where Moreno was found with a single gunshot wound to the head. Investigators determined that the path of the bullet was consistent with having been fired by the suspect standing in the area of the doorway to the apartment where Moreno had resided with her foster family. Daniels, the older daughters boyfriend, had been staying in that home on the evening of the murder, officials said. Investigators also began conducting new interviews, which they learned an alibi witness, who protected Daniels in 1991, later admitted to friends and family that Daniels had killed Tricia and concealed the weapon in an armchair before disposing of it, the statement said. She had said that, even many years later, she was afraid to disclose what she knew because she feared that admitting her complicity in the cover-up would expose her to prosecution, according to officials. On September 27, 2021, Daniels was arrested at his home in Georgia and was arraigned in Georgia as a fugitive from justice and was transported back to Massachusetts to face trial for murder. The team that worked, in this case, was not deterred by the passage of time and used every tool at their disposal to root out new information critical to this successful prosecution, said Ryan. This is a developing story. Check back for updates as more information becomes available. Download the FREE Boston 25 News app for breaking news alerts. Follow Boston 25 News on Facebook and Twitter. | Watch Boston 25 News NOW [Source] A man from New Jersey was sentenced to 50 months in prison for his involvement in a series of residential burglaries that targeted Asian and Asian American homeowners. Barrs sentencing: Vauxhall resident Randi Barr, 42, had previously pleaded guilty before U.S. District Judge Evelyn Padin to one count of conspiracy to commit interstate transportation of stolen property. During Barr's sentencing on Aug. 15 at Newark federal court, Judge Padin also mandated restitution and forfeiture of $127,661 as part of his penalty. Part of the crew: Barr was part of an interstate burglary ring believed to be responsible for over 50 home break-ins, all aimed at homes belonging to individuals of Asian descent. In May 2021, he was among the eight members of the burglary crew charged with conspiracy to commit interstate transportation of stolen property by New Jersey federal authorities. Rachael Honig, who served as the acting U.S. District Attorney for New Jersey in 2021, described the crew then as a "sophisticated, multi-state burglary crew that targeted the homes of business owners of Asian descent, intending to steal the cash proceeds of their businesses as well as jewelry, foreign currency, and other property. More from NextShark: Man who stole $24 from gas station in China avoided cops by living in a cave for 14 years There has been no update from the U.S. Attorneys Office about the status of the other charged defendants, identified as Rabine Armour of Easton, Pennsylvania, Kevin Burton of Newark, Kevin Jackson of Rahway, Thomas Rodgers of Newark, James Hurt of Tobyhanna, Pennsylvania, Sherman Glasco of Bethlehem, Pennsylvania, and Terrance Black of Irvington. How the crew operated: Court documents revealed that Barr and his accomplices had engaged in a conspiracy spanning from Dec. 2, 2016, to March 20, 2019. During the period, they orchestrated a series of burglaries aimed at the residences of Asian small business owners residing in multiple states, including New Jersey, New York, Pennsylvania and Delaware. The crew would then make off with substantial amounts of money, valuable jewelry and other items before transporting the stolen goods across state lines. How they were caught: Law enforcement officers were able to trace the burglars upon the discovery of a dropped cell phone, which helped lead them to the perpetrators. More from NextShark: North Korea passes 'irreversible' law declaring itself a nuclear weapons state Barr's criminal activities were initially brought to light when he was apprehended during a burglary in Hazelton, Pennsylvania, on March 20, 2019. He then confessed to being involved in three other burglaries linked to the crew. The arrest came as part of a larger crackdown on an interstate burglary ring that was responsible for over 50 home break-ins, all aimed at homes belonging to individuals of Asian descent. Enjoy this content? Read more from NextShark! China says US support for Taiwan futile after Washington delegates visit Taipei amid Ukraine crisis Topps Sparks Outrage With Sticker Showing BTS Beaten Amid Anti-Asian Attacks A Massachusetts man was indicted on hate crime charges this week after prosecutors said he assaulted an Asian couple in Manhattans Koreatown neighborhood. Marc Jensen, 33, allegedly approached the unnamed couple, who are both of Korean descent, while they were waiting for an Uber around 1:30 a.m. on May 23, according to a press release from the Manhattan District Attorneys Office. Jensen allegedly made anti-Chinese comments and yelled at the woman before spitting on her face. He then pulled the man out of the car and assaulted him, the news release said. The man sustained bruising, pain in his elbow, a cut on his knee and a laceration on his forehead, the release continued. Jensen was indicted on two counts of assault in the third degree as a hate crime and two counts of aggravated harassment in the second degree, a misdemeanor hate crime, according to the D.A.s Office. He pleaded not guilty on Tuesday, a spokesperson from the D.A.s Office said. Jensen is expected back in court on Oct. 17, according to court documents. His legal counsel did not immediately respond to a request for comment. District Attorney Alvin Bragg said in the release that his team will continue to investigate incidents of hate and bias in our communities. The indictment comes a week after a 16-year-old girl was arrested for allegedly assaulting an Asian American woman and verbally harassing her family on the subway. The New York Police Department said the incident is being investigated as a hate crime. The victim, Sue Young, told NBC News she doesnt believe the suspect should be charged with a hate crime because race did not play a primary role in the attack. This article was originally published on NBCNews.com The man who pleaded guilty to setting a Planned Parenthood location on fire in Peoria, Ill., was sentenced Tuesday to 10 years in prison. Tyler Massengill pleaded guilty to burning the office in February. According to investigators, Massengill made a firebomb Jan. 15 from what is believed to be a laundry detergent container filled with gasoline and placed it inside the office after lighting it on fire. The resulting fire closed the Planned Parenthood location and caused about $1.45 million in damage. Massengill was ordered to pay for the damage in addition to being handed the prison sentence. About 10 days after the incident, Massengill voluntarily interviewed with police and pleaded his innocence after being named a person of interest in the investigation. He eventually confessed to burning the office, saying he was angered because a then-girlfriend had an abortion three years prior. According to the criminal complaint, Massengill said that if the fire caused a little delay in abortion procedures then his act would be worth it. Prosecutors shed doubt on the claims about his ex-girlfriend during the trial, with the woman saying she was never pregnant, according to local media. The Peoria Planned Parenthood facility is scheduled to reopen early next year, the organization said. Today, justice has been served and a powerful message has been sent that acts of violence against Planned Parenthood of Illinois will not be tolerated, President and CEO Jennifer Welch said in a statement. When someone attacks one of our health centers they do more than damage a building. They rob the community of essential sexual and reproductive health care like birth control, STI testing and treatment, cancer screenings and gender-affirming care. We stand in solidarity with the community in Peoria that continues to heal from this traumatic event, she continued. Rep. Eric Sorensen (D-Ill.) applauded the sentencing. I am pleased to see that justice has been served for this community and our amazing health care professionals. Violent attacks against Planned Parenthood clinics or against those who provide or receive this essential health care have no place in our society, he said. I am proud to stand with organizations like Planned Parenthood to make sure access to safe and legal reproductive care is available to all women and families who need it, even in the face of these threats, he continued. For the latest news, weather, sports, and streaming video, head to The Hill. A Monrovia man was shot Tuesday in the Morgan-Monroe State Forest while hunting squirrels, according to a news release from the Indiana Department of Natural Resources. William Long, 72, of Monrovia, called emergency services around 8 a.m. Tuesday to report another hunter had shot him. Long was transported to IU Health Morgan in good condition for medical treatment and was later released. Indiana conservation officers are investigating the incident. IU Health ambulance and the Monroe County Fire Department assisted. Fox and grey squirrel hunting season opened Tuesday and lasts through Jan. 31. Squirrel hunters are required to wear orange from Nov. 1 to Jan. 31. This article originally appeared on The Herald-Times: Man shot while squirrel hunting in Morgan-Monroe State Forest PEORIA, Ill. (WMBD) The man who threw a Molotov cocktail into an Illinois Planned Parenthood location in January has been sentenced to 10 years in federal prison. Tyler Massengill, 32, wearing an orange jumpsuit from the Peoria County Jail, showed no emotion as the sentence was read aloud in court on Tuesday. He stood before the judge and apologized, saying he never meant to hurt anyone. I feel for the people who have lost their jobs. Im not trying to play like I am victim at this. I was sincerely hurt, he said, noting that he thought his former girlfriend had aborted their unborn child at the clinic. Prosecutors, however, said the woman told the FBI that Massengills story wasnt true. Massengill, from Chillicothe, further claimed he suffered from addiction and mental issues, saying his life had spiraled out of control when he stopped taking his medication at the beginning of high school. Photo shows makeshift rope used in Pennsylvania prison escape The second-floor courtroom of U.S. District Judge James Shadid was packed on Tuesday with federal courthouse workers, but also people from Planned Parenthood and from the office of U.S. Rep. Eric Sorensen, who planned to hold a press conference on the steps of the courthouse after the hearing. In addition to Massengills prison sentence, Shadid ordered him to pay $1.45 million in restitution. Massengill must also serve at least 85% of his sentence. He has been in custody for just shy of seven months. The sentencing hearing On Feb. 16, about a month after the fire, Massengill waived indictment by a federal grand jury and pleaded guilty to malicious use of fire and an explosive to damage and attempt to damage the Planned Parenthood Peoria Health Center on Jan. 15. He was caught fairly quickly as police, acting on several tips, were able to identify the suspects truck, which had two red doors and a white body. Massengill was taken into custody nine days later. When questioned, said he started the fire because he was mad at his former girlfriend decided to have an abortion. He told officials that if the arson caused a little delay for a person to receive services at the clinic, then it was worth it, the agreement states. Previously, in December 2020, he posted on social media about smash(ing) planned parenthood with rocks, according to the document. He has remained in custody of the U.S. Marshals pending his sentencing. Tyler Massengill is seen in a booking photo provided by Peoria police. (Peoria Police Department via AP) The statutory limits for the charges were at least five years in prison and possibly up to 20 years. But the federal sentencing guideline range in Massengills case was 92 to 115 months in prison (about 7.6 to 9.5 years), according to court records. Sentencing guidelines are not mandatory but rather a starting point for judges to begin their consideration. And it showed, as Shadid opted to sentence Massengill to more than 115 months. The judge, when explaining his sentence, said that while he appreciated Massengills statements, they didnt change the facts of the case. Is this simply the price that others have to pay when others become self-absorbed in their lives? Shadid asked. Texas woman receives maximum sentence for role in soldiers murder Shadid also noted that because of Massengills actions, several healthcare services to the Peoria community were not available. More than 4,000 patients, 75% of which were from the Tazewell or Peoria county area, were treated in the year before the fire. If it is possible to be both spontaneous and intentional at the same time, you achieved it, Shadid said. And to add to your accomplishments, theres the striking of fear, stress and inconvenience to thousands of patients and employees from the many other Planned Parenthood facilities who wonder if they are next on the list of misguided people like you. Arguments by the attorneys Assistant U.S. Attorney Ronald Hanna, Jr., played a video in court that showed Massengill filling up a container with gas, then walking to the building holding the soap container that was full of gas. Hes seen lighting it and then punching the window. The video also shows the fire starting in the waiting area of the clinic and for several seconds, one can see the fire grow and smoke fill the waiting room. There is also body camera footage from the Peoria Fire Department which shows the view from a firefighter. The damage to the building was extensive. The lobby was a charred mess, with firefighters having to pull down parts of the ceiling and the walls to put out the blaze. The damage has been estimated at around $1 million. During the sentencing hearing, Hanna read aloud from a report made by an FBI agent who spoke with Massengills ex-girlfriend. The woman told the agent she never had an abortion the alleged event that Massengill provided as the reason for why he set the fire. The prosecutor urged the judge for a stiff sentence, saying the nation cant afford to have people who smash windows and set fire to buildings. Hanna also ticked through Massengills extensive criminal history, saying he picked up cases as young as 16. He was arrested, Hanna said, more than 30 times. A common theme was the Chillicothe mans inability to comply with probation, his turn to violence, and the fact that he was not able to finish three instances of residential drug treatment. Tory Lanez sentenced to 10 years in prison for shooting Megan Thee Stallion Massengills attorney Karl Bryning, meanwhile, was seeking a 5-year prison term. The attorney said his client had a long history of being abused and neglected. His mental illnesses, Bryning said, have been untreated over the years. His drug and alcohol abuse also played a factor, the attorney said. For nearly 20 minutes, the attorney described Massengills alleged traumas, starting with childhood through his multiple suicide attempts and an intentional overdose. Massengill has also been diagnosed with ADHD, depression, substance abuse, and possible bipolar disorder, his attorney said. The night of Jan. 15, Bryning said, Massengill had been to his fathers house. His father had been verbally and physically abusive for years to Massengill and was 100% against abortion. It was in that context that Massengill, who lost his grandmother on Christmas Day 2022, wound up at a gas station and then went to the clinic. Despite trying to cover up his actions, Massengill also went to the Peoria Police Department to confess to the crime, his attorney noted. Planned Parenthood response Officials with Planned Parenthood held a press conference after the sentencing, saying they were satisfied with the sentencing. 10 years is a fair and just sentence, and we are very pleased with the actions of the U.S. Attorneys Office, and very grateful to all the law enforcement who were involved in this case, said Jennifer Welch, the president and CEO of Planned Parenthood of Illinois. U.S. Rep. Eric Sorensen (D), said it wasnt time to celebrate but rather to stay focused on protecting the reproductive rights of those who need services from Planned Parenthood. No one should live in fear because they work in a place that provides essential reproductive care for those who need it, the congressman said. No one should be afraid of walking into a clinic to receive healthcare that they have a right to access. Violence will not be tolerated. We will not live in fear. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. MARION COUNTY, Kan. (KSNW) Days after the Marion County Records items were seized in a raid by the Marion Police Department, they are being returned. Attorney Bernie Rhodes for the Marion County Record said the Marion County Attorney has withdrawn the search warrant, and the items seized are being released. Community reacts to raid on Marion newspaper The Kansas Bureau of Investigation says the investigation remains open, however, we have determined in collaboration with the Marion County Attorney, that the investigation will proceed independently, and without review or examination of any of the evidence seized on Friday, Aug. 11. After the announcement, Marion County Record publisher and editor Eric Meyer spoke to the media. We were very pleased to be alerted this morning that the Kansas Bureau of Investigation has moved to, has requested that the search warrant be vacated, he said. Meyer said they have been told that the computers and cell phones were not searched, but the newspapers attorney has asked that the items be forensically examined to confirm that. He said the newspaper was also told that it would be informed first if the Marion County Attorney withdrew the search warrant. Meyer said that did not happen. We have not received that news release, but the Kansas Bureau of Investigation has released it from their PR offices in Topeka to other media, he said. So, we dont know why our county attorney has not decided to let us know this earlier, but it does kind of hint at the notion that maybe theres political motivations going on behind how the justice system is being used in this situation. Maybe its not. Maybe its not. Maybe its just, Oh, we were busy and didnt get around to it.' He also spoke about the death of his mother, 98-year-old Joan Meyer. She was the papers co-owner. Meyer said his mother was in good health but died the day after the raid. I will say she was the type of person, although her last 24 hours on this world were very, very difficult and very frustrating for making her believe her whole life had been worthless, this is the type of thing that she would really like, Meyer said. She would like to be thought of almost as a martyr for the cause, and, to an extent, I think she is. The Friday raid On Friday, Marion police seized the newspapers computers, phones and file server, and the personal cellphones of staff, based on a search warrant investigating alleged identity theft. Memorial for Joan Meyer in Marion on Aug. 14, 2023 (KSN News Photo) Police simultaneously raided Meyers home, seizing computers, his cell phone and the homes internet router. Meyer blames the stress of the home raid for the Saturday death of his mother. According to Meyer, there were questions about a story one week before the police entered his business. His newspaper notified the sheriff and the police chief that theyd obtained documents from the state that a local restaurant owner, Kari Newell, had driven on a suspended license after getting a DUI. The Marion County Record told law enforcement they had no plans to publish the information in a story. Still, one week later, police entered the building with a search warrant signed by Marion County Court Magistrate Judge Laura Viar and seized equipment. Rhodes said the newspaper was investigating the circumstances around Police Chief Gideon Codys departure from his previous job as an officer in Kansas City, Missouri. Cody left the Kansas City department earlier this year and began the job in Marion in June. Publishing without the seized items On Wednesday, the Marion County Record published its first newspaper since the incident. The front page headline is SEIZED but not silenced. SEIZED but not silenced, read the front-page headline of the Marion County Record. (KSN News Photo) Meyer said newspaper staff worked until 5 a.m. Wednesday to put out the new edition. The office was so hectic that Kansas Press Association Executive Director Emily Bradbury was at once answering phones and ordering meals for staffers. Bradbury said the journalists and those involved in the business of the newspaper used a couple of old computers that police didnt confiscate, taking turns to get stories to the printer, to assemble ads and to check email. With electronics scarce, staffers made do with what they had. About 4,000 people subscribed to the newspaper before the raid. In the last few days, there has been a spike in subscriptions. Weve had 2,000 new subscriptions come in by email, and weve had more than that, various messages of support, and requests to have interviews and all the regular stuff, Meyer said. Marion County newspaper publisher speaks after police raid Reaction The newspapers attorney released a statement about the seized items being released. This is a promising first step, but it does nothing to make up for the violation of the First Amendment rights of the newspaper resulting from the illegal searches themselves and, regrettably, it does not bring Joan Meyer back. Bernie Rhodes, attorney for the Marion County Record This is the complete statement from the Marion County Attorney: On Monday, August 14, 2023, I reviewed in detail the warrant applications made Friday, August 11, 2023 to search various locations in Marion County including the office of the Marion County Record. The affidavits, which I am asking the court to release, established probable cause to believe that an employee of the newspaper may have committed the crime of K.S.A. 21-5839, Unlawful Acts Concerning Computers. Upon further review however, I have come to the conclusion that insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. As a result, I have submitted a proposed order asking the court to release the evidence seized. I have asked local law enforcement to return the material seized to the owners of the property. This matter will remain under review until such time as the Kansas Bureau of Investigation, the agency now in charge of the investigation, may submit any findings to this office for a charging decision. At such time, a determination will be made as to whether sufficient evidence exists under the applicable rules and standards to support a charge for any offense. It is important to note that all individuals who may be the subject of an investigation are presumed innocent until and unless a charge is proven beyond a reasonable doubt in a court of law. Joel Ensey, Marion County Attorney The Radio Television Digital News Association released a statement supporting the Marion County Record. RTDNA still seeks justice and accountability, and the initiation of a thorough and transparent review of the police departments actions, it said. We remain steadfast in our commitment to upholding press freedom and advocating for the rights of journalists, who serve the public by seeking and reporting the truth. White House Press Secretary Karine Jean-Pierre even weighed in on the situation in Marion County. So weve seen reports, Im not spoken to the president about this. I know this happened a couple of days ago about the raid on the Marion County Record offices. And certainly they raised a lot of concerns and a lot of questions for us, you know, I dont want to get ahead of the facts and the legal process as you all know thats underway but more broadly speaking it is i t to me and from here to the president to it reiterate, as he has done many times before the freedom of the press, that is the core value. When we think about our democracy, when you think about the cornerstone of our democracy, the freedom of press is right there. That is our core value. So this administration has been here, has been vocal about the importance of the freedom of press here and around the globe, as we all say, the president always speaks about that. It doesnt matter who he is talking to when he is visiting a country or talking to a head of state. We will continue to reaffirm and protect this fundamental rights enshrined in the very First Amendement. You can certainly count on us to continue to do that. As far as the legal aspect of this, I would have to refer you to the Department of Justice. White House Press Secretary Karine Jean-Pierre For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. MARION COUNTY, Kan. (KSNW) Days after the Marion County Records items were seized in a raid by the Marion Police Department, they are being returned. Bernie Rhodes, attorney for the newspaper, said the Marion County Attorney has withdrawn the search warrant, and the items seized are being released. Memorial for Joan Meyer in Marion on Aug. 14, 2023 (KSN Photo) The Kansas Bureau of Investigation says the investigation remains open, however, we have determined in collaboration with the Marion County Attorney, that the investigation will proceed independently, and without review or examination of any of the evidence seized on Friday, Aug. 11. Community reacts to raid on Marion newspaper On Friday, Marion police seized the newspapers computers, phones and file server, and the personal cellphones of staff. A warrant signed by a magistrate Friday about two hours before the raid said that local police sought to gather evidence of potential identity theft and other computer crimes stemming from a conflict between the newspaper and a local restaurant owner, Kari Newell. According to publisher and editor Eric Meyer, there had been questions about a story one week before the police came into his business. His newspaper notified the sheriff and the police chief that theyd obtained documents from the state that Kari Newell had driven on a suspended license after getting a DUI. The Marion County Record told law enforcement they had no plans to publish the information in a story, but one week later, police came into the building with a search warrant and seized equipment. Police simultaneously raided the newsroom and the publishers home, seizing computers, his cell phone and the homes internet router. Meyer blames the stress of the home raid for the Saturday death of his 98-year-old mother, Joan, the papers co-owner. The raid drew national scrutiny over issues of press freedom. Marion County newspaper publisher speaks after police raid Rhodes said the newspaper was investigating Police Chief Gideon Cody, and the circumstances around his departure from his previous job as an officer in Kansas City, Missouri. Cody left the Kansas City department earlier this year and began the job in Marion in June. Meyer believes the papers coverage of local politics and the citys police department are to blame for the raid. On Wednesday, Marion County Attorney Joel Ensey said his review of police seizures from the Marion County Record found insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. Rhodes said the return of the newspapers property was a promising first step, but it does nothing to make up for the violation of the First Amendment rights of the newspaper resulting from the illegal searches themselves and, regrettably, it does not bring Joan Meyer back. On Wednesday, the Marion County Record published its first newspaper since the incident. The front page headline is SEIZED but not silenced. The raids put Meyer and his staff in a difficult position. Because their computers were seized, they were forced to reconstruct stories, ads, and other materials. SEIZED but not silenced, read the front-page headline of the Marion County Record. (KSN News Photo) Newspaper staff worked late into Tuesday night on the new edition, the office was so hectic that Kansas Press Association Executive Director Emily Bradbury was at once answering phones and ordering in meals for staffers. Bradbury said the journalists and those involved in the business of the newspaper used a couple of old computers that police didnt confiscate, taking turns to get stories to the printer, to assemble ads, and to check email. With electronics scarce, staffers made do with what they had. The Associated Press contributed to this report. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. By now, you probably know of the Marion Police and Sheriffs departments raid Friday on the local newspaper, the Marion County Record its national news. And youve probably also heard that the 98-year-old co-owner of the paper, Joan Meyer, collapsed and died the day after her home was tossed by the local cops, who seized computers and cell phones even the router she used for streaming TV and talking to Alexa and photographed the papers on her desk. You may be less familiar with the Facebook justifications given by the Marion police chief, Gideon Cody, as to why he mobilized his entire department and called in outside help to roust journalists whod been investigating a local restaurateur and the chief himself. So let me unpack this. The post on the departments Facebook page starts off with the standard excuse of all public officials who abuse their power when accountability comes knocking. In the case of Chief Cody, it went like this: As much as I would like to give everyone details on a criminal investigation I cannot. Thats simply not true. State law allows police to withhold most details of investigations, but only prohibits it in specific cases, none of which are applicable here. If Cody wanted to give more details, hes free to do so and a good place to start would be releasing the affidavit he filed to obtain the search warrant. But Cody goes on: I believe when the rest of the story is available to the public, the judicial system that is being questioned will be vindicated. I appreciate all the assistance from all the State and Local investigators along with the entire judicial process thus far. I suspect when the rest of the story is available to the public, it will show the system not vindicated, but being vindictive. The case centers around the papers conduct in the complaint of one Kari Newell, a local restaurateur. Newell has been seeking city approval for a liquor license for her business, but documents provided to the paper by a confidential source raised questions about her eligibility, due to an unresolved drunk-driving conviction from 2008. According to Record publisher Eric Meyer, who co-owned the paper with his mother until her death Saturday, police are looking into whether a reporter misused the states drivers license verification webpage in an effort to confirm or debunk the documentation provided by the source. In any case, the newspaper opted not to publish a story on the information and voluntarily disclosed to both Cody and the county sheriff that they had received it from a third-party source. Cody goes on at some length about why he thinks he didnt violate the Privacy Protection Act, a federal law which protects news organizations from police raids like the one he conducted. His words: Speaking in generalities, the federal Privacy Protection Act, 42 U.S.C. 2000aa-2000aa-12, does protect journalists from most searches of newsrooms by federal and state law enforcement officials. It is true that in most cases, it requires police to use subpoenas, rather than search warrants, to search the premises of journalists unless they themselves are suspects in the offense that is the subject of the search. The Act requires criminal investigators to get a subpoena instead of a search warrant when seeking work product materials and documentary materials from the press, except in circumstances, including: (1) when there is reason to believe the journalist is taking part in the underlying wrongdoing. But, he only quoted part of the statute, making that a lie of omission. The law continues: Provided, however, That a government officer or employee may not search for or seize such materials under the provisions of this paragraph if the offense to which the materials relate consists of the receipt, possession, communication, or withholding of such materials or the information contained therein. (but such a search or seizure may be conducted under the provisions of this paragraph if the offense consists of the receipt, possession, or communication of information relating to the national defense, classified information, or restricted data under the provisions of section 793, 794, 797, or 798 of title 18, or section 2274, 2275, or 2277 of this title, or section 783 of title 50, or if the offense involves the production, possession, receipt, mailing, sale, distribution, shipment, or transportation of child pornography, the sexual exploitation of children, or the sale or purchase of children under section 2251, 2251A, 2252, or 2252A of title 18); or (2) there is reason to believe that the immediate seizure of such materials is necessary to prevent the death of, or serious bodily injury to, a human being. I looked up every exception between the parentheses and they all deal with high-level national security and classified information, child porn and human trafficking not such trivial matters as Kari Newells drivers license. In short, were not talking about a terrorist organization or a human trafficking ring here. Were talking about journalists doing their job, which is to acquire and verify information of public importance. But the last part of the chiefs self-justification post really takes the cake: The Marion Kansas Police Department believes it is the fundamental duty of the police is to ensure the safety, security, and well-being of all members of the public. This commitment must remain steadfast and unbiased, unaffected by political or media influences, in order to uphold the principles of justice, equal protection, and the rule of law for everyone in the community. The victim asks that we do all the law allows to ensure justice is served. The Marion Kansas Police Department will (do) nothing less. Its completely fatuous to claim that any part of this was steadfast and unbiased, unaffected by political or media influences. First off, media influence is obvious here: It was the chiefs decision to target a media outlet he doesnt like. And its hardly unbiased to call Newell the victim. The legal term for what she is a complaintant. If theres any victim here, its the 98-year-old woman who died the day after police, at the direction of Chief Cody, sent her stress level through the ceiling with a ham-handed raid on her home. They trampled all over her safety, security, and well-being. So to summarize: Marion Police Chief Gideon Cody ordered a certainly unjustified and probably unlawful raid of a newspaper and the private home of its owners. He then tries to cover up his error in judgment by publicly lying about his authority in releasing information, and misrepresenting the content of a federal statute. He has turned the city of Marion into a nationwide pariah and brought dishonor on the entire state through his use of what Joan Meyer quite rightly called, on the day before her death, Hitler tactics. The Marion City Council made a grave mistake hiring Gideon Cody as their chief law enforcement officer. Its a mistake that the citizens of Marion, Marion County and Kansas shouldnt have to live with any longer. The Marion City Council members should call a special meeting at their earliest convenience and fire Cody, before he does any more damage with his dishonest, intimidating and reckless behavior. Marjorie Taylor Greene has big dreams. The U.S. representative from Georgia used to openly pine for a seat on the influential House Oversight Committee before eventually getting one. Now Greene is seemingly trying to manifest the next chapter of her political career, speculating about joining the White House with Donald Trump. In an interview published Wednesday by The Atlanta Journal-Constitution, Greene said that she hasnt decided whether shell eventually run for U.S. Senate or maybe become Trumps running mate. But in her mind, the skys the limit for what she can achieve after winning two elections. I havent made up my mind whether I will do that or not, Greene said about potentially launching a Senate campaign. I have a lot of things to think about. Am I going to be a part of President Trumps Cabinet if he wins? Is it possible that Ill be VP? Trump has previously encouraged Greene to run for Senate, saying at a Texas rally in March that he would fight like hell for her to win. Greene responded by saying that she was flattered, but that she hadnt previously considered a Senate bid. Greene might also be looking for an off-ramp from the House after being kicked out of the far-right Freedom Caucus for fighting with Rep. Lauren Boebert (R-Colo.). The Georgia firebrand has been at odds with the hard-right element of her caucus since throwing her support behind Republican leader Kevin McCarthy (R-Calif.) for House speaker, a move that helped Greene get on powerful committees in the chamber. Trump hasnt said anything publicly that would indicate hes actually considering Greene for a Cabinet slot or the vice presidency, but she has imagined herself to be on his VP shortlist and allowing yourself to envision success is often the first step to achieving your dreams. Former White House chief of staff Mark Meadows, one of the co-defendants charged with racketeering in the Georgia 2020 election probe, filed court documents Tuesday seeking to move the new Fulton County case to federal court. In a 14-page filing, Meadows argued that the charges in the indictment pertain to actions he took while he served in the Trump administration. Mr. Meadows has the right to remove this matter. The conduct giving rise to the charges in the indictment all occurred during his tenure and as part of his service as Chief of Staff," Meadows' lawyers wrote. They requested "prompt removal," citing a federal law that allows U.S. officers to remove civil actions or criminal prosecutions in state court for alleged actions taken "under color" of their offices to U.S. District Court. Meadows also intends to file a motion to dismiss the indictment "as soon as is feasible," his lawyers wrote. ABC News first reported Meadows' filing. Moving the case to federal court could result in a more favorable jury pool for defendants, and it would almost certainly mean no cameras would be allowed in the courtroom. Former President Donald Trump, Meadows and 17 other defendants were indicted Monday on felony charges in connection with efforts to overturn the 2020 presidential election results in Georgia. The probe was launched by Fulton County District Attorney Fani Willis. The DA's office declined to comment on Meadows' filing. A Meadows attorney did not immediately reply to a request for comment. Meadows faces two counts in the sprawling 41-count indictment, including violation of the Georgia Racketeer Influenced and Corrupt Organizations Act and solicitation of violation of oath by a public officer. According to the indictment, Meadows, Trump and other unindicted co-conspirators "unlawfully solicited, requested, and importuned" Georgia Secretary of State Brad Raffensperger on Jan. 2, 2021. The indictment cites Trump's phone call urging Raffensperger to find the votes needed to claim victory in the state over Democrat Joe Biden. In Tuesday's filing, Meadows' lawyers detailed arrangements for organizing Oval Office meetings, contacting state officials on Trumps behalf, visiting a state government building and setting up a phone call as among Meadows' duties as chief of staff. "Nothing Mr. Meadows is alleged in the indictment to have done is criminal per se," they wrote. "One would expect a Chief of Staff to the President of the United States to do these sorts of things." The 98-page indictment lays out a series of alleged schemes to overturn election results, including pressuring state officials to change the results, accessing voting machines and data in rural Coffee County, and harassing election worker Ruby Freeman to falsely admit to election crimes she did not commit. Trump shares the charges Meadows faces and 11 additional counts of filing false documents and making false statements and writings, as well as several conspiracy charges. Other top allies, including former Trump lawyer Rudy Giuliani and a former Justice Department official, Jeffrey Clark, were also charged. Trump has denied any wrongdoing. Giuliani also denied wrongdoing shortly before the indictment was made public. At a news conference announcing the charges Monday night, Willis said defendants would have until noon Aug. 25 to surrender voluntarily. Meadows, a former congressman from North Carolina who now lives in South Carolina, has fought efforts to get him to testify about his actions during the final weeks of Trump's presidency. He previously tried to avoid testifying before the grand jury in Willis' probe but was compelled to testify after having lost court challenges. Meadows also rebuffed a subpoena for testimony from the House Jan. 6 committee and was referred to the Justice Department for a criminal contempt of Congress charge. The Justice Department declined to prosecute him. This article was originally published on NBCNews.com One of the most compelling images that came out of the Jan. 6 House committee hearings was of former White House chief of staff Mark Meadows slumped on his couch on the afternoon in question, disconsolately scrolling through his phone while Donald Trump's angry mob stormed the Capitol. As the New York Times reported: [White House aide Cassidy] Hutchinson said around 2 p.m. or 2:05 p.m. that day, she went to Meadows' office because she saw rioters were getting closer to breaching the Capitol. Meadows was on his couch, scrolling through his phone, as he had been that morning. "I said, 'Hey, are you watching the TV, chief? The rioters are getting really close. Have you talked to the president?' He said, 'No, he wants to be alone right now,'" she recalled."I remember Pat saying to [Meadows], something to the effect of, 'The rioters have gotten to the Capitol, Mark, we need to go down and see the president now.' And Mark looked up at him and said, 'He doesn't want to do anything, Pat,'" Hutchinson said. This was the man who had been constantly by Trump's side in the previous tumultuous weeks as the president tried every possible means to overturn the results of the 2020 election. He knew Trump didn't want to stop the violence at the Capitol. He knew Trump actually relished it. And he knew there was nothing to be done about it. Meadows had originally agreed to cooperate with the select committee himself and had turned over a large volume of communications pertaining to the post-election attempts to reverse the results. But after Meadows' book "The Chief's Chief" was published, in which he incurred Trump's wrath by his unflattering portrayal of the president's behavior after he contracted COVID, Meadows withdrew his cooperation and was eventually referred to the Department of Justice for contempt of Congress. Unlike podcaster and agitator Steve Bannon and former trade adviser Peter Navarro, both of whom also refused to comply with a congressional subpoena, Meadows was not prosecuted by the DOJ. Neither was former White House communications official Dan Scavino. No explanation was given at the time, but many observers assumed that since Meadows was no longer in Trump's orbit, he was cooperating with federal investigators. Meadows has not publicly addressed the events of Jan. 6 or the post-election schemes since he left the White House. CNN reported that he is quietly employed in a high-level job as "the senior partner at the Conservative Partnership Institute, a pro-Trump think tank that pays him more than $500,000 and has seen its revenues soar to $45 million since Meadows joined in 2021, according to the group's tax filings." Nice work if you can get it. Meadows also serves as an informal adviser to the hard-right House Freedom Caucus, reportedly helping to guide the group's rebellion against Kevin McCarthy's speakership bid and its strategy during the debt ceiling talks. But according to his "best friend," House Judiciary Committee Chairman Jim Jordan, with whom Meadows reportedly speaks at least once a week, they "make a point not to talk about" legal matters. All this has Trump feeling very nervous that Meadows has become a "rat." According to Rolling Stone, Meadows' lawyers cut off contact with the Trump team months ago and the latter have had no idea what contact Meadows has had with either special counsel Jack Smith or Fulton County District Attorney Fani Willis. Meadows has reportedly testified before the federal grand jury in Washington, but took the Fifth when called before the special grand jury in Georgia. When the federal indictment against Trump finally came down in the Jan. 6 case, Meadows was not mentioned among the "unindicted co-conspirators," despite ample public evidence that he had been heavily involved in the plots for which Trump was indicted. That seemed like a clear indication that he'd become a key witness. This week we received another important clue about what exactly Meadows has been up to. He was among the long list of Trump associates indicted in Fulton County on Monday night in Willis' sweeping conspiracy case. Unlike Trump and other key figures like Rudy Giuliani and John Eastman, Meadows was only indicted on two counts: violation of Georgia's racketeering act and "solicitation of violation of public oath by a public officer." The first of those is the overall conspiracy charge laid out in the indictment, which cites Meadows' dissemination of false theories of election fraud and his attempts to pressure DOJ officials as well as various state officials in Georgia and elsewhere. The second relates to the fact that Meadows "actively participated in and spoke" in Trump's infamous phone call with Georgia Secretary of State Brad Raffensperger, the one when the then-president suggested "finding" enough votes to give him the win in that state. It's easy to see why Meadows took the Fifth on that one. Want a daily wrap-up of all the news and commentary Salon has to offer? Subscribe to our morning newsletter, Crash Course. On Tuesday, Meadows became the first defendant in the Georgia case (but surely not the last) to announce that he would request moving his case to federal court because his alleged criminal activity "all occurred during his tenure and as part of his service as Chief of Staff." In his statement, Meadows explained that "arranging Oval Office meetings, contacting state officials on the President's behalf, visiting a state government building, and setting up a phone call for the President" were all part of his duties and that you would expect the president's chief of staff "to do these sorts of things." It sounds like Meadows' defense will be, as they say, that he was just following orders. That strategy is not unprecedented and many legal observers suggest Meadows has a good chance of getting his case booted to the federal level. Willis would still be the prosecutor, but would try the case before a federal judge and a jury pool drawn from the entire state, both of them potentially more sympathetic to Meadows. There would be no cameras in a federal courtroom, which is unfortunate since a televised trial might offer one last chance to penetrate the minds of those few remaining Republican voters who aren't completely far gone. None of this, however, explains Meadows' role in Jack Smith's federal case in D.C., where the former chief of staff has apparently been treated with kid gloves throughout the process. No doubt Trump's team is anxious to look through all the discovery material to see what they can find out. I always thought Meadows was a bit thick, not to mention certainly unqualified for the important job that he did remarkably poorly. Apparently, he's smart enough to hire a highly competent lawyer and take that person's advice, which makes him a very stable genius compared to his former boss. He may be the one major Jan. 6 conspirator who gets to walk away from this mess relatively unscathed. Read more on Mark Meadows and the Trump indictments WASHINGTON Rep. Matt Gaetz (R-Fla.) this week described impeaching President Joe Biden as less an opportunity to remove Biden from office than a performance for the American people. Gaetz said that even if the House impeaches Biden, theres little chance the Democratic-controlled Senate would convict and remove the president from office. The purpose of that impeachment, from my standpoint, is not to force a vote that loses its to put on a trial in the Senate, and by the way, not for the sake of conviction, Gaetz said during a live audio interview on Twitter. The purpose of the impeachment to me is to use the Senate as the stage, but theyre not the jury. The jury is the American people, Gaetz continued. And if we had the Senate as the stage and the platform for James Comer to put on his evidence and advance this impeachment, it will not result in a conviction, but the true verdict can still be rendered by the American people. In other words, a Biden impeachment would be less about Congress fulfilling its constitutional duty than ensuring Biden loses the next election because Gaetz assumes the evidence wouldnt convince Senate Democrats to vote to convict. (Bipartisan Senate guilty votes are not impossible to achieve; seven Senate Republicans joined Democrats in voting to convict Donald Trump for the 2021 insurrection.) House Oversight Committee chair James Comer (R-Ky.) has overseen Republicans investigation into the Biden family and has sought to connect the president to his son Hunter Bidens income from foreign nationals. So far, witness testimony and bank records havent established such a connection. House Speaker Kevin McCarthy (R-Calif.) has said the House will open an impeachment inquiry against the president, though McCarthy has emphasized that the inquiry would not necessarily lead to actual impeachment. Instead, he described it as a way to bolster Comers investigation since executive branch agencies and courts would likely be more willing to accommodate congressional requests for information if the House threatens impeachment. Right-wing Republicans have been clamoring for impeachment, while moderates have seemed skeptical. Gaetz, one of the most far-right members of the House GOP conference, suggested he thinks McCarthys staking out a weak position. When we talk about it like, Oh, well, if we have an inquiry, then we can get more evidence, what youre saying implicitly in that is that you dont feel like you have sufficient evidence now, Gaetz said. Democrats have skewered Comer for taking credit for Joe Bidens sagging poll numbers. On Wednesday, the Democratic staff on his committee blasted his Biden probe as a deliberate effort to distract attention from Trumps various criminal indictments. A spokesperson for the Oversight Committee said that the committee has produced concrete evidence through bank records and witness testimony that reveals Joe Bidens involvement in his familys corrupt influence peddling schemes. So far, the involvement Republicans have established amounts to occasional phone conversations about the weather that Republicans insist are inherently corrupt. Democrats describe the younger Biden making money off his name as unsavory but not corrupt. A former business partner named Devon Archer told the committee that Hunter Biden put his father on speakerphone in the presence of foreign investors as if to show off his brand as someone with Washington connections; Archer also said the conversations werent about business and that he didnt witness Joe Biden do his son any official favors. I think you have to understand that there was no business conversation about a cap table or a fee or anything like that, Archer said. It was, you know, just general niceties and, you know, conversation in general, you know, about the geography, about the weather, whatever it may be. Related... Hawaii Gov. Josh Green (D) said that the death toll from the devastating wildfires on Maui will likely climb from 99 in the coming days but that search efforts will take time because officials are trying not to disrupt the homes. Nearly 500 federal emergency personnel are currently deployed to the island of Maui, where the historic town of Lahaina was razed last week in whats already become the deadliest U.S. wildfire in over a century. The Federal Emergency Management Agency has deployed more than 140 search and rescue team members, who have integrated with the Maui Fire Department. Maui County said that as of Tuesday morning, the official death toll from the fire stood at 99, four of which have been identified. Green said he believes that number will climb as search efforts continue. We are prepared for many tragic stories, he told CBS Mornings on Monday. They will find 10 to 20 people per day, probably, until they finish. And its probably going to take 10 days. Its impossible to guess, really. As of Tuesday morning, officials have searched about 32% of the impacted area. The governor said at a press conference that search efforts will take a lot of time because officials going through all of the territories in Lahaina have to be very careful not to disrupt any of the homes there. So for those people who have walked into Lahaina because they really wanted to see, know that they are very likely walking on iwi, Green said, using the Hawaiian word for bones. For those people who have walked into Lahaina because they really wanted to see, know that they are very likely walking on iwi. Gov. Josh Green (D-HI) yesterday, using the Hawaiian word for bones, said search efforts will take time so officials don't disrupt homes pic.twitter.com/D7vRJd9mIu The Recount (@therecount) August 15, 2023 The fires on Maui began early Aug. 8, breaking out in Upcountry and Kihei overnight. A fire started in the Lahaina area several hours later, but fire officials said the blaze was contained. Later that same day, the blaze was exacerbated by high winds from a hurricane south of the Hawaiian Islands, causing the fire to race through town. Residents were left to make split-second decisions on escaping, some driving through smoke while others jumped into the ocean. The Lahaina fire destroyed at least 2,200 buildings about 1,500 residential and burned over 2,100 acres. No fatalities have been reported from the Kula and Upcountry fires. On Monday, Green addressed fears from locals that out-of-state buyers will parachute in to build hotels and other property in the centuries-old town that once served as the capital of the Hawaiian Kingdom. Ive actually reached out to our attorney general to explore options to do a moratorium on any sales of properties that have been damaged or destroyed, said Green, whom the Honolulu Civil Beat said has made housing a priority for his administration. Moreover, I would caution people that its going to be a very long time before any growth or housing can be built, and so you will be pretty poorly informed if you try to steal land from our people and then build here. President Joe Biden on Tuesday called the work that search teams are doing painstaking and nerve-wracking. The president said he and First Lady Jill Biden want to travel to Hawaii to tour the devastation as soon as we can. I dont want to get in the way. Ive been to too many disaster areas, he said. But I want to go, make sure we got everything they need. I want to be sure we dont disrupt the ongoing recovery efforts. Related... A second man convicted in a Shawnee hate crime was sentenced this week to prison. In Oklahoma City federal court, U.S. District Judge Bernard M. Jones sentenced Brandon Wayne Killian, 32, to six years in federal prison for his role in a 2019 hate crime attack on a Black man outside a Shawnee bar. In July, Devan Johnson, 29, was sentenced to 10 years in federal prison. The two white men Killian, of Oklahoma City, and Johnson, of Harrah beat Deshawn Carolina, who was then 36 years old, about 2 a.m. June 22, in a parking lot outside the BrickHouse Saloon in Shawnee. A witness told police Killian and Johnson shouted racial slurs and "White power!" as they fled the scene. "White boys run this," one reportedly shouted. Previously: Two white men charged in beating of black man in Shawnee The witness recorded the assault on his cellphone, police reported. The video showed Killian punched Carolina, who then fell, apparently unconscious, according to investigators. The video showed that Johnson and Killian punched, kicked, stomped and spit on Carolina after he fell. Carolina was hospitalized after the attack. Another man also was attacked, Monty Whittet, who is white, but he did not need medical treatment at the scene, police reported. Killian and Johnson each pleaded guilty to a federal hate crime. Case is the first to be prosecuted under Hate Crimes Prevention Act in OKC federal court It is the first such prosecution in Oklahoma City federal court since the passage in 2009 of the Matthew Shepard and James Byrd Jr. Hate Crimes Prevention Act. The law makes it easier for federal prosecutors to pursue hate crime cases, but they are still rare. The Justice Department describes hate crimes as ones "motivated by bias against race, color, religion, national origin, sexual orientation, gender, gender identity or disability." Heinous, hate-fueled crimes such as this have no place in our state or country, U.S. Attorney Robert J. Troester said in a statement this week. This is the first case sentenced in the Western District of Oklahoma under the Matthew Shepard and James Byrd Jr. Hate Crimes Prevention Act. The judges decision to give the maximum penalty, in this case, sends a strong message, and we will continue to aggressively combat all hate crimes and seek justice for those victimized by hate. More: Two Oklahomans plead guilty to federal hate crime for racial attack outside Shawnee bar Killian and Johnson previously were prosecuted over the attack in state court. Johnson was sentenced to five years in state prison. Killian was put on probation and ordered to pay $12,228 in restitution. Johnson also was sentenced to six months in jail after pleading guilty to a misdemeanor, threatening an act of violence. A witness reported he threatened in July 2019 to get a gun and "get" those involved in the incident outside the saloon. The witness reported Johnson used a racial slur when he made the threat. He was allowed to serve the six-month jail sentence at the same time as his prison sentence. This story has been updated to correct the length of Killian's prison sentence. This article originally appeared on Oklahoman: Oklahoma men sentenced in Shawnee hate crime attack against Black man A Sumner County man who killed eight people in one of Tennessees worst mass murders will not face the death penalty after pleading guilty to eight counts of first-degree murder. As part of an agreement with prosecutors, Michael Cummins will face life in prison without the chance for parole. Cummins, 30, was set to face trial this year for bludgeoning to death multiple people, including a 12-year-old girl, who were found across three crime scenes in a gruesome mass killing that shocked the tiny town of Westmoreland in 2019. Michael Cummins arrives for his court hearing at the Sumner County Justice Center on Wednesday, August 16, 2023, in Gallatin Tenn. Cummins was sentenced to life in prison without the possibility of parole in the mass killings of eight people in Westmoreland in 2019. Among the victims were his mother, father and uncle, along with his uncle's girlfriend and her mother and 12-year-old daughter. Their bodies were all found in a home on a wooded country road near the Kentucky border. Police found the body of a neighbor in another home nearby, and later linked Cummins to the death of an eighth person a man whose headless body was found outside a burned cabin. Prosecutors had originally sought the death penalty. They changed course during jury selection in April when new evidence from a brain scan showed that Cummins has significant mental impairment, said Sumner County District Attorney Ray Whitley. Whitley, speaking after the hearing, said he likely still had a good case for the death penalty but opted to take the punishment off the table after the victims families all agreed to life without parole. Whitley said he feels justice has been served in Sumner County. The families were very much in favor of ending it here, he said. The eight victims were: David Carl Cummins, 51 Clara Jane Cummins, 44 Charles Edward Hosale, 45 Rachel Dawn McGlothlin-Pee, 43 Sapphire McGlothlin-Pee, 12 Marsha Elizabeth Nuckols, 64 Shirley B. Fehrle, 69 John Fox Dunn, 63 Speaking before the court, Steven McGothlin, the brother of Rachel McGlothlin and uncle to Sapphire, said he was at a loss for words for the horrific crimes. Its one thing to lose someone in a natural occurrence, but its something totally different to deal with something as heinous as this, he said. I hope God forgives you, he said, turning to Cummins. Because he is the only one. Connor Dunn, the nephew of John Dunn, who was found outside the cabin, said his uncle was so much more than a tragic headline. Criminal Court Judge Dee David Gay talks to Michael Cummins, bottom center, about the sentencing at the Sumner County Justice Center on Wednesday, August 16, 2023, in Gallatin Tenn. Cummins was sentenced to life in prison without the possibility of parole in the mass killings of eight people in Westmoreland in 2019. A forestry major in college, John Dunn was a solitary man who loved nature, tennis and singing Bob Dylan lyrics to his nephew. The woods were when he felt most at home, he said. Cummins had a lengthy criminal record and a history of violent mental health issues. Years earlier he had tried to commit suicide multiple times while in custody. Pale and thin, he nodded quietly and said yes to the judge as he agreed to the guilty pleas. Cummins' grandmother, Mary Sue Hosale, was the sole survivor of the attack but was badly injured. She died last year due to multiple health issues. In addition to the consecutive life sentences, he was also sentenced to 25 years for the attempted murder of his grandmother. Michael Cummins looks at his petition for acceptance of plea during his court hearing at the Sumner County Justice Center on Wednesday, August 16, 2023, in Gallatin Tenn. Cummins was sentenced to life in prison without the possibility of parole in the mass killings of eight people in Westmoreland in 2019. After the slayings in April 2019, Cummins ran from the family home in Westmoreland and was captured after an hours-long manhunt in which he was shot by police during his arrest. Tennessee Bureau of Investigation officials at the time called it the state's deadliest homicide event in at least 20 years. They brought in additional mental health support for the first responders who saw the carnage at the crime scenes. Presiding over the hearing, Judge Dee David Gay said the guilty pleas can hopefully bring some closure to the families, and for a painful time in Westmoreland. Mr. Cummins will never see life outside of Tennessee state penitentiary, he said. You can rest easy with that. Reach Kelly Puente at kpuente@tennessean.com. This article originally appeared on Nashville Tennessean: Michael Cummins pleads guilty in one of Tennessee's worst killings Football star and inspiration behind "The Blind Side," Michael Oher is the latest face making headlines for issues with a conservatorship. In a 14-page petition filed in Shelby County, Tennessee, Oher claims that the Tuohys didn't actually adopt him, despite the story that inspired the 2009 hit film. Rather, he alleges they had him sign into a conservatorship. Britney Spears' conservatorship hearing took over the nation's newsstands two years ago as well with fans and those concerned for Spears' wellbeing coining the phrase "free Britney." So, with all of these cases what are conservatorships, and what do they entail? What is a conservatorship? In short, conservatorship rules will differ state-by-state. By most definitions, a conservatorship grants access and control to an individual's estate and finances, and this option can be chosen due to financial or health-related circumstances. Conservatorships are court-appointed, and require you to go through probate court. Twins Edward, right, and John Grimes of Dublin, Ireland, hold a "Free Britney" flag outside a hearing concerning the pop singer's conservatorship on Friday, Nov. 12, 2021. Conservatorships in New Jersey In New Jersey, a conservatorship is a voluntary action that allows a third-party conservator to manage the conservatee's property, assets and financial affairs. A conservatorship isn't to be confused with a guardianship in the state, which is appointed in the case of mental incapacity. Other states, however, may use different terminology. More: Michael Oher, Britney Spears put conservatorships in spotlight. How they work in Delaware Who can propose a conservatorship in New Jersey In New Jersey, a civil action for a conservator may be brought by the conservatee (person in the conservatorship) or on their behalf by the conservatee's spouse or adult children, anyone with personal or financial concern for the conservatee, a public agency or social service official of the state or county of residence, the chief administrator of a state-licensed hospital, school or institution in which the conservatee is a patient, or the chief administrator of a non-profit charitable institution in which the conservatee is a petient or receives services. gavel Notice of the proposed conservatorship also has to be served to the conservatee, their spouse and adult children, the person they reside with and, if applicable, the chief administrator of the institution in which they reside. Regardless, in New Jersey, if the proposed conservatee rejects the conservatorship, it won't be appointed. New Jersey Senate Bill 1301 was introduced February 2022 to revise and update conservatorship laws to encourage ethical conduct. The bill would remove the "catch-all" provision previously in place for anyone to propose a conservatorship, among other changes. This article originally appeared on Cherry Hill Courier-Post: What is a conservatorship and how do they work in New Jersey? Orlando police searched the backyard of a home on Wednesday related to the search for Michelle Parker who disappeared nearly 12 years ago. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching the yard of Smiths fathers home. Investigators used ground-penetrating radar to search the yard of the home, focusing on a concrete slab. Officers said nothing was found during the search. Parker was 33 when she disappeared on Nov. 17, 2011. In 2022, Channel 9 aired a special called The Search, highlighting cases of missing women in Central Florida, including Parker. You can watch the special here. Photos: Orlando police search home related to case of missing woman Michelle Parker No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. Read: Michelle Parker: $200K reward offered for tips in case of Orlando woman missing since 2011 Days after the special aired, an anonymous donor came forward offering a $20The donor requested that the money go through the family, not through Crimeline. Anyone with information on Parkers disappearance can call the $200,000 tip line at 386-402-3729 or email MP200KTIPS@gmail.com. They can also report tips to the Orlando Police Department at 407-246-2979 or Crimeline at 800-423-TIPS (8477).0,000 reward for tips in the case. Read: Search for Michelle Parker continues with new tip Click here to download the free WFTV news and weather apps, click here to download the WFTV Now app for your smart TV and click here to stream Channel 9 Eyewitness News live. Orlando Police Department detectives arrived at a Rose Boulevard home Wednesday morning with a search warrant in hand. Investigators said their focus was on a concrete slab in the backyard of a suspects fathers home. From Drone 9, police could be seen using ground-penetrating radar to scan the slab and the area around it for hours, but it turned up nothing. It is one more unknown that is now known, Yvonne Stewart, Michelle Parkers mother, told Channel 9. Shes not under that slab. Read: Michelle Parker: Nothing found in search related to case of missing woman No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. No one has ever been arrested in connection to her disappearance, but her ex-fiance Dale Smith has long been the prime suspect. On Wednesday morning, officers started searching Smiths fathers home. The search warrant indicated that a slab was poured in 2012 without a permit after Michelle Parker vanished, she said. Stewart said the lack of a permit was enough for a judge to sign the warrant. The father of Dale Smith, Parkers ex-fiance, sat outside his home Wednesday while the search was underway. Photos: Orlando police search home related to case of missing woman Michelle Parker The men have always maintained that they do not know what happened to Parker after she dropped off her twins at Smiths home in November 2011. On Wednesday, he had nothing to say about where Parker might be, telling Channel 9 that police were searching his backyard for dinosaurs, not the missing woman. Rajan Joshi, Dale Smiths attorney, expressed his sympathies for the Parker family but told Channel 9 the following: Watch: The Search: Michelle Parker The police have been investigating the wrong person. Whoever did this got away with this a dozen years ago. Had the police not had a single-tunnel solely on Dale Smith, the person who did this would likely have been caught. Police did not give details about their search Wednesday, but they do encourage anyone with information about her disappearance to come forward by calling them or Crimeline. Parkers family has offered a $200,000 reward for information about her whereabouts. Click here to download the free WFTV news and weather apps, click here to download the WFTV Now app for your smart TV and click here to stream Channel 9 Eyewitness News live. The City of Pleasant Hill said it will improve background checks for new hires after swearing in a police officer without searching his social media history, leading citizens to discover a racist post he made about a month ago. Mayor John E.P. King and the Pleasant Hill Police Department issued a statement Wednesday morning, apologizing for swearing in Officer Jacob Smith without looking at his social media profiles before he was hired. Smith was placed on paid leave hours after Mondays city council meeting and fired from the police department around 1 a.m. Wednesday following a review of the allegations. It is important for us to identify when mistakes are made and own up to them, the city said. And in the times when we have erred, it is important for the community to point out when we need to be held accountable. Police Chief Tommy Wright said the post showed a racist meme that targeted Black people. The Star is not publishing the details of what it said. The content of the post did not reflect the standards of the city, the police department, your elected officials, the law enforcement profession, and the community as a whole, the city said in its statement. There is no excuse for racism, insinuation of violence, or any form of hate in our community, the city said. Wright said the police departments hiring process usually includes a social media background check that would evaluate potential officers rhetoric and conduct. The step, officials said, was unintentionally overlooked in Smiths hiring. Officials had completed other steps of the background check, including a public records check, criminal history and courts records checks and searches for images, videos, names and news related to the job candidate. The city said the error showed issues within Pleasant Hills hiring process, which officials hope to fix by communicating expectations for future hiring processes clearly, creating checklists so that all steps must be carried out and developing more thorough background checks. Officials said they understand that the incident will hurt the publics trust in the police department and city, but they hope to be transparent moving forward as they work to improve the citys hiring process. The police officers, sergeants, and leadership of the Pleasant Hill Police Department work hard every day to provide this community with the safety and protection at the highest level of service, King said. These officers are part of this community, and they want their police department to be the pride of this city. Please do not let one individual detract from the work they have accomplished in the last few years to make the Pleasant Hill Police Department what it is today. View of a burnt church on the outskirts of Faisalabad, Pakistan following an attack by Muslim men after Christians were accused of blasphemy (Ghazanfar MAJID) Hundreds of Muslim men set fire to churches and vandalised Christian homes during a rampage in eastern Pakistan on Wednesday, officials said, after Christians were accused of blasphemy. The mob made its way through a predominantly Christian area on the outskirts of the industrial city of Faisalabad after allegations spread that the Koran had been desecrated. "The crowd inflicted heavy damage on the area including to homes of Christians, and many churches," Ahad Noor, a district government official, told AFP. Police and rescue officials said at least four churches had been set on fire, while residents said as many as a dozen buildings with church status had been damaged. Several thousand police have been sent to secure the area and dozens of people detained, Amir Mir, the information minister for Punjab province, said in a statement that also condemned the alleged blasphemy. Yasir Bhatti, a 31-year-old Christian, fled his home in a narrow alley next to one of the churches that was ransacked by the mob. "They broke the windows, doors and took out fridges, sofas, chairs and other household items to pile them up in front of the Church to be burnt. They also burnt and desecrated Bibles, they were ruthless," he told AFP by phone. Blasphemy is a sensitive issue in Muslim-majority Pakistan, where anyone deemed to have insulted Islam or Islamic figures can face the death penalty. Pakistani bishop Azad Marshall, in the neighbouring city of Lahore, said the Christian community was "deeply pained and distressed" by the events. "We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland," he posted on X, formerly known as Twitter. - 'Failure to protect minorities' - Images on social media showed crowds of people armed with sticks and rocks storming through the streets, with smoke rising from church buildings. In one video, crowds cheer and demand punishment for the accused blasphemers as a cross is torn from the top of a church. The boundary walls of a Christian cemetery were vandalised, as well as the local government office, police said. Local Muslim leaders used mosque loudspeakers to urge their followers to demonstrate, according to videos posted on social media. "Christians have desecrated the Holy Koran. All the clerics, all the Muslims should unite and gather in front of the mosque. Better to die if you don't care about Islam," one cleric is heard saying. A police report said charges would be filed against two Christian men who have fled the area. Christians, who make up around two percent of the population, occupy one of the lowest rungs in Pakistani society and are frequently targeted with spurious and unfounded blasphemy allegations that can be used to settle personal vendettas. Islamist right-wing leaders and political parties across Pakistan frequently rally around the issue. Politicians have been assassinated, European countries threatened with nuclear annihilation and students have been lynched over accusations of blasphemy. "The frequency and scale of such attacks -- which are systematic, violent and often uncontainable -- appear to have increased in recent years," the Human Rights Commission of Pakistan said on Wednesday. "Not only has the state failed to protect its religious minorities, but it has also allowed the far right to permeate and fester within society and politics." Washington on Wednesday voiced alarm at the attacks and urged Pakistan to launch an investigation. State Department spokesman Vedant Patel said that while the United States backed free expression, "violence or the threat of violence is never an acceptable form of expression." Christian woman Asia Bibi was at the centre of a decade-long blasphemy row in Pakistan, which eventually saw her death sentence overturned and she was later allowed to leave the country. Her case sparked violent demonstrations and high-profile assassinations while spotlighting religious extremism across wide sections of Pakistani society. Pakistan's newly appointed caretaker Prime Minister Anwaar-ul-Haq Kakar said on X that he was "gutted" by what was happening: "Stern action would be taken against those who violate law and target minorities." kf-ak/ecl/qan/des/md Im standing second row in the pit at Brooklyns Barclays Center, with Bruce Springsteen so unbelievably close I have to remind myself he is not a figure in a wax museum. Thats sweat on real flesh soaking the rock stars shirt Madame Tussauds couldnt replicate that. As Springsteen strums, sings and grooves, I sigh because I know Im where Im supposed to be. Its my fourth show of the tour, and I expect to hit 17 before this year ends, from Sweden to San Francisco, Atlanta to Montreal. I was never one of those Springsteen fans who went to many shows of a tour, hopping planes and tallying my concert count. But at my first show of this tour, five months after my mom died, I discovered a rock concert with 18,000 strangers was more therapeutic for my grief than a counselors couch. And not any ol concert, but seeing Springsteen and the E Street Band, the top thing I pined to do during the pandemic. Wax figure or real rock star? I took this photo of Springsteen at a show in Brooklyn, New York. (Courtesy Sharon Waters) Some fans have criticized Springsteen for playing a static set list, instead of improvising, grabbing signs from the crowd and ripping into obscure songs. But Springsteen has signaled he has a story to tell this tour. With a set list heavy on loss and legacy, but also optimism and endurance, Springsteen concerts have been teaching me about death, and how to heal. At each show, I discover a new nugget or something I missed last time. This isnt about queuing up a playlist on Spotify, but being there with others, who offer their own lessons, if Im open to them. One person in a crowd of 18,000 can be that nights reminder that all of us are remembering someone, whether it is the father waving a sign commemorating his son lost to suicide, or Jake Clemons raising his head heavenward after his Jungleland sax solo as a tribute to his uncle. I bought my first ticket for this tour six weeks before my mom died. As my mother pinballed in and out of the hospital, and then went on hospice, Id sit by her bedside, imagining the concert, the Bruce ticket a balm to the anxiety I felt as my mom slipped away. Family has been tied to my Springsteen experiences, and not just because I grew up at the Jersey Shore. My first concert was in August 1985 at Giants Stadium in New Jersey. I was 17, and my 20-year-old brother drove, and then deigned to sit with me at the show. The band played Jersey Girl, and I slept that night in my sleeveless Born in the USA tour shirt. Eighteen years later, I was at Giants Stadium with my sister on another August night. My mom had said she hoped Springsteen would sing Jersey Girl for her two daughters, and he did, one of only two times the band performed the song during 120 shows of The Rising tour, according to setlist.fm. As the first notes started, we called our mom and held the phone toward the stage. During the din, we couldnt talk to her; all we saw was that the other end of the line had been picked up. Later we learned our mother had been dancing around our kitchen, the phone to her ear, the extra-long cord to the wall phone giving her leeway for some moves on the linoleum. Less than a month later, my mom had a massive stroke, paralyzing her left side and confining her to a wheelchair. She never danced again. My mom (bottom, in red) and me (left, also in red) with our family. (Courtesy Sharon Waters) When my mom was in the ICU immediately after her stroke in 2003, her life expectancy was measured in hours, then days. Her carotid artery on one side was completely blocked, the other side marginally better. She was not a candidate for surgery. Once my mother stabilized, her doctors gave a low percentage that she might live five more years. Instead, my mom survived for 19 years. Until she didnt. Last summer, after 16 nights in the hospital over five weeks, and modern medicines failed attempts to cure her heart, it became clear hospice was the best, only, course. My mother died a week later, at home, her family by her side. The hustle of funeral planning started immediately. The parade of people at the wake, the sopranos voice echoing in the church, the uneven soil at the cemetery as we held each other up to navigate the ground, our grief. At the repast, my cousin said, Just wait until your mom comes to you in your dreams. I waited and waited, but she never came. Five months later, I flew to Atlanta to my first Springsteen concert of this tour. Deaths great gift is expanding vision, Springsteen said as he introduced Last Man Standing, a song about a member of his first band dying. At 15, its all about tomorrows, its all hellos. At 73? Its more goodbyes. It makes you realize how important living right now is. Before the first concert in Atlanta. I had no idea the journey I was starting. (Courtesy Sharon Waters) I choked up when he said it. The anticipation of the concert had sustained me through my toughest goodbye yet. Now, here was Springsteen teaching me about death, thanks to a carefully curated set list. Springsteen shows became a vehicle for processing my grief, as I funneled cash into more concert tickets instead of therapy. I had tried an online grief support group, mourners sharing their pain inside Zoom gallery boxes. Springsteen, a celebrity, was more untouchable than anyone on that Zoom, yet he was doing a better job showing me how to heal, and honor the dead. My moms passing made me face my mortality as no other death had. As I waited for my mom to come to me in my dreams, I kept buying concert tickets, learning lessons about loss and hearing reminders to remember and to live. I knew I had to be at the Newark, New Jersey, show in April. The band might play Jersey Girl. When the encore started, Springsteen picked his way through the opening notes of the song hes played publicly only a handful of times since I heard it live in 2003, and suddenly it was just me in that arena as Jersey Girl filled the space. I flashed back to my mother dancing on the linoleum of our kitchen, an image so indelible even though I never saw it. Then I was at my moms bed as she drew her last breath. Then came an amorphous image of her in heaven, looking down at the concert venue, as I wondered if there were kitchens and linoleum in such a place. I braced myself for sobs to unleash, but instead I felt breathless, searching for air through the emotion of it all. I was awake, but it felt like I was seeing her in my dreams. My mom died Sept. 4, 2022. Springsteen plays New Jerseys MetLife on Sept. 3, 2023. This Jersey Girl will be there for a coda on a year of mourning. This article was originally published on TODAY.com A mother drowned while trying to rescue her son from a fast-moving current at a popular waterfall in New Hampshire, authorities said, while another son who also jumped in to help was rescued by his father. The 44-year-old victim, identified as Melissa Bagley from Lynn, Massachusetts, was visiting Franconia Falls in Lincoln with family members and a friend on Tuesday afternoon when the incident occurred, the New Hampshire State Police said. Bagley was a mother of four children, three of which are under 18, according to the Everett Police Department in Massachusetts, where her husband, Sean Bagley, is a lieutenant. While at the falls, the family's 10-year-old son slipped and fell into one of the pools and could not escape the currents, prompting Melissa Bagley to jump in to rescue him. She began having trouble sustaining herself, so two other brothers jumped into the water to help them. The family was able to rescue the 10-year-old, but Melissa Bagley and his 18-year-old brother became trapped in the rocks and the currents, police said. More: Bloomington man dies swimming at Lake Monroe on Sunday More: Former Montana teen reunites with man who rescued him from drowning 50 years ago The 18-year-old was rescued from the water by his family and sustained injuries. However, Melissa Bagley was located in the water below the falls and was found on one of the rocks. Despite resuscitation efforts by family members, she could not be revived. One of the minor children slipped and fell into one of the pools at the falls, Sgt. Heidi Murphy, a Conservation Officer with New Hampshire Fish & Game, said in a news release, according to the Associated Press. He could not get out of the pool as it was a fast, circulating current. The mother jumped into the river to help her child and began to immediately have trouble. Murphy said in addition to the 18-year-old, one other sibling also jumped in during the rescue attempt, got stuck in the boulders and had to be pulled out by his father, as well. Both the siblings who jumped in the water were later taken to the hospital with non-life-threatening injuries, Murphy said. The Everett Police Department shared that a memorial fund has been set up for those who want to contribute to support her children, three of which are under 18. Franconia Falls is a popular hiking destination and swimming hole in the White Mountain National Forest. People can slide off rock slabs into pools of water. Tuesday's incident occurred on the East Branch of the Pemigewasset River in the White Mountain National Forest. Saman Shafiq is a trending news reporter for USA TODAY. Reach her at sshafiq@gannett.com and follow her on X, the platform formerly known as Twitter @saman_shafiq7. This article originally appeared on USA TODAY: Mom drowns while rescuing son at Franconia Falls, New Hampshire A shooting at a Home Depot in Pensacola left one person dead and two others injured on Aug. 11. Escambia County Sheriff's Office Deputies responded to what was initially reported as an active shooter around 1:22 p.m. When deputies arrived, they discovered a deceased woman who appeared to be a contract employee of Home Depot, according to ECSO Chief Deputy Andrew Hobbs. One other contracted employee was grazed in the hand and a third employee grazed in the back, Escambia County Sheriff Chip Simmons later confirmed. Sheriffs officials say the shooting was a targeted attack and that the deceased woman was the intended victim. Home Depot shooting: ECSO: One person killed, two others shot in shooting at Pensacola Home Depot The mother of alleged Home Depot shooter Keith Agee was later arrested and charged with principal to first-degree premeditated murder. Here's what we know about the shooting. Who is suspected shooter Keith Eric Agee? Keith Eric Agee, 20, of Calvert, Alabama, was arrested shortly after the shooting and remains in Escambia County Jail without bond. ECSO Sgt. Melony Peterson said the information about the suspect was broadcast on the radio, and soon after Agee called the Pensacola Police Department from a nearby Mellow Mushroom restaurant to turn himself in. He was taken into custody without incident. Sheila Agee, the mother of Keith Agee, appears before Judge Barry Dickson during a video hearing at the M.C. Blanchard Court House in downtown Pensacola on Thursday, Aug. 17, 2023. Agee is charged with principal to first-degree premeditated murder of Brooklyn Sims at the Pensacola Home Depot on Aug. 11. Who is Keith Eric Agee's mother, Sheila Annette Agee? Sheila Agee is Keith Agee's 50-year-old mother who, according to the ECSO, allegedly helped her son kill Brooklyn Sims, a RGIS Inventory Services employee contracted to work with Home Depot. The mother allegedly texted her son that if he didn't kill Sims, then he was "a mf b**ch." She also allegedly told Keith Agee to be careful when he kills Sims "as long as you don't shoot me." She was arrested in Alabama Monday night and was extradited to the Escambia County Jail Wednesday morning. She was denied bond during a hearing Thursday. What are Keith Agee and Sheila Agee's charges? The Office of the State Attorney charged Keith Agee with one count of first-degree premeditated murder and two counts of aggravated battery with a deadly weapon. The murder charge is a capital felony, which under Florida law requires a minimum mandatory sentence of life in prison if he's convicted. Keith Agee also faces up to 15 years in prison for each aggravated battery charge. Sheila Agee has not been formally charged by the OSA, but the ECSO is holding her in custody on one count of principal to first-degree premeditated murder. Under Florida law, the principal theory can be liable for another person's crimes in certain circumstances. Under the theory, a person can be treated as if they've done all the things charged if the person had a conscious intent the criminal act be done and did some act or said some word which was intended to incite, encourage, assist or advise the other person or persons to actually commit the crime. It is unknown if Sheila Agee will be charged as a principal to her son's aggravated battery charges. What do we know about the victims? Brooklyn Sims died from her injuries at the scene. Two of the deceased womans co-workers were also shot, one person in the hand and one person who was grazed in the back. All three victims are employees of RGIS Inventory Services, a third party company contracted by Home Depot to conduct annual inventory surveys within the store. "We do believe the victim was targeted," said Escambia County Sheriff Chip Simmons. "The other two were a consequence of the tragic incident." What do we know about RGIS? RGIS Inventory Services offers inventory services for retail locations across the world including 43 countries. They also inventory health care, manufacturing and warehouses. RGIS is an acronym for Retail Grocery Inventory Services and performs 174,000 inventories globally per year. This article originally appeared on Pensacola News Journal: Home Depot shooting in Pensacola: Suspect, mom arrested. What we know Scams on Facebook, WhatsApp and Instagram now account for one in six of all crimes recorded in Britain, it has emerged. More than a million people fell victim to fraud on the platforms, all owned by social media titan Meta, in the year to September 2022 representing 17pc of the 6.6 million crimes recorded by police. It means that more crime originates from Meta in the UK each year than from homicide, burglary, robbery and knife violence combined. Big Tech firms have come under fire from experts and campaigners for failing to sufficiently verify the identities of buyers and sellers on their platforms and for turning a blind eye to a vast quantity of scams. Victims of social media fraud can lose thousands of pounds in a single click, duped by romance scams or fake investment opportunities. Banks are only likely to reimburse victims if they can prove that the bank should have flagged the fraudulent payments. Santander, Barclays, Lloyds, Nationwide, TSB and NatWest have criticised Mark Zuckerbergs Meta for not doing enough to stamp out scams and protect users on its platforms. Rishi Sunaks fraud tsar, Anthony Browne MP, told the Daily Mail, which first reported on the figures, the sheer volume of scams showed social media companies needed to do more to help tackle the burgeoning online fraud epidemic. He said: British people are being hit with an avalanche of scams, causing untold financial misery, and the majority is online. The huge tech firms the richest, most technologically advanced companies that have ever existed must protect their users. I am determined to make sure they put systems in place to stop scams. The fraud data comes after Money Saving Expert founder Martin Lewis threatened to sue Facebook last month after a deepfake scam circulating on the platform used his likeness to promote a fake investment scheme. Most common scams Mr Lewis took Facebook to court over scam adverts featuring his name and image in 2019. As part of the settlement, Facebook agreed to launch a new scam advert reporting button and donate 3m to Citizens Advice to deliver a UK Scams Action project. A Meta spokesman said: We recognise the important role we must play in tackling this industry-wide issue. We dont want anyone to fall victim to these criminals, which is why we have systems to block scams and financial services advertisers now have to be FCA [Financial Conduct Authority] authorised. Scammers are using increasingly sophisticated methods to defraud people, which is why we run campaigns on how to spot fraud. Our work is never done and we encourage anyone who spots a scam to report it in a few simple clicks. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. To celebrate their arrival from Egypt when he was 17, Ramy Amirs family threw a party for him and his sister with Arabic food, music and dancing. The party grinded to a halt when cops came to the door over a complaint of loud music. The interaction was unlike any he had seen with police in Egypt, Amir said. Amir joined the Paterson police force in 2007. Today, he is one of nearly 30 Muslim police officers in the Paterson department. Across the state, the number of Muslim officers is growing, especially in North Jersey, where men and women fill ranks from rookie officers to police chiefs. They were professional and polite, Amir recalled. They congratulated me for coming to the States and said to enjoy and have fun, just keep the music down. My father closed the door and ever since that day, I said, 'I want to be like them.' Paterson Police Officer Ramy Amir walks along Main St in south Paterson, NJ on Friday Aug. 4, 2023. He is one of a hand full of Muslim police officers in the Paterson Police Department. Despite these gains, Muslims remain underrepresented in law enforcement across the state. Two Muslim police associations in New Jersey are reaching out to communities, mentoring and holding career focused events, but recruiting remains a challenge. Some Muslims feel mistrust toward police over religious and racial profiling incidents a problem that has stymied police recruitment in communities of color across the U.S. For some immigrant families, law enforcement was seen as an arm of repressive regimes in their home country, or was not a respected field like business or medicine. Today, attitudes are changing as more people embrace public service as a career path, including police work, said Detective Mudduser Malik of the New Jersey State Police. Its evolving into something, where a few members of your community joined up and were able to share their experiences, and its attracting more people to this line of work, Malik said. In Paterson, residents see Amir on patrol, or praying at a Paterson mosque or chatting up Middle Eastern business owners. The community knows him by name. Now, if someone is thinking about [law enforcement] and is seeking a position, they know they have a shot," Amir said. "They know they are wanted." 'Representation is important' New Jersey does not track the religious background of police, but Malik estimates there are about 300 Muslims in uniform. While their presence is not new, he has seen a marked rise in the past five years. Thats especially true in municipalities like Prospect Park, Paterson, Atlantic City and Jersey City and in the Passaic County Sheriffs Office. At least four North Jersey municipalities have had Muslim police chiefs. Malik, who is Pakistani-American, was drawn to law enforcement as a college student working for the Rutgers Police Department. Patrolling campus, he liked that he was able to help people and that no two days are alike. In 2019, Malik co-founded the New Jersey Muslim Officers Society, which he said was the second organization for Muslim police officers in the nation after New York City. The following year, the Muslim American Law Enforcement Association was founded in New Jersey. The organizations promote camaraderie, mentoring, charity and community outreach. New Jersey State Police Trooper Mudduser Malik, center, visits campers at the Boys & Girls Club of Paterson on Tuesday, Aug. 8, 2023. Lt. Kamil Warraich of Asbury Park police, who founded MALEA, said the group also advises members on their rights in the workplace on topics like religious accommodations for beards and hijabs. They educate law enforcement about Islam, and explain to Muslim communities about law enforcement practices, trying to bridge a gap between the two. Representation is important, Warraich said. Its not just to have different colors and shades and religions. You are part of the community, and you represent that community, and you make sure that community is being treated fairly and justly. As more Muslims join police ranks, some have complained of workplace discrimination. Earlier this year, Long Hill settled a discrimination lawsuit with Ahmed Naga, New Jerseys first Muslim police chief, for $600,000. Warraich also sued his employer, alleging he faced anti-Muslim bias and retaliation for speaking up about problems in internal affairs. But officers say such cases are not the norm. Police learn about other cultures by working closely as teams or partners, said Malik. During the Ramadan holy month, his fellow non-Muslim state troopers joined him for suhoor, the pre-dawn meal before the daily fast, and for iftar, the fast-breaking meal after sunset. It was one example of tolerance and comradery within the law enforcement community," he said. At the Passaic County Sheriffs Department, Officer Huda Shalabi is one of several New Jersey cops who wears a hijab, or Islamic headscarf. By joining the police department, Muslims get to break stereotypes and show an image to the public and to fellow officers that they may not otherwise see, said Shalabi, who is Palestinian American. As the first Muslim hijabi officer in my department, it was shocking to all at first, she said. I had a lot of coworkers curious about my hijab. They have learned and now respect what it represents, and it doesnt make a difference. Passaic County Sheriff Officer Huda Shalabi is one of a few Muslims on the force. In Wayne, NJ on Wednesday Aug. 9, 2023. Workplace diversity contributes to better policing, Amir said. In Paterson, colleagues observe him praying or fasting and ask about his traditions, "so when that officer goes to an Arabic home and Muslim home, he will understand why we do certain things, because most likely that conversation was held at some time in the [patrol] car," he said. Rebuilding trust in the community At least 1,700 uniformed Muslim officers serve in the NYPD, said Adeel Rana, a deputy inspector at the NYPD and president of the NYPD Muslims Officers Society. I can go into any precinct and find a Muslim officer, he said. Before, it was a big thing. American cities with large Muslim populations, like Chicago; Dearborn, Michigan; Houston and Baltimore are also seeing an increase in Muslim officers. Some have reached out to Rana for advice on forming their own fraternal police organizations. He hopes to create a national organization for Muslim officers with chapters around the country. New Jersey State Police Trooper Mudduser Malik shows campers the inside of a police car at the Boys & Girls Club of Paterson on Tuesday, Aug. 8, 2023. Law enforcement agencies have had a troubled history with the Muslim community post-9/11, and there's still fear and suspicion within the community over religious and racial profiling. Those concerns peaked in 2011, when news reports revealed that the New York Police Department spied on Muslims where they ate, prayed and shopped in New Jersey neighborhoods. More recently, police brutality cases in largely black communities have also hurt public confidence in police. The presence of Muslims in policing and their work in outreach is key to rebuilding trust, Rana said. We have done so much work to mend those relations or those wounds with the community, Rana said. People in the community know they can reach out to a Muslim officer if they have a safety concern. Groundbreaking: She's the first judge to wear a hijab on the bench in NJ. It's not her only accomplishment Its not an overnight thing. Im not going to say its already complete. A wound if very hard to heal especially if you went through it yourself. But were doing it every day, going out there being in the community and being proactive. Alan Kozrosh, one of the newest members of the Prospect Park Police Department, said anti-police sentiment did not deter him from his dream. He wanted to be a cop since seeing how police helped family members who fell ill during the COVID-19 pandemic. Prospect Park Police Officer Alan Kozrosh looks over a driver's vehicle after making a traffic stop. Thursday, August 3, 2023 Everyone was scared to go into households, but any time someone in my family had a medical issue, cops came in and did what they had to do, said Kozrosh, who came to the U.S. from Syria as a child. Now, Kozrosh is one of seven on a police force of about 25 people. He expects the number will keep growing. "We have done events in town and out of town at a mosque,' he said. "The little kids come up to us. We speak Arabic and it is an encouragement for them. Some may say, I want to be a cop just like them." This article originally appeared on NorthJersey.com: Muslim police officers in NJ filling ranks from rookie to chief After the setbacks last year the Russian army wants to show it has recovered (Alexander NEMENOV) In the Moscow region's Patriot Park, dedicated to the achievements of the Russian army, an officer wearing a green cap stood in front of a captured US MaxxPro armoured vehicle. "It was abandoned on a battlefield because it stopped working," the serviceman told Russian state-run agency TASS. He then turned to a British Husky vehicle, whose windshield was riddled with what seemed to be bullet holes. Around him, more Western military equipment was on display, an opportunity for the Russian army to flaunt its achievements and mock the counteroffensive that Ukraine launched in June. A few metres away, another Russian officer was showing off a French AMX-10 RC and its famously long anti-tank gun. The collection of "trophies" that AFP journalists saw Tuesday also included an Australian Bushmaster Protected Mobility Vehicle, a US M113 personnel carrier and a Swedish CV90 combat vehicle. "A large part" of the show consisted of British equipment, including Husky and Mastiff vehicles as well as a Saxon personnel carrier, according to the defence ministry press service. Former Russian president Dmitry Medvedev, who now deals with questions related to the country's military industry as the deputy dead of the Russian security council, visited the exhibition on Wednesday. "Advanced technologies, that's worth looking at," he said while inspecting a military vehicle purportedly from Australia, according to footage from Russian state-run agency RIA Novosti. Medvedev also looked at items supposed to show the "ideological indoctrination" of the Ukrainian youth, including clothes with national slogans and emblems of the Azov regiment -- considered an extremist organisation in Russia. - 'Ready to share' - The exhibition also featured Ukrainian-made weapons allegedly seized since the start of the military campaign in February 2022. Last summer, the Russian army had already presented equipment it said it brought back from Ukraine. The display is one of the attractions at the Army-2023 Forum, which runs until August 20 and is attended by military delegations from countries deemed "friendly" by Moscow. After the setbacks last year -- with the withdrawal from Kherson and the northern Kharkiv region -- the Russian army wants to show it has recovered. Ukraine launched another counteroffensive in June but its troops are now contending with well-entrenched Russian positions. Ukrainian troops' slow progress provided the Kremlin with a new talking point: the counteroffensive, it says, is a failure. "Ukraine's military resources are almost exhausted," Defence Minister Sergei Shoigu told an assembly of international military officials on Tuesday. He said there was "nothing unique" about Western weapons and that they were not invulnerable to Russian arms on the battlefield. "We are ready to share assessments of the weaknesses of Western technology," Shoigu said. More than 17 months into the offensive, the capabilities of its military-industrial complex is one of the major challenges for the Kremlin. Shoigu said Russia had succeeded in "strongly" increasing its production of armoured vehicles, despite international sanctions. Western representatives accuse former Soviet Republics, as well as China, Turkey and the United Arab Emirates, of importing and then exporting to Russia embargoed equipment that could be used to manufacture weapons. bur/lcm [Source] A mother who lost her Lahaina home and all of her belongings to the Maui wildfires found hope in a single photograph of her late great-grandmother that was found by a stranger miles away on Lana'i beach. Glimpse of hope: Aubrey Vailoces, 36, was at home with her mother, her partner and their three children last week when fire alarms went off as thick, black smoke enveloped their entire neighborhood. In an interview with Good Morning America (GMA), Vailoces said she was not able to carry any of her belongings with her as they rushed to evacuate the area. The family received devastating news the next morning: their whole house had been reduced to ashes. The only thing left from the home was a slightly burned photo showing Vailoces at her high school graduation with her late great-grandmother, who had raised her through childhood in the Philippines as her parents worked in the U.S. The photo was found by a stranger who shared it on social media, where a friend of Vailoces spotted it before alerting her. [The photo] got burned on the side, but my face and her face are just perfect. It wasn't even ruined, Vailoces told GMA. More from NextShark: Lea Salonga to return to Broadway in David Byrne and Fatboy Slim musical Here Lies Love "I don't know if it flew to Lana'i or it went through the ocean tide, no idea, but somehow it made it. It's just like a glimpse of hope. [My great-grandmother] composed this thick album of every birthday, graduations and hospital pictures and whatnot ... and that was the very last page of the album, because that's when I graduated high school and I was about to move to America with my parents." When the photo was found, Vailoces also realized that everything from her familys house was gone as the picture was stored in a very thick [photo] album under the girls' bed, on the second floor. Aside from destroyed houses, Vailoces noted that her daughters school and her jewelry making business were also demolished in the fires. She worries that people outside of Maui will forget about the tragedy in a few days, leaving the community with 10 years of rebuilding. However, she holds onto the piece of her late great-grandmother as a sign of hope. My great-grandmother is so good at directing me with my life, so I think she somehow just gave me that very last picture just to give me hope that we'll make it, even if everything is gone, Vailoces said. More from NextShark: Reports: CEO who fired over 900 employees via single Zoom call has history of fraud, mistreating workers Death toll update: As of Monday evening, officials announced that the number of confirmed deaths had risen to 106. The Maui wildfires are described as the deadliest in the nation in more than a century with losses approaching $6 billion. The death toll is still expected to rise as more than 1,000 people remain missing and only 32% of the affected areas have been searched. Maui Police Chief John Pelletier hopes that 85% to 90% of the affected areas will have been searched by the weekend. Wildfires status: According to officials, the Lahaina fire is 85% contained and the Upcountry fire is 75% contained as of Tuesday. Although not fully extinguished, the County of Maui also reported the Pulehu/Kihei fire to be 100% contained. More from NextShark: Half Moon Bay farm workers resume jobs amid labor conditions probe sparked by shootings Bidens visit: President Joe Biden, who has been criticized for his lack of public response to the wildfires, and First Lady Jill Biden will be visiting Maui on Aug. 21 to meet with first responders, survivors and officials. On Tuesday at a speaking engagement in Milwaukee, the president mourned the loss of life and generations of native Hawaiian history turned into ruin, noting that Hawaii will have every asset they need for ongoing recovery and rebuilding efforts. Every asset they need will be there for them. And well be there in Maui as long as it takes, as long as it takes, and I mean that sincerely, Biden said. More from NextShark: These Studio Ghibli humidifiers are a cute remedy for all the dust spirits clogging your sinuses Christopher James Celestine Jr., 17, died on March 19 after being shot once in his torso. Christopher Celestine Jr. was Laquanda Jackson's first of her three children, a leader, responsible, respectful and, most of all, intelligent, she said. Jackson spoke about her son Wednesday during a victim impact statement in the 9th Judicial District Court. Celestine was 17 when he died on March 19, 2022, shot during a drive-by shooting in Alexandria that was targeting someone else. Eric Duane Macon Jr., 26, pleaded guilty to a charge of manslaughter on April 10. But Wednesday was the day for Celestine's mother to talk about her son before Macon is sentenced on Aug. 30. She recalled the phone call she received the night her son was shot, saying it changed not only her life but those of everyone in her family. Just earlier that day, they had gone shopping for a car for Christopher. He had saved $3,000 for it after working since age 15, and Jackson said she told him she'd match that. But he never got to drive it, just like he won't get to go to college or join the Army, she said. Christopher Celestine Jr. death: Court documents say Alexandria teen killed in March 2022 shooting was innocent bystander Eric Macon pleads: Alexandria man pleads guilty in shooting death of ASH student, 17 Celestine was with some friends on Fred Loop on that evening. A girl upset about a shattered window in her mother's car from a paintball war between teens earlier in the day had called a friend to tell him about it. That friend was in a car and, while on speakerphone, they heard the name of a teen with her whom they didn't like. She was warned in a separate call to hide behind a car. A few minutes later, shots were fired from a car driving by. Celestine was hit once in his torso and later died. A witness said he was trying to protect the girl. Macon was driving the car, while three juveniles inside it were the ones who fired shots. One juvenile, 15 at the time, is being tried as an adult on a charge of manslaughter. His trial is set for Dec. 4. A collage of photos shows Christopher Celestine Jr., who was killed at age 17 in a drive-by shooting in Alexandria. Jackson described her son as full of life and said he "enjoyed every moment." Losing him has made her overprotective of her other two children, constantly worrying about their safety. "That's not the way they should live their lives," she said. Jackson said Macon might not have shot her son, but he was the adult in the car with three kids who did fire. She said he left the scene and tried to evade police and he should have been the one to keep the juveniles in line that night. After being asked by Rapides Parish Assistant District Attorney Lea Hall about how her son's death had changed her life, she said she had a panic attack on Monday. And any unexpected phone call brings back memories. She said it hurts to see her son's classmates go off to college, and she remembered how her son used to inspire other students to go to school. He wanted to change the world, she said. "It's hard," she said. She urged parents to tell their children that, if they fire a gun, anyone can get hurt. And she said she would continue to advocate for her son. "He didn't deserve that," said Jackson. "We pass by his bedroom," she said of her and her children. "We think about it." She hasn't been able to change or clean out that bedroom yet, not even to empty a bag of dirty clothes from the last trip he took before he died. "I know it has to be done, but ..." This article originally appeared on Alexandria Town Talk: Christopher Celestine's mother talks about how losing him changed lives Charlie Gibson, who with his wife, Marcia, owns Pheasant Court Wineries in Philomath, Oregon. The winery has filed a federal lawsuit challenging an Iowa law that allows Iowa wineries to engage in direct sales to customers, but requires out-of-state wineries to use a distributor. If you're an Iowa wine drinker, Pheasant Court Winery would like the opportunity to sell you its wares. The small Oregon winery producing about 300 cases of wine annually, according to court filings markets its products directly to retailers and restaurants. But not in Iowa, where, unlike in-state wineries, Pleasant Court is required by law to go through a middleman wholesaler. Now the company is suing to overturn those laws, arguing that granting special distribution privileges to Iowa wineries is discriminatory and illegal under the U.S. Constitution. More: SingleSpeed Brewery opens in Des Moines with two patios, fire pits and a beer just for DM "The prohibition against self-distribution by out-of-state wine producers discriminates against out-of-state entities, protects the economic interests of Iowa wholesalers, and shields Iowa wineries from interstate competition in violation of the Commerce Clause of the United States Constitution," says the complaint recently filed in federal court. Iowa isn't unique in its alcohol distribution laws. In fact, several similar lawsuits are pending against other states. They're all tied to an Indianapolis lawyer who's been fighting this issue for a quarter century, all the way up to the U.S. Supreme Court and back and who is representing Pheasant Court, too. "This is a critical case in the area of federalism, the relationship between state and federal government," attorney Bob Epstein told the Des Moines Register. Pheasant Court Winery's tasting room in Philomath, Oregon. What does Iowa law say about wine distribution? Iowa's more than 100 wineries can apply for what is known as a class A permit, which permits them to "self-distribute" their wines to retailers, restaurants and other buyers. Wineries without this permit must instead distribute their wines through a third-party wholesaler. Class A manufacturing premises must be within Iowa, and applicants must be of "good moral character," which Iowa law defines among other requirements as being a resident of Iowa. The law furthermore reduces the permit fee to $100 from $750 for a winery in Iowa, with Iowa owners, that uses native fruit. The complaint notes that self-distribution has advantages: It allows a winery to sell its wine even if it cannot find a willing wholesaler, to maintain control of its own pricing, marketing and delivery decisions, and avoid the wholesaler's fees. A long-standing legal campaign Pheasant Court's lawsuit was filed July 24. On June 20, a New York distiller filed a similar lawsuit challenging Washington liquor distribution laws, and on July 31, two Washington beer companies sued Maryland over similar rules. All three complaints are signed by Epstein, who before joining the bar the association for licensed lawyers, that is wrote a wine column for the Indianapolis Star. In an interview, he said he's been fighting similar rules across the country since 1998. "Basically these cases are all based on discrimination. In Iowa, a winery can directly deliver to a retailer in Iowa, and we claim an out-of-state winery cannot self-ship and deliver directly to a retailer," Epstein said. "We would call that discrimination." From 2021: The Iowa State Fair accepted $100,000 from beer wholesaler. The supplier got in legal trouble. The fair didn't. Epstein believes the Constitution's commerce clause prohibits states from establishing such barriers to trade, and the U.S. Supreme Court has agreed. A lawsuit Epstein brought challenging a similar Michigan law went to the nation's highest court in 2005, resulting in a 5-4 decision that alcohol distribution laws in Michigan and New York illegally discriminated against interstate commerce. Despite this, many states, including Iowa, still have such laws, in part because of the political power and lobbying of wholesalers, he said. Iowa wineries defend current law The state of Iowa has not yet filed its response in court. John Fuller, a spokesman for the Iowa Department of Revenue, declined to comment on the lawsuit because of the pending litigation, and the Iowa Attorney General's Office did not respond to a message seeking comment. Christie Jensen, executive director of the Iowa Wine Growers Association, said her organization is aware of the lawsuit and supports the current law favoring in-state producers. More: So long, Black Velvet. Iowans have a new favorite liquor brand for the first time in a decade There is nothing preventing this Oregon winery from establishing a manufacturing presence in Iowa, obtaining a class A permit and utilizing all the rights and privileges that go along with that permit," she said in a statement. Hy-Vee, which owns Beverage Distributors of Iowa, claimed to be the state's largest, also is monitoring the case and will have more comment as the lawsuit moves through the courts, spokeswoman Tina Pothoff told the Register. Retailers mixed on impact of current law Paul Rottenberg, whose company operates several restaurants and the Gateway Market in Des Moines, said the need to work through wholesalers is an obstacle for many winemakers and retailers. "I think we all know its burdensome," he said. "It negatively affects our pricing compared to some other states, and it affects the variety of product we can get. As a seller, Id love to have direct distribution on all alcohol." Pheasant Court Winery displays some of its products in its tasting room in Philomath, Oregon. But Michael LaValle, owner of The River Center and several other restaurants, was more skeptical of the lawsuit. For small wineries like Pheasant Court, selling directly to consumers is much more lucrative than selling through local liquor stores and restaurants, he said, and those sales via wine clubs and other programs already are legal. He also shares the concern that without the current distribution law, Iowa wineries will be squeezed out. "If you go to wherever wine is cheapest to produce in the world, you could see that wine just being dumped in the Iowa market, and the local Iowa wineries just won't be able to compete," he said. Pheasant Court's lawsuit isn't the first attempt to change Iowa's current alcohol distribution laws, said Jessica Dunker, president and CEO of the Iowa Restaurant Association. In an email, she described the state of the law as inconsistent. "In many cases, its because it has been difficult for Iowa laws to keep up with the rapid pace of change in the industry. In other cases, laws were created to help fledgling Iowa industries, like the early-day wineries, get their footing underneath them," Dunker said. "This is why nearly every legislative session, you see one stakeholder or another, whether its retailers, restaurants, producers or wholesalers, proposing changes in the name of an 'even playing field.'" The Pheasant Court lawsuit argues that when it comes to out-of-state manufacturers, the playing field is anything but even. "If I was an out-of-state winery, Id wonder why I cant sell direct," Rottenberg said. William Morris covers courts for the Des Moines Register. He can be contacted at wrmorris2@registermedia.com, 715-573-8166 or on Twitter at @DMRMorris. This article originally appeared on Des Moines Register: Iowa law illegally favors in-state wineries, federal lawsuit claims A multivehicle collision that included a semi-truck initially blocked both lanes of Idaho 55 near Smiths Ferry on Tuesday night and caused delays for several hours. Idaho State Police said in a news release that three vehicles were part of an accident at around 3:30 p.m. near milepost 98, which is close to the Cougar Mountain Lodge. The semi-truck was loaded with sand; the two other vehicles were a car and a pickup truck that was pulling a trailer with a boat, police said. The semi rolled over and was partially hanging over the embankment into the Payette River, according to police. The unidentified driver of the pickup truck was injured and transported to a hospital by air ambulance, they said. Motorists were asked to find alternate routes from the main thoroughfare between Boise and McCall or adjust their travel plans because the highway could be closed at least two to three hours, the release said. The northbound and southbound lanes were initially closed, the Valley County Sheriffs Office said in a Facebook post, before shifting to alternating traffic in each direction by 6:15 p.m. The highway was fully reopened after 11 p.m., the sheriffs office said in an update late Tuesday. The sheriffs office assisted Idaho State Police with the collision, which was still under investigation. The Cascade Fire Department also was at the scene, according to the Facebook post. The accident occurred just south of the construction zone for the ongoing Idaho 55 road-widening project near Smiths Ferry, Mollie McCarty, an Idaho Transportation Department spokesperson, told the Idaho Statesman by phone. Reporter Kevin Fixler contributed. Alex Murdaughs best friend and accomplice suspended Beaufort lawyer Cory Fleming was sentenced and taken to federal prison today for his role in at least two of Murdaughs alleged financial schemes. During a sentencing hearing in Charleston Tuesday (Aug. 15) Fleming, 54, of Beaufort, was sentenced to nearly 4 years in federal prison after pleading guilty to a federal conspiracy. Fleming was sentenced to 46 months in federal detainment, followed by three years court-ordered supervision and probation, $102,221.90 restitution and a $20,000 fine. He chose to self report immediately and was taken into custody by U.S. Marshals. In a previous plea agreement, Fleming admitted that from around March 2018 until at least October 2020, Fleming conspired with fellow former personal injury attorney Murdaugh to defraud the estate of Murdaughs former housekeeper, Gloria Satterfield, and to obtain money and property from the estate by means of materially false and fraudulent pretenses, stated the U.S. Attorney Generals Office. In February 2018, Murdaughs housekeeper passed away after a fall at Murdaughs home. Murdaugh recommended that the housekeepers estate hire FlemingMurdaughs best friend, and godfather to at least one of his childrento represent them and file a claim against Murdaugh to collect from his homeowners insurance policies. Cory Fleming, at left, with defense attorney Deborah Barbier, stands in the Hampton County Courthouse Friday for a status conference hearing on his criminal charges. Murdaughs insurance companies settled the estates claim for $505,000 and $3,800,000, after which Murdaugh and Fleming stole the money. In addition to the Satterfield scheme Fleming admitted to in his guilty plea, Fleming was held responsible at sentencing for the theft of settlement funds from a Murdaugh client whose son passed away after being rendered a quadriplegic in a car accident. Specifically, prosecutors alleged that Fleming used $8,528.46 of the estates funds to issue two checks to pay for a private plane to attend the College World Series with Murdaugh. He wrote a $4,560 check from the estates trust account directly to Murdaugh claiming the funds were legitimate expenses, and used $89,133.44 remaining in the trust account to issue a check for Murdaughs benefit rather than properly disbursing the funds to the estate. Flemings attorney Deborah Barbier did not immediate return messages seeking comment. Victims attorneys respond to Flemings sentence Ronnie Richter, attorney for the Satterfield family and estate, commented on the justice served to a disgraced lawyer who got away with criminal actions for a while, but ultimately met with justice, saying Crime always runs ahead of justice, but crime is a sprinter and justice is always built for the long run. I have mixed feelings about Fleming getting sentenced, said Richters partner, Eric Bland. One the one hand, I am happy that a lawyer, who put himself over the needs of his clients and betrayed their trust, is held accountable. On the other hand, I am sad because Cory Flemings actions stained our profession and caused lasting damage. But in the end, justice was done and our system worked. Attorneys like Mr. Fleming will continue to pay the price for their criminal acts. Rule of law will inevitably win. You cant outrun it forever. Federal prosecutors comment on Flemings sentence Cory Fleming was trusted by clients who had suffered tragic losses, and he abused that trust for his own personal gain, said U.S. Attorney Adair F. Boroughs. His theft of their settlement funds undermines the publics confidence in our legal system, and we appreciate the partnership of the Federal Bureau of Investigation, South Carolina Attorney Generals Office, and South Carolina Law Enforcement Division in holding him accountable. This sentence sends a clear message that fraudulent financial activities will not be tolerated, and those who attempt to exploit innocent victims to line their own pockets will face severe consequences, Steve Jensen, Special Agent in Charge of the FBI Columbia Field Office said. The FBI remains dedicated to upholding the law and protecting the interests of those who rely on transparent and honest transactions. United States District Judge Richard M. Gergel recommended that Fleming serve his time at Federal Correctional Institute (FCI) Jesup in Georgia, where another Murdaugh accomplice, former banker Russell Laffitte, is also likely to serve his seven years in prison. The case was investigated by the Federal Bureau of Investigation and the South Carolina Law Enforcement Division. Assistant U.S. Attorneys Emily Limehouse, Kathleen Stoughton, and Winston Holliday prosecuted the case. Cory Fleming What's next for Murdaugh accomplice Fleming? Fleming had previously been indicted by the S.C. State Grand Jury on a multitude of related charges. Fleming was accused in both state and federal courts of aiding Murdaugh in the Satterfield insurance fraud case. Fleming has a trial date set on his pending state charges, Sept. 11 in Beaufort County General Sessions Court, but it is possible that he will plead guilty to state charges as well and that trial wont be necessary, say attorneys close to the case. If Fleming does plead guilty to state charges, Judge Clifton Newman, who presided over Murdaughs double murder trial in Colleton County and handed down Murdaughs two life sentences, will also sentence Fleming. During Tuesday's sentencing, Judge Gergel also ruled that Fleming's federal sentence be served concurrently with any state prison time. In exchange for his federal guilty plea, Fleming agreed to pay federal fines and restitution to victims, and to fully cooperate with federal investigators in his own investigation and their pending case against Murdaugh, who was also indicted on federal charges. This article originally appeared on Augusta Chronicle: Prosecutors, attorneys respond to Cory Fleming 4-year prison sentence A Muslim man plans to file a lawsuit this week against a Michigan orchard after he and his family were racially profiled and interrogated by the orchard owner, who bragged about being a bigot and claimed Muslims are always stealing after he barged into and searched their vehicle for stolen fruit. Joe Mahmoud made a lengthy Facebook post Monday about his familys excursion to Erie Orchards & Cider Mill in Monroe County that gained nearly 3,000 shares, over 1,000 reactions, and more than 800 comments by Wednesday afternoon. I wish I didnt have to write this, but yesterdays unfortunate incident compelled me to share my story and ask for your support, Mahmoud wrote. According to attorney Abdallah Moughni, Mahmoud, his wife, who was wearing a hijab, and their three daughters went to the orchard on Sunday to pick apples and buy apple cider, spending a total of around $60. However, Mahmouds daughtersranging from 8 years to 6 monthsalso wanted to pick peaches, but one of the employees at the orchard advised them that all of the peaches were rotten. Nonetheless, Mahmouds daughters wanted the experience of picking peaches regardless of whether they were edible, and the person working told them not to worry about paying for any they found because they probably would have been bad. [Mahmoud] actually had a fairly decent experience until he met with the owner, Moughni told The Daily Beast in an interview Wednesday. Joe Mahmoud and his family picking fruit. Courtesy of Joe Mahmoud Moughni said Mahmoud took the bag of fruit and drove back to the main area of the orchard, when the owner, Steve Elzinga, approached the vehicle. Elzinga, who is white, allegedly demanded Mahmoud pay for the small bag of peaches and opened the backdoor of the vehicle where the kids were and went through the youngest daughters diaper bag to search for more fruit. Mahmoud said that he wouldve been fine with paying for the rotten peaches until he realized the owner was trying to charge the family $58. We had a small bag of fruit which is clearly posted inside as $2.49 a pound, Mahmoud wrote in the Facebook post. I wouldve needed almost 24 pounds of fruit to equal $58!! After telling Elzinga that he didnt want the peaches at all and gave them back, thats when the owner allegedly went on an Islamophobic tirade and Mahmoud began recording. Every Muslim that comes in here steals from me, Elzinga is heard in the video. And thats why youre going to pay. Thats racist, Mahmoud says. Youre a racist. Oh, of course I am, Elzinga chuckles and says he doesnt want Muslims back at his orchard. Then, Elzinga stands by Mahmouds truck seemingly trying to prevent him from leaving after claiming he had called the police. Youre holding me hostage here, Mahmoud tells the owner. Im holding you until you pay your bill, Elzinga responds. My client was held up for 45 minutes trying to leave, Moughni told The Daily Beast. He was being berated for the whole 45 minutes in front of his kids with these racist remarks... and at one point, when my client was trying to back up, [Elzinga] pressed his body against the car to prevent [Mahmoud] from leaving. So, [Elzinga] truly falsely imprisoned him. In an interview with local outlet ABC 7 Detroit, Elzinga said he had issues with Muslims who didnt pay and was frustrated at the time. He also said he wasnt ashamed of the comments he made in Mahmouds video. However, in a separate interview with Fox 2 Detroit, Elzinga changed the tune to his very Islamaphobic song. I blew it. Obviously, a lot of my customers are Muslims, and they are not all thieves, he told the outlet, but accused many of thinking they should get free fruit. I was at my wits end, and I said things that I want to apologize for, Elzinga added. I dont mean all Muslims are bad. Many of them are my friends and long-term customers. The orchard owner also claimed he felt threatened and was scared for his life because Mahmoud told him he had a gun in the car. However, Moughni said his client did not have a gun in the car, didnt do anything to make Elzinga feel as if he had one, and did not make any sort of threatening remarks. Elzinga did not immediately return The Daily Beasts requests for comment Wednesday. Since the ordeal, the Facebook page for Erie Orchards & Cider Mills has been removed, and its Yelp profile has been bombarded with negative reviews. It just seems like [Elzingas] very prideful and boastful about what he did, Moughni said, adding that Mahmoud wants the family to receive therapy after the traumatizing incident. [Mahmoud] was calm because he was a little scared. He felt if he said the wrong thing, if he made the wrong move, this guy could have possibly done something awful to him, even killed him in front of his family, Moughni said. So, for the safety of his family, he truly stayed as calmly as possible while this man was berating him, and we could have a totally different headline right now if he acted any differently. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. The News Chinese Defense Minister Li Shangfu said Tuesday that Beijing was committed to deepening its defense cooperation with Iran and Belarus both Russian allies who are playing critical roles in aiding the Kremlins efforts in Ukraine. The remarks were made during the Moscow Conference on International Security, which took place shortly after Semafor reported that Tehran is preparing to build a drone-production facility in Belarus a move that could significantly enhance Russias ability to attack Ukraine. Know More China is aggressively seeking to expand its military partnerships globally to compete against the U.S., and much of this focus is on Asia, Eurasia, and the far Pacific. The China-led Shanghai Cooperation Organization, which Iran recently became a member of, is one of Beijings primary vehicles to extend its reach. In his speech, Li described Belarus as a soon-to-be member of the bloc. We are committed to promoting peace talks and a great international consensus to solve differences, Li said, whether it is the Afghan issue, the Syrian issue...the Ukraine crisis, or the Iranian nuclear issue. Beijings defense chief also took the opportunity to assert that Taiwan was regarded as Chinas territory, and that any attempt by foreign actors to use the island to control China would fail. Attorneys challenging the constitutionality of New Hampshire's statewide education property tax on behalf of Steve Rand of Plymouth and five other property taxpayers have proposed a settlement that would resolve the issue without further litigation apart from acknowledging the offer the state has not responded. The so-called SWEPT was introduced in 1999 as a means of meeting the states obligation to fund an adequate education with taxes uniform in rate and equal in valuation throughout the state. But in a number of municipalities with relatively high equalized property valuations, the tax raises more revenue than required to defray the cost of an adequate education. Since 2011 those municipalities have been entitled to retain the excess while in other, smaller communities, the Department of Revenue Administration sets negative local school tax rates to offset the SWEPT. Natalie Laflamme, representing the plaintiffs, said, The state has not offered a defense to these practices in the face of the plaintiffs constitutional claims that state taxes must be uniform across the state. Instead, she added the state clams it would be too disruptive to correct the practice. The so-called SWEPT was introduced in 1999 as a means of meeting the states obligation to fund an adequate education with taxes uniform in rate and equal in valuation throughout the state. The settlement, Laflamme said, provides a clear timeline for the state to come into compliance. It proposes the state cease setting negative tax rates at the beginning of the next tax year, on April 1, 2024, and require all excess SWEPT funds be remitted to the states Education Trust Fund at the start of the following tax year on April 1, 2025. The plaintiffs argue the constitutionality of the tax hinges not on its nominal rate but on its effective rate, which represents the actual burden and practical effect on taxpayers. The SWEPT, they claim fails that test. Wide-ranging impacts The plaintiffs submitted data measuring the difference between the equalized SWEPT rate and the rate required to fund an adequate education in the 34 municipalities that retained excess SWEPT in 2021-2022. Equalized SWEPT rates ranged from $1.13 per $1,000 valuation in Sugar Hill to $1.53 in Hales Location. But the rates required to fund an adequate education, which the state constitution requires be uniform throughout the state, were less than the equalized rates. The difference between the two rates funds the excess SWEPT. For example, the effective rate to fund an adequate education is 28 cents in New Castle, 40 cents in Newington, 44 cents in Moultonborough, 51 cents in Lincoln, 59 cents in Center Harbor and 63 cents in Waterville Valley. The effective equalized SWEPT rate in three of the five plaintiffs towns matched the equalized rate $1.56 in Plymouth, $1.48 in Hopkinton and $1.35 in Newport. While the SWEPT is a fraction of property tax bills, its effect is significant. In Newington, a median-priced home of $450,000 is taxed at 40 cents per $1,000, or $180, in SWEPT while a comparable home in Hopkinton is taxed at $1.48 per $1,000 or, $666 over three times more. According to the education funding plan enacted by the Legislature earlier this year, 43 municipalities will retain $27.3 million in excess SWEPT revenue and negative tax rates will be set in seven towns and unincorporated places. There is no question that the states actions have violated the rights of the plaintiffs and countless other taxpayers around New Hampshire by giving some property owners special treatment, Laflamme said. She noted that settlement of the issues arising from the litigation requires the approval of the Legislature and encouraged citizens to contact their representatives and senators. Meanwhile, both the plaintiffs and the state have filed pending motions for summary judgment on the question of the constitutionality of the SWEPT. In July, Rockingham Superior Court Justice David Ruoff said he thought the question is ripe for a summary judgment one way or the other, explaining that there is no factual dispute about how the tax is calculated, applied and collected. Ruoff also presides over the trial in the school funding suit brought by the ConVal School District, which ended in May, as well as the suit challenging the school funding system brought by Rand and five other property taxpayers scheduled for trial on Sept. 25. And the state recently filed a motion for summary judgment in the Rand case. Last week, after earlier indicating he expected to rule on the ConVal case and SWEPT issue in the Rand case by the first two weeks of September, he stayed the trial in the Rand case pending his rulings in ConVal and the SWEPT question. These articles are being shared by partners in The Granite State News Collaborative. For more information visit collaborativenh.org. This article originally appeared on Portsmouth Herald: NH school-funding suit plaintiffs offer settlement Children sing in a Koranic school in Niamey, Niger, Wednesday, Aug. 16, 2023. Nigeriens are preparing for a possible invasion by countries in the region, three weeks after mutinous soldiers ousted the nations democratically elected president. (AP Photo/Sam Mednick) NIAMEY, Niger (AP) Insurgents killed 17 soldiers and wounded nearly 24 in the first major attack in half a year against the army in Niger, where Western powers fear a coup by the elite presidential guard last month is weakening a rare ally against jihadi violence in West Africa's Sahel region. Niger was one of the last democratic countries in the region south of the Sahara and France and the U.S. have about 2,500 military personnel there who were training Nigers forces. France also conducted joint operations with its former colony, but since the coup Paris and Washington have suspended military operations, giving the jihadis more breathing room. A military detachment was attacked Tuesday afternoon as it moved between the villages of Boni and Torodi in the Tillaberi region, the Ministry of Defense said on state television Tuesday. The wounded were evacuated to the capital, Niamey. It was the first major attack against Nigers army in six months, a worrying sign of possible escalation, said Wassim Nasr, a journalist and senior research fellow at the Soufan Center, a think tank. What we are witnessing today is both jihadi warring factions, the Islamic State group and (al-Qaida affiliate Jamaat Nusrat al-Islam wal-Muslimin), marking their territory because of the security void caused by the coup. This definitely should be seen in the context of the ongoing war between the two groups, he said. Neighboring countries are threatening military action against the coup, whose supporters said Wednesday that they would register volunteers to fight and help with other needs so the junta has a list in case it needs to call on people. One organizer, Amsarou Bako, claimed that the junta is not involved in finding volunteers to defend the coup, although it is aware of the initiative. It's not clear how real the possibility of regional conflict is. Many Bazoum supporters have been silenced or gone into hiding, and rallies to support the president are quickly shut down by police. Several ministers and politicians from deposed President Mohamed Bazoum s regime have been detained since the coup, with human-rights groups unable to access to access them. The West African regional bloc, ECOWAS, says it has activated a standby force" to restore order in Niger. Bako, one of the founders, told The Associated Press on Tuesday that a recruitment drive will launch Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin, two countries that have said they would participate in an intervention. Regional tensions are deepening as the standoff between Niger and ECOWAS shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Last week the junta said it was open to dialogue with ECOWAS after rebuffing the bloc's multiple efforts at talks, but shortly afterwards charged Bazoum with high treason and recalled its ambassador from neighboring Ivory Coast. Analysts say the longer the coup drags on, the less likely an intervention will occur as the junta cements its grip on power, likely forcing the international community to accept the status quo. United States Secretary of State Antony Blinken said on Tuesday there was still room for diplomacy to return the country to constitutional rule and said the U.S. supported ECOWAS dialogue efforts, including its contingency plans. The new U.S. ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to a U.S. official. The United States hasnt had an ambassador in the country for nearly two years. Some Sahel experts say this has left Washington with less access to key players and information. While regional and western countries scramble for how to respond, many Nigeriens are convinced they'll soon be invaded. The country of some 25 million people is one of the poorest in the world and residents are hoping the new regime will set the nation on a new path. In Niamey Wednesday, eager locals said they'd do what it took to defend the country. My children and I love these soldiers and I invite young people to join the army and develop our country and our villages," said Amadou Hawa, a Niamey resident who lives in a shanty town on the side of the road. The details of Niger's volunteer force are still vague, but similar initiatives in neighboring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organizing Nigerien volunteers, said Niger's situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers ... The difference with us is our people will fight against an intrusion, he said in English. ___ Associated Press reporters Dalatou Mamane in Niamey and Matthew Lee in Washington, D.C. contributed The Supreme Court of New Jersey on Monday sided with a Catholic school that fired a teacher in 2014 because she became pregnant while unmarried, according to court documents. Victoria Crisitello began working at St. Theresa School in Kenilworth as a toddler room caregiver in 2011. She was approached about a full-time job teaching art in 2014, court documents show. During a meeting with the school principal about the position, Crisitello said she was pregnant. Several weeks later, Crisitello was told she'd violated the school's code of ethics, which required employees to abide by the teachings of the Catholic Church, and lost her job. Crisitello filed a complaint against the school, alleging employment discrimination in violation of New Jersey's Law Against Discrimination, which prohibits unlawful employment discrimination based on a number of factors, including an individual's sex (including pregnancy), familial status, marital/civil union status, religion and domestic partnership status. But in a unanimous decision, the state Supreme Court ruled the firing was legal because the law provides an exception for employers that are religious organizations, allowing those organizations to follow "tenets of their religion in establishing and utilizing criteria for employment." "The religious tenets exception allowed St. Theresa's to require its employees, as a condition of employment, to abide by Catholic law, including that they abstain from premarital sex," the justices ruled. A spokesperson for New Jersey's Office of the Attorney General said that while the decision was disappointing, the office was "grateful that its narrow scope will not impact the important protections the Law Against Discrimination provides for the overwhelming majority of New Jerseyans." Peter Verniero, an attorney representing the school said, "We are pleased that the Supreme Court upheld the rights of religious employers to act consistent with their religious tenets, and that the Court found that St. Theresa School did so here. Equally important, the Court found no evidence of discrimination in this case. This is a significant validation of St. Theresa School's rights as a religious employer." Similar cases have been heard at the federal level. In a 2020 decision in Lady of Guadalupe School v. Morrissey-Berru, the U.S. Supreme Court ruled that certain employees of religious schools couldn't sue for employment discrimination. ACLU-NJ Director of Supreme Court Advocacy Alexander Shalom said he was disappointed by the decision in the New Jersey case. "While we recognize that the United States Supreme Court's prior decisions provide broad latitude to religious employers regarding hiring and firing, we believe the NJ Supreme Court could have, and should have, held that a second grade art teacher was entitled to the protections of the Law Against Discrimination," Shalom said. Fulton County DA Fani Willis aims to try Trump, 18 co-defendants at once Activists speak after winning Montana climate case Maui wildfires destroy sacred Indigenous sites Haitis president had been assassinated three months earlier, leaving a cloud of uncertainty not only over who did it, but over the future of a country overrun by gangs and with no elected leader, working judiciary or functioning Parliament. Its the kind of powder keg that would make some foreign diplomats think twice about wanting to be posted to Haiti. But for Sebastien Carriere, Canadas ambassador to Port-au-Prince, whose previous experience involved working on the Haiti desk in his countrys foreign ministry, it was quite the opposite. He was all in. Two years later, with the presidents murder still unsolved and gangs running amok as they become increasingly more powerful and independent, Carriere is now leaving. His tour of duty is up, and though the country today is even more chaotic than when he arrived, he said, there is reason to be optimistic, even if so much seems bleak. My Brazilian counterpart asked me a few weeks ago, are you more optimistic or pessimistic now than you were when you when you arrived in 2021? he said. Ive been reflecting on this question ever since. The short answer is that its not a simple yes or no. There is a lot, he says, that gives him hope. Theres the different dynamic, he says, that is taking shape in the international communitys response to the Haitian crisis, and the resilience, the courage and the dignity of the Haitian people that I admire and will cherish... for the rest of my career. But there are also the unfathomable horrors that have come with a country on the brink of anarchy, struggling to overcome one of its most challenging periods in histories. Its really hard not to be pessimistic, he concedes, at least for the short term, living here and seeing how the Haitian people are suffering. Gang-orchestrated kidnappings, killings and sexual violence have escalated and tens of thousands of Haitians 195,000 according to a recent Human Rights Watch report have been internally displaced from their homes in just the last two years. Last month, increases in gang violence led the U.S. embassy in Port-au-Prince to order the departure of non-emergency personnel and the evacuation of U.S. citizens. Both the U.S. and Canada also reissued travel warnings, reminding citizens to avoid all travel to Haiti. The security situation, the Canadian government said, remains volatile. The things that are happening in the lawless areas around the capital are unfathomable, the ambassador said. Seeing that every day and seeing that actually get worse... all of these things make it very hard to say that Im more optimistic. This situation is on a downward trend and the Haitian people are suffering because of it more and more. Canadas ambassador to Haiti, Sebastien Carriere, left, visiting an agricultural project in Les Cayes in southwestern Haiti in December of 2021. Canada has almost doubled its assistance in the last year, earmarking more money for humanitarian projects as the number of people in need of assistance rose from 4.6 million in 2021 to 5.2 million today, Carriere said. There is also more money being set aside for the Haiti National Police, which Canada helped rebuild along with the United States, France and the United Nations after it was decimated during the last political crisis about 20 years ago. In March, Canadian Prime Minister Justin Trudeau announced that his government would provide 100 million Canadian dollars, about $74 million U.S., for the Haiti National Police. Trudeaus announcement came on the heels of U.S. pressure for Ottawa to lead a multinational force into Haiti a request the government of Kenya said its now considering taking on after Canada showed no public interest in doing so. One thing were trying to do is work with the HNP to augment their capacity to train recruits. But thats not something you can do overnight, Carriere said. Were exploring all kinds of scenarios with the HNP, so that they can they can turn out more police officers and keep them, he said. We have to stabilize the [Haiti National Police] and I think if this Kenya initiative can materialize, that will go a long way toward that goal. On Monday, U.N. Secretary-General Antonio Guterres wrote to the Security Council offering options on how the global agency could help Haiti return to law and order. His options involve the deployment of a multinational force and Haitians reaching a political accord that could eventually return the country to democratic order. Carriere says Guterres proposal is among the examples of how the international community, including Canada, is working differently to respond to the Haitian crisis. Theyve realized, he believes, that the heavy-handedness from Ottawa or Washington doesnt pay off in Haiti in terms of results on the ground. I dont think theres a short-term fix here, Carriere said. I think a lot of us have come to the realization that we need to do things differently when talking about this. Welcoming the Kenya consideration, Carriere, 49, said he appreciates the sincere quest for a new model for assisting Haiti, with its security problem. The next four weeks are going to be very important for Haiti. We have the Kenyan delegation coming next week, Im told. Then, after Labor Day, well be headed into the U.N. General Assembly, and Security Council debates in-between the mission and the high level week, he said. With Guterres calling for countries to contribute military and police to the multinational force, it remains unclear if or how Canada will contribute. Ottawa is first waiting to see what the mandate of the security mission will be, should Kenya agree to lead it, and then what kind of support it will need. Weve offered to play a role in terms of coordination, Carriere said. Were already doing that with about 20 countries, and having monthly virtual meetings to better coordinate everybodys assistance to the HNP... because one of the problems weve had here is not great donor coordination when it comes to Haiti. So we can offer some value with that. One frustration has been the lack of a political accord in Haiti, which Carriere has long argued is needed to instill confidence in foreign governments that want to help. Prime Minister Ariel Henry and opposition groups have been negotiating for more than 10 days, and while Carriere says he is encouraged that discussions are continuing, it is still unclear if the talks will end with a deal. Haiti will have to change; its political class will have to change, its economic elite will have to change, theyll have to change the way they do business, Carriere said. With no elected officials in the country, and elections that havent been held since 2017, Carriere said he isnt sure Haitians can lose another opportunity. But regardless of whats decided by Kenya or the Security Council, he said, there needs to be a sense of urgency among Haitis political class. The whole world is going to be looking at Haiti and if what the whole world sees is... negotiations going round and round and no progress, no accord, then the world may take a step back, he said. Canadian sanctions Similarly to the U.S., Canadas foreign policy toward Haiti has changed. It has focused in recent months on the issuance of sanctions against prominent Haitians believed to be supporting gangs. The Canadian list, which includes a former Haitian president and two former prime ministers, has brought criticism from Russias representative to the U.N, and some Haitians that Ottawa and Washington are trying to clear the political field for candidates of their liking. Carriere said nothing can be further from the truth. The sanctions are not politically motivated, he said. The objective of sanctions is to change behavior in the economic elite and parts of the political class that are corrupt and that have worked against improvement of democracy when it gets to impunity. I frankly dont care who the next president of Haiti is, he added. But what I do care about is making sure theres a level playing field in which people can run, and people can actually win an election as opposed to buying an election. He believes that the Haitian political class and some of the international partners, including Canada, have come to realize that going all in with whoever won the latest election or whoever has the most chance of winning the next election and just supporting them, has not really yielded great results. In his next job, Carriere will be posted in Ottawa. He will be chief of protocol for the governor general and the prime minister, while continuing to represent Canada with the International Organization of La Francophonie. Its diplomatic work, he said of his chief of protocol post. Its visits, events, receptions, meetings, but you get to sleep in your own bed every night. Still, there has been nothing like living and breathing the Haiti experience in his countrys imposing embassy on a busy street in the Delmas neighborhood of metropolitan Port-au-Prince. His one regret is not being able to visit more Canadian projects around Haiti. We have multiple small projects, scattered all over the country, he said. Those visits are always important for the community and they really like having the ambassador come and spend time with them. He said he will always cherish his time in Haiti. Its been an honor and a privilege to walk among Haitians in the last two years. The resilience, the courage and the dignity of Haitian people, is something I admire and I will cherish having had the chance to be Canadas ambassador here, he said. I will continue to follow closely from my new job in Ottawa. SEOUL, South Korea North Korea asserted Wednesday that a U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. Its North Koreas first official confirmation of detention of Private 2nd Class Travis King, who entered the North while on a civilian tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. The North Korean official news agency, KCNA, said King told investigators that he had decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. It said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda arm of North Koreas dictatorship and often releases statements and articles carefully calibrated to reflect the governments official line that the United States is an evil adversary. Its virtually impossible to confirm the authenticity of Kings comments reported in North Koreas state media. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how the DPRK chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. Some analysts earlier said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the American human rights issue must be dealt at the U.N. council first, calling the United States the anti-people empire of evils, totally depraved due to all sorts of social evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. North Korea said an investigation into King would continue. It described Kings entry to North Korea as illegal. King, 23, was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. He was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. According to U.S. officials, King who chose to serve his time at a labor camp rather than pay the nearly $4,000 fine has been declared AWOL. The punishment for being away without leave can include confinement in the brig, forfeiture of pay or dishonorable discharge and it is largely based on how long they were away and whether they were apprehended or returned on their own. The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty, and have no diplomatic ties. Sweden provided consular services for Americans in past cases, but Swedish diplomatic staff reportedly havent returned since North Korea ordered foreigners to leave the country at the start of the COVID-19 pandemic. North Korea has previously held a number of Americans who were arrested for anti-state, espionage and other charges. But no other Americans were known to be detained since North Korea expelled American Bruce Byron Lowrance in 2018. During the Cold War, a small number of U.S. soldiers who fled to North Korea later appeared in North Korean propaganda films. U.S. officials have expressed concern about his well-being and said previously that North Korea ignored requests for information about him. SEOUL, South Korea (AP) North Korea asserted Wednesday that a U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. It was North Korea's first official confirmation of detention of Pvt. Travis King, who entered the North while on a civilian tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. The North Korean official news agency, KCNA, said King told investigators that he had decided to enter North Korea because he "harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army." It said King also expressed his willingness to seek refuge in North Korea or a third country, saying he "was disillusioned at the unequal American society." U.S. Army soldier Travis King was returning to the U.S. to be released from the military when he "willfully and without authorization" ran into North Korea. KCNA is a propaganda arm of North Korea's dictatorship and often releases statements and articles carefully calibrated to reflect the government's official line that the United States is an evil adversary. It is virtually impossible to confirm the authenticity of King's comments reported in North Korea's state media. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. "This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative," said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. "As for King's release, his fate rests in North Korea's hands. Perhaps the regime will try to 'bargain' King's life in exchange for financial concessions from the U.S. More than likely, negotiations won't be easy, and terms will be dictated by Pyongyang," she said. Crime: Argument with woman preceded Fort Bliss soldiers' deadly shooting spree, prosecutor says Some analysts earlier said North Korea might try to tie King's release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the U.S., South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Korea's evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as "despicable" and only aimed at achieving Washington's geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the American human rights issue must be dealt at the U.N. council first, calling the United States "the anti-people empire of evils, totally depraved due to all sorts of social evils." In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. North Korea said an investigation into King would continue. It described King's entry to North Korea as "illegal." King, 23, was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. He was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. According to U.S. officials, King who chose to serve his time at a labor camp rather than pay the nearly $4,000 fine has been declared AWOL. The punishment for being away without leave can include confinement in the brig, forfeiture of pay or dishonorable discharge and it is largely based on how long they were away and whether they were apprehended or returned on their own. Military: More federal money likely bound for Fort Bliss in 2024 National Defense Authorization Act The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty, and have no diplomatic ties. Sweden provided consular services for Americans in past cases, but Swedish diplomatic staff reportedly haven't returned since North Korea ordered foreigners to leave the country at the start of the COVID-19 pandemic. North Korea has previously held a number of Americans who were arrested for anti-state, espionage and other charges. But no other Americans were known to be detained since North Korea expelled American Bruce Byron Lowrance in 2018. During the Cold War, a small number of U.S. soldiers who fled to North Korea later appeared in North Korean propaganda films. U.S. officials have expressed concern about his well-being and said previously that North Korea ignored requests for information about him. This article originally appeared on El Paso Times: US soldier bolted after 'mistreatment' in Army, North Korea claims A TV screen shows a file image of American soldier Travis King during a news program at the Seoul Railway Station in Seoul, South Korea, Wednesday, Aug. 16, 2023. North Korea claims King was escaping the U.S. military due to abuse and racism. | Ahn Young-joon, Associated Press Nearly a month after a U.S. solider crossed the border into North Korea without authorization, the country has publicly acknowledged the incident for the first time, confirming the detention of Pvt. Travis King. North Korea claims that King, who served in South Korea before running across the border during a tour of the Joint Security Area, was escaping the U.S. military due to abuse and racism. North Korea publicly confirms incident. What did they say? A report released Wednesday from the official Korean Central News Agency, or KCNA, states that King harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army. The report also claims that King was willing to seek refuge in North Korea or a third country because he was disillusioned at the unequal American society. KCNA is the only news outlet in the country. The Associated Press referred to it as a propaganda outlet that is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. Who is Travis King? King is a private second class and cavalry scout with the 1st Armored Division who was arrested by South Korea for assault and was scheduled to be escorted back to the United States, the Deseret News previously reported. A U.S. official told CBS News that the troop in question was being escorted back to the United States for disciplinary reasons, but after going through airport security, they somehow returned and managed to join the border tour. During the tour, King ran across the border between North Korea and South Korea, and was promptly detained by North Korea. A U.S. Defense Department official told The Associated Press the Pentagon was working through all available channels to bring King back to the U.S. North Korea commented for the first time about a U.S. soldier who ran into the isolated country's territory last month. North Korean state-run media KCNA claimed in a statement issued Wednesday local time that "Travis King admitted that he illegally intruded into the territory of the DPRK," using the initialism for the Democratic Peoples Republic of Korea. Pvt. 2nd Class Travis King, 23, crossed the Demilitarized Zone, or DMZ, the heavily fortified border between North and South Korea, willfully and without authorization in July, Defense Secretary Lloyd Austin told reporters last month. North Korea also alleged that King said he decided to cross into North Korean territory. KCNA said King "confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army." He also expressed his willingness to seek refugee in the DPRK or a third country," the KCNA report says. The claims could not immediately be verified. Pvt. 2nd Class Travis King. (via Facebook) Jonathan Franks, a spokesman for King's family, said his mother, Claudia Gates, is concerned for her son's safety. "Ms. Gates is aware of todays 'report' from KCNA. DPRK authorities are responsible for Travis well-being, and she continues to appeal to them to treat him humanely," Franks said in a statement. "Shes a mom worried about her son and would be grateful for a phone call from him." "Lastly, she has been in touch with the Army this evening and appreciates DoDs statement that it remains focused on bringing Travis home," the statement said. KCNA, officially the Korean Central News Agency, is essentially a mouthpiece for North Koreas authoritarian government. Defense Department spokesman Martin Meiners said, "We cant verify these alleged comments." "We remain focused on his safe return. The Departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome," Meiners said in a statement. North Koreas statement cannot be trusted because the words arent coming from King himself, said Victor Cha, senior vice president for Asia and Korea Chair at the Center for Strategic and International Studies, a research organization in Washington. Once the North Koreans have custody of Private King, we dont know whether his words are true, or whether they are coerced or exaggerated for the purpose of propaganda, he said. The Pentagon said earlier this month that North Korea had offered a very brief response on King to United Nations officials, who administer the area of the DMZ where King dashed across the border. The North acknowledged their request for information about King but did not provide any details about his status. King, who had recently been released from a South Korean prison, was being sent home on U.S. military orders from an airport outside Seoul when he blended in with a group of tourists bound for the DMZ and bolted across the border on July 18, the Pentagon has said. The return of other Americans held in North Korea has usually required a visit by a high-level official or former official from the United States, which does not have official relations with the North. What weve seen in the past with detained Americans is a trial, a show trial, and then some sort of sentence usually involving hard labor and prison time, Cha said. And then the sort of high-politics diplomacy starts to try to get the American out of the country. North Koreas comments on King come days before President Joe Biden is set to host South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida at his Camp David country retreat in Maryland. The growing threat from North Korea is expected to be a major topic of discussion among the three leaders, who are meeting Friday in what the U.S. hopes will be the first of an annual gathering as it strengthens ties with the two major Asian allies. Tensions between North and South Korea are still high 70 years after the Korean War. An armistice signed in 1953 ended the fighting, but a peace treaty has never been signed. North Korea routinely objects to South Korea-U.S. combined military drills, and it has stepped up its weapons testing since early last year, including of intercontinental ballistic missiles that may be capable of reaching the continental U.S. North Korea is also thought to be preparing for its seventh nuclear test, which would be its first since 2017 and like other weapons testing would be a violation of U.N. resolutions. This article was originally published on NBCNews.com North Korea publicly confirmed for the first time Wednesday that Army Pvt. Travis King is in its territory. The state-run Korean Central News Agency (KCNA) said the 23-year-old illegally intruded into North Korean territory when he sprinted across the guarded border between North and South Korea during a tour of the demilitarized zone in July. King allegedly expressed his willingness to seek refuge in North Korea or another country, as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army and was disillusioned at the unequal American society, according to KCNA. However, a Defense Department official said Tuesday that they could not verify Kings alleged comments. We remain focused on his safe return, the official added. The Departments priority is to bring Private King home, and we are working through all available channels to achieve that outcome. The 23-year-old was the subject of disciplinary actions and was supposed to be returning to the U.S. to face charges when he fled across the border into North Korea. The U.S. has been unable to determine Kings location or well-being since he crossed the border, as North Korea has not responded to its requests for information or a dialogue, officials previously said. We dont know where he is, White House National Security spokesperson John Kirby said in late July. We dont know the conditions in which hes living right now. Were still doing everything we can to try to find out his whereabouts, his well-being and condition and making it clear that we want to see him safely and quickly returned to the United States, Kirby added at the time. For the latest news, weather, sports, and streaming video, head to The Hill. North Korea has claimed that American soldier Travis King wanted refuge in another country to escape inhuman maltreatment and racial discrimination in the US and the military. This is Pyongyangs first public acknowledgment of Mr Kings presence in its territory since he crossed from South Korea on 18 July. Mr King was traveling with a tour group to the border between North and South Korea when he ran across and surrendered himself to North Korean forces. He had previously been detained for more than 40 days on an assault and destruction of private property conviction in South Korea. He was scheduled to return home, but skipped on his return trip, after which he fled into the North. During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harboured ill feelings against inhuman maltreatment and racial discrimination within the US army, the state-run news agency KCNA said on Wednesday. He also expressed his willingness to seek refugee in the DPRK (Democratic Peoples Republic of Korea) or a third country, saying that he was disillusioned at the unequal American society. It added that Mr King was kept under control by soldiers of the Korean Peoples Army and they are still probing the circumstances surrounding Mr King. While Mr Kings comments could not be independently verified, his uncle, Myron Gates, told ABC News earlier in August that his nephew was experiencing racism during his military deployment, and after he spent time in a South Korean jail, he did not sound like himself. While the US officials claim that North Korea has so far not given a substantive response to the information request, the Pentagon added that they could not verify Mr Kings statements either. We remain focused on his safe return, a Pentagon spokesperson said. The departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome. Its also an opportunity for the regime propaganda to do its thing to spin the situation in such a way as to criticise the US and express Pyongyangs deep-rooted hostility towards Washington, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. Earlier, Mr King had pleaded guilty to assault and damaging a police car while shouting profanities at Koreans, according to court documents. Beginning on 24 May, Mr King was sentenced to serve in a labour camp at the Cheonan correctional facility, which is intended to house US military members and other foreigners who are convicted of crimes in South Korea. Mr King was slated to face disciplinary action upon his return to his home base at Fort Bliss in Texas. Additional reporting from the wires North Koreas first public confirmation that a US soldier crossed into its territory in July has prompted an appeal from his family to treat him humanely, as questions remain about why he entered one of the most hostile countries on Earth at a time of heightened tensions on the peninsula. US officials say Army Pvt. Travis King willfully and without authorization crossed into North Korea on July 18, while taking a civilian tour of the Joint Security Area (JSA), a small collection of buildings inside the 150-mile-long demilitarized zone (DMZ) that has separated North and South Korea since the end of the Korean War in 1953. There is no physical barrier inside the JSA, and a US official said that after bolting over the demarcation line delineating the border, King tried to enter a North Korean facility but the door was locked. He then ran to the back of the building, at which point he was hurried into a van and driven away by North Korean guards. The US has repeatedly tried to contact North Korea for an update on Kings condition, but has still not received a substantive response, officials told CNN earlier in August. Heres what we know. Who is Travis King? King is a cavalry scout who joined the military in January 2021. At the time of his rotation in South Korea, he was assigned to the 6th Squadron, 1st Cavalry Regiment, 1st Brigade Combat Team, 1st Armored Division out of Fort Bliss, Texas, according to Army spokesperson Bryce Dubee. Just over a week before making his dash across the border, King was released from a detention facility in South Korea, where he had served 50 days doing labor, defense officials told CNN. Picture of Travis King, the US soldier who ran across the military demarcation line into North Korea on Tuesday July 18. - From Travis King/Facebook The day before he crossed into North Korea, King was supposed to board a flight to Texas, where he was to face disciplinary procedures. But after Army escorts released him at a security checkpoint at Incheon International Airport near Seoul, King left the airport on his own. The next day, he joined a tour of the JSA he had previously booked with a private company. US Army Secretary Christine Wormuth told the Aspen Security Forum last month that King assaulted an individual in South Korea and had been in custody of the South Korean government and was going to come back to the United States to face the consequences in the Army. Jaqueda Gates, Kings sister, told CNN on August 2 that her brother is not the type to just disappear. So, thats why I feel like the story is deeper than that, she said, adding: I dont I dont believe that you just do vanished and ran away. The soldiers mother, Claudine Gates, on August 16 asked Pyongyang to treat him humanely and grant him a phone call to speak with her, according to Jonathan Franks, a spokesman for the family. Why does this matter? Relations between the United States and North Korea have been fraught for decades, but things are particularly tense right now. The North has ramped up its nuclear and missile programs in the years following a breakdown in talks between former US President Donald Trump and North Korean leader Kim Jong Un in 2019. Those talks, which spanned three in-person meetings and saw Trump become the first sitting US President to step over the same demarcation line King crossed, ended without any meaningful diplomatic breakthroughs. To date, North Korea has tested intercontinental ballistic missiles three times this year and accused Washington and Seoul of inflaming tensions with military exercises and weapon deployments, including that of a US Navy nuclear-capable ballistic missile submarine to the South Korean port of Busan in July. Last year, North Korea, test-fired more than 90 cruise and ballistic missiles, including one that flew over Japan, in defiance of international sanctions. The uptick in testing has sparked concerns it may be preparing for a potential nuclear test its first since 2017. Kim fired his top general amid a shakeup of North Koreas military leadership and said he wants his army to gird for a war, according to a state-run Korean Central News Agency (KCNA) report on August 10. While the report did not mention the US or South Korea by name, it appeared to refer to them obliquely, saying North Korean officials had analyzed the military moves of the chief culprits of deteriorated situation on the peninsula. What are the risks for Travis King? King, believed to be the first US soldier to cross into North Korea since 1982, is in the hands of a notoriously autocratic and opaque one-party regime that regards the United States as a mortal enemy. What military intelligence value King could provide to North Korea is uncertain. As a private, he would not likely have access to top-level information, but just by being on a US military installation, he may be able to talk about things like base layouts or what units and numbers of troops are there. As a soldier and US citizen, King gives North Korea a potentially powerful bargaining chip though what Pyongyang might demand to return him to US custody is unknown. Pyongyang could also use King for propaganda purposes. In an August 16 report, KCNA claimed King, who is Black, expressed his willingness to seek refugee in North Korea or a third country and that he had decided to enter North Korea as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army. The KCNA report came just two days before a trilateral summit between the leaders of the US, South Korea and Japan in Maryland. The threat all three countries face from North Korea is expected to be high on the agenda. Referring to the KCNA report, a US defense official said Washington cant verify these alleged comments. We remain focused on his safe return. The (Defense) Departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome, the official said. The US does not have official diplomatic relations with North Korea. Instead the Swedish Embassy in Pyongyang acts as a liaison for the US. What has happened in the past? A handful of US soldiers defected to North Korea in the decades after the end of the Korean War, but the most recent instances of US nationals being detained in the country have been civilians sometimes for long periods as US officials try to secure their release and Pyongyang looks to extract concessions. The last American known to be held by North Korea was Bruce Byron Lowrance, who, according to North Korean state media, crossed from China into North Korea in 2018. Pyongyang accused Lowrance of working for the Central Intelligence Agency, but released him about a month after he was taken into custody, with the Swedish Embassy facilitating the release. Perhaps the most well known recent case of an American being held in North Korea was that of Otto Warmbier, a college student who traveled there as a tourist in 2016. His planned five-day stay turned into a 17-month detention after he was accused of trying to steal a political banner from his hotel. Warmbier was sentenced to 15 years hard labor, but was released to US officials in 2017. He died with severe brain damage less than a week after his return, with Washington saying he had been tortured in custody. Perhaps the most infamous case of a US soldier defecting to North Korea was that of Charles Jenkins, a US Army sergeant who crossed into the North in 1965 while stationed at a US military unit near the DMZ. Jenkins later claimed to have regretted his defection and blamed the decision on alcohol. While in North Korea, he appeared in propaganda films, taught the countrys spies English and spent up to eight hours a day studying the writings of North Korean leaders. He was allowed to leave North Korea in 2004, two years after his Japanese wife, who was kidnapped from her home in Japan in 1978 and left North Korea under a deal between Pyongyang and Tokyo. For more CNN news and newsletters create an account at CNN.com [Source] North Korea has confirmed the presence of Pvt. Travis King in its territory, adding that the soldier had sought refuge due to alleged maltreatment and racism he faced in the U.S. Army. What North Korea is saying: King, 23, who crossed the demilitarized zone (DMZ) on July 18, expressed his willingness to seek refuge in North Korea or a third country, state-run Korean Central News Agency (KCNA) reported on Wednesday. The soldier allegedly explained that he made the decision because he harbored ill feelings against inhuman maltreatment and racial discrimination within the U.S. Army. King was kept under control by North Korean soldiers following his crossing, according to KCNA. Investigation into his illegal entry will reportedly continue. Background: King ran past the demarcation line into North Korea while on a civilian tour on July 18. He was scheduled to return to the U.S. a day earlier and face disciplinary action over an assault conviction in South Korea. More from NextShark: Suspect Accused of Punching Korean American Woman at Skate Park in Tustin Faces Hate Crime Charge North Korea remained silent over the matter until Wednesdays report. His mother, Claudine Gates, is now asking Pyongyang to treat her son humanely and would be grateful for a phone call from him, said family spokesperson Jonathan Franks. What his family is saying: Kings family has expressed doubts since the news of his crossing broke out in mid-July. His sister, Jaqueda Gates, told NBC News it all just sounds made up, and that King had shared his excitement to go home. Meanwhile, Kings uncle, Myron Gates, told ABC News that his nephew was experiencing racism during his military deployment, claiming at one point that he said, Theyre trying to kill me. Claudine added that during a phone call, her son repeatedly told her, I'm not the Army soldier you want me to be, before he was taken to a hospital. More from NextShark: Indian Restaurant Destroyed with Racist, Trump 2020 Messages in New Mexico Possible propaganda: Verifying the authenticity of Kings alleged statements is impossible in North Korea, where outgoing information is strictly controlled by the state. One expert slammed KCNAs report as absolute propaganda. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst, told AP News. More from NextShark: Typhoon Hinnamnor: 2 S. Koreans rescued after 12 hours clinging to pipes in flooded car park Enjoy this content? Read more from NextShark! S. Korean intelligence reveals new details about Kim Jong-un's daughter To commemorate the 250th anniversary of the Boston Tea Party northeast Florida high school students are invited to partake in the 2023 high school essay contest. >>> STREAM ACTION NEWS JAX LIVE <<< Students in grades 9 through 12 attending public, private, parochial or charter schools and home-school or virtual students of equivalent grade status are more than welcome to participate. [DOWNLOAD: Free Action News Jax app for alerts as news breaks] Students are invited to submit essays in response to the following: Two-hundred and fifty years ago, American colonists, upset that Britain was imposing taxation without representation, engaged in an act of defiance by dumping 342 chests of tea into the water. The event, which was a major catalyst for the American Revolution, was later dubbed the Boston Tea Party. The concept of no taxation without representation eventually led to the democratic form of government that is embodied in the United States Constitution. While the literal concept of taxation may not directly apply to you, the concept of being governed without a say may resonate. Provide and explain a personal example in which the concept of governance without a say has some applicability to your life, either at home, at school, or in your community. Point to language in the Constitution, including its amendments, that best represents how our system of government is structured to fulfill the promise of no taxation without representationor no governance without a sayand analyze that language in the context of the personal example you identify. 2023 high school essay contest According to information submitted by the Jacksonville Chapter, Federal Bar Association, the contest is now in its 6th year. The essay contest is an important part of courts community outreach to the high schools in the 12 northeastern counties in the Jacksonville Divison. These counties include; Baker, Bradford, Clay, Columbia, Duval, Flagler, Hamilton, Nassau, Putnam, St. Johns, Suwanee and Union. Read: Check school bus delays throughout Duval County To participate, a student must submit a qualifying essay in accordance with the contest rules via the Middle District website which can be found by clicking here. Submissions must be made no later than Noon EST on Sep. 29, 2023. Cash prizes are made possible by the lawyers and judges of the United States District Court, Middle District of Florida, through the Bench Bar Fund. First Place: $2,000.00 Second Place: $1,000.00 Third Place: $500.00 Fourth - Tenth Places, each: $150.00 Classroom Grant for teachers of top three winners (one per teacher): $500.00 Grant to school with the most qualifying submissions: $1,000.00 The contest is supported by the District Judges of the United States District Court, Middle District of Florida and Jacksonville Division. The High School Essay Contest has been an important part of the Courts community outreach, because our democracy demands knowledgeable and informed citizens, United States District Judge Marcia Morales Howard said. Read: This is going to cause quite the stir: DCPS takes first look at pushing back school start times Not only will the top 10 students receive cash prizes but the students and their family members and teachers, and a representative of the school with the most submissions will all be recognized at a ceremony at the Bryan Simson United States Courthouse in Jacksonville on Dec. 6. [SIGN UP: Action News Jax Daily Headlines Newsletter] For the contest rules and additional information about the Essay Contest, including how to submit an essay, visit www.flmd.uscourts.gov under the Court in the Community section. Click here to download the free Action News Jax news and weather apps, click here to download the Action News Jax Now app for your smart TV and click here to stream Action News Jax live. The Scene KANO, Nigeria Hundreds of people filled the streets of Kano, northern Nigerias commercial hub this past Saturday, chanting and singing songs. Some waved the Nigerian flag and others waved the flag of Niger, the neighboring country to the north where a group of soldiers overthrew the president late last month. Many who took part in the march chanted anti-Western slogans in support of Nigers military junta which has defied calls from West Africas regional bloc to restore the democratically elected president, Mohamed Bazoum, or face the possibility of military intervention. The Kano protest was just one of the developments in recent days that have shown the depth of feeling in northern Nigeria where most people are from the Hausa ethnic group, just as they are across the border in Niger. Whereas attempts to meet the junta leader by officials from the Economic Community of West African States (Ecowas) and the United States were rebuffed last week, visitors from northern Nigeria have been granted access, achieving the deepest engagement with the putschists so far. Know More A group of Islamic scholars predominantly from northern Nigeria who held talks with Nigerien coup leader Abdourahmane Tchiani at the weekend issued a statement stating that both parties agreed to intensify the option of dialogue in resolving the political crisis. While tracing the historical ties between the two nations, he [Tchiani] said Niger Republic and Nigeria were not only neighbors but brothers and sisters who should resolve issues amicably, the clerics said in the statement. Days earlier, Lamido Sanusi also met Tchiani. Sanusi, a former Nigerian central bank governor and erstwhile emir of Kano, is the spiritual leader of the Tijaniyah Islamic movement in Nigeria which has millions of followers across West Africa, including Niger. Traders traditionally move back and forth across the border between the two countries, sometimes on a daily basis, contributing greatly to the informal economies of border towns. And it is common to have relatives in the neighboring country. Labaran Jafaru, a traditional leader in Sabon Birni, a border town in the northwest Nigerian state of Sokoto, said people have already begun suffering since the closure of the border between the two countries as part of Ecowas sanctions against Niger. Traders will tell you that their sales have decreased extensively, he said. Hundreds of trucks are trapped in the borders with perishable crops such as onions decaying as entry into either of the two countries has been banned. Alexiss view Northern Nigerias Hausa people have deep cultural, linguistic, religious, and economic ties with their Hausa brethren in Niger that go back centuries further than the border created by colonialists that divides the two countries. Those ties are important and, as the delegation of Muslim clerics and Sanusi showed, they could be invaluable in resolving the impasse that has followed Nigers coup and the threat of military force. The strength of feeling felt in the north is likely to affect any calculations made by Nigerias President Bola Tinubu who, as Ecowas chairman, was the driving force behind the blocs ultimatum for the junta to restore Bazoum or face the possibility of military action. The anger on Kanos streets could spread to other parts of northern Nigeria seven of the countrys 36 states border Niger. The threat of anger spreading is particularly high at a time of growing frustration at the skyrocketing cost of living after Tinubu scrapped the countrys popular petrol subsidy. Against this backdrop, the use of military force would be particularly unpopular, particularly since it would be financially costly due to Nigeria being the biggest funder of Ecowas. Nigeria military is already stretched, contending with the security problems Tinubu, who took office at the end of May, inherited. Those challenges range from the Islamist insurgency in the northeast, to kidnap for ransom by so-called bandits in the northwest, and violent secessionists in the southeast. Nigerias army, which is traditionally dominated by Hausas, will not want to fight people with whom they share strong cultural ties. Northern Nigeria threatens to create a headache for Tinubu who, as the biggest benefactor of troops and funds to Ecowas, will be a key player in the next steps taken by Ecowas. But figures from that part of the country could also play a crucial role in finding a diplomatic solution. Room for Disagreement Just hours after the Nigerian Muslim clerics said the putschists were open to dialogue, Nigers junta announced plans to prosecute Bazoum for high treason, which carries a death sentence if he is found guilty, calling into question their apparent willingness to move forward with negotiations. It represents yet another form of provocation and contradicts the reported willingness of the military authorities in the Republic of Niger to restore constitutional order through peaceful means, ECOWAS said in a statement. The View From Kaduna Shehu Sani, a former Nigerian senator in the northern state of Kaduna, called for more protests in opposition to the threat of military force in Niger by Ecowas. Anti-war protests are what we need now because there seems to be pressure from France and the United States on the Nigerian President and Ecowas to embark on military action against Niger, Sani told Semafor Africa. If France and the United States want to restore order by force they can do that by their own resources. Sani also said any use of military force would destabilize Niger and northern Nigeria, prompting a humanitarian crisis. He pointed to the roughly 300,000 refugees in Niger who fled northeast Nigerias Boko Haram insurgency and could be forced to cross the border again if faced with worsening conditions. Notable Mohamed Kheir Omer, writing in African Arguments, lays out the resource politics that lie behind the Niger coup. Credit - Andrew HoweGetty Images "I do not believe that a long-dead, British guy is the only writer who can teach my students about the human condition," wrote a teacher in the Washington Post in 2015referring, of course, to none other than William Shakespeare. The following year, students at Yale University petitioned to decolonize the literary canon demanding that pre-1800/1900 courses encompass a study of literatures relating to gender, race, sexuality, ableism, and ethnicity. Around the same time, students at the University of Pennsylvania removed a portrait of Shakespeare replacing it with a printed photo of Audre Lorde the Black Feminist poet and novelist in order to protest the overemphasis in English degrees on white male authors What these protests reveal is that the elite exceptionalism that has been built, with Shakespeare as its talisman, can feel oppressive. Because Shakespeare has been the centre of attention for centuries, writers who tell stories about communities other than those of the dominant culture must be found in and extracted from the shadow of the great white Bard, the ancient word for a great poet, applied to Shakespeare 150 years after he died, the work of whom has, for some, grown increasingly irrelevant. The institutionalization of Shakespeare in schools, universities, and theatre, has traditionally meant centering a white, male perspective and preaching that it speaks for everyone; in other words, making it universal. The historical use of Shakespeare as a vehicle for moral and civil education stretches this universal perspective into something akin to a straitjacket. Shakespeares universality has been upheld as a positive force. At the turn of the 21st century, famed literary critic Harold Bloom unashamedly championed Shakespeares universalism, going so far as to claim that Shakespeare invented humanity as we know it. Such commentary hearkens back to exorbitant praise of the playwright, which poured forth in the 18th centuryfrom literary critics, poets, actors, philosophers, and even artists. It was in this century of Enlightenment in England when Shakespeare was finally boosted up on to his towering plinth and from where he has remained ever since. Branding Shakespeare a native genius, god of all our idolatry, and identifying his English roots as the source of his exceptionalism became crucial endeavors in the 18th centurya time when the slave trade and maritime conquest was making the newly United Kingdom one of the worlds wealthiest powers. More From TIME With fortune came high culture and the myth of Shakespeare was placed securely at the apex. His identity as a man of theatre became extracted from his work, and he emerged the literary Goliath of the sacred Western canon. This image of Shakespeare as an Anglo-Saxon paragon of masculinity, humanity, and creativity was gladly transported from England to America in the 18th and 19th centuries. But this Shakespeare is bound up with the colonial project and has yet to be properly untangled from it. His so-called universality, combined with the insistence that we continue to learn from his 400-year-old benign wisdom in our complex modern moment, is beginning to look unstable. So, do we pull him down from his pedestal and say times up? My answer is yes. Sort of. The truth is that there are really two Shakespeares. The first is the real Shakespeare of 16th century London, a a commercially-minded, jobbing playwright who worked closely with a company of actors. Simply put, they needed his work to be popular and marketable. The collected works of Shakespeare, his First Folio, was not published until seven years after he died, which means he was not part of an esteemed literary canon when he was alive. Playwrighting was scrappy back then, the texts fragmented, messy, showing signs of collaboration and intervention, sometimes by royal censors. What emerged though are glorious stories, sublime poetry, and characters that are miraculously true to lifeonce the plays were gathered and sold as works, Shakespeare started to gain traction as a literary giant. By the end of the 18th century, he was properly deified. Read More: Here Are Shakespeare's 15 Most Beloved Quotes The second Shakespeare was the 18th century mascot for English white supremacy. He is also the Shakespeare that is still with us. This is why teachers are struggling to sell him to an increasingly fed-up student bodythe traditional curriculum doesnt allow for much deviation from bardoltry. As Director of Education & Research at Shakespeares Globe Theatre in London, I am sometimes asked why we still push Shakespeare on to young people and university students when he is "no longer relevant." What on earth could a 400-year-old author possibly have to say to a 21st-century teenager? Quite a lot. Shakespeare hailed from the early modern era, when many of the ideologies, political, and social systems we are familiar with in the modern world were forming, including ideas about nature, race, gender, and class. The plays express concern for the destruction of the natural world at the cost of human life. Shakespeare writes with unimpeded curiosity and imagination about people who are othered in society, about Black, indigenous, and Jewish lives. For example, his first Black character, Aaron the Moor in Titus Andronicus who appears a few years before Othello, speaks boldly in defence of Blackness: Coal-black is better than another hue/In that it scorns to bear another hue. Yet we must contend with moments in his comedies of racist humour (Shes too brown for a fair praise, for instance, in Much Ado About Nothing) and misogyny (The Taming of the Shrew). Is it Shakespeare or simply his era that offends? His female characters are not one-dimensional dolls though, but complex women, sometimes complex women of color, like the tawny Queen Cleopatra. Yet, at the time, professional theatre companies did not cast any women; instead, young male actors performed the female parts, making cross-dressing a crucial feature of theatre and tantalizing the imagination with queer identities and actors in drag. As a result, the plays raise questions about the very instability of gender identity and the glory of its performance. The contemporary relevance of Shakespeare is starting to catch on. Ironically, some of Shakespeares plays are now banned in Florida because of the cross-dressing, gender-switching characters. What more evidence do we need, once we see beyond the 18th-century fantasy, that Shakespeare can be of immense use to our own urgent political and social questioning? Contact us at letters@time.com. New York plans to use $25 million in state funds to rent temporary homes for up to 1,250 asylum-seeking families who opt to leave New York City's crowded shelters. Gov. Kathy Hochul and state lawmakers approved funding for voluntary relocations in the state budget adopted in May. It was part of a $1 billion allocation for housing and services for tens of thousands of asylum seekers who have been bused to New York City from the southern border over the last year. So far, 17 families have volunteered to move into state-rented homes, Gov. Hochul's office announced Saturday. State officials told the USA TODAY Network on Monday that the program will cover rent for up to a year while helping families with school enrollment, health care and other needs to settle into their new communities. The total funding amounts to $20,000 per family if 1,250 families indeed volunteer. A young boy was among the families of asylum seekers led into the Ramada hotel in Yonkers onkers May 15, 2023. The families were being housed in New York City. Families must have applied to the federal government for asylum and work permits to qualify for the state relocation program, which is separate from New York City's transfers of migrants in its care. Federal law requires asylum seekers to wait six months to be eligible for work permits. New York City have so far referred 275 families to state officials for the state program after helping them submit their asylum applications, a spokesperson for Mayor Eric Adams said in a statement on Monday. The statement said the city was grateful for the new state effort after being "left to tackle a national humanitarian crisis largely on its own" since spring of last year. About 100,000 migrants have been bused to New York in that time, forcing the city to open about 200 emergency shelters. How many asylum seekers have been sent to upstate NY? The relocation program would shift to the state a sliver of the city's mounting costs. Since May, the city has sought to free shelter space for new arrivals by placing some of its asylum seekers in upstate hotels and paying for their lodging, food and other expenses. As of Monday, it had sent at least 2,189 migrants to seven counties, according to USA TODAY Network reporting and published accounts. New York City has so far placed asylum seekers in Albany, Dutchess, Erie, Monroe, Orange, Schenectady and Westchester Counties. mayor Erie stops asylum seeker placements after alleged sex crimes On Saturday, Erie County Executive Mark Poloncarz announced that Adams had agreed to his demand to suspend placements in Erie after a second alleged sex crime by an asylum seeker inside a hotel this month. About 540 migrants are being housed in three hotels in the town of Cheektowaga, outside Buffalo. Police charged a 22-year-old refugee from the Democratic Republican of Congo on Friday with sex abuse and unlawful imprisonment of a worker, the Buffalo News reported. Previously, a 26-year-old Venezuelan migrant was charged with rape for allegedly assaulting a woman he knew in the hotel. "Two serious, violent crimes in two weeks are two too many," Poloncarz said in a statement. "Our refugee agencies did their best to provide support and assistance, but our community's trust and good faith have been betrayed." Hochul announced over the weekend that the state will send National Guard members to the three Erie County hotels. The state already has deployed about 1,800 Guard members to staff New York City hotels that are serving as shelters. Treacherous jungles, bribes: What asylum seekers endured before arriving at New York hotels For subscribers: What's the Welcome Center? Former Phoenix school has sheltered thousands of asylum seekers Gov. Kathy Hochul speaks in Buffalo on July 28, 2023. New housing for asylum seekers opening in NYC The state also plans to begin operating two new sites of its own in New York City to house asylum seekers. One is on Randall's Island, and the other is at Creedmoor Psychiatric Center in Queens, which is expected to open next week with space for up to 1,000 asylum seekers. Chris McKenna covers government and politics for The Journal News and USA TODAY Network. Reach him at cmckenna@gannett.com. This article originally appeared on Rockland/Westchester Journal News: NY asylum seeker families to leave shelters, rent homes under plan NEW YORK New York City opened a cavernous state-funded shelter cramped with spartan cots in eastern Queens on Tuesday, as a politically diverse group of elected officials banded together in Brooklyn to demand more federal funds for the asylum seeker crisis. The new facility, planned to ultimately host more than 1,000 single male migrants for stays up to 60 days, is housed in tent-style barracks outside the Creedmoor Psychiatric Center in Queens Village. The city said it planned to open the shelter to about 100 men on Tuesday, with the number expected to grow rapidly as officials scramble to house new waves of migrants who are stretching the shelter system to its seams. The austere new shelter, planted on a parking lot on the easternmost edge of the city, includes a main structure with about 850 Coleman cots, a smaller tent with another 150 cots, a cafeteria structure and bathrooms housed in covered trailers outside. City officials proudly toured reporters around the facility Tuesday afternoon while acknowledging the makeshift setup was far from perfect. The cots were bunched tightly together, mirroring a controversial shelter on Randalls Island earlier in the crisis. Another state-funded shelter with a capacity of around 2,000 is slated to open on Randalls Island in the coming months. Were out of good options, said Fabien Levy, a spokesman for Mayor Adams. Were out of even OK options. These are the only options left. Like previous shelters the city has opened in response to the crisis, the Creedmoor relief center has drawn sharp criticism from nearby communities. Three Democratic elected officials in the area City Councilwoman Linda Lee, state Senator Toby Ann Stavisky and Assemblyman Edward Braunstein issued a statement Tuesday asserting the facility would adversely impact nearby social service organizations. Our offices have been clear with Adams Administration from the very beginning a 1,000-person tent shelter at Creedmoor is an inadequate approach, said the statement. The site is located in the heart of a residential community, lacking in public transit, commerce, and infrastructure, effectively stranding asylum seekers. The site is far removed from the subway, but within walking distance of city buses. It is located next door to an ivy-covered senior center. The shelter will be guarded by security guards 24/7, and arrivals will receive COVID testing and meals, said Dr. Ted Long, senior vice president at NYC Health + Hospitals, which is managing emergency housing. About 100,000 asylum seekers, many fleeing political and economic upheaval in Central and South America, have arrived in the five boroughs since last year, according to a city tally. The number of people living in the shelter system has roughly doubled in 12 months. The city has weakened elements of its right-to-shelter legal mandate, which promises that anyone who needs shelter in the city will receive it. And the reach of a statewide right to shelter provision in the state Constitution is being contested by the city and state in court. Still, Josh Goldfein, a Legal Aid Society lawyer who is challenging the city and state over the right to shelter in court, said he was glad that the Creedmoor facility was opening despite any shortcomings. On Tuesday morning, Adams appeared in Brooklyn with a politically varied group of local elected officials to call on President Bidens administration to do more to help the city tackle the crisis. Among the group were democratic socialists like state Sen. Jabari Brisport, City Councilwoman Alexa Aviles and state Assemblywoman Marcela Miaynes, all of whom regularly criticize the mayor on policy matters, as well as Republican Assemblyman Lester Chang. We dont have to be monolithic in our political theories, but we have to be monolithic in: How do we protect this city from the devastation thats on the horizon? said Adams, a moderate Democrat. The mayor called it a sign of political maturity that the attendants could put ideological differences aside and link arms to call on the federal government to provide more funding and expedite work permits for migrants. This is not about Eric Adams, the mayor, this is about the people of the City of New York, said Adams, who has warned of potentially drastic cuts to municipal services without more financial assistance. The city projects the crisis could cost $12 billion by summer 2025. Brooklyn Borough President Antonio Reynoso, a progressive Democrat who hosted the Tuesday rally, said there could be serious national political consequences in the 2024 elections if Biden doesnt step up. There might come a time when we lose congressional seats because of this issue, Reynoso said in the rally. He added after the rally that Republicans would use fear to pit us against them to be able to win competitive races upstate and on Long Island. We need those seats, Reynoso told the Daily News. This can be used against the Democratic Party during these congressional races. Chang, the Republican Assembly member, said that without more action from Biden, the city will plummet into a fiscal catastrophe as severe as the financial crisis of the mid-1970s, when it was on the brink of bankruptcy. Though most of the focus centered on pressing the federal government for aid, Reynoso said Gov. Hochuls administration as well as Adams can also do more to address the crisis. They do have work to do, and do need to do more, he said of the Hochul administration. Hochul, who reserved $1 billion for the citys handling of the crisis in the last budget, has been under fast-growing pressure to ramp up aid to the city. Adams, a political ally of the governor, has publicly praised her as a partner while pushing her for more state resources. The mayor has reserved his most pointed criticism for the federal government, which has offered far less aid. At the Creedmoor facility, city officials thanked the Hochul administration for providing space and funding for the site. But Zach Iscol, the citys emergency management commissioner, still said he would love it if we had more active partners from the state. Emergency Management 101: When the local jurisdiction is unable to handle an emergency any longer, you are supposed to be able to turn to the state and the federal government for help, Iscol said. We are not getting the help and support that we need. A mural on the facade of the Will Rogers Memorial Center that drew concern about its portrayal of African Americans has fostered a community effort to design interpretive plaques to promote cultural and historical understanding. The plaques would be installed to provide context to several murals on the Will Rogers Memorial Center auditorium and coliseum to describe the murals, which were designed in 1936. Concerns were raised in 2019 about how one of the murals portrays African Americans picking cotton. Estrus Tucker is chairman of the Fort Worth Art Commission, which was tasked with addressing the situation. He said he approached the matter with his team at the commission with a goal of allowing people to evoke their understanding of the piece instead of the the commission imposing its view of what it means. We wanted to be real, and theres some downsides and some upsides to what was happening in Texas in the agricultural industry, and we didnt want to give out anything that was not factual, Tucker said. Its like an appetizer. It needs to be accurate but you cant tell the whole story, but tell them enough that they want to go and follow up and have a conversation. In September 2019, the Mayors Office asked the Fort Worth Art Commission to gather community input and make a recommendation in response to concerns raised about the mural depicting Black field workers picking cotton alongside other agricultural workers. There are 12 murals in all depicting a variety of cultures and historical moments, such as Native Americans trading with white colonists and hunting buffalo. Murals show Mexicans in traditional clothing rejecting a Spanish conquistador, Texans with the Confederacy preparing a cannon to fire, and men digging for oil in the early 20th century. On Nov. 21, 2019, the Will Rogers Memorial Center Interpretation Advisory Panel, which was appointed by the Art Commission, met with community members at the Hazel Harvey Peace Center for Neighborhoods, where people spoke in favor of leaving the murals in place and presenting historical context for the murals at the site. The Advisory Panel spent the next few years doing research, which included focus group meetings with community members representing the diverse cultures depicted in the murals and consultation with experts on local culture and Texas history. The City Council approved the allocation of American Rescue Plan Act funds in the amount of $300,000, the reallocation of $100,000 in Public Events Capital Funds and $35,000 in Public Art Funds on Oct. 19, 2021, to pay for the project. A public hearing took place on June 12 at the Hazel Harvey Peace Center for Neighborhoods, and the Art Commissions draft text for the plaques was made available on the Fort Worth Public Art website. Tucker says people gave positive feedback to the solution and said they did not want the murals to be taken down. They wanted the plaques to be an educational tool describing what the murals meant at the time they were created. The staff used the input received from the public hearing and published the final plaque design and text on the programs website, and the Art Commission approved the plan on July 17. A brief review of the project was on the City Councils work session agenda Tuesday. There will be two introductory plaques and 12 plaques specific to individual murals, for a total of 14 plaques. The text on the plaque with the Black field workers will read: Use of the land and its value was changing dramatically. For the first two decades of 20th century, agriculture led the states economic growth. Texas produced almost one third of Americas cotton. This scene depicts tenant farming and sharecropping, systems in which freedmen, poor white, and Mexican workers farmed rented land for a share of the harvested crops. Sharecropping rarely resulted in farm ownership. After World War I (1914-1918), many laborers moved to cities for work, forcing landowners to modernize with machinery to harvest millions of acres of cotton, wheat, and other crops. The time frame for construction and installation is estimated at eight to 10 months with construction starting between February 2024 to late summer. A man who was carrying a knife aboard a Sacramento Regional Transit train was shot and killed Tuesday by Sacramento police after an encounter with officers at an RT station next to Sacramento City College. According to police in a news release, the man was aboard an RT Blue Line train and was carrying a large knife when officers were called just after 5 p.m. The train pulled into the platform adjacent to Hughes Stadium when officers pulled another passenger off the train and tried to speak with the armed man who remained on the train. Officers attempted to de-escalate the situation for several minutes, police said. Less-lethal munitions were used, however they were ineffective. While still armed with the knife, the suspect charged at officers and an officer-involved shooting occurred. The man was pronounced dead by Sacramento Fire Department personnel. The Sacramento County Coroners Office will release his name after his family has been notified. Police said that none of its officers were injured, and an RT spokeswoman said no other passengers or RT employees were injured in the shooting. Sgt. Carlos Martinez, a spokesman for the Sacramento Police Department, did not know how many shots were fired nor how many officers fired their service weapons. Its unclear what type of less-than-lethal munitions were used, including whether a Taser or a bean-bag gun was used to try to subdue the man killed. Martinez on Wednesday said the department, for the time being, was not releasing any further details about the incident. He said the department was working to release video of the police encounter as soon as possible with more information. Officer-involved shootings are typically investigated by the Police Departments Homicide Unit, Internal Affairs Division and Professional Standards Unit, along with its Force Investigation Team to focus on policy, tactics and training in use of force. Investigators from the Sacramento County District Attorneys Office will be called to the scene, along with representatives from the citys Office of Public Safety Accountability, which provides oversight in police shooting investigations. Video from the incident will be publicly released by the department within 30 days, in accordance with SB 1421, Californias law enforcement use-of-force law, and city policy. Officer-Involved Shooting: SPD Officers are on scene of an officer-involved shooting in the 3800 block of 24th St. Please seek alternative routes as traffic will be impacted. No officers are injured. Please follow our twitter for updates. We will share more information with pic.twitter.com/okSGFfbMlP Sacramento Police Department (@SacPolice) August 16, 2023 Recent Sacramento PD officer-involved shootings Tuesdays incident is the third shooting involving members of the Police Department in 2023. The last officer-involved shooting happened May 20 near 28th and Q streets in midtown when officers fired upon a woman who had caused disturbances at several midtown businesses before she allegedly robbed a coffee shop. The woman, who had been brandishing a pellet gun and pointed it at officers before they shot her, survived and was later arrested. The 43-year-old Chico womans case remains suspended, court records show. On July 13, the court determined she was mentally incompetent to face criminal charges. She will return to court Aug. 24 and could be submitted to a state hospital, where she would receive treatment to restore her mental competency before she could return to face the charges. She remains in custody at the Sacramento County Main Jail. The other shooting with police this year in the city happened Feb. 8 in Old North Sacramento when a carjacking suspect was shot by officers as he used his vehicle to escape, injuring one of the officers. Clayton Freeman, 32, remains in custody at the Main Jail and begins his trial as early as Aug. 30 to face felony charges including assaulting an officer in the line of duty with great bodily injury. He pleaded not guilty during a hearing in July, according to court records. The last shooting on RT property that involved a law enforcement officer happened six years ago, when a Galt man grabbed a deputys gun and shot him in the face in North Highlands. Nicory Marquis Spann was convicted and sentenced to 32 years to life in August 2020 after the June 2017 fight with the deputy assigned to RT patrol on the Watt Avenue light rail platform. Deputy Alex Ladwig survived the shooting and spent months rehabilitating from his injuries after spending 11 days in the hospital, undergoing surgery to repair what sheriffs officials described as major structural facial injuries. Ladwig returned to work during his rehabilitation. Investigators asked anyone with information about Tuesdays fatal shooting to call officers at 916-808-5471 or Sacramento Valley Crime Stoppers at 916-443-4357. Tips can also be submitted anonymously through the P3 Tips website and app. The Manatee County Commission has pumped the brakes on moving forward with a partnership that would provide affordable housing for homeless veterans after considering how it could impact the surrounding area. In April, a nationwide veterans organization pitched its plan to build up to 130 apartments for former service members who are homeless or at risk of homelessness. At the time, commissioners said they were fans of the idea, but the board last week said it needed more information before giving a green light. The Tunnel to Towers Foundation, which aims to provide supportive housing for veterans across the nation, asked the county to donate land at 6610 Cortez Road West, where the countys Utilities Department is based. The organization hopes to provide housing for 2,000 veterans this year, according to its website. The organization plans to offer wraparound services on the property, including therapists, employment programs, addiction resources and more. The complex is also slated to have 24/7 on-site security. Tunnel to Towers has similar developments in Arizona, California and Texas. But board members say they arent convinced that the housing complex for homeless veterans wont lead to complaints from neighbors. Commissioner Van Ostenbridge asked the board to hold off on approving the land donation until county officials could hold a town hall on the subject. My concern is for the neighborhood and businesses in the surrounding area. I need some kind of proof in the pudding, assurances and recourse. Were not building a Neal Community here, Van Ostenbridge said, referring to the development company founded by former state lawmaker Pat Neal. Lets be straight up and quit pussyfooting around the issue. Were doing a homeless transition site. The Manatee County Utilities Administration building at 4410 66th Street West where plans have been discussed to build affordable housing for homeless veterans. Manatee seeks feedback on veteran housing Commissioner Jason Bearden, a U.S. Marine veteran, said he was not sold on the facilitys management plans and pushed to delay the land transfer until county officials had a chance to meet with other organizations that provide similar assistance to veterans. I think, as a board, we have to do the due diligence, to shop around and get public comment on this, Bearden said. The board voted to delay the hearing for up to six weeks in order to host a town hall meeting to seek feedback from residents. That town hall has been scheduled for Saturday, Aug. 19, at the Utilities Department Administration Office, 4410 66th St. W., Bradenton at 10 a.m. Van Ostenbridge advertised the meeting as a discussion about a West Bradenton homeless shelter in his District 3 newsletter and in a Facebook post. On Facebook, residents criticized the official for a misleading description of the proposed affordable housing complex. The property at 4410 66th St. W., Bradenton, currently housing the Manatee County Utilities complex, is the proposed site for up to 130 apartments for homeless veterans. Im honestly genuinely confused, one user commented. I thought the proposal on the table was for affordable housing for our veterans, who certainly deserve our countys thanks and support. I am not sure why this is listed as a Town Hall for a West (Bradenton) Homeless Shelter, another user wrote. Isnt this the program that Tunnels to Towers Foundation would be overseeing as transitional and affordable housing for Veterans? Speaking with board members, Gavin Naples, vice president of Tunnel to Towers, assured the board that the complex would be a first-class facility. Commissioner George Kruse will also host a town hall meeting at the Braden River Library, 4915 53rd Ave. E., Bradenton at 6 p.m. Wednesday. The Manatee Board of County Commissioners is expected to revisit the idea of gifting Tunnel to Towers the 8.7-acre parcel, which is valued at $6.6 million, before the end of September. I completely understand the fears associated with something of this magnitude, but the Tunnel to Towers Foundation has made it its real mission over the last 20 years to provide housing to veterans, first responders and Gold Star families, Naples said. We dont do anything unless we can see it to fruition. Veteran housing on hold for now Other commissioners said they were ready to approve the organizations request, pointing to the existing shortage of affordable housing and the countys earlier commitment to support homeless veterans. We have thousands of veterans that are out there that are homeless, on the verge of homelessness and have needs. We need to meet their needs, said Commissioner Mike Rahn, who is also a U.S. Marine veteran. We owe it to them, as a society and a nation, to meet their needs. The Manatee County Utilities Administration building at 4410 66th Street West where plans have been discussed to build affordable housing for homeless veterans. Youre acting like theyre going to put in some fly-by-night management company thats going to run it into the ground and have homeless people sitting on the curb, Kruse told his fellow board members. Im questioning how many town halls you want to have before we approve homes out east. Im wondering if you concerned yourself with what the residents in the surrounding area of that district felt when we readily approved those. Manatee County officials first came up with the idea to provide housing and other resources for homeless veterans in January 2022. At the time, commissioners promised to set aside $15 million to remodel the Old Jail in downtown Bradenton and provide those services themselves. But a closer inspection of the building revealed that the plan would not be feasible, according to county staff. Earlier this summer, county officials voted to demolish the Old Jail instead. The family of Jasyiah Boone won a $787 million verdict in federal court this week after the 23-month-old got trapped and died in the steps of his bunk bed in 2018. A federal jury in Columbus this week awarded damages of $787 million to the family of a 23-month-old boy who died when he became wedged in a bunk-bed ladder five years ago. The award against the Vietnamese manufacturer, Moash Enterprise Co. Ltd., may be the largest wrongful death verdict in the state, according to the attorney handling the case. The award was well above the $522 million in damages the family requested, but the family likely will never collect on the award. "There's a lot of horrible tragedies in this case," said Dan Mordarski, the family's attorney. "There were just a lot of bad things that shouldn't have happened. The jury recognized that and how we got to where we got to." Toddler becomes trapped in bunk-bed ladder while playing On May 22, 2018, while playing with his brothers, 23-month-old Jasyiah Boone became trapped in the ladder in the opening between the top rung of the ladder and the bottom of the upper bed frame. His 4-year-old brother saw what happened and tried to free Jasyiah. Jasyiah's mother was told what happened, immediately went to the room and frantically tried to free him. The boy was wedged into the ladder so tightly that she had to break the ladder to free him, Mordarski said. The Franklin County Coroner's Office performed an autopsy and determined the cause of death was "positional asphyxia due to chest compression between bunk bed and the bunk bed ladder," according to the lawsuit. Jasyiahs family sued Wayfair, which sold the bunk bed and the ladder to the boy's mother, along with Angel Line and Longwood Forest Products, the companies that imported the product from Vietnam. Those companies subsequently settled the claims for undisclosed amounts. Moash, a wood home furniture manufacturer based in Thu Dau Mot City in Vietnam's Binh Duong province, was later added as a defendant after it was identified as the manufacturer of the bunk bed. Moash never responded to the allegations against it or appeared in court. The jury made the award Tuesday at the conclusion of a two-day trial before Judge Michael Watson. The family, who have asked not to be identified, bought the Fremont Twin over Twin Bunk Bed in December 2017, according to court documents. The bed contains a narrow opening between the top rung of the ladder and the bottom of the upper bed frame where a small child could become trapped, Mordarksi said. Jury award exceeds family's request The family asked for the jury to award $522 million in damages in reference to the May 22 date of Jasyiah's death, but came back with the larger award, Mordarski said. "Five-22 will always be the worst day of her life. We want to make 5-22 mean something different," he said. The family doesn't expect to collect on the verdict because the manufacturer is located in Vietnam, he said. "Part of this verdict is to make it a tool or catalyst to make change," he said. Bed violated consumer safety standards, attorney says The bed that was sold to the family violated numerous U.S. consumer safety standards, Mordarski said. Congress in 2009 created a law that places the burden on importers and sellers to ensure childrens products for sale in the U.S. meet safety standards, he said. Yet, almost 15 years later, foreign manufacturers still can sell these products in America. Wayfair never secured the required certificate from Moash to show that the bed complied with child safety standards, Mordarski said. In addition, Jasyiah's death should have been reported to the Consumer Products Safety Commission immediately, but it was delayed by more than six months, he said. "If another child died during that timeframe, that would have been horrible, Mordarski said. The bed was recalled on Dec. 22, 2021, but Wayfair, Longwood and Moash continued to sell essentially the same recalled bed and ladder design on its website under a different name, Mordarski said. The family's goal is to see regulatory changes to prevent this kind of thing from happening again, he said. "They're still struggling," he said. "Mom admits that there's a part of her that's gone." mawilliams@dispatch.com @BizMarkWilliams This article originally appeared on The Columbus Dispatch: Columbus family wins $787-million award after toddler dies in bunk bed Apache, Oklahoma, police arrested a teenager during the early morning hours Sunday, months after he allegedly defrauded a car dealership out of nearly $100,000, according to reports. The Apache Police Department arrested 19-year-old Zachry Brent Bailey at about 3 a.m. on an outstanding felony warrant. KFOR in Oklahoma City reported that Bailey visited a dealership on April 19 and reportedly wanted to sell an Acura MDX. The news station reported that court records showed dealership employees were suspicious of Bailey because he was young, and after looking into how much was owed on the Acura, they found only $1,713.23 was owed. AI-ASSISTED FRAUD SCHEMES COULD COST TAXPAYERS $1 TRILLION IN JUST 1 YEAR, EXPERT SAYS The dealership agreed to purchase the vehicle from Bailey for $48,000, and on April 19, he received a check for $46,272.97. READ ON THE FOX NEWS APP On May 1, he returned to the dealership to sell a 2023 Toyota Tundra, the news station reported, and both parties agreed on a price of $66,500. Employees learned that the loan was through First Help Financial and the payoff amount was $2,244, so Bailey received a check for $64,256 that day. The charging docs said it was discovered later that Bailey made fraudulent transfers on the outstanding balances of the Acura and Toyota loans the day before selling the vehicles. About two days after the vehicles were sold, the transfers made by Bailey were reverted and the amount owed appeared in full. OKLAHOMA SUSPECT ARRESTED FOR ALLEGEDLY STOMPING ON 71-YEAR-OLD WOMAN'S FACE: POLICE The Apache Police Department arrested 19-year-old Zachry Brent Bailey at about 3 a.m. on an outstanding felony warrant. As a result, the banks would not release the titles because the loan payoff was outstanding. Court documents also show the dealership saw three ACH transfer attempts on May 3, with one going to C3 Rentals for $23,500 and the other two going to the finance company in the amounts of $4,999.99 and $3,689.68, the news station reported. An arrest warrant was issued for Bailey on Aug. 1, and on Aug. 12, Apache Police Officer Ben Lehew learned the suspect might be in the Apache area, according to a social media post by the police department. OKLAHOMA MAN SURVIVES AFTER HE'S STABBED IN HEAD WITH FLAGPOLE AT SONIC DRIVE-IN RESTAURANT Lehew gathered background information on Bailey and learned he was the subject of several news stories that compared him to Frank Abagnale Jr., played by actor Leonardo DiCaprio in the movie "Catch Me If You Can." Abagnale was a teen con artist who worked as a doctor, a co-pilot for major airline and an attorney before ultimately working as a consultant for the FBIs bank fraud division. Lehew learned that law enforcement officials in other states wanted to speak with Bailey for allegedly falsely impersonating a physician and obtaining expensive housing, vehicles, jewelry and electronics. OKLAHOMA SUSPECT ALLEGEDLY RAPED WOMAN WHILE OUT ON BOND FOR ANOTHER SEX OFFENSE: POLICE In one case, the Apache Police Department said, Texas police alleged Bailey drove an older surplus police vehicle and was in possession of a bulletproof vest, duty belt, ammunition and a "ghost gun" a gun without a serial number. The possessions led police in Texas to believe Bailey was impersonating a peace officer, Lehew learned. The officer pinpointed where Bailey was in the town of Apache, and with the help of additional law enforcement agencies, he arrested Bailey without incident. He was taken to the Caddo County Jail and held on $200,000 bond. A recent incident involving a Facebook Marketplace transaction took a dangerous turn, ending with an Oklahoma woman being dragged by a car. According to court documents, the woman, an employee at Durant Cardinal Glass, planned to meet with a Norman man to exchange electronics through Facebook Marketplace. The exchange was planned to take place late at night in the business' parking lot. The woman intended to trade her Nintendo Switch for a laptop, but the laptop the man brought did not match the one shown to her online, she told police. When she confronted the man about the discrepancy, he allegedly got into his pickup truck with both items. More: Men who shouted slurs, attacked Black man outside Oklahoma bar sentenced for hate crimes In an attempt to retrieve her device, the woman reached into the vehicle. Then, the man shifted into reverse, dragging her along before she hit the pavement. An arrest warrant has been issued for the man involved in this incident, charging him with assault with a dangerous weapon. Stay safe during buy/sell/trade meet-ups When making an exchange or purchase from Facebook Marketplace or other online sellers, police advise buyers and sellers take certain precautions. Meet in a public place for the exchange, such as a library, police department, or designated pickup spot. Whenever possible, schedule meetings during daylight hours and bring a friend or family member along. Use secure payment methods like cash, Cash App, Venmo, or PayPal. Stay alert and gather important details if a situation turns unsafe, such as the vehicle description, direction of travel, and license plate number. This article originally appeared on Oklahoman: Oklahoma Facebook Marketplace deal goes wrong; woman dragged by car A man who might have been in a mental crisis was fatally shot by police Wednesday in Nampa, according to the city police department. Nampa police received a call from a local hospital stating that a man had run away from the medical center and was running into traffic, according to Curt Shankel, Nampa interim police chief. He appeared to be kind of in a crisis, a mental crisis of some sort, Shankel told the Idaho Statesman by phone. Police then received a call from a restaurant that the man had gone into its building and taken two knives. He ran into another restaurants parking lot and attempted to carjack at least one vehicle, according to police. At 12:49 p.m. Nampa Dispatch received a call reporting a male adult had tried to force his way into an occupied car, calling the occupant a name and telling her to get out of her car, Nampa police said in a social media post. The man then ran into a McDonalds parking lot, where he refused to drop the knives and lunged at an officer, according to a social media post from police. The officer arrived, made contact with this male suspect, at which time he came at the officer with a knife and the officer discharged his weapon, Shankel said. One other officer arrived shortly after the man was shot, according to Shankel. The officer who fired his weapon began life-saving measures and continued them until Canyon County paramedics arrived, police said, but the man died at the scene. The Nampa Police Department said in a social media post that the shooting occurred near Midland Boulevard and North Marketplace Boulevard, which is just north of the Karcher Road exit off Interstate 84. Shankel said he would need to wait until he had further details to know whether use-of-force guidelines were followed, but he said the incident, as presented to him, sounded as if they were. If the individual came at the officer with a knife, then yes, that officer had every right to protect not only himself, but protect the citizens by not allowing this individual to do anybody harm with a weapon, Shankel said. Shankel said he did not know how many times the officer fired the gun or where the man was struck. The Critical Incident Task Force investigation into the shooting is being led by the Canyon County Sheriffs Office, according to the department. Shankel said the coroner would release the mans name and the task force would release the officers name. The officer involved has more than five years of police experience, including over a year with Nampas department, he said. This was the first police shooting in Nampa this year but the ninth overall in the Treasure Valley in 2023. Some shootings did not result in fatalities. There have been at least nine fatal police shootings throughout Idaho this year, according to a database maintained by the Idaho Statesman. The Facts A year after the U.S. Inflation Reduction Act was signed into law, its global repercussions are coming into focus. Foreign leaders who decried its unapologetic protectionism as unfair have since crafted big-spending policy responses of their own. The U.S. has itself softened the harder edges of its groundbreaking program. But while the IRA has undoubtedly lowered the U.S.s emissions trajectory and bolstered domestic manufacturing, its effects on global decarbonization and on reducing American dependence on its adversaries are less uniformly positive. Tims view For the U.S. to catch up on the global clean-energy transition, it needed the IRA. And that meant challenging Western norms that frown on heavy-handed government interventions to prop up one countrys private markets. In some ways, the IRA has actually drawn the global clean energy economy more tightly together and propelled it forward. But it also launched an expensive global subsidy war that many of the middle-income countries that benefited from globalization in prior decades cant afford to compete in. In fueling one of the worlds fastest-growing markets for electric vehicles, solar power, and other clean energy hardware, the IRA has proven to be a massive investment opportunity for non-U.S. companies. Out of around $110 billion in U.S. clean energy projects announced since it passed, at least 60% of that investment came from foreign firms, according to a Wall Street Journal analysis, mostly battery makers in Asia. The law has also spurred India, Japan, EU countries, Canada, and others to roll out their own versions of the IRA. But certain IRA tax incentives are still limited to goods from a relatively small number of trading partners. In particular, this has left the U.S.s critical mineral supply chain vulnerable, as the law leaves out many large producers of raw materials in South America, Southeast Asia, and Africa. Thats a problem not just because those materials are needed for the U.S. to meet its climate goals, but because Chinese firms are standing by to take more market share. The IRA will make U.S. demand for lithium, cobalt, and nickel about 14% higher in 2035 than without the law, an S&P report this week concluded. The IRA is first and foremost a domestic industrial policy, but it has sharply illustrated how reliant the U.S. energy transition will continue to be on trade. And unless the U.S. moves more swiftly to shore up its global supply chain of critical minerals within the confines of the law, it risks taking a permanent backseat to China. The View From THE EU EU lawmakers initial concerns about the IRA centered largely on two issues: A likely fall in electric vehicle exports to the U.S., and the potential siphoning off of manufacturing investments to the U.S. that would otherwise have been made in Europe. The U.S. Treasury smoothed the vehicle issue by dropping domestic content requirements for commercial and leased vehicles. Since the law was passed, EV exports to the U.S. from European and South Korean automakers have surged. And while EU rules make the rollout of broad subsidies more complicated, the bloc is loosening its restrictions. Individual companies have managed to successfully carry out subsidy bidding wars in direct response to the IRA, most recently steel makers Thyssenkrupp and ArcelorMittal, chip maker Intel, and battery maker Northvolt. The View From Indonesia Battery materials sourced from Indonesia, the worlds biggest nickel producer, dont qualify for IRA tax credits. That has created a dilemma for President Joko Widodos government, said Andri Prasetiyo, a senior researcher at Senik Center Asia, a Jakarta-based climate policy think tank. The country is keen to build up its nickel processing industry, to get more value from the raw materials it mines and seize on a lucrative corner of the clean energy boom to offset its declining revenue from coal. Up to now, most of the investment in that industry has come from China, which has made U.S. officials wary of a new trade agreement proposed by Indonesia that would give it access to the IRA. So Indonesia is stuck between two powerful opposing investors and the U.S., in the interest of isolating China, is also isolating itself from a badly-needed source of battery minerals. Since the IRA creates an uneven playing field for mineral suppliers, the U.S. needs to be more proactive about forging mineral-focused trade deals that expand its base of qualifying suppliers, said Melissa Barbanell, director of U.S.-international engagement at the World Resources Institute. But any new trade deals need to come with the same high labor and environmental standards it applies to IRA-backed projects in the U.S., she said, which a mineral agreement signed with Japan in March failed to do. The View From China Chinas clean energy industry is already so much bigger than the U.S.s that a subsidy war isnt much of a threat to Beijing. Instead, Chinas response to the IRA has been to accelerate its investment in global mineral production, processing, and trading, racing ahead to control the roots of the supply chain. Efforts to completely excise China from the U.S. clean energy industry will ultimately raise costs and cut U.S. manufacturers off from technology, know-how, and materials they need to scale. We need to admit that no country will easily shift Chinas dominance in the near term, if ever, said Li Shuo, global policy adviser for Greenpeace East Asia. The bottom line is the U.S. and China will be two severed markets in some regards while still deeply connected in others. Room for Disagreement Because of its support for domestic consumption of renewable energy and EVs, the IRA has had a demonstrable impact on lowering U.S. carbon emissions. Whether it will accelerate global decarbonization is a different question. U.S. officials frequently claim that R&D breakthroughs and economies of scale achieved by the IRA will ultimately lower costs for all global consumers of clean tech. But the recentering of manufacturing in a high-cost environment like the U.S., and protectionist measures other countries take in response, may raise costs in the near term. Notable One area where the IRA is giving the U.S. a particular edge over Europe is in low-carbon hydrogen. Since the law passed, venture capital investment in U.S. clean hydrogen projects which are supported by one of the IRAs largest single tax benefits has exceeded Europes total by $1.3 billion, the largest margin of any cleantech sector, the Financial Times reported. Photo taken on May 5, 2022, shows a durian sorting factory in Chanthaburi province, Thailand. [Photo/Xinhua] Thailand's durian exports to China through the China-Laos Railway expanded 365 percent in the first five months of this year thanks to shorter transit time and advantages under the free trade agreements, Thai media reported on Tuesday. The train route brings opportunities for Thai products to enter the Chinese market as it greatly reduces the transit time to less than 15 hours from two days by road, Auramon Supthaweethum, director-general of the Department of Trade Negotiations (DTN) under Thailand's Ministry of Commerce, was quoted by Thairath Online as saying. Thailand's fruit exports to China have increased considerably since the China-Laos Railway began operation in December 2021, Auramon said, noting that shipments through the Southeast Asian country's Northeast dry port of Nong Khai bordering Laos were recorded at 1.96 billion baht (55 million U.S. dollars) in 2022, jumping from 90.41 million baht (2.55 million dollars) in the previous year. She also noted that Thai fruits and other shipments can take advantage of privileges under the Association of Southeast Asian Nations (ASEAN)-China Free Trade Area and the Regional Comprehensive Economic Partnership. Thailand's exports to China via the China-Laos Railway were valued at 2.84 billion baht (80.22 million dollars) during the January-May period, 72 percent of which were fresh durian shipments, according to the DTN. Hi there OnPolitics readers. Anti-abortion protesters notched a legal win after they cited Black Lives Matter demonstrations in court. The case: A federal appeals court sided with the anti-abortion protesters on First Amendment grounds, ruling that the District of Columbia likely discriminated by arresting them for using chalk on a sidewalk but not Black Lives Matter protesters engaged in similar activity, USA TODAYs John Fritze reports. City police arrested two anti-abortion protesters in summer 2020 for chalking Black Pre-Born Lives Matter onto a public sidewalk in violation of the citys vandalism ordinance. But the appeals court said Washington all but abandoned enforcement of that same ordinance for protesters writing Black Lives Matter on public and private property after the killing of George Floyd by Minneapolis police The government may not play favorites in a public forum permitting some messages and prohibiting others, the appeals court panel wrote. Read more here: Court backs anti-abortion protesters who cited Black Lives Matter demonstrations in First Amendment suit Stay in the know on politics: Sign up for the OnPolitics newsletter This article originally appeared on USA TODAY: Anti-abortion protesters cite Black Lives Matter demonstrations in DC CHICAGO -- Last summer, a bakery in Chicagos northwest suburbs was vandalized ahead of its plans to host a family-friendly drag show, leading to a cancellation of the sold-out event. For Corinna Sac, the UpRising Bakery and Cafes owner, the broken windows and spray-painted messages were only the beginning. In March, Sac told Illinois lawmakers that harassment stemming from the planned drag show at her Lake in the Hills business grew to include doxxing usually defined as the sharing of personal identifiable information about another person for the purpose of harming them. Not one person, not even the amazing Lake in the Hills police, could help us and defend us against this, she testified before the House Judiciary Civil Committee. I was advised to not leave my kids alone, report anything strange, take a different way home every day, never go out in public alone, and I was advised not to work alone, which put my business under even more stress. Earlier this month, Gov. J.B. Pritzker signed into law a measure that makes anyone in Illinois who engages in doxxing to be found civilly liable in court. The bill passed through the House and Senate with bipartisan support and without any opposition during the spring legislative session. But an often key ally to progressives like Pritzker and other like-minded Democrats, the American Civil Liberties Union of Illinois, opposed the bill, citing free speech issues. Some of the groups issues were hashed out before the bill was signed into law, which goes into effect on Jan. 1. But even though the free speech concerns remained, the main House sponsor of the measure said the legislation was a necessary step to combat online harassment because previous legal remedies have been inadequate. Like a lot of things involving technology, the law is slow to catch up, state Rep. Jennifer Gong-Gershowitz, a Glenview Democrat, said in an interview. Here, the focus isnt on how you get that information, or even necessarily the information itself, but what that person does with that information. The anti-doxxing bill was not the only legislation that Gong-Gershowitz sponsored in the spring aimed at helping victims recover damages caused by online harassment. Earlier this summer, Pritzker signed legislation that makes anyone civilly liable if they alter images of someone else in a sexually explicit manner, a practice referred to as deepfake porn. How do we as lawmakers address the kind of severe harm that perpetrators are capable of using technology and do so in a way that, one, is effective, not only to provide victims with legal recourse but also to deter harmful behavior, but then also allows for free speech? Gong-Gershowitz said. Doxxing can take the form of publishing with malicious intent someones home address, phone number, Social Security number or any other identifiers on social media or elsewhere on the internet. Under the anti-doxxing law, a person could be found civilly liable if they share information with knowledge or reckless disregard that the person whose information was shared publicly would be reasonably likely to suffer death, bodily injury, or stalking. Under the law, doxxing has occurred if the actions cause the victim to suffer significant economic injury or emotional distress or to fear serious bodily injury or death of the (victim) or a family or household member, or cause the victim to suffer a substantial life disruption. In the final version of the law, legislators clarified that constitutionally protected protesting is not a form of stalking, and neither protesting nor news reporting can be considered doxxing. That version also fine-tuned what it means for doxxing to cause emotional distress or a substantial life disruption. Similar anti-doxxing laws with noncriminal penalties have been put in place in Oregon and Nevada. A national survey of more than 2,100 respondents released this year by the Anti-Defamation League showed that 52% of adults reported having been harassed online at some point, up from 40% in 2022 and the highest number the ADL said it has seen in four years. These attacks are literally ruining peoples lives by stoking fear, silencing voices and causing harm to peoples physical safety, professional reputations and emotional well-being, David Goldenberg, the ADLs Midwest regional director, said at the March hearing. These actions are a severe threat to our community and are why a nuanced victim-centered approach, like (Illinois anti-doxxing bill), is so necessary. But even after the clarifications made for the final version, the ACLU expressed concerns the law could be interpreted too broadly and deprive someone of their constitutional right to free speech. For the ACLU, the problem lies with how the law defines what it means to publish information, which, in the initial legislation was expressed as to circulate, deliver, distribute, disseminate, post, transmit, or otherwise make available to another person. That definition was later clarified in an effort to exclude instances where two people are engaging in private communications, such as texting or email. Angela Inzano, an attorney and lobbyist for the ACLU of Illinois who testified against the bill in Springfield, said the laws definition of publish remains too broad because it only excludes one-on-one texts or emails, raising questions over whether those kinds of communications between three people are included, despite their reasonable expectation of privacy. Also too broad, she said, is what the law considers to be personally identifiable information. The way the law is drafted right now, a persons name and then where they work, in combination, would be considered personally identifiable information, Inzano said in an interview. And so, to us, a lot of folks are sharing information like that on social media and were just concerned about a chilling effect on that speech. Gong-Gershowitz, who is also an attorney, argued the final version of the law is exceptionally narrow and requires a plaintiff to show that the defendant intended for them to suffer death or physical injury or become a stalking victim, which is a very high bar. Were talking about the kind of conduct that would give rise to somebody being in fear of their life, she said. Unfortunately weve seen examples of extremists whove doxxed individuals in order to cause them ... to end up feeling threatened and afraid for their life and their personal safety. At the March hearing, another woman testified being slandered online by a man who posted various personal information about her on social media. The woman also said she had taken this man to court after filing orders of protection against him. Sac, who ended up closing her bakery a few months ago, told legislators she was targeted simply because I chose to support all members of the local LGBTQ community and welcome a popular social gathering to take place at my place of business after hours. In an interview with the Tribune last week, she said she now helps low-functioning individuals with autism in the northwest suburbs and tries to work with lawmakers on issues related to hate crimes and LGBTQ issues. She said what she went through has had an impacton her feelings about getting back into the culinary business. She expressed concern about the well-being of some of the scheduled performers at the drag show who were also doxxed. What you dont have the right to do is to crowdfund hate and say, This person works here. This is their name. Make them lose their job because they support gay people. And then that person loses their job, right? said Sac. That is not OK. Fani Willis, the district attorney in Fulton County, Ga., asked a judge Wednesday to start the trial of former President Trump on charges alleging he and 18 co-defendants plotted to overturn Georgias 2020 election results in March just more than six months away. But legal experts told The Hill such a timeline is ambitious at best, with the pure logistics of a trial of such magnitude creating roadblocks before it even begins. You worry its going to turn into a circus atmosphere, said Kay Levine, a law professor at Emory University in Atlanta. Trump and 18 others his lawyers, political advisers, allies and affiliates were hit with a combined 41 charges earlier this week, stemming from Williss years-long probe of efforts by Trump and his associates to keep the former president in power after he lost the 2020 election. The defendants are all charged under Georgias broad Racketeer Influenced and Corrupt Organizations (RICO) Act, which allows prosecutors to weave the seemingly disparate defendants and their alleged actions into one enterprise. Williss case presents a multitude of schemes prosecutors say were meant to undermine the outcome of Georgias election. Although Willis said she has no desire to be first or last in prosecuting Trump, she has signaled her office wont waste time. We do want to move this case along, she said Monday. Not really realistic A six-month timetable is overly optimistic; very, very ambitious; and not really realistic, Levine said. The simple act of adjusting to each defendants calendar will likely cause extensive delays a continuance for this and a continuance for that, she said. Thats especially true for Trump, who is facing three other criminal trials while running his 2024 presidential campaign. Trial dates in Trumps New York hush money case and the federal case probing his handling of classified documents are set for March 25 and May 20, respectively. Special counsel Jack Smith has proposed a trial start date of Jan. 2 for charges related to Trumps attempts to overturn the 2020 election in Washington, though a judge has not yet approved it. With Williss proposed March 4 start date, at least three of the four trials would land squarely in the middle of a presidential primary season in full swing, said Jeffrey Cohen, an associate professor at Boston College Law School and a former federal prosecutor. I would hope that the judge will both respect the need for the publics right to have a speedy trial with the former presidents right to participate in the presidential primary season, Cohen said. I suspect around primaries there would be some leeway, but if hes just holding a rally or something like that, then I would expect that wouldnt be a good enough reason to delay the trial. Trumps strategy: delay Attempting to delay proceedings until after the 2024 election and beyond has been a key strategy for Trumps legal teams in his other criminal cases. Caren Myers Morrison, a law professor at Georgia State University and former federal prosecutor, said to expect a lot of motions filed by Trumps attorneys motions to move from state to federal court, to dismiss the indictment, to claim privilege over evidence and more. The approach from Trumps lawyers so far has been maximalist, and theres no reason to believe they will change their strategy now, Morrison said. Willis said Monday she plans to try all 19 defendants together, remarks on which The Hill requested additional comment. If all that occurs, the well of the court alone the space separating the parties from the public could far exceed 50 people, causing a host of additional logistical issues. Each defendant is entitled to at least one attorney, though some may opt for more. Williss team, court staff and the jury would be present. And Trump would likely be accompanied by Secret Service protection, adding more bodies to the room. Its certainly a fair question to ask, like, do we even have a courtroom thats going to fit all these people? A counsel table? Its a logistical hassle, Levine said. All 19 defendants in one courtroom Prosecutors and defense attorneys could benefit from keeping the group whole. An overflowing defense side of the courtroom could act as a constant reminder to jurors of the sweeping plot Fulton County prosecutors are alleging the defendants engaged in, according to Cohen. There is some strength in having a lot of defendants sitting at the table in the courtroom, because it shows, visually, the extent of the criminal enterprise, he said. Defense attorneys for Trumps 18 co-defendants might argue their clients are not like the others, Cohen added. That narrative is one to which juries are sometimes sympathetic, according to Morrison. Even a jury that might convict some of the top people could take pity on the little guy and acquit them, Morrison said. Breaking up the single case into multiple cases could help push things forward, according to Morrison. The various alleged schemes presented in the indictment from the fake electors plot and pressure campaign on local officials, to the Coffee County election equipment breach and efforts to influence a Fulton County election worker would make splitting up the case an easier task. But the experts agreed its unlikely all 19 defendants will make it to trial, predicting several will plead guilty and agree to cooperate with the government. The sheer scope of their alleged criminal enterprise makes complications all but inevitable, according to Levine. Its going to be a challenge to run this whole trial, she said. For the latest news, weather, sports, and streaming video, head to The Hill. Ukrainian soldiers hide during the shelling at the air defense positions held in Kostiantynivka, Ukraine, on August 8, 2023. Wojciech Grzedzinski/Anadolu Agency via Getty Images A Turkish passenger plane flew through Ukrainian airspace on July 25 for several hours. Civilian airspace over Ukraine is closed because of the war there, and risky to enter. The airline a new Turkish company called BBN said the pilots were avoiding a storm. A passenger plane flew through Ukraine's closed airspace last month after a storm diverted its path, the airline said. The plane, an Airbus A320-200 operated by Turkish company BBN Airlines, was flying from Oslo, Norway to Antalya, Turkey on July 25. It flew through southwestern Ukraine, passing the Zakarpattya and Chernivtsi regions, The New Voice of Ukraine reported. Ukraine closed its airspace when Russia launched its full-scale invasion in February 2022, forbidding civilian aircraft to enter. In an e-mail to Insider, a BBN spokesperson said that the aircraft flew over the country when the pilots changed course to avoid a storm. The area the plane flew has not seen heavy fighting, which has been concentrated hundreds of miles to the east. But flying in close airspace is still risky. Russian missiles have hit both regions before, and it's possible that Ukraine's air defense could react to an unexpected plane overhead. BBN is a new airline which began operating in 2023 and operates charter flights. Per its website, it has five planes. "We understand the concern that this incident causes and guarantee that we will actively take measures to prevent similar incidents," the spokesperson said. They did not elaborate on whether the pilots did this by accident or if there have been any repercussions as a result of their decision. The flight was chartered by Freebird Airlines but a spokesperson for the company did not immediately respond to Insider's request for comment. Norwegian news outlet NRK posted screenshots of the flight route, which appears to show that the plane deviated from its route and into western Ukraine before proceeding to the airspace of Romania. It is unclear how many passengers were on the plane at the time, The New Voice of Ukraine reported. The model of A320 can carry some 180 people. A spokesperson for Ukraine's Ministry of Transport did not immediately respond to Insider's request for comment. Read the original article on Business Insider Longtime peers understand the impact Lewis Lew Quigley had on Pueblo. They also know about the pride and passion he showed for the Steel City and his eagerness to shape it better than it was the day before. "He was an icon who flew under the radar, said Doug Proal, vice president of the Pueblo West Metro Board. He was probably the longest-tenured city manager in Pueblo and he was quite an asset to this board. I appreciated his friendship and I will miss him. On Aug. 7, friends and family lost Quigley, who died at age 88. But they and others who knew him are celebrating and honoring his memory. Mayor Nick Gradisar during a city council meeting Monday said city staff will explore how to best form a memorial to honor Quigley. Lew Quigley He was instrumental in creating lots of things in the city of Pueblo and I think its worthy to take some efforts to make sure hes remembered, Gradisar said. Quigley helped reshape Pueblo as city manager Quigley was 40 when he was hired in 1975 to head the citys parks and recreation department. He retired 25 years later in 2000 and left a lasting mark on those close to him, personally and professionally. He was known for his charm and his habit of chewing on a toothpick. A 1986 Chieftain article described him as a folksy, toothpick-chewing assistant city manager. In 1987, Quigley took over as city manager and almost immediately generated praise from department heads and the city council. Hes just one of those guys who grasps the situation and makes the best of it, said Allyn Middelkamp, then a city councilor. Middelkamp also said that Quigley was easy to work with and had everybody working as a team. Quigley was clear about how he wanted to approach his role and in a 1987 Chieftain article said that the greatest reward it gave him was to help people who might not otherwise have a voice in government. Quigleys working style and focus helped lead to the development of the Pueblo Convention Center and the Historic Arkansas Riverwalk, among countless other projects. Lew Quigley. I enjoyed my years on city council working with Lew, wrote Corinne Koehler under Quigleys obituary online. He had the best interest of Pueblo at heart and worked on so many great projects that enhanced the community for all. We'll miss Lews knowledge and history of the community. Quigley wasnt without some criticism during his tenure as city manager, but he told the Chieftain during his retirement that he tried not to focus on that and just did what he thought was right. Ultimately, despite suspecting he might move on from serving as city manager in Pueblo for a brief period, he had one of the longest tenures ever held by someone in that position. 'He loved it and they loved him' Quickly continued to serve Pueblo despite retiring as city manager in late 2000. He was a board member for the Pueblo West Metro District from 2010 to 2016 and was appointed city manager in Florence in 2010. He remained in that role for nearly two years. He also served as interim manager in Elizabeth, Fowler and Walsenburg and was an assistant to the Costilla County Commission. He loved it and they loved him, wrote Sam Mamet, former executive director of the Colorado Municipal League, under Quigleys obituary on his tenures as interim manager. Best of all, (he was) a great friend and colleague to me. More on another Pueblo icon: Iconic Pueblo busker remembered as an 'amazing character' and downtown fixture Pueblo West Board Member Bill Vickers also took time to honor Quigley during a meeting Monday and said although he and Quigley did not always see eye to eye when they served on a past board together, "he had a lot of good ideas and a lot of experience." Rich Golenda, a city councilor in 2000, perhaps said it best, saying at the time that Quigley's signature was indelibly stamped on Pueblo. Chieftain reporter Tracy Harmon contributed to this report. Chieftain reporter Josue Perez can be reached at JHPerez@gannett.com. Follow him on Twitter @josuepwrites. Support local news, subscribe to The Pueblo Chieftain at subscribe.chieftain.com. This article originally appeared on The Pueblo Chieftain: Lew Quigly remembered for pride he had for Pueblo as city manager INDIANAPOLIS Mike Pence said Wednesday that the Georgia election was not stolen in 2020, leaning into his role on Jan. 6, days after Donald Trumps indictment for his attempts to overturn the election results in the state. "The Georgia election was not stolen and I had no right to overturn the election on Jan. 6," said Pence, speaking in Indianapolis at a gathering for state lawmakers at the National Conference of State Legislatures. The former vice presidents remarks his first since the indictment came down mark a new full-court press from Pence in recent days surrounding his certification of the 2020 election results. They also echo those of Pence ally and Georgia Gov. Brian Kemp this week, and set up a potential fault line at the Aug. 23 GOP debate in Milwaukee. No one is above law, Pence added. And the president and all those implicated are entitled to the presumption of innocence. In response to a question from an audience member about how he might win over Trump voters, Pence said I hope he comes to next weeks debate. He referenced his experience in the 2020 vice presidential debate, saying the plexiglass wall that separated him from Kamala Harris wasnt even tall enough to stop a fly . Trump has not yet announced whether hell attend the debate after declining to sign the RNCs debate pledge. In Iowa last week, Pence fielded frequent thank-yous from fairgoers for standing up to Trump on the matter. Over the last two and half years, President Trump has continued to tell the American people things that just arent so, Pence told POLITICO last week . I had no right to overturn the election. I welcome the opportunity to set the record straight. And Ill continue to. Still, Pence continues to poll in single digits in the presidential primary, drawing the ire of MAGA Republicans infuriated by his unwillingness to overturn the election results. Former Vice President Mike Pence doubled down on his image of being the traditional, steady conservative in the rambunctious Republican presidential primary, speaking to hundreds of legislators Wednesday morning in Indianapolis almost exclusively about his plan to what he called restore states' rights if elected president in 2024. But he also reiterated what he's now said publicly many times on former President Donald Trump's indictments, this time wrapping in the latest indictment out of Georgia alleging a conspiracy to overturn the election results there in 2020. "The Georgia election was not stolen and I had no right to overturn the election on Jan. 6," Pence said. Former Vice President Mike Pence speaks during the NCSL Legislative Summit at the Indiana Convention Center, Wednesday, Aug. 16, 2023 in Indianapolis. To a warm reception at a Republican lawmaker breakfast at the National Conference of State Legislatures' Legislative Summit, Pence waxed poetic about federalism and the 10th Amendment, announcing a five-point plan to give more power to the states that includes eliminating whole federal departments and mandates. "You hire me on as president of the United States, were gonna trust states every single day," Pence said. More: 'A tough row to hoe': Mike Pence faces uphill battle for GOP nomination And Pence couldn't resist giving a pretty spot-on impression of former President George W. Bush, at the behest of Indiana House Speaker Todd Huston, R-Fishers. Former Vice President Mike Pence speaks during the NCSL Legislative Summit at the Indiana Convention Center, Wednesday, Aug. 16, 2023 in Indianapolis. His last public stop in Indianapolis was at the Indiana State Fair earlier this month, where he talked at length about the economy and his critical take on Trump's latest federal indictment. Pence takes the national stage next week in the first Republican presidential debate. He's one of at least eight candidates who have announced that they met the donor threshold, including Trump, though Trump has not committed to participating in the debate. 'Big government is back with a vengeance' After touting his own record during the Trump Administration removing regulations at the Environmental Protection Agency and the federal register a sort of notebook about rules and public notices of funding opportunities Pence criticized President Joe Biden's administration for attempting to strengthen the federal government's role in areas Pence believes should be under the states' domain, such as elections and land use. He referenced increased rules and regulations in the Securities and Exchange Commission, Biden's rescinding of health care waivers, and an executive order directing federal agencies to assist with voter registration efforts. "Big government is back with a vengeance under the Biden administration," he said. Pence's plan to expand federalism Pence announced for the first time his plan to strengthen state control. Some of the key proposals include: Eliminate the Department of Education and return its $24 billion to the states. Eliminate complex requirements attached to federal highway formula dollars and give that money to states in the form of block grants with "no strings attached." Eliminate Affordable Care Act mandates and instead give states flexible block grants to spend on health care policy Sell some of the federal government's 640 million acres of land to the states Prohibit any unfunded federal mandates or regulations that impose a cost on states Former Vice President Mike Pence talks with the Indiana delegation after he spoke during the NCSL Legislative Summit at the Indiana Convention Center, Wednesday, Aug. 16, 2023 in Indianapolis. Pence dodges question about pardoning Trump A member of the audience asked Pence how he would differentiate himself from Trump on the debate stage, if Trump participates, and whether Pence, if elected president and if Trump is convicted, would pardon him. Pence did not answer the second question. To the first, he described his move back to Indiana after the White House, his 5-acre pond and John Deere tractor, his desire to let people get to know him. "Im well known but I dont think Im known well," Pence said. As vice president, he took a servant role, prepared to assist but not take the spotlight, he said. He mentioned the infamous fly that seems to be the spotlight of his time debating Vice President Kamala Harris. So he's hoping for the chance to present his fiscally conservative vision for the future, which he believes is different from Trump's vision. "I hope he comes, I really do," he said of Trump. "Ive debated Donald trump a thousand times. Just not with the cameras on." Contact IndyStar state government and politics reporter Kayla Dwyer at kdwyer@indystar.com or follow her on Twitter @kayla_dwyer17. This article originally appeared on Indianapolis Star: Pence says 'Georgia election was not stolen' during Indianapolis stop The Pentagon cannot verify claims by North Korea that U.S. soldier Travis T. King willingly sought refuge in the north to escape "racial discrimination" in the U.S. Army. A senior defense official told Fox News Tuesday that the Pentagon has had no contact with Private King and does not know his current condition. This undated photo shows Travis King, the American soldier who officials say currently is being detained in North Korea. King dashed into the North while on a civilian tour of the Joint Security Area (JSA) on the heavily fortified border between the two Koreas. U.S. officials have said they believe King crossed the border intentionally. In the first public acknowledgment of the incident, North Korea's state media reported Wednesday that King confessed to crossing into the North because of "inhuman maltreatment and racial discrimination within the U.S. Army." NORTH KOREA CONDEMNS US-LED HUMAN RIGHTS MEETING AT UN AS DESPICABLE AND GEOPOLITICALLY MOTIVATED North Korean investigators have also now concluded that King crossed deliberately and illegally, with the intent to stay in the North or in a third country, state news agency KCNA said. READ ON THE FOX NEWS APP "During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," KCNA reported. "He also expressed his willingness to seek refuge in the DPRK or a third country, saying that he was disillusioned at the unequal American society." The senior defense official who spoke with Fox News said North Korea has not communicated anything about Private King to U.N. Command or anyone in the U.S. military. The official said there is no reason to trust what North Korea's state media is reporting. The reclusive communist regime, which frequently issues bellicose threats against the United States, South Korea, and Japan, exerts total control over its media. Reuters contributed to this report. The journey that brought the Adolfo family halfway across the globe to a small unknown city in the northeastern United States began three years ago with a visit to the doctor in Angola. Nadina Adolfo went in for a procedure at a hospital in Luanda, the capital of Angola, where she and her family lived. The doctor botched it and caused her grievous damage. She and her husband, Leba, filed a complaint against the doctor. If they had known that she was family with some of the most powerful people in the Angolan government, they'd have kept their complaint to themselves, but by the time they knew that it was too late. First the doctor made threatening phone calls demanding they withdraw the complaint, Leba said. They did so, but still the harassment persisted. One day while Leba was at church, government officials came to their house and threatened to kill Nadina and their two 13-year-old sons, Eduamio and Mateus. The Adolfo family arrived in Rochester from New York City Aug. 7. They traveled from Angola to seek asylum in the United States due to threat of violence. From left: Mateus, Leba, Eduamio and Nadina. "They were going to, I don't know, torture them, kill them," Leba said in French. "It was very risky living there with those problems on such a high political level." And so, like hundreds of thousands of Angolans before them, Adolfos made the difficult decision to leave their home. They flew from Luanda to Addis Ababa, Ethiopia, and from there to Sao Paolo, Brazil. From Sao Paolo they made their way north, mostly walking through Central America and Mexico toward the United States border. "We didn't know where we were, what country we were in, anything," Leba said. "People were dying all around us -- if you get sick, it's not like there's a doctor." On April 6 they reached the United States border at California and formally requested asylum. There they spent five days in a detention center the boys in one area, Nadina in the women's area and Leba with the men, with little room to sleep and no shower facilities, Leba said and then boarded a flight to Maine, where a church had volunteered to put them up. The church's offer, however, did not include a place to sleep. The family slept outside while searching unsuccessfully for shelter, Leba said, and then caught a ride to New York City, where they were processed as asylum seekers for a third time. They shuttled from a shelter in Manhattan to another in Brooklyn to a different one in Manhattan. And then last Monday, an unexpected announcement: Pack your bags. You're leaving New York City. It was a six-hour bus trip to Rochester with 73 other asylum seekers. They saw few houses or towns along the way, Leba said, and it was dark by the time they arrived at the Holiday Inn on State Street. "We didn't know where we were going," Leba said. That was last week. Political and community leaders in Rochester have spoken kind words about the asylum seekers arriving here, though they've also pledged not to spend any local public resources helping them. The Holiday Inn has space and permission to take in around 140 more people, but a county spokesman said Monday there's no news now about when they might arrive. Many asylum seekers speak Spanish, but others are like the Adolfo family: Leba speaks French, while his wife and sons speak only Portuguese, making communication difficult. Monroe County Executive Adam Bello announces 77 asylum seekers from New York City have arrived and are staying at a downtown hotel. The housing, food and support services will be paid for by NYC. Mostly they stay in their room or else make short forays around downtown Rochester, searching for phone cards or other essentials. "It's been OK, but we're a little bit isolated," Leba said. "We don't really know where we are or who to ask for things." There are many other things they don't know about their situation. How long will they be at the Holiday Inn? When will they be able to work, and how will the boys fare when they begin school next month? When will their asylum case be decided, and what will the future hold after that? "We're trying to find refuge," Leba said. "It's the state who sent us here. We're just trying to make our way." Hochul sends National Guard Gov. Kathy Hochul Tuesday agreed to a request from Monroe County Executive Adam Bello to deploy the National Guard to help with the security and public health aspects of the asylum seekers' stay in Rochester. Bello's request came "after observing recent occurrences in other counties hosting asylees" a reference to apparent shortcomings in Erie and Albany counties by DocGo, the for-profit company with whom New York City has contracted to care for the asylum seekers. There was no word about how many National Guard members will be coming, when they will arrive or what their specific assignment will be. The county also confirmed that 20 of the original 77 asylum seekers who arrived in Rochester have been relocated back to New York City at their own request. The relocations as well as the request for National Guard assistance were first reported by WXXI News. This article originally appeared on Rochester Democrat and Chronicle: Angolan family among asylum seekers at Rochester NY Holiday Inn A prominent Philadelphia criminal defense attorney has confirmed that the terror suspect arrested by the FBI in an armed raid on his suburban home Monday is his 17-year-old son. Qawi Abdul-Rahman, 50, told The Daily Beast that he was shocked and devastated by the arrest of the teenager, who has been charged with arson, criminal conspiracy, weapons of mass destruction, and risking catastrophe, among other accusations. I didnt see it coming at all. At all, Abdul-Rahman, who recently campaigned to be a Common Pleas Court judge in Pennsylvania, told The Daily Beast. I go to court because I want people to know that Muslims are out here doing things in the community, for the community. Im an American like everyone else. A press release from the Philadelphia District Attorneys Office described the charges against the teenager, who has not been named, as the most serious alleged terrorist activity prosecuted in Philadelphia County court in recent history. The work of the FBI Joint Terrorism Task Force potentially thwarted a catastrophic terrorist attack in the name of a perverted ideology that in no way, shape, or form represents the beliefs of the overwhelming majority of peace-seeking people of faith, including Muslims, the memo said. We intend to pursue full accountability for these crimes and will continue to work vigilantly with our law enforcement partners to protect all of our communities from hateful, ideologically driven acts of violence. Army Soldier Busted Trying to Help ISIS Kill Americans Pleads Guilty Speaking about the raid, the Philadelphia lawyer told The Daily Beast he was at work when he got a call from one of his children, who alerted him about the ongoing raid. He said that neither he nor his wife were home at the timeonly the teenager and two of his siblingsall of whom are minors. That was the horrible part This is a family neighborhood. I was at work, I was nowhere near the house. Just the children. Its amazing. A man and two girls. Children. Everyone was terrified. I talked to them when it was happening and I rushed from work to here [home], he said. Footage of the raid captured by local TV networks show two armored vehicles surrounding the home as armed FBI SWAT agents stood nearby. The suspect was brought out in his underwear according to one resident who spoke to 6 ABC Action News, after which agents were spotted moving boxes out the residence. The degree of the response, again I was out when it happened, but seeing the pictures, they had armored vehicles and so forth. Seems like the FBI was preparing to experience some violent response or something, one neighbor told the network. FBI Philadelphia and the District Attorneys Office declined to provide further comment about the investigation and arrest when contacted by The Daily Beast. Its truly amazing. I deal with people all day every day, but until you have the shoe on your foot, its hard to really talk about it, Abdul-Rahman said. A memo released by the District Attorneys Office alleges that the teenager had been in contact with Katibat al Tawhid wal Jihad (KTJ)a Syria-based extremist group designated by the U.S. State Department as global terroriststhrough social media. In addition to other deadly attacks, the group has been accused of the 2017 metro bombing in St. Petersburg, Russia, that killed 15 people. Investigators with the FBI Joint Terrorism Task Force were able to connect a Meta (aka Instagram) social media account that communicated with an account affiliated with KTJ in March and April of 2023 to the juvenile. A WhatsApp account linked to the juveniles phone number was also found by investigators to have a banner of Riyad-us-Saliheen Martyrs Brigade, a Chechnya-based terrorist group, as its profile photo. On August 6, according to the Task Force, the juveniles WhatsApp profile photo was changed to the image of the ISIS banner. Among other specific allegations, the District Attorneys Office claims the teenager received messages related to construction of improvised explosive devices (IEDs) and to have purchased materials online such as chemical cleaners that are used to construct IEDs, as well as outdoor or tactical gear. The statement adds that on Aug. 7, FBI special agents surveilled the juvenile and observed him purchasing materials that can be used to make bombs. A day later, according to the press release, U.S. Customs and Border Protection provided records revealing 14 international shipments of military and tactical gear to the juveniles address. The young man who is under arrest was an aspiring terrorist who was not merely thinking but was doing things that are deeply disturbing and presented a grave danger to everyone, Philadelphia District Attorney Larry Krasner said at a press conference Monday. FBI Special Agent in Charge Jacqueline Maguire added: I think its very fair to say that lives were saved because of this investigation. The suspects father, meanwhile, is still grappling with the shock of the events that unfolded this week. Speaking with The Daily Beast, he said he was struggling to discuss the incident with his two children who were in the home at the time of the raid. Im not a psychiatrist, so I dont know how to even address it, he said. This is the stuff you cant talk about to a child, you cant explain. Your job as a father is to protect. But I couldnt do a thing. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Visitors take photos of a car from BYD at the Chengdu Motor Show 2022 in Chengdu, capital of southwest China's Sichuan Province, Aug. 26, 2022. [Photo/Xinhua] The 26th Chengdu Motor Show is scheduled to kick off on Aug. 25 in the capital city of Sichuan province, said Hannover Milano Fairs Shanghai, one of the organizers, on Tuesday. The event, which will run through Sept 3, will feature around 1,600 models from 129 automotive brands of different countries including China, the United States, Germany and Japan. Of them, Chinese brand IM will premiere its latest LS6 SUV and China's largest NEV maker BYD will present a big lineup of models from all its marques. International brands ranging from BMW to Bentley will wow visitors with their latest models. Forums as well as events that enable visitors to experience the models will be held as well, said the organizer. Liu Guoliang, president of Hannover Milano Fairs Shanghai, said the motor show and its events will help boost vehicle sales in Sichuan province and Southwest China as a whole. Chengdu is now home to over 6 million vehicles, as one of the cities that have a large number of vehicles in the country. China is the world's largest vehicle market. Over 15.62 million vehicles were sold in the country in the first seven months of the year, up 7.9 percent year-on-year, according to statistics from the China Association of Automobile Manufacturers. Former President Donald Trump is once again testing the limits of his influence over the GOP, this time by refusing to sign a pledge to support the partys eventual presidential nominee a prerequisite for participating in the Republican National Committees first primary debate next week. Trump hasnt yet committed to appearing at the debate in Milwaukee on Aug. 23. He is expected to make a final decision sometime this week, but hes already made clear that he wont adhere to the RNCs loyalty pledge requirement. Why would I sign it? Trump said on the conservative network Newsmax. I can name three or four people that I wouldnt support for president. So right there, theres a problem. Trumps criticism of the pledge could put the RNC in the difficult position of choosing whether to keep the former president off of the debate stage or compromise on its own rules at a time when its trying to project neutrality in the 2024 Republican presidential primary. Its also a circumstance that could hint at future trouble in Florida, where the state GOP has implemented a similar rule requiring candidates to pledge their support to the partys eventual nominee as a prerequisite for appearing on the primary ballot next March. I affirm that if I do not win the 2024 Republican nomination for President of the United States, I will endorse the 2024 Republican presidential nominee regardless of who it is, the Florida GOPs oath reads. I further pledge that I will not seek to run as an independent, non-party affiliated, or write-in candidate nor will I seek or accept the nomination for president of any other party. The candidates have until Nov. 22 to sign that pledge, and its unclear whether Trump will comply. Spokespeople for his campaign did not respond to the Miami Heralds requests for comment, nor did multiple Republican Party of Florida officials. Still, if Trump ultimately declines to sign the oath and is kept off the Florida ballot, there could be significant repercussions: Floridas winner-take-all primary on March 19 will award the winner nearly 10% of the total delegates needed to secure the GOPs 2024 nomination. RNC is in a pickle For now, at least, its the first Republican presidential debate thats drawing the most attention. Bryan Lanza, an adviser to Trumps 2016 campaign who remains close with the former presidents team, said that tension over the loyalty pledge underscored an ongoing power struggle between party officials and the conservative grassroot voters who largely remain supportive of Trump. Its about the party trying to assert its power over the grassroots movement, but you cant do that when the frontrunner is the grassroots movement, Lanza said. I think the president very much wants to show that he has the power. The RNC is in a pickle, he added. Doug Heye, a Republican strategist and former RNC communications director, said that Trump wants to prove that he still holds the reins of the party, even as he faces his first competitive primary in years. Why would anyone expect Donald Trump to back anyone other than Donald Trump? Heye said. To be sure, such pledges are practically unenforceable. And Trump has shown a willingness to buck loyalty pledges before. He refused to agree to a similar RNC pledge during his first presidential run eight years ago. Trump eventually signed the pledge but then reversed course, saying that he would simply ignore it if he didnt win the nomination. So far, four candidates Florida Gov. Ron DeSantis, former South Carolina Gov. Nikki Haley, entrepreneur Vivek Ramaswamy and North Dakota Gov. Doug Burgum have signed the 2024 loyalty pledge. Former Vice President Mike Pence, who says that he has qualified for the first debate, has indicated that he would sign it, as well. Another candidate, former New Jersey Gov. Chris Christie, told Fox News on Tuesday that he will sign it once he receives it from the RNC. The Florida pledge, however, is new. The party declined to put a similar requirement in place during the 2016 election cycle, despite having two Floridians former Gov. Jeb Bush and U.S. Sen. Marco Rubio seeking the presidency. Its hard to know what the field will look like come March. But if Trump fails to make the primary ballot in the Sunshine State, it could turn the state into a delegate windfall for DeSantis, whos widely seen as the former presidents leading challenger. Despite Trumps refusal to promise to support the eventual nominee, he has already signed a similar pledge when he filed for the primary ballot in South Carolina earlier this month. That filing includes a vow to generally support the nominees of the Republican Party in the 2024 general election. Ballots different than debates One person familiar with Trumps thinking on the race noted that hes more likely to sign state-level pledges if theyre necessary to get on the ballot than the RNCs pledge, which he views as an unnecessary challenge to his standing among Republican voters. Multiple Republicans noted that were the committee to block Trump from the debate, it would likely face pressure from Fox News to allow him to participate, given the effect that his appearance would have on television ratings. Trump recently attended a private dinner with Fox News executives, who lobbied him to appear in the debate. A spokesperson for the RNC did not respond to the Heralds request for comment on Trumps stance on the loyalty pledge. But in an interview on CNN last month, RNC Chairwoman Ronna McDaniel said that the committee would stick to the rules, regardless of what Trump decides to do. If youre going to stand on the Republican National Committee debate stage you should be able to support the nominee and beat Biden, she said. Everybody has to sign the Beat Biden pledge, everybody. Its across the board, the rules arent changing, weve been very vocal with them. Asked what the RNC would do if Trump decides to skip the debate, McDaniel responded bluntly: Then he skips it. Thats his choice. Cars and motorbikes are caught in rush hour traffic at the main business district in Jakarta, Indonesia, Tuesday, Aug. 15, 2023. A plan for how Indonesia will spend $20 billion to transition to cleaner energy was submitted Wednesday, Aug. 16, to the government and its financing partners, the planners said. (AP Photo/Dita Alangkara) JAKARTA, Indonesia (AP) A plan for how Indonesia will spend $20 billion to transition to cleaner energy was submitted Wednesday to the government and its financing partners, the planners said. Indonesias Just Energy Transition Partnership deal was announced last year and aims to use the funds over the next three to five years to accelerate retirement of the nations coal plants and development of renewable energy. Details were not made public. The investment plan will be reviewed and revised further by Indonesia and its JETP partners before being made available for public review and comment, according to a statement from Indonesias JETP Secretariat. The Indonesian public will have the opportunity to review the full draft text of the (plan) and submit comments and feedback, Dadan Kusdiana, Indonesias Secretary General of the Ministry of Energy and Mineral Resources, said in a statement. A person with direct knowledge of the talks who was not authorized to comment on the deal told The Associated Press that new information regarding the countrys captive coal and mineral processing infrastructure and difficulties matching the financing with potential transition projects were some of the crucial reasons why the details were still being negotiated. We welcome the submission of the (plan) to the Indonesian government. We understand that this is a global effort to address a very complex problem in Indonesia. We will review and ensure that it is aligned with Indonesias priorities in energy transition, Rachmat Kaimuddin, Indonesias deputy coordinating minister for maritime affairs and investment, wrote in a statement. The investment and policy plan comes after Indonesias JETP was announced at the Group of 20 summit in November 2022. The deal also shifted Indonesias renewable energy policy, which will need to account for some one-third of the countrys power production by 2030. Experts have warned that Indonesia's JETP deal and energy transition face significant challenges including retiring a relatively new network of coal plants, securing enough financing for the transition and ensuring it's equitable for those who are likely to be impacted by the transition, such as the some 250,000 people employed by the countrys coal industry. The Indonesian government also plans to build new coal-fired power plants to power strategic infrastructure projects such as smelters, raising concern amongst stakeholders and environmental activists alike. The International Partners Group failure to discourage the development of captive coal power plants would stifle any progress made from the JETPs early retirement of coal power plants, and compromise the gains from rolling out renewable energy," said Binbin Mariana, an Asia energy finance campaigner at Market Forces, a nongovernmental organization that monitors investments. Local stakeholders have also expressed concern over how the JETP funds will be provided via a mix of grants, concessional loans, market-rate loans, guarantees, and private investments. Indonesias JETP deal is anticipated to be comprised of some $10 billion in public sector pledges and another $10 billion from private lenders, coordinated by the Glasgow Financial Alliance for Net Zero, which includes Bank of America, Citi, Standard Chartered and other major banks. We definitely would like to see more grants or concessional loans as the bigger part of the funding, said Anissa Suharsono, an associate with International Institute for Sustainable Development. While some $20 billion is pledged through the JETP, the International Renewable Energy Agency estimates Indonesia would need $163.5 billion for its renewable energy technology, grid expansion and storage needs through 2030. The emissions targets could also still be part of the plan's negotiations, said Deon Arinaldo, a program manager at the Institute for Essential Services Reform. According to research published last year by IESR and the University of Maryland, a more ambitious target than specified in the JETP and Indonesia's current regulation must be implemented in order to be compatible with the 1.5 C target goal of the Paris Agreement, which calls for countries to take concerted climate action to reduce greenhouse gas emissions in order to limit global warming. ___ Associated Press climate and environmental coverage receives support from several private foundations. See more about APs climate initiative here. The AP is solely responsible for all content. A Point Marion man has pleaded guilty in federal court to a charge of interstate domestic violence. According to the Department of Justice, on Aug. 30, 2020, Kristopher Lee Holmes restrained his significant other while leading police on a high-speed chase from West Virginia to Pennsylvania. During the chase, Holmes hit speeds of over 100 miles per hour, officials said. Meanwhile, the victim was trying to escape the car and attempted to open the passenger door while it was moving. Holmes gave the victim a black eye and left long bruises in the shape of fingerprints of their left arm, officials said. Holmes pleaded guilty to one count of interstate domestic violence. He faces up to five years in prison, a $250,000 fine or both. His sentencing is scheduled for Dec. 5, 2023. Pending sentencing, the court continued Holmes on bond. Download the FREE WPXI News app for breaking news alerts. Follow Channel 11 News on Facebook and Twitter. | Watch WPXI NOW TRENDING NOW: Plum House Explosion: What weve learned about the 5 victims, the legacies left behind GetGo to offer $0.50 per gallon discount on gas starting Thursday, heres how to get it Rachael DelTondo Murder: Beaver County DA names prime suspect VIDEO: 1 hurt in wrong-way crash on I-79 DOWNLOAD the Channel 11 News app for breaking news alerts Poland and the Baltic States (Latvia, Lithuania and Estonia) are discussing the measures and procedures that would need to be put in place if the circumstances required them to close their borders with Belarus. Source: LRT (Lithuanian National Radio and Television), citing Agne Bilotaite, Lithuanias Minister of the Interior Quote from Bilotaite: "We agreed to coordinate technical measures and procedures around a possible regional solution agreed by the Baltic States and Poland. We are continuing to work on the technical and political details. A meeting of [the representatives of] the Baltic States and Poland is expected to take place in Warsaw on 28 August, where we will agree the final details of what a synchronous border closure would look like." Details: Bilotaite also said that she discussed closing the Belarusian border with her Polish counterpart last week. Background: As of 18 August, the Lithuanian government will temporarily close two out of six Belarus border crossings. Poland and the Baltic States are preoccupied by border security after hundreds of Wagner Group mercenaries arrived in Belarus in July on the invitation of the self-proclaimed Belarusian president, Alexander Lukashenko. There has also been a rise in border crossings by illegal migrants, who are being aided by Belarusian law enforcement forces. Against this backdrop, Latvia has suspended its border guards holidays. Poland, in turn, has said it would transfer several thousand of its troops to the Belarusian border; it is expected to have around 10,000 troops on the border. Ukrainska Pravda is the place where you will find the most up-to-date information about everything related to the war in Ukraine. Follow us on Twitter, support us, or become our patron! The suspect in the death of a rideshare driver in SoDo neighborhood of Seattle has been charged with first-degree murder in court Tuesday, August 16, according to the King County Prosecuting Attorneys Office. Seattle police arrested Neiana Allen-Bailey, 18, for her alleged involvement in a deadly carjacking of a rideshare driver in the SoDo District Tuesday, Aug. 9. The arrest was made around 4 p.m. on Thursday, August 11, near John Street and 8th Avenue North. Police have previously said they believe the shooting was likely a random carjacking, and that the suspect was not a rideshare customer. Police said that around 3:30 a.m., a suspect allegedly walked up to the shooting victim, who was stopped in his car along the curb of 1st Avenue South. The victim has been identified as Amare W. Geda, 52, who was working as a rideshare driver. According to court documents, police say Allen-Bailey carjacked and shot the victim and fled the scene in the stolen car, a light blue 2014 Toyota Prius. Police said someone passing by called 911 after seeing the man down on the ground. When officers got there, they found the man with a gunshot wound. He was declared dead at the scene. According to court documents, Allen-Bailey told detectives after she took the car she visited her mother and brother in Skyway, bought marijuana in Rainier Beach and went to Kent to get her hair done. When detectives searched the vehicle, they found a 9mm semi-automatic pistol. The family plans to bury Geda in Ethiopia and has started a GoFundMe to help with transportation costs, as well as to help care for his wife and children. This is the second homicide within the same three blocks in SODO in just 12 hours, and Seattle police said its the citys 44th homicide of the year. The suspect is being held in jail with a $2 million bail until her trial. Her next court date is scheduled for Aug. 28. Andrew Malkinson spent 17 years in prison for a crime he did not commit - RII SCHROER Senior MPs have demanded an urgent public inquiry into the Criminal Cases Review Commission (CCRC) after it emerged it was aware of DNA evidence which could have freed Andrew Malkinson 16 years before his rape conviction was eventually quashed. Mr Malkinson, who spent 17 years in prison for a rape in 2003 he did not commit, had his conviction overturned last month after DNA linking another man to the crime was produced. On Tuesday it was revealed that files obtained by the 57-year-old show the Crown Prosecution Service (CPS) knew forensic testing in 2007 had identified a male DNA profile on the victims vest top that did not match his own. An internal log of Mr Malkinsons first application to the CCRC in 2009 shows the body raised the cost of further testing and argued it would be unlikely to overturn the conviction. Two former senior Government law officers and the head of the justice select committee have now called for an urgent public inquiry to establish what took place. A log of a meeting between the Forensic Science Service, the CPS and Greater Manchester police in December 2009, seen by the BBC, revealed the CPS was aware of the potential impact of the discovery. It took three years for the CCRC to reject his application, and did not request the full police file or conduct new forensic tests. Sir Robert Buckland, the former justice secretary, said: I would call for a public inquiry. Sir Robert said the immediate focus has to be on finding out precisely what went wrong before determining who was ultimately responsible. - Jeff Gilbert for The Telegraph I think the shocking nature of the latest revelations about the 2007 DNA investigation highlight even more acutely the gravity and scale of this miscarriage of justice. Lord Edward Garnier KC, who was solicitor general from May 2010 to September 2012, also expressed jaw-dropping shock over the failures in the case. He told the BBC Radio 4 Today programme: It seems to me that what we need now is complete and utter disclosure, public disclosure, of every document that relates to this case, save those which if disclosed would impede the prosecution of a new suspect, and there should be a public inquiry which should reach conclusions about what went wrong, who knew what and when, within a sixth-month period. Calls for CCRC boss to step down Mr Malkinson has previously called for the head of the CCRC, Helen Pitcher, to resign. A petition urging her to apologise has more than 100,000 signatures. In 2007, Prof Graham Zellick was chair of the commission. He was succeeded the following November by Richard Foster CBE, a former CPS chair, who remained in the position for the next decade. Sir Robert said the immediate focus has to be on finding out precisely what went wrong before determining who was ultimately responsible. He added: It can be done fairly quickly in my opinion. It doesnt need to take years, its only one case. Sir Robert said he would support full cooperation from the CCRC, the CPS and Greater Manchester Police. When asked if he would expect senior former and current staff at those organisations to appear before an inquiry, he replied: Absolutely. Mr Malkinson outside the Court of Appeal last month after his conviction was quashed - Jordan Pettitt/PA Mr Malkinson had twice applied for his case to be referred for appeal by the CCRC but was turned down, eventually being released from prison in December 2020. Sir Bob Neill, chair of the Justice Select Committee, said that the entire system of criminal case reviews needs to be examined. He also raised concerns over suggestions the CCRC may have chosen not to pursue the new evidence for financial reasons. Sir Bob said: Undoubtedly they are pushed on resources and have to pick and choose what cases they do. But on the face of it, you would think that the material we now know was there was very compelling. If that doesnt meet the test for rendering a conviction unsafe then you wonder what does? Handling of case deeply flawed James Burley, investigator at Appeal, said: These records prove that the CCRCs handling of Andys case was deeply flawed and a complete mess. By not bothering to obtain the police files, the CCRC failed to uncover evidence which could have got Andys name cleared a decade earlier. A CPS spokesperson said: It is clear Mr Malkinson was wrongly convicted of this crime and we share the deep regret that this happened. Evidence of a new DNA profile found on the victims clothing in 2007 was not ignored. It was disclosed to the defence team representing Mr Malkinson for their consideration. In addition, searches of the DNA databases were conducted to identify any other possible suspects. At that time there were no matches and therefore no further investigation could be carried out. Alex Chalk KC, the justice secretary, met with Ms Pitcher on Wednesday morning to discuss its response to the scandal. A Ministry of Justice spokesperson said: Andrew Malkinson has suffered a serious miscarriage of justice and the Lord Chancellor is resolute in his commitment to uncovering any lessons learned from this case for all parts of the criminal justice system. This is why he met the chair of the Criminal Cases Review Commission this morning to discuss its response and is working closely with other ministers across Government. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. Police say someone took photos of a woman inside a dressing room at Target. Channel 2s Bryan Mims was at the store on Jonesboro Road in Henry County. Henry County Police said it happened on Saturday, between 4 and 5 p.m., while a woman was trying on clothes at the Target located at 1850 Jonesboro Rd in McDonough. Police said the victim looked up and spotted the suspects cellphone taking photos and recording her while she was trying on different clothing. After being confronted by the victim, the suspect left the store in a white 2014 Ford Explorer SUV with a California tag. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] Mims spoke with shoppers in the parking lot who were alarmed and angered by the incident. I guess not a whole lot surprises me much anymore about what people do. Yeah, it concerns me but what are you gonna do, shopper Toni Glover asked. Its pretty scary, but you have to be very aware of your surroundings. Police say the victim did confront the man after she saw the phone. Shopper Florence Morse says it never should have happened in the first place. Thats unacceptable. They have cameras. They have security, they have workers who walk around the store and nobody saw him, Morse said. TRENDING STORIES: Investigators have not said whether the man might be wanted for other peeping tom incidents in the area. I dont even know what to say. Its surprising. Its unfortunate, shopper Sheceria Chambliss said. It makes you more aware when you go shopping that these things are happening, Glover said. [SIGN UP: WSB-TV Daily Headlines Newsletter] Target said in a statement to Channel 2 Action News: We have no tolerance for this type of behavior in our stores and our team contacted law enforcement when they became aware of the situation. Police said anyone with information or who knows the suspects identity should contact Detective T. Mears at 770-288-8211, the Henry County Non-Emergency Dispatch at 770-957-9121, or text tips, photos, and videos to 770-220-7009. IN OTHER NEWS: The Roseville Police Department and Stoneridge Elementary School staff will be monitoring drop-off and pickup Wednesday after a man allegedly approached a student during dismissal Tuesday, school officials said. The man allegedly approached a student in front of the school and told the student their parent had asked them to pick them up, according to a message the school sent out to families Tuesday night. He was reportedly wearing sunglasses and a surgical mask. The student did not know the adult and went directly home to tell their parent about the incident, the message said. Roseville police have spoken to those involved and do not have additional leads to follow up on, according to an email sent to parents Wednesday morning. The police department is not considering the incident a crime, according to Roseville City School District spokeswoman Jessica Hull. Stoneridge Principal Megan Spears said in Tuesdays message that anyone with additional information or who sees suspicious activity on or around campus can call the Roseville Police Department at 916-774-5000. Joshua Doolin of Polk City took a photo of himself showing the effects of chemical irritants used by police officers at the U.S. Capitol on Jan. 6, 2021. The first Polk County resident convicted on charges related to the U.S. Capitol attack is headed to prison. Joshua Doolin of Polk City received an 18-month sentence Wednesday in Washington, D.C., five months after U.S. District Judge Carl J. Nichols found him guilty of one felony and three misdemeanors for his presence at the Capitol on Jan. 6, 2021. Nichols also ordered 36 months of supervised release after Doolin's term is completed. Doolin, who had waived his right to a jury trial, was convicted of civil disorder, entering and remaining in a restricted building or grounds, disorderly and disruptive conduct in a restricted building or grounds and theft of government property. He is one of six current or former Polk County residents indicted on charges connected to the U.S. Capitol riot. Federal prosecutors had recommended a 30-month prison sentence in a sentencing memorandum filed Sunday. Doolin's lawyer had asked Nichols to spare Doolin any prison time and sentence him only to home detention and community service. Nichols ordered Doolin to pay $175 in fees but imposed no fine. The court order posted late Wednesday afternoon did not indicate when Doolin will report to prison. Doolin, now 25, traveled to Washington, D.C., to protest the 2020 presidential election results along with relatives and friends, according to the prosecution. The government sentencing memorandum included new details about his activities during the hours he spent outside the Capitol after attending a rally for supporters of former President Donald Trump at the Ellipse. In the 45-page memo, Assistant U.S. Attorney Benet J. Kearney argued that Doolin went to Washington in 2021 intending to join in a show of force on Trump's behalf as members of Congress were meeting to certify Joe Bidens election victory. Doolin was an active and enthusiastic" participant in the attack on the Capitol, the prosecutor wrote. Ready to die on Jan. 6 The memo quotes from messages Doolin wrote before Jan. 6 about plans to attend the Stop the Steal rally led by former President Trump. He wrote to his father that he expected to take a semi-automatic rifle to Washington and that his cousin, Jonathan Pollock, would bring magazines. His father, who apparently did not make the trip, replied: Put it in a case for travel. If yall join up with a group like the proud boys yall might be able to open carry. The Proud Boys are a far-right militant organization, some of whose leaders have been convicted of seditious conspiracy in relation to the Capitol attack. The government has not asserted that Doolin was a member of the group. Doolin did not take a gun to the Capitol, but Kearney wrote that the exchange is illustrative of his mindset in traveling to Washington, D.C.: He contemplated not only a show of support for President Trump, but a show of force. Also: Judge finds Polk County man guilty for actions at U.S. Capitol on Jan. 6 The memo also quoted an exchange between Doolin and a friend on Jan. 6, Doolins 23rd birthday. He texted that he wouldnt mind dying with my family storming the capital on my birthday! Others in Doolins group, including his cousins, Jonathan and Olivia Pollock, have been charged with assaulting police officers at the Capitol. Though Doolin did not face such a charge, the memo argues that he took part in violent attempts to breach the Capitol building, advancing several feet inside the Lower West Terrace tunnel. Doolin joined a mass of rioters pushing against a police line in a narrow corridor leading from the inaugural stage to the interior of the Capitol building, Kearney wrote. The memo cited videos that Doolin recorded during the hours while police officers grappled with rioters. He repeatedly described what was happening as a revolution, the memo said. While recording images of a media tower, he yelled, This is the revolution! That was theirs! That was their tower! That was all theirs!. ... When your kids ask you where you were on January 6, 2021, tell them you helped take America back! Joshua Doolin of Polk City seized a U.S. Capitol Police officer's riot shield and brought it home as a souvenir, according to federal prosecutors. Doolin and friends signed the shield, seized by FBI agents from the home of Olivia Pollock. At another point, the memo said, Doolin recorded himself saying, Were taking our Capitol back, baby! While on the Upper West Terrace, Doolin stole a U.S. Capitol Police officers riot shield, the memo said. After declaring in a video, I got a riot shield, Doolin returned to the tunnel and used the shield to push against officers struggling to keep rioters out of the Capitol, the memo said. Doolin kept the shield as a souvenir, bringing it back to Lakeland, where he and friends signed it and displayed it at the Pollocks house, according to the memo. Through his actions, Doolin showed that he was not a passive observer of the attack on the Capitol, but rather an excited participant, who strove to be at the front and center of the action, the memo said. Although Doolin did not personally touch the police officers in the Tunnel, he was part of a mob that did, and himself aided the rioters that did make direct contact. FBI searching for Lakeland's Olivia Pollock days before scheduled trial on Jan. 6 charges Prosecution: No remorse In the filing, the prosecutor wrote that Doolin has shown an utter lack of remorse. Kearney also asserted that Doolin repeatedly lied in interviews with federal investigators and at his trial. Doolin claimed in an FBI interview that he had been trying to enter the Capitol because he had heard others say a girl inside was injured and needed assistance. Doolin had recently been hired by Polk County as an emergency medical technician. (He was fired soon after his arrest on June 30, 2021.) Doolin also said that he considered taking an assault rifle to Washington to hunt or target shoot with his father during the trip, that he did not see any barriers or signs indicating a restricted area as he approached the Capitol and that he did not see any rioters behaving violently, though he had shot video of others fighting with police officers, the memo said. In addition, Doolin testified that he did not recognize the riot shield as belonging to a police officer, though it bore an official emblem and the words United States Capitol Police. Doolins insistence, through trial, that his presence at the Capitol was peaceful and that he witnessed no violence that day further highlights how little respect Doolin has for the democratic process, for the duties law enforcement performed that day, and for this Court, Kearney wrote. In the memo filed Sunday, Kearney wrote that a 30-month sentence reflected the midpoint of the guideline range calculated by the government. He also asked for three years of probation, $2,256.65 in restitution, a $4,052 fine and a $175 mandatory assessment. Lawyer sought home detention Doolins lawyer, Allen H. Orenberg of Potomac, Maryland, submitted a memo asking Nichols to spare his client any time in prison. Orenberg suggested a sentence of six months of home detention with work release privileges and 150 hours of community service. More: Lakeland woman who entered US Capitol during Jan. 6 riot accepts plea deal In the memo, Orenberg challenged many of the prosecution's assertions, asking Nichols to strike sentences and paragraphs from the governments memo. He wrote that the prosecutor unfairly introduced facts from the prosecution of Michael Perkins, a co-defendant from Plant City convicted of more serious charges. Orenberg wrote that the barriers outside the Capitol had already been displaced by the time Doolin arrived. He said that Doolin denied stealing the riot shield and should not have to pay restitution for it. Responding to the prosecutors claims of Doolin lying at his trial, Orenberg wrote that Doolin did not willfully attempt to obstruct or impede the administration of justice but only wanted to exercise his constitutional right to explain to the Court his actions on January 6, 2021. Orenberg wrote that Doolin had no criminal history before Jan. 6 except for six minor traffic citations. He said Doolin had little interest in politics but did believe that there were irregularities with the 2020 presidential election which garnered his attention. The lawyer denied that Doolin engaged in significant planning before the Capitol attack, writing that he intended only to participate in the Stop the Steal Rally on the Ellipse before spontaneously deciding to proceed to the Capitol. He described Doolins behavior on Jan. 6 as aberrant and cited his youthful age of 23 at the time. There is no dispute that Mr. Doolins criminal convictions are serious and will likely have irreparable unintended consequences, Orenberg wrote. Any prison term would nonetheless be an inappropriate sentence for Mr. Doolin. The defense memo included a video with statements from Doolin, his wife, his mother, his current employer and a friend. Orenberg included a letter from Sarah Doolin of Lakeland, Joshuas sister-in-law. She wrote that Doolin, the married father of an infant son, has always been kind, honest, friendly and possesses a tender heart toward others, particularly toward little ones and those more vulnerable. She added: Our nation and world need good men, kind men, honest men, strong men, sacrificial men. Joshua is every one of these, and I ask that you consider this in your sentencing. Now that Doolin has be issued a prison sentence, Orenberg asked for a recommendation that he be held in the Tampa area, allowing his family to visit him without financial hardships. Three of Doolins original co-defendants Jonathan Pollock, Olivia Pollock and Joseph Hutchinson III are fugitives being sought by the FBI. Jonathan Pollock vanished before an FBI raid at his family's property in the Kathleen area in 2021. Olivia Pollock and Hutchinson removed their GPS monitors and fled just before their trials were scheduled to begin in March. All three are being sought by the FBI. Gary White can be reached at gary.white@theledger.com or 863-802-7518. Follow on X @garywhite13. This article originally appeared on The Ledger: Polk City man gets 18-month prison sentence for role in Capitol attack For the record: 3:46 p.m. Aug. 18, 2023: An earlier version of this article misspelled Jowel Delfins surname as Dolphin. A previous correction misspelled the name as Delphin. His Hawaiian shirt lit red by taillights, Jowel Delfin waited in the inky darkness for the road to Lahaina to reopen. Hed arrived just after 4:30 a.m. Wednesday, his truck among the first at the checkpoint. Hundreds of cars waited in line by the time police began waving people through at 6 a.m., the first time this section of Honoapi'ilani Highway opened to the public since the most deadly U.S. wildfire in a century cut a wrathful path through his island paradise. Over a week after the fire scorched the island and incinerated Lahaina, locals are beginning to return to work in West Maui an area largely cut off from the rest of Maui since the destruction. Homes and businesses lie in ruins after last week's devastating wildfire swept through town. (Robert Gauthier / Los Angeles Times) The road to recovery begins on Honoapi'ilani Highway, or Hawaii Route 30, which Gov. Josh Green announced would first open Tuesday night to first responders and West Maui residents and employees, and then to everyone else Wednesday morning. The reopening followed more than a week of confusing rules about who could go into the burn zone. On Monday, less than an hour after opening a placard program to allow verified people into the area, officials suspended the program. Read more: How a perfect storm of climate and weather led to catastrophic Maui fire As roosters crowed and the black sky turned pre-dawn blue, a line of cars stretched as far as the eye could see, waiting to head up the oceanfront road that winds along the western edge of Maui and into Lahaina. Delfin was eager to arrive on time to his job as a landscaper at Ka'anapali Beach Club. But normalcy was far from his mind. His brother-in-law had lost his home in the fire, and about a dozen members of his extended family were now crowded into his Waikapu Gardens home. Still, like many waiting to reenter West Maui, Delfin needed to work. Maui police speed by a line of cars idling on Highway 30, waiting to return to Lahaina. (Robert Gauthier / Los Angeles Times) Several drivers said they were service workers at hotels in Ka'anapali, which are not currently open to guests, although many have opened their doors to displaced employees and other survivors of the blaze that killed more than 100. Others worked maintenance and construction in the area, and nearly all had a personal connection to the fire. Read more: 'We're all family': Angelenos tied to Maui gather in grief at Carson's Back Home in Lahaina A hotel housekeeper who declined to give her name said she was relieved to be able to collect a paycheck this week because her bills couldnt wait. Amid the reopened road, uniformed National Guard officers staffed at least a dozen checkpoints, warning people away from the wreckage in the center of town and other neighborhoods leveled by the fire. Access was carefully limited, with long lines of cars waiting to cross various roadblocks. A member of the National Guard walks along Lahaina's Nahale Street, where numerous homes are in ruins. (Robert Gauthier / Los Angeles Times) In the areas spared by the blaze, residents were still without water or power. Along the Honoapiilani Highway north of Lahaina, parks served as local-led supply distribution centers. Read more: In the ruins of Lahaina, a surfing legend leads a volunteer army to get supplies to survivors At the Lahaina Gateway mall, workers in industrial masks and headlamps filed in and out of a darkened Foodland supermarket, clearing rotting food. The stench was unbearable, one said as he ripped off his respirator mask and looked toward the ocean. Everywhere else, the smell of smoke still filled the air. Standing in pajamas on her front porch early Wednesday, Pam Nelson stared out on a vista of destruction so vast it was difficult to take in all at once. From higher up the hill, the slabs of rectangular white and gray building fragments resembled a graveyard. But from Nelsons porch, the remnants of buildings and burned-out cars could be seen, her neighbors homes and lives leveled to ash. It looks like a war zone, she said. The capricious fire gutted houses across the street and obliterated an entire neighborhood just spitting distance from Nelson's porch, but it left her two-story home and redolent plumeria tree untouched. Meanwhile, the death toll continued to climb as more remains were located. Read more: Ignored warnings, hubris, slow response fueled America's deadliest wildfire in a century Authorities on Tuesday released the names of two of more than 100 victims killed in the Aug. 8 fire. Robert Dyckman, 74, and Buddy Jantoc, 79, both of Lahaina, were killed in the blaze, Maui County officials announced late Tuesday. Workers prepare to move body bags from a refrigerated truck. (Justin Sullivan / Getty Images) More than 190 Federal Emergency Management Agency search crew members and 20 cadaver dogs were leading the mission to recover human remains, authorities said. At least 1,000 people remained unaccounted for late Tuesday, and officials have said they expect the death toll to climb further. "Obviously this is a big tourist destination," said Adam Weintraub, a spokesperson for the Hawaii Emergency Management Agency. "I'm not sure to what extent the missing folks who have been unaccounted for are local or visitors." Cadaver dogs are combing through still-hot ruins, picking over glass shards, FEMA administrator Deanne Criswell said in a White House briefing Wednesday morning. She said federal officials are sending in more dogs to reinforce the weary canines already in Maui. The search for and identification of victims has moved slowly. The U.S. Department of Health and Human Services on Tuesday sent additional mortuary teams to assist local efforts in Hawaii, along with a portable morgue unit deployed when a disaster spurs more fatalities than can be handled locally. The temporary morgue includes 22 tons of supplies and equipment needed for victim identification and the processing of remains, including lab and X-ray equipment, officials said. Read more: Mapping how the Maui fires destroyed Lahaina Officials have urged families looking for loved ones to submit DNA swabs to help with the identification process. Criswell urged survivors in Maui to register for assistance at www.disasterassistance.gov or by calling (800) 621-3362. "I want to be honest with everyone," Criswell said. "This is also going to be a very long and hard recovery." Nora Bulosan, right, and Hannah Tomas comfort each other as they gather in hopes to get access to their home in Lahaina. (Jae C. Hong / Associated Press) President Biden and First Lady Jill Biden will travel to Maui on Monday to meet with first responders and survivors as well as federal, state and local officials, White House Press Secretary Karine Jean-Pierre announced Wednesday. Both FEMA and the Small Business Administration have opened recovery centers on the island. FEMA has approved more than $2.3 million in assistance to more than 1,300 households, senior official Marcus Coleman said Wednesday in a media call. Even foreign governments are offering help; Hawaii Lt. Gov. Sylvia Luke said South Korean Consul General Lee Seo-young is donating $2 million to the rescue effort. Luke said in a statement Wednesday that the state has sent more than 10,000 pounds of food and supplies to Maui. The American Red Cross of Hawaii, which has more than 300 disaster workers on the island, reported giving more than 28,600 meals and snacks. As search efforts slowly continue, questions and anger are building among residents about the lack of warnings from officials. State records indicate that none of the 80 sirens across the island were activated the day the fires broke out. Officials have said they broadcast emergency alerts to television and radio stations and mobile phones, but the flames which tore through the area at 1 mile per minute wiped out power lines and effectively stopped communication in the area. The Lahaina fire was 85% contained Wednesday. The cause of the blaze and the level of preparedness for its deadly destruction are under investigation, Green said. Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. You are here: Business Chinese Vice Premier Zhang Guoqing met with Lars Fruergaard Jorgensen, President and CEO of Novo Nordisk, a Denmark-based international healthcare company, in Beijing on Wednesday. Zhang, also a member of the Political Bureau of the Communist Party of China Central Committee, said China is resolved to promote high-level opening-up and will continue to support the development of foreign companies, including Novo Nordisk, in China, during their meeting. China sees safeguarding people's health as a strategic priority and actively promotes the development of biomedicine, Zhang said, lauding the strong foundation for cooperation in the fields of biomedical innovation and research and development. Hailing China's economic and social development achievements, Jorgensen expressed full confidence in the company's development prospects in China. He said that Novo Nordisk will continue to explore the Chinese market to achieve win-win results. A consensus was reached at the Tribal Council Summit during the 2023 Potawatomi Gathering in July, hosted by the Nottawaseppi Huron Band of the Potawatomi. (photo: Facebook) A national gathering of Potawatomi tribal council members in Michigan voted last month to form a historic confederation of 12 Nations representing tens of thousands of members across North America. A consensus was reached at the Tribal Council Summit during the 2023 Potawatomi Gathering, hosted by the Nottawaseppi Huron Band of the Potawatomi (NHBP), a federally recognized Tribal government located at the Pine Creek Indian Reservation near Athens, Michigan. Seven Tribal Councils voted in favor of the resolution, and the other five Councils were unable to vote at the meeting. The Bodewadmi Articles of Confederation will be ratified at a later date. This marks a historical moment, NHBP Tribal Council Chairperson Jamie Stuck said in a statement. First-of-its-kind for the Potawatomi Nations, this Confederation will enable our Nations to have a stronger and much larger voice, with all of us collaborating to achieve the common goals not only for our People today but also for the next Seven Generations. Many other Councilmembers present verbally expressed overwhelming support for the new Confederation. Match-E-Bash-She-Nash-E-Wish Tribal Councilmember Ben Brenner agreed with the spirit of the document and its goals: This document and the new confederacy symbolize our commitment to the preservation and knowledge of our Culture, Language and ways. Article I of the newly formed Bodewadmi Confederacy states, the Confederation seeks to promote unity, cooperation, and mutual support among Nations, and to advance the interest and well-being of its citizens and Indigenous peoples. The new Confederacy is intended to represent 12 Potawatomi Nations and Tribes whose extensive geological footprints cover parts of Northern Canada, the American Midwest, and as far south as Oklahoma and Texas. Altogether, these Nations and Tribes represent the tens of thousands of enrolled Potawatomi Tribal Members who reside all across North America and beyond. The Bodewadmi Confederacy includes the following Tribes: Anishanabeg of Kettle and Stony Point First Nation Beausoleil First Nation Chippewas of Nawash First Nation Citizen Band Potawatomi Nation Forest County Potawatomi Hannahville Indian Community Pokagon Band of Potawatomi Prairie Band Potawatomi Indian Nation Match-E-Be-Nash-She-Wish (Gun Lake Pottawatomi) Nottawaseppi Huron Band of the Potawatomi Walpole Island First Nation Wasauksing First Nation While the Tribal Councils must still ratify the Bodewadmi Articles of Confederation, the Preamble states: We, the Bodewadmi Confederation of Tribal Nations, represent a diverse network of Potawatomi tribal nations and desire to work collectively toward furthering principles and policies that promote Tribal Sovereignty. About the Author: "Native News Online is one of the most-read publications covering Indian Country and the news that matters to American Indians, Alaska Natives and other Indigenous people. Reach out to us at editor@nativenewsonline.net. " Contact: news@nativenewsonline.net Police are searching for a prisoner who escaped a Broward hospital on Tuesday night. Jeremiah Garza, 32, was caught on tape leaving South Florida State Hospital around midnight, according to Pembroke Pines police. He was last spotted wearing a red-and-black striped shirt, camouflage pants and a brown coat. Garza stands at five feet, nine inches and has dark, shoulder length hair. According to agency databases, Garza isnt an inmate in the Broward jail or in a state prison. Miami Herald news partner CBS News Miami reports that Garza, who deals with mental health issues, is incarcerated at the South Florida State Hospital. The hospital treats people charged with crimes who are incompetent to stand to trial, found not guilty due to insanity or ordered to receive treatment. Officers are searching for escaped prisoner Jeremiah Garza, who was last seen at South Florida State Hospital at 12AM last night. He is a 32-year old white male, 5'9" w/ shoulder length dark hair; last seen wearing a red & black striped shirt, camouflage pants and brown coat. 1/ pic.twitter.com/zTMbKYHVnv Pembroke Pines PD (@PPinesPD) August 16, 2023 Police are urging the community avoid approaching Garza and contact police at 954-431-2200 if they see him. Federal prosecutors are pushing back against assertions from Hunter Biden's lawyers that part of the shelved plea agreement with the president's son has been in effect. In a court filing Tuesday, prosecutors from special counsel David Weiss office made it clear that as a result of Biden's not guilty plea last month, none of the discussed arrangements were applicable. "The Defendant chose to plead not guilty at the hearing on July 26, 2023, and U.S. Probation declined to approve the proposed diversion agreement at that hearing. Thus, neither proposed agreement entered into effect," prosecutors wrote. In a court filing Sunday, Biden's attorneys argued that while a guilty plea to misdemeanor tax charges was off the table for the time being, the so-called diversion agreement that would set aside a separate felony gun charge was "valid and binding." The diversion agreement included a section labeled "Agreement Not to Prosecute," which the judge overseeing the case questioned at what was expected to be Biden's guilty plea last month. The section would provide some protection from Biden's getting hit with other charges stemming from the same period as the misdemeanor tax charges, but the scope of the protections isn't clear. House Republicans who've been investigating Biden's financial dealings criticized the plea agreement as a "sweetheart deal" and suggested the immunity protections in the diversion agreement showed he was getting preferential treatment. At the July hearing before U.S. District Judge Maryellen Noreika, Biden lawyer Chris Clark said the clause was meant to ensure the case wouldnt become more politicized if the government targeted Biden again in the future. In their filing Sunday, Biden's attorneys argued the diversion agreement and the "so-called immunity provision" had taken effect because the agreement was signed by Biden, Clark and the lead prosecutor in the case, Leo Wise. Prosecutors fired back Tuesday, accusing Biden's lawyers of being "misleading" and saying they knew the diversion agreement was a "draft" because the two sides tried to negotiate changes after the hearing. The prosecutors said they had noted in court that the document needed to be signed by the probation officer to take effect and that it was not, so "none of its terms are binding on either party." An attorney for Biden didn't respond to a request for comment Tuesday. Weiss office declined to comment. In a separate filing Tuesday, Clark moved to withdraw from Biden's legal team, anticipating that he may be called as a witness in any litigation over the failed plea negotiations. Under the terms of scuttled deal, prosecutors had agreed to recommend probation if Biden pleaded guilty to two counts of failing to pay his taxes. A separate gun charge for illegally owning a Colt Cobra .38 Special handgun during a period when Biden was doing drugs would have been dropped in two years had he honored the terms of the diversion agreement. Last week, prosecutors said that negotiations with Biden's team had broken down and that the case would most likely have to go to trial in California or Washington, D.C. They also suggested they could bring different charges. The same week, Attorney General Merrick Garland appointed Weiss as special counsel to continue his investigation into the presidents son. Weiss, who was already overseeing the investigation as a U.S. attorney in Delaware, had requested the new authority earlier in the week. This article was originally published on NBCNews.com CHICAGO Tim Mapes was just minutes into his grand jury testimony in Chicagos federal courthouse two years ago when a prosecutor warned that even though Mapes had been granted immunity, he could still be prosecuted for lying. You understand you are under oath and you are obligated to tell the truth, Assistant U.S. Attorney Amarjeet Bhachu said near the beginning of the March 31, 2021, inquiry. So if you tell the truth, youre in good shape, if you lie theres a prosecution for perjury potentially in your future. Do you understand that? Yes sir, Mapes replied. On Tuesday, the future was now, as federal prosecutors began playing the audio of Mapes grand jury testimony in his own perjury trial, where he stands accused of lying to protect his longtime boss, Illinois' once-powerful Democratic House Speaker Michael Madigan. The playing of Mapes testimony offers a rare public glimpse into normally secret grand jury proceedings, lifting the veil on a key manner in which large-scale criminal investigations proceed and detailing some of the cat-and-mouse that goes on between prosecutors and witnesses and their attorneys. Later Tuesday, prosecutors offered new insight into another politically explosive chapter in Illinois, using undercover recordings and emails to portray the behind-the-scenes response to a sexual harassment scandal that had engulfed Madigans operation in 2018 and threatened his decadeslong run as speaker. If we want to protect and save MJM (Madigan) we cannot play punchy bags above the belt, Michael McClain, the speakers longtime confidant, wrote in one email to Madigans inner circle of advisers. It is time to be offensive. ... We have to play hardball and quit doing this nicey-nicey stuff on the calls. Mapes, 68, of Springfield, is charged with perjury and attempted obstruction of justice, accused in an indictment of lying in his answers to seven questions during the more than two-hour testimony. The latter charge calls for up to 20 years in federal prison, while lying to a grand jury carries a five-year maximum prison sentence. Prosecutors accused Mapes of lying about his knowledge of the political activities of Madigan and McClain, both before and after Madigan ousted Mapes in June 2018 amid the burgeoning #MeToo scandal. Those allegedly misleading statements had little effect, as Madigan and McClain were both indicted on racketeering charges last year alleging Madigan was at the top of a criminal enterprise aimed at enriching him and his cronies and maintaining his nearly unfettered political power. McClain was also convicted in a related case in May on bribery conspiracy charges involving a scheme by utility giant Commonwealth Edison to win the speakers influence over legislation in Springfield. Federal grand juries are the mechanism used by prosecutors to bring an indictment against a defendant. The grand jury which consists of 23 members of the public who convene over a period of months or even years operates in secret, issuing subpoenas for records through federal prosecutors and hearing testimony from witnesses before deciding if probable cause exists to believe a crime was committed. Grand jury proceedings typically come out in court only in snippets of transcripts to buttress other testimony or impeach a witness on the stand. Given that Mapes was a key member of Madigans inner circle who for years served as the speakers borderline-tyrannical gatekeeper, what he had to say in his grand jury testimony is of keen interest to many Illinois power brokers. Mapes appearance took place as the Dirksen U.S. Courthouse was still operating under strict COVID-19 protocols, including wearing masks. Good morning sir Im all the way over here, Bhachu said at the outset of the hearing. Due to COVID we are still social distancing, which is why Im so far away. Mapes confirmed for Bhachu at the outset of the questioning that his original date to testify was postponed for several weeks so he could prepare for his testimony with his attorney. After having Mapes describe his typical duties, Bhachu began asking specifically about his relationship with Madigan and what he knew about Madigans closest friends. Mapes ticked off Madigans law partner, Vincent Getzendanner, insurance and real estate businessman Terry OBrien, and Roger Kiley, a Madigan college friend who served as a top aide to Mayor Richard M. Daley. Mapes also mentioned longtime House Majority Leader Barbara Flynn Currie, a Chicago Democrat, but Bhachu seemed unimpressed with that example, asking, Who else? Another one might be Mike McClain, Mapes offered hesitantly. At the time, McClain was under indictment for bribery conspiracy in the ComEd Four scheme. (McClain) was one of my fr-- sorry strike that, Mapes said, apparently stopping short of calling McClain a friend. He was a member of the Legislature before I started. ... And then he was also a member of the leadership before he lost his election. But Mapes, at least in the portion of the recording played so far, did little to underscore the tight political relationship of Madigan and McClain, who served with the speaker when they were both rank-and-file lawmakers in the 1970s and early 1980s and then worked with him closely on government and political issues even while serving as a lobbyist. Mapes said McClain would stop by the speakers office, sometimes when he was representing a lobbying client and sometimes to say hi. Prior testimony in the trial indicated McClain, despite his longtime role as a lobbyist, often camped out in a conference room between the offices of Madigan and Mapes in the speakers Capitol suite. Mapes even played down Madigans longtime admiration of Mayor Richard J. Daley, someone Madigan often spoke about with reverence. I think he had a respect for him, Mapes said. I dont know about esteem. Mapes said he remembered Madigan saying Richard J. Daley was a good politician but testified that Madigan didnt say why he thought that. Later, Bhachu turned his questioning to what Mapes knew about specific figures in the ComEd aspect of the probe, including ex-ComEd CEO Anne Pramaggiore, lobbyist John Hooker, and consultant Jay Doherty, who were all convicted in the ComEd Four case. Mapes said he was familiar with Pramaggiore since she was often in Springfield advocating for legislation or other things the utility wanted. Did you ever meet her? Bhachu asked. Oh yes, Mapes said. You say, Oh yes. How many times? Bhachu shot back. After thinking about it for some time, Mapes said, I may have met her 10 times. Bhachu also asked about Mapes potential employment with ComEd after his resignation in 2018. Mapes said he met with Pramaggiore about possibly doing some lobbying work for ComEd in another state. I think I got a call from her office but I couldnt tell you who it was, he said. Mapes says he didnt know who identified him as a candidate for a job with ComEd, which prompted Bhachu to ask, somewhat rhetorically, whether someone had just approached him out of the blue. I received calls from a number of people after I resigned that they thought they might like to do some work with me, Mapes said. Bhachu also seemed keenly interested in Hooker and his involvement in a lawsuit over redistricting legislation that would have taken power away from the speakers office when it came to redrawing legislative district boundaries every 10 years. Mapes confirmed he was aware that both Hooker and another former CEO executive, Frank Clark, were involved in fighting the lawsuit, as was Michael Kasper, one of Madigans top attorneys. Mapes said he knew little about the litigation despite being the executive director of the state Democratic Party for years but that he thought Madigan would have had an interest in the outcome. His view would have been that the Legislature has the constitutional authority to do that, and that was the preferred method, Mapes testified. Prosecutors are expected to play the rest of Mapes grand jury testimony over the next several days. Earlier Tuesday, prosecutors sought to support their argument that Mapes fudged in the March 2021 grand jury if he had been aware of any tasks or assignments that Madigan gave McClain in 2017 or 2018 as well as related questions. Prosecutors played a secretly recorded call from May 30, 2018, where Mapes and McClain talked about the proposed sale of a parcel of land in Chinatown to a developer that had become a focus of the investigation into Madigan. McClain told Mapes on the call that the land sale was an assignment, as you probably know, a reference to the speaker that prosecutors say shows Mapes was well aware that Madigan was doling out tasks to McClain. Im trying to get some, uh, legal property transferred. ... And uh its in (state Rep. Theresa) Mahs district, and the guy thats putting a brick on it right now in the Senate is, uh, Marty Sandoval, McClain told Mapes on the call. Its not a good thing to do, Mapes responded about Sandoval, an on-again, off-again Madigan ally who at the time was the powerful head of the Senate Transportation Committee. Mapes also warned McClain that Mah had an in-your-face style that included body language he found abrasive. You see the intensity in a really negative way, Mapes said. After some small talk about meeting for dinner, the two began speaking about how good Hooker, who at the time was ComEds top in-house lobbyist, looked for his age, especially given Hookers recent knee-replacement surgery. Well you know, (Hooker), everybody was complimenting him, McClain told Mapes. Its like hes rappelling down from heaven to save the day you know? Prosecutors also played three calls where McClain and Mapes talk about McClain meeting with state Rep. Bob Rita, a Blue Island Democrat who was heading up the massive gambling legislation at Madigans behest. Immediately after the jury heard those recorded calls, prosecutors played a snippet of Mapes grand jury testimony where hes asked about contact between McClain and Rita. I dont recall any at all. Any dialogue, Mapes said. Also Tuesday, lobbyist Will Cousineau made his second appearance on the witness stand in federal court. Cousineau worked closely with Mapes for years as a top political guru on Madigans staff and stayed within a small circle of advisers while Madigan remained in power. Cousineaus testimony took a brief emotional turn when Assistant U.S. Attorney Diane MacArthur asked if Mapes had recently touched base to wish him happy birthday and again for his anniversary, and if hed gotten the dates right. Cousineaus voice broke and grew quiet. He did, replied Cousineau, who worked closely with Mapes. Cousineaus halting response, as Mapes looked on from the defense table, tapping his pen with a finger, prompted MacArthur to ask Cousineau if he needed a moment to gather himself. Later, MacArthur pressed Cousineau to give an insiders look at how Madigans tight circle panicked over fears that he could be toppled from his speakership over a February 2018 sexual harassment scandal involving one of the speakers top lieutenants, Kevin Quinn. Madigan ousted Quinn, the brother of Madigans hand-picked 13th Ward Alderman Marty Quinn, after former campaign aide Alaina Hampton called out Kevin Quinn over a relentless string of emails despite her requests that he stop. The Hampton allegations set off a cascade of #MeToo moments that ended Madigans association with several misbehaving associates, culminating in the speakers ouster of Mapes over his own scandal in June 2018. Prosecutors are highlighting the sordid episodes because they are attempting to show that Mapes before he was jettisoned by Madigan, observed firsthand that McClain worked closely with the speaker on highly sensitive matters. Under MacArthurs questioning, Cousineau acknowledged the Hampton allegations represented a significant concern for the speakers inner circle. It was, yes, Cousineau said. A great concern? she asked. Yes, Cousineau said. Cousineau said Madigan supporters feared he would lose his position as speaker. Cousineau outlined a number of strategy discussions with Madigans most trusted allies, including himself, Mapes and McClain, about the growing scandal. McClains sword-rattling email on the topic came Feb. 21, 2018, just days after Hamptons allegations, with a subject line that said: I will now do what I have done for 45+ years. Old School but the Truth. The email called for taking off the gloves to protect Madigan and attempting to place stories with reporters that would counter the #MeToo narrative that was engulfing Madigan. We have to change the focus. We have to acknowledge that reporters are over worked, under payed and want stories brought to them, McClain wrote. Cousineau testified they ultimately did not use any of McClains ideas. Prosecutors also played a recording in which Madigan expressed concern with his inner circle about the independence of a panel of three female elected officials he had appointed to come up with ideas for improving the treatment of women in political environments, including former Rep. Susana Mendoza, the Chicago Democrat who is now state comptroller. Madigan sounded miffed on the May 1, 2018, call that the panel was looking at communicating with him only through writing and posed the question to his advisers, What do we do with this panel? What do we do with it? Do we do anything with it? Uh, do we just let it go and go and go? Madigan eventually made it clear he was looking ahead toward trying to preserve his position after the 2018 elections, asking, What do I have to do or what should I do from now until the speakers election? Cousineaus testimony will resume Wednesday, followed by former state Rep. Lou Lang, who was forced to resign by Madigan following separate #MeToo accusations, which he denied. Before Cousineau took the stand, prosecutors called Mika Baugher, Madigans former secretary who worked closely with Mapes on the speakers busy schedule. Baugher says Mapes had great attention to detail and could be overbearing as a boss. I would say he was a very controlling management style. He micromanaged a lot of things. On June 6, 2018, Mapes resigned. Baugher says he came to my desk and gave me his keys, his badge and his resignation later and he left. Asked if his resignation was expected or unexpected, Baughers eyebrows shot up. Unexpected, she said. I mean ... yeah. ____ Gary Tyler, who spent 41 years in a Louisiana prison, hugs friend Tekla Miller during a reunion in Santa Monica with members of a University High School student newspaper that took up his cause in the 1970s. (Wally Skalij / Los Angeles Times) At 17, he was locked up in Louisiana's infamous Angola state prison, the youngest person in America on death row. She was 14, a student at a Detroit high school, searching for her place in the world. "As young people, somethings going to get you," she said, looking back over so many decades. "Somethings going to catch you. Whats going to hold your passion?" For her it was a headline on a tabloid newspaper being hawked outside her school by a long-haired radical from Los Angeles: "SAVE GARY'S LIFE!" The message hit Arnita Dobbins so hard she joined the longhair's campaign on behalf of an inmate more than 1,000 miles away. For the next few years, she remained a staffer on the counterculture publication, the Red Tide, founded on a high school in West Los Angeles. Gary Tyler lived by the merest chance and eventually landed a job as a youth outreach worker in Venice, just a few miles away from that high school. For Dobbins, Tyler became a touchstone, the cause that taught her how "changing the world makes your life better." Forty-seven years after that vicarious connection, Dobbins met Tyler face to face for the first time last month. Their meeting in Los Angeles was nominally a celebration of Tyler's 65th birthday. More broadly, it commemorated a decades-long saga that spanned the nation but improbably traces its origins to a group of politically precocious students at University High School, or UniHi, as it's known. Gary Tyler chats with friends during the July reunion. In the 1970s the Red Tide, a radical student newspaper, advocated for Tyler, who was once the youngest person in America on death row. (Wally Skalij / Los Angeles Times) Their radical newspaper taking on causes from the Vietnam War to Indian-themed school mascots not surprisingly displeased the principal. The Oct. 1, 1971, premiere issue now seems quaint: a fusion of teenage rebellion and the counterculture rhetoric of the 1970s. "It came--flooding the schools, crushing everything that stood in its way, leaving in its wake a trail of destruction, havoc, rebellion," the young publishers wrote. "Administrators reeled, choking on its noxious reek, as it tore their offices asunder. Cut slips, tardy slips, suspension notices, bad conduct notices, report cards--all were swept away in its churning mist. It was...the RED TIDE." Red Tide member Michael Letwin, left, marches with Gary Tyler's brother Terry, third from left. Michael's brother David, center, holding pole, traveled to Detroit for the protest. (Courtesy of the Red Tide) As chronicled by a then-cub reporter for The Times me the newspaper was banned on campus and two students were suspended for selling the tabloid for 10 cents. But the administration had overreached. Initially winning district approval to distribute, but not sell, the newspaper on campus, the students objected to the requirement to submit each issue for the principal's approval. One of the students, Michael Letwin, was the son of noted civil rights lawyer Leon Letwin. Working with the American Civil Liberties Union, the elder Letwin filed a lawsuit. In 1976, he won a more expansive right when the California Supreme Court ruled unconstitutional a principal's prohibition of the paper based on objections to an article. Read more: Clash as Unihi raises student rights issues By then the younger Letwin had graduated from UniHi and pursued his radical leanings as an organizer for the International Socialists. When the organization moved its Los Angeles office to Detroit, Letwin followed, introducing his publication into a milieu he described as the "heart of Black working-class America." Like many American cities, Detroit was caught up in the nation's tense and sometimes violent reckoning with school segregation. The case of Gary Tyler was swirling in the leftist and Black circles of Detroit. Tyler, 16 at the time, was in a cohort of Black students desegregating Destrehan High School in a New Orleans suburb. On the day that changed his life, Oct. 7, 1974, the principal ordered school closed early and Black students were sent home on a bus after racial tension erupted into fights. Red Tider Michael Letwin, fourth from left top row, in 1976 with Detroit members of the radical newspaper and student movement protesting the death sentence of Gary Tyler. (Courtesy of the Red Tide) A crowd of white students surrounded and began jostling the bus and pelting it with stones and other objects. A shot was fired. One white student was hit and another grazed. Later, 13-year-old Timothy Weber died. Sheriff's deputies rushed into the bus, and Tyler complained about their gruff handling of students. Officers arrested him for disorderly conduct and took him to the sheriff's station, where he was accused of being the shooter but refused to confess. Under interrogation, four other students on the bus implicated him. He was tried as an adult, and in November 1975 was convicted of capital murder by an all-white jury. Under Louisiana law, the conviction carried a mandatory death sentence. His execution was scheduled for May 1, 1976. Letwin dedicated the April/May issue of the Red Tide to Tyler. Under the headline "SAVE GARY'S LIFE!" subheads screamed, "FRAMED," "ALL WHITE JURY" and "THE KLAN STEPS IN." The story made the case that a rigged judicial system was intent on killing Tyler to satisfy a racist community's demand for retribution. A 1976 front page of the Red Tide advocating for Gary Tyler, who was on death row at the time. (Courtesy of the Red Tide) "DON'T LET THEM MURDER GARYDEMONSTRATE!" it ended. That summer, Letwin and his visiting brother David, who was still a student, marched in protest in Detroit and helped organize a rally with civil rights icon Rosa Parks that gained national attention. The outcry in Detroit had no actual bearing on Tyler's survival. His attorney had made multiple mistakes, starting with his failure to seek a change of venue, but a new lawyer obtained a stay on appeal. In July 1976, the U.S. Supreme Court ruled in another case that Louisiana's mandatory death sentence law was unconstitutional. Tyler's sentence was reduced to life without the possibility of parole for 20 years. Those 20 years came and went, but parole did not. Instead, his case fell into legal and political sinkholes. Under byzantine Louisiana law, he could not be paroled unless sentenced to a fixed number of years. In 1980, his lawyers won a ruling in the U.S. 5th Circuit Court of Appeals that Tyler's trial was "fundamentally unfair" due to prejudicial jury instructions. The court ordered a new trial but, on appeal, reversed the order on a technicality when Tyler's original attorney could not recall why he failed to object to the instructions thus failing to provide proof that his silence was not a strategy. Gary Tyler spends a moment of reflection in his studio where he makes quilts at the Brewery Artist Lofts in Los Angeles. "I tell people, you enjoy your life. Now I'm trying to enjoy mine," he said. (Genaro Molina / Los Angeles Times) But a mounting body of evidence reported by Amnesty International, New York Times op-ed columnist Bob Herbert and others has since weighed decidedly in favor of Tyler's claim of innocence. According to those accounts, no gun was found on the bus, and the bus driver said he thought the shot came from outside. A gun was produced for trial, but it was later found to have been stolen from a shooting range used by sheriff's deputies, and then it disappeared from evidence. The four witnesses who testified against Tyler later recanted, saying they had been coerced by police. In the first of three requests, the Louisiana Board of Pardons in 1989 narrowly voted in Tyler's favor. But Gov. Charles "Buddy" Roemer, facing a reelection challenge from a field including David Duke, a onetime grand wizard of the KKK, declined. Just before leaving office, the defeated Roemer rejected the second request. In a 1994 review of the case, Amnesty International concluded that its racial and political context qualified Tyler as a "political prisoner." The argument did not move Roemer's successor, Edwin Edwards, who denied Tyler's third request for clemency. A revival of interest in the case leading to Herbert's columns in 2007 raised hope that Gov. Kathleen Blanco would grant a pardon. She did not. Tyler's path to freedom finally came indirectly with a 2012 Supreme Court ruling in an Alabama case, applied retroactively, that life sentences without the possibility of parole for juveniles were unconstitutional. Still, it took four more years before the St. Charles Parish district attorney offered a hard bargain. He would vacate the murder conviction if Tyler pleaded guilty to manslaughter. Tyler agreed. On April 29, 2016, he was released on time served, remarkably neither a broken nor a bitter man. He chose to put his four decades of incarceration behind him, discussing his past only if pressed. Even then, he might suggest looking him up on Google. "Basically, my position is that I am no different from the next person," he said in a recent interview. He doesn't dwell on his misfortune. "I'm just focusing on the future." At Angola, formally the Louisiana State Penitentiary, Tyler had laid the foundation for a new life, evolving inside an institution once reputed America's most notorious prison that was itself evolving to reflect changing mores of the world outside. The Louisiana State Penitentiary, also known as Angola after the former plantation that occupied the territory, which was named for the African country that was the origin of many enslaved Africans brought to Louisiana. (Giles Clarke / Getty Images) Transferred into the general population after more than nine years in 23-hour-a-day lockdown, Tyler would, over the decades, complete his high school education, lead the drama club and volunteer in the prison hospice. The hospice work taught volunteers and other prisoners the value of caring and compassion. "It has a way to rewind the hardwire," he said of hospice work. "Once you feel as though, 'Oh, man, I cant see myself doing that. I wouldn't be able to take care of another man, having to feed, having to bathe, having to wipe another man's butt.' But when they see people who do it, they take their hat off to them and say, 'Man, what you're doing is a noble thing, even though I can't do it.' " Over the decades in prison, Gary Tyler completed his high school education, led the drama club and volunteered in the prison hospice. (Genaro Molina / Los Angeles Times) He also discovered his calling when he and the other volunteers took up quilting to raise funds for visiting family members of the terminally ill. They sold their quilts to the public at the annual rodeo on the prison grounds. Out of respect for their dedication to the dying, the other hardened lifers gave them space to pursue the traditionally women's craft. Tyler himself was a reluctant convert until he saw others using cloth to form imagery. "Wow, just this is very powerful. So I decided to OK, I'm gonna start doing this. And I realized that I had a craft. And that craft was that I was a graphic artist." Read more: The untold story of the Zoot Suit riots: How Black L.A. defended Mexican Americans Tyler was allowed to take his acting troupe outside prison grounds for community events where he became known to the religious community. Encouraged by an assistant warden and religious leaders, Tyler reluctantly took on what became his tour de force. In 2012 he directed a cast of 70, including inmates from the nearby Louisiana Correctional Institute for Women, in a three-day run of "The Life of Jesus Christ." The 3-hour play, performed on the rodeo grounds, gained media coverage and its production was depicted in a documentary film, "Cast the First Stone." A poster for the documentary "Cast the First Stone," with a painting of its star, Gary Tyler, stands beside a rack of quilting material in Tyler's downtown studio. (Genaro Molina / Los Angeles Times) Tyler had become a paragon of redemption from a crime that, by almost universal assent, he did not commit. After his release, many forces pulled him to Los Angeles. As an adolescent he had lived briefly with an older sister in Watts. And at Angola, he acquired patrons in L.A. Bob Zaugh, a longtime member of the anti-Vietnam War Peace Press, which printed the first issue of the Red Tide, had visited Tyler in 1989 and promised him a job upon his expected release. When that did not happen, Zaugh stayed in touch for the next 26 years. A more recent visitor was Steve White, a onetime leftist organizer who had retired as vice president of Glendale College. White promised Tyler a room in his Pasadena home when he got out. That promise turned into an eight-month stay, during which White schooled the then-57-year-old in the essentials that could not be learned in prison driving, cooking for himself and budgeting his money. Read more: A Black LAPD officer wanted to make a difference. Then, he says, he was racially profiled by his own department And Zaugh came through. He introduced Tyler to Alison Hurst, founder of Safe Place for Youth, the Venice social services agency commonly known as SPY. Tyler's mentoring of younger prisoners at Angola, following his own mentorship by his elders, had prepared him to be an outreach worker, a job he has held for more than seven years, commuting from his home in Pasadena. Zaugh and others pulled some strings to secure a studio in the downtown Brewery Artist Lofts, allowing Tyler to resume his passion for quilting. He recently had his premiere exhibiting his post-prison work at Detroit's Library Street Collective. A quilted self-portrait of Gary Tyler as a young man in prison awaits shipment to New York for display in the Armory Show. Tyler first took up quilting as a volunteer in Louisiana's Angola state prison hospice program. (Genaro Molina / Los Angeles Times) An impresario of leftist causes, Zaugh regaled Tyler with all that the Red Tide had done for him and invited him to a Zoom celebration of the publication's 50th anniversary in 2021. That gathering inspired him to organize the two-day reunion that doubled as a fundraiser for Tyler's retirement. With a work history of only seven years, he will receive modest Social Security benefits if he works three more years to qualify. The finale of the weekend event, in the Santa Monica backyard of prolific progressive fundraiser Jan Goodman, had a '70s counterculture flair. Most of those attending were contemporaries of Tyler or older. Joe Chambers of Chambers Brothers fame brought the Ash Grove Alumni to play two sets, ending with the classic "Time Has Come Today." Mike Farrell of TV's "M*A*S*H" delivered a speech on his view of the moral depravity of the death penalty. Franky Carrillo, who spent 20 years in prison before his murder conviction was overturned and now sits on L.A. County's Probation Oversight Commission, introduced Tyler. Read more: Finally, a Tide of Victory As the cub reporter who had chronicled the Red Tide's legal triumphs, it was a reunion for me too. Back in the 1970s, I wrote several articles on the Red Tide's legal wrangling with the Los Angeles Unified School District, the last one describing a stalemate with the principal over an invitation to controversial anti-war activist Jane Fonda to speak on campus. Read more: From the archives: Lack of policy bars Jane Fonda talk at Unihi I had not followed their transition to Detroit and campaign to free Tyler. But with the reunion coming up, Karen Pomer, one of the original Red Tiders, emailed me. Even though I had transferred to another beat and did not cover their legal victory or Fonda's speech under Times style of the day, she was called "Miss Fonda" Pomer said the Red Tiders always thought of me as part of their origin story. Would I like to come? Of course I would! Seeing Letwin and Pomer for the first time since I had told their story more than 50 years ago, I was gratified to find the adults I had championed as teenagers still loyal to their ideals. Letwin, after 37 years in the New York public defender's office, is retired in Brooklyn. He's abandoned the riotous hair but stays active in Black and Palestinian causes. While in college, Pomer helped make a documentary on the 1978 battle between Philadelphia police and the communal organization MOVE, and later worked on the independent news broadcast Democracy Now. Inspired by the renewed coverage in 2006, Letwin and several other Red Tiders took up Tyler's case again, Pomer said, and she co-produced an episode on Tyler for Democracy Now. At the reunion, they reminisced over mementos posters of Red Tide issues, photos of the June 13 march in Detroit and a group shot of raised-fist revolutionaries. T-shirts emblazoned with the SAVE GARY'S LIFE! front page were snatched up at $20 apiece. Gary Tyler and a friend look over mementos from the 1970s. (Wally Skalij / Los Angeles Times) At its deepest level, the celebration was not so much of Tyler but of the lives he changed from his lockup in the darkest days of his life. "When I saw the headline, 'SAVE GARY'S LIFE!' it just reached out and grabbed me," said Kyle "Hoppy" Hopkins, who stood at a microphone leaning on a cane. "What is this? This is 1976. This cannot be happening. When I saw this I said, 'This is my time. I got to do something.' I knew I had to do something about Garys situation." Hopkins joined the Red Tide, which by then had evolved into a youth movement as well as a publication. Into the early 1980s he continued to put out the paper, writing the stories and laying out the pages while traveling to demonstrations as he put it, making the news and writing the news. "I went around this country chanting, 'Gary Tyler is you, Gary Tyler is me,' " he said. Read more: A Black woman and a white woman went viral fighting racism. Then they stopped speaking to each other Dobbins, the girl from Detroit, also had ended up in California. After traveling from her home in Antioch on the Sacramento River Delta, she told me she owed her success as a businesswoman to the skills she learned putting out the Red Tide. Today she's a managing partner of a company that assists the deaf. "I stayed till the end," she said. "It just kind of disbanded. People aged out. People were growing up. We werent in high school. Just the times were changing too." It was Tyler's story, at her most vulnerable moment, that headed her on a lifetime path of political activism, and possibly saved her life, she said. Gary Tyler gets a pat from a well-wisher. He doesn't dwell on his misfortune: "I'm just focusing on the future." (Wally Skalij / Los Angeles Times) "Even to this day, the work that we did around freeing him still affects how I live my life," she said. "So whether he saved my life, I dont know. I just know thank God it took that turn." When it was Tyler's turn to speak, he succinctly summed up the wonder of his life. "I didnt set out to be who I am today," he said. "But sometimes your course of destiny is set for you, in which case with me I had no control over it." And yet, "I saved someones life when people were fighting to save my life," he said. "That says a lot." Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. Devices seized during last weeks raid on a Kansas newspaper were handed over to a forensic examiner hired by the papers lawyer on Wednesday after prosecutors withdrew the search warrant. The expert, Jack Nevins, who is based in the Kansas City region, arrived at the Marion County Sheriffs Office shortly before 3 p.m., a few hours after the county prosecutor concluded there was insufficient evidence for the raid at the family-owned Marion County Record. Undersheriff Larry Starkey directed Nevins to the back of the departments building, where he was led through two doors and into a small storage room. Starkey unlocked a locker where five computers were stacked. Starkey and Nevins reviewed inventory paperwork as Sheriff Jeff Soyez looked on. The computers were transferred to Nevins vehicle along with other electronics stored in plastic evidence bags. The Marion County Records computers were handed over to a forensic expert hired by the papers attorney. The forensics expert was hired by the papers attorney, Bernie Rhodes, who also represents The Star. The Records publisher, Eric Meyer, said Nevins will analyze the items to make sure they had not been looked at before they are returned to the newspaper. You cannot let bullies win, Meyer said. Emporia resident Mic McGuire was among the many people following stories about the raid. Saddened by the police action, he drove to Marion on Wednesday. I am glad that it is now being looked into more deeply, he said. Because I believe in freedom of the press, I believe that our democracy counts on that. McGuire decided to buy an annual subscription to the paper as a way to support local news. At the newspaper, he shook hands with staff members. The newspaper has been inundated with calls for the past five days with 2,000 additional subscribers. Community members have also stopped by to purchase a copy or even multiple copies of Wednesdays issue, which read SEIZED... but not silenced on its front page. Meyer said the outpouring of support has been amazing. This is an issue that transcends, he said. I think thats good, it says the American system exists and some people still have faith in it and want to protect it. When officers raided the newsroom Friday, they appeared to have been looking for evidence about how the paper obtained information that a local restaurateur, who applied for a liquor license, lost her drivers license over a DUI in 2008. In addition to the Records newsroom, the police also executed search warrants at Meyers home and the home of Ruth Herbel, a Marion city councilwoman. Meyers mother and the papers co-owner, Joan Meyer, died the next day at age 98. On Wednesday, Marion County Attorney Joel Ensey said he concluded that insufficient evidence existed to establish a legally sufficient nexus between the alleged crime and the newsroom search. Raids at newsrooms are exceedingly rare in America. The decision to execute a search warrant there unleashed a firestorm from free press advocates who said the raid violated state and federal laws. The Kansas Bureau of Investigation, which took over the case earlier this week, said the investigation remains open. But the probe will proceed without review or examination of any of the evidence seized Friday. Marion Police Chief Gideon Cody, appointed in May to lead the towns force after 24 years with the Kansas City Police Department, has defended sending his five officers to seize journalists cellphones, computers and materials. Cody could not be reached for comment. He did not respond to a call or email and was not at the police department off Main Street when a reporter from The Star went by on Wednesday afternoon. The Stars Luke Nozicka and Jonathan Shorman contributed to this report. Police had "insufficient evidence" to raid the offices of a small Kansas newspaper and the seized property should be returned immediately, officials said Wednesday. Officers raided the Marion County Record in Marion on Friday, the newspaper said, in a case that infuriated press freedom watchdogs, who claimed that the law enforcement action was a blatant violation of constitutional rights. Police said they believed an "employee of the newspaper may have committed" a computer-based crime, Marion County Attorney Joel Ensey said. The Marion County Record in Marion, Kan., on Aug. 13, 2023. (John Hanna / AP) "Upon further review, however, I have come to the conclusion that insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized," Ensey said in a statement. "As a result, I have submitted a proposed order asking the court to release the evidence seized. I have asked local law enforcement to return the material seized to the owners of the property." A lawyer for the newspaper said he was working quickly to get the seized items back. "Yes, I can confirm the county attorney has withdrawn the search warrant and the items seized are being released," attorney Bernie Rhodes said. "My forensic expert is en route to Marion to retrieve them." Neither Marion Police Chief Gideon Cody nor a representative of his agency could immediately be reached for comment Wednesday. The matter is now in the hands of state authorities with the Kansas Bureau of Investigation. "At present time this investigation remains open, however, we have determined in collaboration with the Marion County Attorney, that the investigation will proceed independently, and without review or examination of any of the evidence seized on Friday, Aug. 11," the KBI said in statement. "We will work with the Marion County Record, or their representative, to coordinate the prompt return of all seized items. Once our investigation concludes we will present findings to the Marion County Attorney for review," it said. Friday's search at the Record coincided with a raid at the home of publisher and co-owner Eric Meyer, who said computers, his cellphone and the homes internet router were taken. His 98-year-old mother Record co-owner Joan Meyer, who lived in the home with him collapsed and died Saturday, said Meyer, who blamed her death on the stress of the raid of her home. Local restaurant owner Kari Newell accused the newspaper at a recent City Council meeting of having use illegal means to get information about a drunk driving conviction against her. The paper has acknowledged it received the tip and tried to verify it through public records before it elected not to run a story about it. The Record published a story about Newell's statement at the City Council meeting, in which she confirmed her 2008 DUI conviction. This article was originally published on NBCNews.com A mega-popular DC-area taco shop will make its debut in Raleigh this month. Taco Bamba, founded by fine-dining chef Victor Albisu, will open its first taqueria beyond the brands home turf in the Washington, D.C., suburbs. The new shop has been built from the ground up at 3518 Wade Avenue in the Ridgewood Shopping Center. The Raleigh Taco Bamba will hold its grand opening Aug. 28, completing an 11-month build out. It is the brands first free-standing restaurant, with all other locations built into suburban strip malls. It will have a large outdoor patio and nearby lawn and a dining room largely covered in wood. For its grand opening, Taco Bamba is giving away passes for one free taco each week for a year to the first 100 people in line. Its something we had to stop when we opened our other locations because it got so big it caused traffic problems, Albisu said. But were bringing it back for Raleigh. Taco Bambas entry into the Raleigh market continues a trend in the Triangle of fast casual brands seeking out the regions diners for expansions. But Albisu said he feels a connection with Raleigh that led him to push Taco Bamba beyond Northern Virginia. I feel a kinship to the Raleigh diner, Albisu said. I think theyre going to appreciate the way we build our flavors. Its an extraordinarily sophisticated market, from a culinary perspective. I think we speak each others language. Albisus fine dining background includes former DC restaurant Del Campo and feeding the Obamas at upscale steakhouse BLT steak. The Raleigh opening kicks off Taco Bambas southern expansion, with the brand also adding locations in Richmond and Nashville. Albisu said each restaurant has a slightly tweaked menu unique to that location, along with all the tacos, burritos and fried creations on the regular Taco Bamba menu. Were setting the stakes in the ground in markets where we feel like Taco Bamba could have been born, Albisu said. Whats on the Taco Bamba menu? In Raleigh that means the a special taco called the Sweet Baby Jesus, where bacon carnitas is sweetened with Cheerwine barbecue sauce and topped with smoky mustard, jalapeno slaw and tomatillo chow chow. Raleigh will also have a shrimp and grits taco called Kiss My Grits. And, catering to the home team, there will be a Wolfpack Poutine, made with sweet potato fries topped with white barbecue sauce, pimento cheese, jalapeno onion salad and seasoned pecans. The standard Taco Bamba menu includes the familiar and classic taco fillings and fixings, but also swerves in some wild directions. Theres a brisket quesadilla made with pimento cheese, Peruvian chicken tacos and potato salad dressed like Mexican street corn. Other highlights include The Jeffrey, an egg roll on a stick, stuffed with al pastor, steak, choizo, cheese, peppers, onions and salsa. We change the menu in every store, were constantly being creative, Albisu said. Creativity is its own life, its own thing. Once an idea is put in play and becomes part of a business, its a thing that gets to live. A coalition of civil society organizations last year filed a complaint with Canada's watchdog alleging 'Ralph Lauren Canada has supply relationships with Chinese companies that use or benefit from the use of Uyghur forced labour' (SPENCER PLATT) Canada's corporate watchdog on Tuesday launched an investigation of Ralph Lauren's Canadian unit over allegations the fashion giant used forced labor from China's Uyghur minority. The announcement follows similar probes of Nike Canada and Canadian mining firm Dynasty Gold, which the Canadian Ombudsperson for Responsible Enterprise (CORE) began last month. A coalition of 28 civil society organizations last year filed a complaint with the watchdog alleging "Ralph Lauren Canada has supply relationships with Chinese companies that use or benefit from the use of Uyghur forced labour." "I have decided that the Ralph Lauren complaint warrants an investigation," ombudsperson Sheri Meyerhoffer said in a statement. The brand's US parent company, she noted, has disputed Canadian jurisdiction over the matter, arguing that its subsidiary "is not responsible for decision-making" and all of its operations are overseen by the company's US headquarters. The Ottawa-based Uyghur Rights Advocacy Project welcomed the investigation in a statement. "There is credible evidence that Ralph Lauren is linked to numerous Chinese companies that use Uyghur forced labour in their supply chains," it said. Rights groups say more than one million Uyghurs and other mostly Muslim minorities have been held in re-education camps in China's western Xinjiang region, with a slew of abuses that include forced labor. Lawmakers in Western nations, including Canada, have called the crackdown in Xinjiang a genocide, and the UN High Commissioner for Human Rights has referred to the treatment of Uyghurs as crimes against humanity. Beijing denies the accusations, describing the facilities as vocational centers designed to curb extremism. amc/bfm/dw Tampa Bay Rays' Shane McClanahan pitches during the first inning of a baseball game against the New York Yankees, Wednesday, Aug. 2, 2023, in New York. (AP Photo/Frank Franklin II) SAN FRANCISCO (AP) Tampa Bay ace left-hander Shane McClanahan is scheduled to have Tommy John surgery Monday, and Rays outfielder Manuel Margot will undergo a procedure to remove bone chips from his right elbow. The 26-year-old McClanahan went on the 60-day injured list with tightness in his pitching forearm and last pitched Aug. 2 at the New York Yankees. He was 11-2 with a 3.29 ERA over 21 starts and 115 innings in his third big league season after posting double-digit wins each of his previous two years. Tough loss, no doubt, manager Kevin Cash said. Margot will have surgery Wednesday and is set to miss up to a month. He traveled back to Florida on Tuesday, a day after playing in the series opener at San Francisco. Team orthopedist Dr. Koco Eaton will perform the surgery after Margot recently received an injection. The injury had been bothering him for several weeks, according to Cash. Look, nothing is simple when you're going to have a procedure done, Cash said. But it sounds like, hearing everything else that could be going wrong in an elbow, this is something that was very easy to pick up. They're going to go in there and remove the loose bodies and hopefully a speedy recovery. With Margot going on the 10-day injured list, the Rays recalled infielder Jonathan Aranda from Triple-A Durham. Aranda was hitting .339 with 25 homers and 81 RBIs in 95 games with Durham. Look, he's checked every box probably in Triple-A that you can, Cash said. ___ AP MLB: https://apnews.com/hub/MLB You are here: Business The number of urban passenger trips in China soared 15 percent year on year in the first half of this year (H1), official data shows. A total of 45.42 billion passenger trips were made in China's urban areas during the period, according to the Ministry of Transport. Specifically, the number of passenger trips handled by China's urban rail transit networks surged 45.9 percent year on year to 13.64 billion, while those through ferry services skyrocketed 113 percent to 38.72 million. In the same period, urban passenger trips made via bus and tram stood at 19.87 billion, up 4.6 percent from the same period last year, while trips by taxi rose 6.8 percent year on year to 11.87 billion, the data shows. The next two days at the Illinois State Fair will feature political rallies led by both political parties as the countdown to next year's election season marches forward. Democrats will kick off action on Wednesday with Governor's Day and Republicans follow on Thursday. Outside of the fair, the parties will hold meetings in downtown Springfield including the Illinois Democratic County Chairs' Association brunch at the Bank of Springfield Center. There, Illinois Democrats will be joined by guest speaker U.S. Sen. Catherine Cortez Masto, D-Nevada, starting at 9 a.m. before heading to the fairgrounds. Republican's time on the Director's Lawn will be preceded with several events, among them the Republican County Chairs' Association president reception on Wednesday before a joint meeting with RCCA and the State Central Committee Thursday morning at the President Abraham Lincoln Hotel. Gov. JB Pritzker, center, stands on stage with other Democratic candidates during Governors' Day at last years Illinois State Fair on Wednesday, Aug. 17, 2022. For Democrats and Republicans alike, the fair days and meetings provide opportunities to build up its campaign finance war chests heading into 2024. No statewide candidates will be featured in next year's election, but votes for president, U.S. Congress, the Illinois House of Representatives and a handful of state Senate seats among other local races will take place. The political days fall just more than seven months away from the March primary, but also less than three weeks from when candidates can begin circulating nomination papers. Congressional, state legislature and other local candidates begin this process on Sept. 5. Presidential candidates start on Oct. 27. All candidates have until Dec. 4 to file the papers with the Illinois State Board of Elections. More State Fair: Crack that whip: Chris Camp's shows and other things to do in Conservation World A mini-Chicago Winning out over Atlanta and New York City, Chicago will be the home site for the 2024 Democratic National Convention where the party is expected to collect its might around presumptive nominee President Joe Biden. An array of sources suggest the estimated economic impact for the DNC could bring upwards of $200 million to the city in addition to 50,000 visitors. Illinois Democrats can also expect a payday with events in Springfield although likely not nearly as significant. The final picture of dollars coming to the association likely will not be known until October, IDCCA Executive Director Dan Kovats told The State Journal-Register on Tuesday but is described as the association's largest annual fundraiser. Tickets for the brunch range from $60 for a virtual ticket to $68,500 to secure two tables with 10 seats each and can be purchased online at https://ildccabrunch.org/. What is known is the association has raked in about $146,000 in campaign funding since July, according to ISBOE. Most of the money has come from political action committees associated with prominent names in the party such as Illinois Senate President Don Harmon, House Speaker Emanuel "Chris" Welch and U.S. Rep. Nikki Budzinski, D-Springfield. The Trump question Illinois Republicans have their work cut out for them, not holding power in any of the state's three branches of government in addition to a smaller pool of political backers for major campaign funding. The state fair represents an opportunity for the party to make up ground in the funding battle and later support its candidates come election time. Who the party chooses to back for the White House has not been clear at this point, but it could have to put its weight behind a familiar name. National polls indicate if the presidential election were to take place today, it would be a 2020 rematch of Biden and former President Donald Trump. Already not a popular figure in Illinois, losing the state by more than 15 points in both 2016 and 2020, Trump is coming off his fourth indictment this time for allegedly tinkering with 2020 election results in Georgia. The indictments of the former commander-in-chief have prompted varying responses from GOP lawmakers in the state, some of which have pledged continued support to Trump and others to say move on. U.S. Rep. Mary Miller, R-Oakland, has claimed investigations led by the U.S. Department of Justice into Trump have been politically motivated. "The American people will not tolerate this attack from Biden's DOJ on our electoral process or our system of justice, where justice is supposed to be blind," she said in a statement earlier this month. The party's 2022 gubernatorial candidate, Darren Bailey, rose in prominence among Republicans before the primary thanks in part to an endorsement from the former president. Still, he and other candidates speaking at last year's fair were mum on Trump and instead focused on what they described as failures by Democrats to control crime and spending. That backing from Trump, in the end, proved in some ways to bite Bailey in the general election where Democratic Gov. JB Pritzker won a second term with comfortable margins. Contact Patrick Keck: 312-549-9340, pkeck@gannett.com, twitter.com/@pkeckreporter. This article originally appeared on State Journal-Register: Dems, GOP look to sparkle 2024 excitement at Illinois State Fair A victim of revenge porn has been awarded $1.2 billion (944 million) in damages by a Texas jury 12 times the amount her lawyers had requested. The woman from Houston filed a harassment lawsuit against her ex-boyfriend Marques Jackson in 2022 in which she alleged he posted intimate pictures of her online to publicly shame her after they split up. Lawyers for the plaintiff, who was referred to as Jane Doe (DL) in court documents, said the settlement was a victory for victims of image-based sexual abuse and hoped it would work as a deterrent to others. While a judgment in this case is unlikely to be recovered, the compensatory verdict gives DL back her good name, said lawyer Bradford Gilde. A Harris County state district court jury ordered Mr Jackson to pay the woman $200 million (158 million) for past and future mental anguish, as well as $1 billion in exemplary damages. The settlement trounces the $100 million the lawyers originally requested in damages. Message of deterrence We hope the staggering amount of this verdict sends a message of deterrence and prevents others from engaging in this despicable activity, added Mr Gilde. The woman and her former boyfriend began dating in 2016. She had shared intimate photographs of herself with her partner during the relationship. When the couple broke up in 2021, Mr Jackson allegedly posted the images on social media platforms and adult websites without her consent. According to court documents, he also sent links of the photographs to her family, friends, employer and gym through a publicly accessible Dropbox folder. He was allegedly spying on her through a camera system at her mothers home and had access to her phone, social media accounts and email. At one point, the defendant allegedly sent the woman a message stating: You will spend the rest of your life trying and failing to wipe yourself off the internet. Everyone you ever meet will hear the story and go looking. Happy hunting. Lawyers for the woman claim Mr Jackson posted the pictures to inflict a combination of psychological abuse, domestic violence and sexual abuse. DL told a Texas broadcaster that she approached a civil lawyer after receiving little help from local police. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. Gov. Ron DeSantis (R-Fla.) gave a mealy-mouthed reply when asked what hed do if one of his children turned out to be gay or transgender. Though DeSantis has vocally pushed anti-LGBTQ measures in his home state, the politician indicated that hed want privacy if any of his three kids came out, according to a Time magazine interview published Wednesday. Well, my children are my children, DeSantis told journalist Molly Ball. Well leave thatwell leave that between my wife and I. DeSantis, who has painted himself as a champion of the so-called parental rights movement, has enacted multiple pieces of legislation targeting the LGBTQ+ community during his time in office. The governor signed Floridas Parental Rights in Education Act in the spring of 2022, banning lessons on sexual orientation or gender identity in kindergarten through third grade. In May 2023, he expanded the measure to cover grades four through 12. Ron DeSantis attends a state fair in Des Moines, Iowa, on Saturday, Aug. 12. Ron DeSantis attends a state fair in Des Moines, Iowa, on Saturday, Aug. 12. That same month, DeSantis signed a bill to prohibit gender-affirming care for minors and another to restrict where trans Floridians can go to the bathroom. Speaking to Time, the politician defended the ban on certain medical treatments for transgender kids, saying it is totally appropriate for us to say that protection of children means that those things are not appropriate. Critics have called the bans dangerous, pointing to high rates of depression and suicide among transgender youths who do not have access to gender-affirming health care. In addition to restricting trans minors rights, DeSantis has also called for more curriculum transparency in Florida schools, arguing that parents should have a say in how sensitive subjects like race, sexuality and gender are taught. Kids should be kidsthere shouldnt be an agenda, he told Ball. I didnt feel like there was an agenda when I was growing up. DeSantis is currently trailing Donald Trump as they both vie for the 2024 Republican presidential nomination. Last month, the governor dismissed his poor poll numbers by putting the blame on the media. I think if you look at the people like the corporate media, who are they going after? DeSantis said during an appearance on Fox News. Who do they not want to be the nominee? Theyre going after me. If you or someone you know needs help, call or text 988 or chat 988lifeline.org for mental health support. Additionally, you can find local mental health and crisis resources at dontcallthepolice.com. Outside of the U.S., please visit the International Association for Suicide Prevention. Related... In the 1980s, Rudy Giuliani garnered a reputation as a fearless prosecutor by aggressively deploying racketeering laws to skewer Mafia bosses. The laws helped him take down the leadership of New Yorks notorious Five Families, the Italian-American mafia running organised crime in the city. But on Tuesday, Mr Giuliani was fighting for his own freedom after being ensnared by the very legal strategy he had pioneered. It is a remarkable fall for the man once feted as Americas Mayor, a tough-on-crime Republican who led New York through its darkest hours in the wake of the September 11 attacks. Prosecutors in Georgia charged Mr Giuliani with 13 felonies in relation to an alleged illegal plot to subvert the 2020 presidential election with his longtime associate and former client, Donald Trump. Mr Giuliani, 79, now faces the threat of years behind bars. Its just the next chapter in a book of lies with the purpose of framing President Donald Trump and anyone willing to take on the ruling regime, Mr Giuliani said after the charges dropped. But for Mr Giuliani, there is no doubt this stunning chapter marks the lowest point yet in a years-long tragic descent from national hero to a figure of public derision. They include an unwitting cameo in a Sacha Baron Cohen film, in which Mr Giuliani was filmed lying on a hotel bed with his hands down his trousers. In the years since Mr Trump burst onto the political scene, Mr Giuliani has become one of the former presidents most forceful defenders. He played a starring role in Mr Trumps post-election push to cling to power, through what prosecutors allege was a criminal campaign of lies about voter fraud. Donald Trump with Rudy Giuliani at the Trump National Golf Club in 2020 - AFP His efforts often descended into farce, such as a post-2020 election press conference held outside a Four Seasons landscaping business surrounded by a crematorium and a sex shop. At another press event, Mr Giuliani and his allies claimed mass voter fraud without a shred of evidence as hair dye streamed down his face. He was charged by Georgia prosecutor Fani Willis under the states Racketeering Influenced and Corrupt Practices (RICO) statute. Ms Willis, like the Mr Giuliani of four decades ago, has been innovative in her use of RICO laws. She has charged Mr Trump, Mr Giuliani and 17 other defendants with an alleged criminal enterprise to reject lawful votes. Ms Willis used the RICO laws to do so, which allow a variety of crimes to be tied together into a single racketeering charge. Ironically, it is a template Mr Giuliani perfected decades ago when he took down the leadership of the Five Families as the US attorney for the Southern District of New York. He used similar language to accuse the crime families bosses of essentially acting as a board of directors for the New York mob. Just last month, his spokesman hailed the former prosecutors effective use of the mobster statute in [taking] down the Mafia and cleaning up New York City. He became New Yorks mayor in 1993, and won over the nation with his leadership of the city after the September 11 terror attacks. It earned him a front cover as Time Magazines prestigious Person of the Year. His political fortunes began to change in 2008 with a disastrous bid for the White House. It was Mr Trump who returned him to the frontline of Republican politics when he hired him as his lawyer. He was a constant TV presence as he defended Mr Trump in the Russian election interference investigation. But against the backdrop of a turbulent personal life, Mr Giuliani proved susceptible to seemingly unforced admissions - contradicting Mr Trumps denials over hush money payments to a porn star and his pursuit of a business deal in Moscow before the 2016 election. In the end, it was his involvement in efforts to subvert the 2020 election that brought Mr Giuliani himself into the crosshairs of prosecutors. His licence to practice law was suspended in New York over his demonstrably false claims of a stolen election and he faces being potentially disbarred in Washington. Mr Giuliani appeared to be aware of the pitfalls of throwing in his lot with Mr Trump. I am afraid it will be on my gravestone. Rudy Giuliani: He lied for Trump, he told The New Yorker in 2019. If it is, so what do I care? Ill be dead. I figure I can explain it to St. Peter. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. If your "For You" page on TikTok is currently flooded with videos from girls at the University of Alabama (and other schools) posting their "OOTD," or outfit of the day, during rush week, you're not alone. Rush week is returning to colleges across the country, the multi-day event where prospective sorority and fraternity members visit houses, interview with current members and hope to be chosen and initiated into the sisterhood or brotherhood. There are many terms associated with Greek life that may not make sense to someone who hasn't participated in sororities or fraternities in college. Here's a breakdown of some of the popular terms you may hear as rush goes on at different schools over the next few weeks. Greek life: The problem with treating Bama Rush TikTokers like famous reality stars The University of Alabama Delta Gamma's newest sorority members run screaming out of BryantnDenny Stadium with excitement during sorority Bid Day on Saturday, Aug. 16, 2014. Active An initiated member of a sorority or fraternity. Bid A bid is an invitation to join a sorority or fraternity, usually at the end of recruitment or "rush week." Bid day Bid day is the day when new members officially join the sorority or fraternity, and existing members celebrate the new members. Big/little A "big" (short for big sister or big brother) is an assigned older member of a sorority or fraternity that mentors the new member, called a "little" (short for little sister or little brother.) Brother/sister The colloquial name that members of the same fraternity or sorority call each other. Education: Texas teen was told girls of color couldn't do well. She's graduating college at 14. Chapter The local campus fraternity or sorority organization, part of a bigger, national Greek organization. Dues The fees associated with being a member of a sorority or fraternity. Often, these dues pay for events, operational expenses and programming. Fraternity/sorority A social organization typically for undergraduates at a college, with fraternities reserving membership for men and sororities reserving membership for women. Most colleges have multiple fraternities and sororities to choose for membership, which is lifelong, even after graduation. Greek life The general term for all associated with people in sororities and fraternities at a college. Hazing "Any activity expected of someone joining or participating in a group that humiliates, degrades, abuses or endangers them, regardless of a person's willingness to participate," according to StopHazing.org. Hazing has often occurred during initiation processes to Greek life chapters despite being illegal in dozens of states and is banned by most colleges and universities. #BamaRush: The lure of Greek sisterhood and the need to belong Letters The names of sororities and fraternities use two to three letters from the Greek alphabet. Philanthropy Sororities and fraternities have organizations and charities they support, including through donations and volunteering. Pledge A new member of a sorority or fraternity who has not yet been formally initiated into the organization. Pledging The orientation process for a new member of a sorority or fraternity, where the member has joined the organization but is not yet fully initiated. PNM (potential new member) A person going through the recruitment process interested in joining a sorority or fraternity. Preference or 'pref' Also called "pref" for short, preference day is typically a formal party during Rush week where people going through the recruitment process make their final decisions on the Greek organization where they hope to be asked to join. Millions watch their 'digital diaries': Influencers want to show you what college is really like Recruitment Also called rush, recruitment is the process potential sorority or fraternity members go through in order to join where they meet with all participating Greek organizations on campus, go through interviews and other rounds before they may be offered a formal bid to join. Rush Also called recruitment, rush is the process potential sorority or fraternity members go through in order to join where they meet with all participating Greek organizations on campus, go through interviews and other rounds before they may be offered a formal bid to join. This article originally appeared on USA TODAY: What is 'rush week'? Greek life definitions of bid day, PNW, big, more Results of a kamikaze drone strike on Izyum district of Kharkiv Oblast Russian forces attacked Kharkiv Oblast with Shahed kamikaze drones in the early hours of Aug. 16, and again at around 9 a.m., the head of the Kharkiv regional military administration, Oleg Synegubov, has reported. A hit was recorded in the oblastss Izyum District at night, Synegubov said. He said the warehouses of an abandoned agricultural enterprise had been damaged. There were no casualties as a result of the drone attack, Synegubov said. After the attack in the hours of darkness, on the morning of Aug. 16 Russian suicide drones were again spotted in Kharkiv Oblasts Kharkiv District. Ukrainian air defense forces managed to shoot them down, Synegubov said. Read also: Russia mass ordering Shahed suicide drones, seeks to self-manufacture Russia also attacked other parts of Ukraine with Iranian-designed Shahed UAVs overnight on Aug. 16. Odesa regional governor Oleh Kiper announced that one of the Danube River ports was hit. Warehouses and granaries were damaged, and fires broke out, although they were quickly extinguished. Earlier, Ukrainian air defense forces reported that they had managed to shoot down a total of 13kamikaze drones launched by Russia at Ukraine overnight on Aug. 16. Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine Karin Kneissl, the former Austrian foreign minister famous for dancing with Russian President Vladimir Putin at a wedding, has revealed her impressions of life in the Russian village of Petrushovo. Source: European Pravda; Kneissl, in an interview with Russian propaganda agency TASS The former Austrian minister says that for her, the village of Petrushovo, in Ryazan Oblast, is "better" than the Maldives or the Seychelles. "I like life here. I understand this world, I understood it when I was a girl. Grandmothers, apples, summer, swimming in the river. It's a good life. I don't need the Maldives or the Seychelles. This is better," Kneissl said. She clarified that she does not live in Petrushovo permanently. After her holiday in Ryazan Oblast, she plans to return to Lebanon. The news about Karin Kneissl settling in the Russian village of Petrushovo appeared last week. On 5 August, Kneissl was spotted at a village festival, at the end of which she addressed the locals in Russian. Kneissl served as Austria's foreign minister from 2017-2019 and joined the board of Russian oil giant Rosneft as an independent director in 2021. She resigned from the Rosneft board in May 2022 after Russia invaded Ukraine. Kneissl's personal website says, "Karin Kneissl involuntarily left her home country as a result of persistent death threats and a de facto ban on working in Austria." Ukrainska Pravda is the place where you will find the most up-to-date information about everything related to the war in Ukraine. Follow us on Twitter, support us, or become our patron! Three people known to 10-year-old Sara Sharif booked one-way tickets to Pakistan, and flew the day before her body was found, BBC News understands. Sara's body was found at her home in Woking, Surrey, on 10 August. The BBC has spoken to a travel agent in the town who said he was contacted by someone known to Sara, wanting tickets for three adults and five children. Police want to speak to three people known to Sara - who they have not named - and who left the UK on 9 August. Surrey Police launched a murder investigation after Sara's body was found alone in the family home 02:50 BST. Speaking to the BBC, the travel agent in Woking identified the person making the booking on the evening of 8 August to travel the following day, whom he said had used his services before. The BBC has spoken to police in Pakistan who have said that no formal approach has been made by the British authorities over the case. Pakistan and the UK do not have a formal extradition treaty. In an interview with the Sun newspaper Sara's mother spoke about her grief and disbelief that her daughter was dead. Olga Sharif was divorced from Sara's father, who had custody of the child. A post-mortem examination was due to be carried out yesterday (Tuesday) on the 10-year-old but a cause of death has not been formally confirmed. (Reuters) -Seagen said on Wednesday that a combination of its therapy, Tukysa, met the main goal of a late-stage study in some breast cancer patients, boosting the prospects of the company that is in the process of being acquired by Pfizer Inc. Pfizer in March agreed to acquire Seagen and its targeted therapies in a $43 billion deal to help the drugmaker brace for a steep fall in COVID-19 product sales and generic competition for some top-selling drugs. Tuksya, along with Roche's Kadcyla, helped extend the time that patients with a type of breast cancer lived without their disease worsening. Kadcyla belongs to a class of drugs called antibody-drug conjugates (ADC), which have been described as "guided-missile" cancer drugs and the use of these drugs for breast cancer patients has grown in the past few years. The Roche drug faces competition from Daiichi Sankyos and AstraZeneca's Enhertu. Tukysa, chemically known as tucatinib, is already approved in combination with Roches Herceptin and chemotherapy Xeloda in patients whose cancer has worsened or spread despite at least one prior round of treatment. In the current trial, the drug was being tested in patients with a type of breast cancer known as HER2 positive, which accounts for 15% to 20% of all breast cancers in the United States. HER2 protein contributes to the growth and spread of breast cancer. Breast cancer is the second-most common cancer among women in the United States, according to government data. (Reporting by Mariam Sunny in Bengaluru; Editing by Maju Samuel) Western Washington has been feeling the heat this week, capped off by whats now officially the hottest day of the year for the Seattle area. Temperatures topped out at 95 degrees at Sea-Tac Airport, just short of the 96-degree record for the day set on Aug. 15, 2010. 95 for #Seattle at @FlySEA -- the warmest day of the year and one degree shy of a daily record high. It's 100 at Shelton and Orting at 4 p.m. LIVE: https://t.co/if8tqjkiYp pic.twitter.com/0m4HL7zi2w Morgan Palmer (@MorganKIRO7) August 15, 2023 Meanwhile, fire danger remains high, with King County issuing a Stage 2 burn ban on Tuesday. That means no outdoor fires like backyard fire pits or campfires using chopped firewood or charcoal. Thankfully, things are expected to gradually cool down from here. On Wednesday, the high pressure ridge responsible for the heat will be weakening over us, and that means a degree or two off the highs from today, but still it will be very hot with 80s and 90s widespread. The forecast high of 92 in Seattle would make it the fifth 90-degree day this year. The coast will again be much cooler. Smoke from Cascades wildfires should mainly stay east of the area, as winds aloft begin to increase from the west. On Thursday, we start the process of getting cooler marine air into Western Washington interior lowlands, including Puget Sound, though its not likely to be enough to keep highs from getting into the upper 80s to near 90 from Seattle south. By Thursday night, the onshore breezes will pick up and cooler air will arrive by Friday with highs back in the 70s to near 80. During this transition to cooler weather in the lowlands west of the Cascades, winds will pick up and humidity will stay very low east of the Cascades, and a Fire Weather Watch has been issued starting Thursday for Douglas, Chelan, and Kittitas Counties. This will likely become a Red Flag Warning by Thursday, lasting into Friday. West of the Cascades, winds wont be very strong but it could be breezy up north. The good news for Western Washington is that the cooler marine layer air will have more humidity. Over the weekend, expect a mix of clouds and sunshine and highs in the 70s to low 80s in the lowlands. The chance of rain through the next seven days is very slim, with only the potential for some pockets of drizzle in the early morning hours over the weekend in a few spots, mainly coast and north. In pics: single-stringed instrument of Jing ethnic group in China's Guangxi Xinhua) 13:10, August 16, 2023 Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Fei Maohua) A visitor learns characters of the Jing ethnic group at a museum of the Jing ethnic group in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Fei Maohua) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhou Hua) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhou Hua) (Web editor: Zhang Kaiwei, Wu Chaolan) The Ministry of Water Resources Tuesday called for all-out efforts to combat drought and ensure water supply in four drought-stricken regions in the northern and northwestern parts of the country. Since June this year, the accumulated precipitation of parts of the Inner Mongolia Autonomous Region in north China, as well as Gansu Province, Qinghai Province, and Ningxia Hui Autonomous Region in the country's northwest is 30 percent to 70 percent less than usual, according to the ministry. Weather forecasts show that the drought will persist in the mentioned areas until the end of August due to a lack of rain and declining river water levels, the ministry warned. The ministry stressed efforts to use water resources and ensure safe drinking water for urban and rural residents, safeguard the water security for large-scale breeding, and guarantee water usage for large livestock and crop irrigation. The ministry has dispatched working teams and expert groups to the four regions to provide on-site support in drought relief work. Editors Note: Past|Present is a new video series from The Star that travels through time to show how scenes Kansas City depicted in vintage postcards look today. Have a postcard youd like to share with our team? Tell us about it here. Kansas Citians have long used the term Hospital Hill for the area east of Gillham Road between 22nd and 25th Streets. The first City Hospital began operation there in 1870 in a small frame building on 22nd Street. It wasnt long before the fast-growing city found itself in dire need of a bigger facility. Col. Thomas Swope, of Swope Park fame, donated a large tract nearby to house a new, more modern, public hospital. In 1908, General Hospital opened at 23rd and Holmes. Above its entrance the imposing brick structure bore a quote from William Shakespeare, The quality of mercy is not strained, it droppeth as the gentle rain from heaven upon the place beneath. In 1930, its name changed to General Hospital #1. Thats the year that General Hospital #2 opened its doors to Black patients across the street at 508 E. 22nd Street. The new building was seen as a political feather in the cap of Boss Tom Pendergast. Research Hospital, originally German Hospital, also joined the burgeoning health care cluster on the hill with a facility of its own.. The vintage streetscape pictured on the postcard looks remarkably tranquil compared to the same view today. In it, we can see office buildings and parking garages from the University Healthcare, formerly Truman Medical Center, campus, Childrens Mercy Hospital and the UMKC Medical School. But what about that tall smokestack thats still standing? Turns out its part of the old Municipal Hospital Power Plant that provided heat for General Hospital back in the day. Looking for more Kansas City history? Keeping patients isolated often meant building tuberculosis wards, clinics and hospitals. Whats Your KCQ? looks back at some of them. The mysterious death of Col. Thomas Swope still baffles us today The Pendergast years were marked by a. number of bloody exchanges, including one on Election Day 1934 The front page of the Marion County Record on Wednesday documented a rare episode in American history and let readers know that their small-town weekly newspaper is not going anywhere. SEIZED ... but not silenced, the paper in central Kansas announced. The edition was the first to hit newsstands after Marions entire five-officer police force on Friday raided the office of the family-owned Record and the home of its publisher. The searches were condemned across the world by free speech advocates. Officers and sheriffs deputies seized journalists computers, cellphones and reporting materials, which made putting out Wednesdays paper before midnight an ordeal, as publisher and owner Eric Meyer put it. We were going to do it even if we had to hand write it on pieces of paper, he told CNN before 7 a.m. Wednesday. In a turn of events Wednesday, the prosecutor in Marion County withdrew the search warrants executed at the newsroom and Meyers home, which means the seized materials will be returned to the newspaper. The town of fewer than 2,000 people has become a focal point of a national controversy over First Amendment rights and what many see as frightening law enforcement overreach. Officers appeared to be looking for evidence about how the paper obtained information that a local restaurateur, who applied for a liquor license, lost her drivers license over a DUI in 2008. The first edition of the Marion County Record since its newsroom in central Kansas was raided by police. The papers main story Wednesday told of the Kansas Bureau of Investigation taking over the case from local police. The state agency said it would review all prior steps taken during the probe. Featured at the bottom of the front page, a second story was about the global support for the paper. The edition was the first out since the death of Eric Meyers 98-year-old mother Joan Meyer, who co-owned, and continued to write for, the newspaper. She collapsed and died a day after the raid, which her son said contributed to her death. Bernie Rhodes, an attorney for the Record who also represents The Star, said getting out the paper was a way to honor her. It was an all hands on deck operation, he said Wednesday. The head of the Kansas Press Association volunteered as a receptionist Tuesday so every member of the staff could contribute to finishing the paper, Rhodes said. Employees had to recreate classified ads and legal notices that were on the seized computers. The towns matriarchal newswoman was incensed about the raid that Rhodes said violated federal law. When two officers knocked Friday on Joan Meyers home, where she lived for 70 years, Eric Meyer assumed it was her Meals on Wheels delivery. He said police made his mothers final day hell by attacking the newsroom she devoted five decades of her life to. How dare they, he said. New Hampshires clean energy industry is a rapidly growing component of our states economy and a critical path to the renewable energy that is vital in our fight against climate change. New England must act to be a leader in clean energy infrastructure that will serve to diversify the regions energy sources. To secure a strong foundation for future green energy projects, we need to embrace important system upgrades to deliver clean power to major load centers. Senator David Watters (D-Dover) The project deserving of support is the Twin States Clean Energy Link, developed by National Grid and non-profit Citizens Energy. As the United States Department of Energy considers the several transmission projects competing for federal support under the bipartisan infrastructure bill, I and countless others in New Hampshire urge Energy Secretary Jennifer Granholm and the Grid Deployment Office to embrace this win-win for New England which will, in turn, boost our clean energy industry right here at home. As Chair of the New Hampshire Commission on Offshore Wind and Port Development and a member of the BOEM Gulf of Maine Task Force, I am particularly excited about Twin States because its bidirectional transmission capability means that future offshore wind development in the Gulf of Maine will be able to utilize the line to deliver clean energy to market, including export of domestic power to Canada during times of surplus. As we prepare for lease auctions in the waters off New Hampshire and Maine as soon as late 2024, having clean energy transmission capabilities is more critical than ever to support this growing industry. Winds right here off the New Hampshire Seacoast are some of the most reliable on the Atlantic coast, as they are steady year-round. Investments into offshore wind and port development in the Gulf of Maine are predicted to total tens of billions of dollars in the coming decades. Twin States can play a key role in helping to maximize how we embrace and encourage what really can be boundless opportunity in our states green economy. An advantage of Twin States is that, unlike other projects that have come before our states siting authorities, new cables will be buried on state roadways and the line will utilize the existing transmission corridor and towers that have been in service for almost a century for the roughly 110-mile stretch in New Hampshire from Monroe to Londonderry. As it reaches southern New Hampshire, a new substation in Londonderry will help deliver the clean power directly into a major hub for the regional grid, enabling affordable, clean energy to be distributed across all six New England states. The result? Reduction of greenhouse gas emissions by an estimated 1.5 million metric tons per year and savings of billions of dollars for customers over the first twelve years of operation alone. The project can do this all while protecting the natural beauty of our state, which, as we know, is essential. National Grid and Citizens Energy have also proposed a benefits package, to the host states of Vermont and New Hampshire, totaling hundreds of millions of dollars, to go towards pursuing community-identified needs and projects in areas such as clean energy development, efficiency and weatherization, among others. The program will also focus on workforce development and technical education to help prepare New Hampshire workers for green energy jobs. Representatives of the projects have been discussing these benefits with town and local governments, legislators and more, seeking input as they work to advance partnerships towards these goals, especially as they seek to put these dollars to work to benefit environmental justice and Justice 40 communities, as outlined as priorities by the Biden administration. Another core priority for President Biden and for me, is maximizing union labor on these game-changing infrastructure projects. Im very pleased that National Grid has made a commitment to use International Brotherhood of Electrical Workers (IBEW) labor to build Twin States. They are among the most skilled workers in the world and this partnership will ensure the jobs created by this project are kept here in New Hampshire to the greatest extent possible. In short, Twin States is a win for New Hampshire and all of New England. It will have a lasting impact on our state, provide badly needed relief and cost savings for energy customers and help accelerate our embrace of New Hampshires clean energy future and in turn, a greener grid for all of New England. Democrat David Watters of Dover represents NH Senate District 4:Barrington, Dover, Rollinsford, and Somersworth. This article originally appeared on Portsmouth Herald: Sen. Watters: Twin States Energy Link is a win for New Hampshire Niger's armed forces are struggling with jihadist insurgencies in the country's west and southeast (PHILIPPE DESMAZES) West African military chiefs are set to meet Thursday in Ghana to coordinate a possible intervention aimed at reversing Niger's coup. Alarmed by a cascade of takeovers in the region, the Economic Community of West African States (ECOWAS) has decided to create a "standby force to restore constitutional order" in Niger. The meeting of the top brass on Thursday and Friday comes after fresh violence in the insurgent-hit country, with jihadists killing at least 17 soldiers in an ambush. An army detachment was "the victim of a terrorist ambush near the town of Koutougou" in the Tillaberi region near Burkina Faso on Tuesday, Niger's defence ministry said. Twenty more soldiers were wounded, six seriously, in the heaviest losses since the July 26 coup. Jihadist insurgencies have gripped Africa's Sahel region for more than a decade, breaking out in northern Mali in 2012 before spreading to neighbouring Niger and Burkina Faso in 2015. The "three borders" area between the countries is regularly the scene of attacks by rebels affiliated with the Islamic State group and Al-Qaeda. The unrest across the region has killed thousands of troops, police officers and civilians, and forced millions to flee their homes. Anger at the bloodshed has fuelled military coups in all three countries since 2020, with Niger the latest to fall when its elected president Mohamed Bazoum was ousted on July 26. The generals who have detained Bazoum said "the deteriorating security situation" sparked the coup. - Diplomatic efforts - Analysts say an intervention to oust the coup's leaders would be militarily and politically risky, and the bloc has said it prefers a diplomatic outcome. ECOWAS issued a statement Tuesday "strongly condemning" the latest attack, urging the military "to restore constitutional order in Niger to be able to focus (its) attention on security... weaker since the attempted coup d'etat". Talks have taken place this week in Addis Ababa among ECOWAS and Niger representatives under the aegis of the African Union. The United States said Wednesday that a new ambassador would soon head to Niger to help lead diplomacy aimed at reversing the coup. Kathleen FitzGibbon, a career diplomat with extensive experience in Africa, will travel to Niamey despite the ordered departure of the embassy's non-emergency staff. On Tuesday, Niger's military-appointed civilian prime minister, Ali Mahaman Lamine Zeine, made an unannounced visit to neighbouring Chad -- a key nation in the unstable Sahel but not a member of ECOWAS. He met President Mahamat Idriss Deby Itno, offering what he described as a message of "good neighbourliness and good fraternity" from the head of Niger's regime. "We are in a process of transition, we discussed the ins and outs and reiterated our availability to remain open and talk with all parties, but insist on our country's independence," Zeine said. - UN food warning - Bazoum's election in 2021 was a landmark in Niger's history, ushering in its first peaceful transfer of power since independence from France in 1960. He survived two attempted coups before being toppled in the country's fifth military takeover. ECOWAS has applied a raft of trade and financial sanctions while France, Germany and the United States have suspended their aid programmes. The measures are being applied to one of the poorest countries in the world, which regularly ranks bottom of the UN's Human Development Index. The United Nations warned Wednesday that the crisis could significantly worsen food insecurity in the impoverished country, urging humanitarian exemptions to sanctions and border closures to avert catastrophe. Niger is also facing a jihadist insurgency in its southeast from militants crossing from Nigeria -- the cradle of a campaign initiated by Boko Haram in 2010. bur/js/lb/aha Former President Donald Trump and 18 other co-defendants named in a grand jury indictment unsealed on Monday have less than 10 days to turn themselves in to authorities. In a news conference aired live during WSB Tonight after the indictments unsealing, Fulton County District Attorney Fani Willis said all 19 defendants will have until Friday, August 25 to surrender. [DOWNLOAD: Free WSB-TV News app for alerts as news breaks] According to spokespeople with the Fulton County Sheriffs Office, all 19 of them will be booked into the Fulton County Jail on Rice Street. Those indicted include former President Donald Trump, Rudy Giuliani, John Eastman, Mark Meadows, Kenneth Cheseboro, Jenna Ellis, Ray Smith, David Shafer, Sidney Powell, Cathy Latham and more. [PHOTOS: Fulton County Jail gets ready for Georgia election investigation defendants] Fulton County Sheriff Pat Labat said earlier this month that anyone indicted, including the former president, would be treated like anyone else accused of a crime, meaning fingerprints and a mugshot. Unless somebody tells me differently, we are following our normal practices, and so it doesnt matter your status, well have a mugshot ready for you, Sheriff Labat said. RELATED STORIES: The 98-page indictment charged all of the co-defendants with violating Georgias Racketeering Influenced and Corrupt Organizations (RICO) Act and other alleged crimes. The sheriffs office says the jail is open 24/7, so defendants will be able to surrender to the jail at any time. Once booked, its unclear how long they will be held inside the jail. The Department of Justice is currently undertaking a civil rights investigation into the Fulton County Jail. The DOJ announced they will be doing a comprehensive investigation of the living conditions at the jail, access to medical care and mental healthcare, use of force and conditions that may give rise to violence against inmates. They are also investigating whether the jail discriminates against incarcerated people with psychiatric disabilities. [SIGN UP: WSB-TV Daily Headlines Newsletter] Sheila Agee has been charged for allegedly aiding her son Keith Agee (rigth) murder the mother of his child (Escambia County Sheriffs Office/ Washington County Sheriffs Office) A Florida woman has been jailed for allegedly helping plot a womans killing after police discovered shocking texts in which she told her son he was a mother****** b*** if he didnt carry out the murder. Sheila Agee, 50, has been charged with principal to first-degree murder in the death of 18-year-old Brooklyn Sims, the Escambia County Sheriffs Office said in a statement. Her 20-year-old son Keith Agee is facing aggravated battery and homicide charges in connection with the fatal shooting. Sims, who shared a two-year-old daughter with Mr Agee, was murdered during her shift at a Home Depot store on 11 August, WEARTV reports. During the investigation into the attack, law enforcement found a series of disturbing texts Mr Agee and his mother exchanged just hours before Sims was killed. Mr Agee allegedly texted his mother he would shoot Sims although he hated that for their daughter but couldnt take it anymore, which prompted Ms Agee to tell him that he was a mf b**** if he didnt commit the murder. Hell if you getting off work now Ill give you the address here and you can ride over here and do it so you dont have to do it in front of [Mr Agee and Sims daughter], Ms Agee allegedly texted, seemingly suggesting her son could drop off his daughter at her home so the minor wouldnt witness the shooting. The murder itself is unbelievable, but to know the mother knew about it and helped coordinate it is incomprehensible, Sheriff Chip W Simmons said in a statement. Brooklyn Sims, 18, was murdered during her shift at a Home Depot store on 11 August. Keith Agee, who shared a two-year-old daughter with Sims, and his mother have been charged in her death (Xia Xang Parnell) The ESCO accused Ms Agee of being directly involved in the attack by helping her son locate Sims. It appears that Ms Agee then allegedly tried to conceal her interaction with her son. Hold up let me get it erase the texts cause I dont want nobody to know I was texting you stupid a**, the text made public by the ESCO read. Her son replied that he had already deleted his. So that last thought of her knowin she f****** and the regret in her face will b enough to satisfy me ... what she see wen she dead, another text by Mr Agee read. Ms Agee reportedly attended a balloon release for Sims before she was arrested in Alabama, according to WEAR. Xia Xang Parnell, a close friend of Sims, was also grazed by a bullet during the shooting. He told the outlet that Sims and Mr Agee had a very turbulent relationship. Its a scene you never think youll be a part of. Ive seen it on TV and movies, but I never thought it would be me in a situation like that or happen to somebody I love, Mr Parnell said. He added: It was a rough situation between them trying to be together ... recently shes just been trying to co-parent with him ... All she ever talked about was that baby. [She] is and was her life. Mr Agee is expected to appear in court again on 1 September. His mothers extradition to Escambia County is pending. Police on the scene of a shooting in Gulf Coast Town Center, Florida, on Wednesday morning (NBC2) Students at a Florida university were warned to shelter-in-place after shots were fired at the nearby Gulf Coast Town Center shopping mall on Wednesday morning. Florida Gulf Coast University sent an alert to students that shots had been reported at a construction site at the mall 15 miles (24kms) south of downtown Fort Myers at around 11.15am. Lee County Sheriffs Office deputies responded to the shooting, and later confirmed that no shoppers had been hurt. They are searching for the occupants of two cars who fled the scene. The Florida Gulf Coast University police department posted an update at around 1.30pm to say there was no active threat and there had been no danger to students on its main campus. Lee County Sheriff Carmine Marceno said in a social media post that the shooting appeared to have been an isolated altercation between two vehicles that had since fled. He said no businesses had been affected, but to expect an increased law enforcement presence in the area. In a daring tale of survival, a Louisiana shrimper was rescued after spending 55 hours stranded in the Gulf of Mexico. A group with the Vermilion Bay Charters was out in the bay on Aug. 12 when they saw a man standing in the water, about waist deep. The man was in a life jacket and barefoot, according to a Facebook post. We got him in the boat and gave him a bunch of water. A friend of mine brought the guy to his house, the charter group said. He didnt look like the pic when I found him. I gave him some dry clothes to put on. The mans name was George Romero, the charter group said, a shrimper from Delcambre. Many of you have eaten the shrimp he has harvested, the group said. This guy is one tough cat and continues to build upon his legacy status as a Vermilion Bay shrimper. Romeros son, Niles Romero, said on Facebook his family took Romero to the hospital and after a few liters of fluid and treatment for cuts on his legs, his father was back to normal. Dude is crazy tough, Niles Romero said. After recovering, Romero told his story, posted by his son on Facebook. The timeline is kinda fuzzy because Dad lost consciousness a few times and was kinda out of it during this whole deal, Niles Romero began. On Aug. 8, Romero went out into Vermilion Bay on his shrimping boat, Our Pride, his son wrote. He fished for shrimp for two days, moving south into the Gulf of Mexico and through the Southwest Pass. Around 3 a.m. on Aug. 10, the seas started to get rough, but Romero was catching big shrimp so he stayed on the water, his son said. As the waves grew higher, a piece of the boat called an outrigger got caught on a mudflat under the surface and shoved it into the side of the boat, according to the post. The collision knocked a hole in the hull below the water line, Romeros son said, and the boat started to take on water. Dad says less than 30 seconds later he saw the boat wasnt gonna make it, he ran into the cabin to grab his phone and maybe make a mayday call on his radio, but the waves were already smashing into the cabin. One of them knocked into the cabin door and threw him into his shower. He barely got out of the cabin, his son wrote. Romero was able to escape from the cabin on the back deck which was almost underwater, according to the post. Romero climbed on top of the cabin, hoping he could call someone from the top of the rigging, his son wrote. The waves crashed into the boat, eventually causing it to roll over, his son said. Romero jumped into the water. Dad says he watched the whole thing break apart, his son wrote. Luckily, Romero was wearing a good inflatable life vest, making it possible for him to tread water while he figured out a plan. Like a scene out of a survival movie, Romero started pulling together pieces of debris leftover from Our Pride and made a makeshift raft, according to the post. Romero floated through the night until the sun rose the next day. He used more materials to make a cover on the raft to keep himself out of the worst of the sun, his son said. Once the cover was constructed, Romero noticed it was catching the wind, much like the sail of a boat, according to the post. He angled the cover towards the direction of the shore, and floated in that direction for an entire day and night. Sometime before sunrise on Aug. 11, his raft ran into a barrier island and was stuck. His survival instincts didnt give up, and he hopped off the raft and decided to swim and walk along the bottom toward the shore. He had basically floated about 10 miles west at that point, his son said. He did this all day Friday and into Friday night, trying to get the other 10 or so miles to Grand Chieniere. Finally, on Aug. 12, a charter boat noticed a man standing in the water. He was rescued. In total, Romero estimates he was stranded in the water for 55 hours before someone spotted him, his son said. During that time, Romero saw five big crew boats and at least a dozen helicopters that came within a quarter-mile of where he was floating or walking in the water, according to the post. Absolutely crazy story, its incredible that he survived, his son said. Romero lives and sells shrimp in Delcambre, about 150 west of New Orleans. SOS strobe light leads rescuers to divers who vanished in Atlantic off North Carolina Tourists are getting trapped on remote Shark Island off NCs Outer Banks, park warns 15-year-old swimming with friends is pulled under by current and vanishes, WA cops say Watch terrifying rescue as Florida officers pull driver from sinking car at last second [Source] The Smithsonian Institution is set to repatriate the remains of Filipinos collected without consent from 1904 to 1941 for physical anthropology research. Repatriation talks: Smithsonian Institution Chief Spokesperson Linda St. Thomas confirmed on Tuesday that they have conducted discussions with the Philippine embassy and the National Museum of the Philippines to facilitate the return of the remains of 64 individuals. Among the remains are the brains of four Filipinos collected to support research aimed at proving that brain size correlated with racial superiority, specifically the belief in white people having larger brains. Unethical nature: The repatriation efforts were initiated following a comprehensive investigation by The Washington Post, which revealed that the Smithsonian's collection includes human remains collected from multiple countries, often without proper consent. The paper also noted how the Smithsonian still holds a "racial brain collection" from at least 10 countries, including Germany, the Philippines, the Czech Republic and South Africa. While there is no official date yet for said repatriation, the Philippine National Museum welcomed the Smithsonian's decision to repatriate the remains. No evidence of consent: The Filipino remains are believed to be mostly indigenous individuals. There is no evidence of consent being given for their collection and scientific use during an era of racial prejudice and scientific racism. According to the organization, the remains come from different sources such as archaeological excavations, transfers from government agencies and donations from museums, universities, hospitals and individuals. More from NextShark: Elderly Chinese Man Collecting Cans in SF Assaulted by Group in Heartbreaking Viral Video Troubled chapter in history: Among the remains gathered by curator Ales Hrdlicka are the brains of four Filipinos who died after being exhibited at the 1904 Worlds Fair in St. Louis, Missouri, during a time when the Philippines was under U.S. colonial rule. The St. Louis facility, described by experts as a "human zoo," contained indigenous people who were converted into attractions. After the war, the U.S. reportedly became "fascinated by the natives of the newly acquired territory, which led to the development of anthropological exhibits showcasing what life was like in the Philippines." Acknowledging the unethical nature of the collection, its secretary Lonnie G. Bunch III issued an apology for past practices. The Smithsonian has since placed temporary restrictions on research on all human remains in its museums. More from NextShark: Chinese Fashion Designer Sparks Outrage After 'Appropriating' Sacred Tibetan Art Enjoy this content? Read more from NextShark! Cambodian refugee who came to US as 1-year-old is deported after no pardon from California Gov. Newsom Florida Man Faces 30 Years for Vandalizing Asian American Familys Vehicles, Sending Threatening Messages as Squirrel Former President Barack Obama is no longer in office, but the disdain his half-brother Malik Obama felt for him during his two terms remains the same. The self-proclaimed proud Republican renewed interest in the hard feelings he harbors towards Barack after firing off a series of tweets lambasting his sibling. Barack Obama is accused of being a snake in his half-brother Malik Obamas fiery Twitter rant. (Photos: Barackobama/Instagram and Obamamalik/Twitter.) He began on Aug. 13 when he wrote, Me and Fake a a snake (President Barack Obama) when he was a nobody, along with a throwback photo of them in Kenyan garb. The next day, he commented, Before he became a SNOB, on the post. The vitriol spilled into more tweets that read, I just wanted to be Fake a a snakes (President Barack Obama)s big brother but he rejected me. He (President Barack Obama) is fake as a snake and is a TRAITOR, and HE HAS SOLD HIS SOUL TO THE DEVIL. Related: Barack Obamas Brothers Tweet Raises Questions About Obamas Citizenship; Comments In Shambles One person concluded the rift between them was a byproduct of Jealousy , plain old jealousy. @BarackObama sought out his family after losing his father. He found love but also a tangled web. They were each others best men. I speculate he expected too much of his brother, perhaps he was lent money for some hairbrained scheme & cut off. Another person told Malik, You are just a loser, trying too use the LEGENDARY Barack Obamas name to sell your book. When another tweeter offered to help facilitate a reconciliation, Malik responded, That guy thinks hes GOD. Trust me I TRIED! Me and Fake ass a snake (President Barack Obama) when he was a nobody. pic.twitter.com/ZQC8UeJ3zJ Malik Obama (@ObamaMalik) August 13, 2023 The men, who share a father, Barack H. Obama Sr., were once close, according to a 2013 GQ feature on Malik. Three years later, a shift had taken place. Barack was head of state from January 2009, when he was inaugurated, to January 2017, when Donald Trump became president. In 2016, Malik told Foxs Sean Hannity that he enjoyed a good rapport with his younger brother until he was elected president. He cited difficulties in communication and an inability to call him as part of the issue. Yet he also admitted to being invited to the White House at least once every year. So weird how Malik Obama turned on Barack Obama like this. Only because he didnt seem to think Barack was a snake when he used his name to boost a failed campaign for local government in Kenya. Obama here, Obama there was the slogan. Ended up w/less than 3k votes. https://t.co/UlOKNik8Z5 uche. (@MadebyUche) August 15, 2023 Before he was running for office, he was everybodys friend. I think that office has changed him, said Malik as he claimed Barack had been sucked into the matrix. He went on to express disappointment in the former presidents alleged lack of humility. In that interview, Malik also declared his vote for Trump. At the time, the Republican candidate was campaigning against former Secretary of State Hillary Clinton. One of Trumps ploys to net reactions was to gain the support of those who opposed his detractors. Malik was among those who Trump invited to his final presidential debate in Las Vegas that October. The native Kenyan attended. His support for the disgraced politician remains as Trump seeks reelection in 2024. Click here to read the full story. A graduate talks with job recruiters at a career fair at Nanchang University in Nanchang, Jiangxi province. [Photo/Xinhua] China is making continuous progress in helping fresh college graduates land jobs, and is also stepping up efforts to optimize its statistical practices to gauge the youth employment market to better serve the nation's social and economic development. Latest figures from the National Bureau of Statistics showed that the surveyed unemployment rate in urban areas was 5.3 percent in July, up 0.1 percentage point compared with June. However, the July figure is 0.1 percentage point lower compared with the same period last year. "Information from the Ministry of Education shows that most college graduates have landed jobs and the group's employment remains stable," said NBS spokesman Fu Linghui at a news conference on Tuesday in Beijing. Last month, Minister of Education Huai Jinpeng said the number of college graduates this year reached 11.58 million, up about 8 percent year-on-year, and their employment rate saw a growth of around 2 to 3 percentage points, as of July 2, compared with the same period last year. Thanks to the joint efforts of the central government and the people, the result is "worthy of recognition", Huai added. According to Fu, the nation has launched a series of campaigns this year to recruit college graduates to grassroots positions, such as village doctors. "Supportive policies in social security and employment allowances have been made available to private companies to encourage them to create more job opportunities. So far this year, private companies nationwide have offered 670,000 additional jobs to college graduates compared with the same period last year," he said. Fu emphasized that authorities at all levels are looking at ways to help graduates who are yet to find jobs. "The job situation for college graduates will continuously improve with the implementation of employment promotion policies," he added. For example, Hohhot in the Inner Mongolia autonomous region launched on Monday seven job-promotion campaigns, aiming to attract 50,000 college graduates who will either land jobs or will be encouraged to start their businesses in the city by the end of the year. According to Hohhot's human resources bureau, the city will organize two large-scale and more than 20 regular job fairs from August through November to help fresh graduates and those who will graduate next year to find jobs. Every county or banner county-level administrative unit in the region will organize a night job fair once a week in densely populated places from August through late September, the Hohhot bureau said. The NBS has suspended the release of the youth unemployment rate from August because "economic and social development is continuously evolving, necessitating ongoing improvements in statistical practices". Labor force survey statistics also require further enhancement and optimization, it said. China has seen an increase in the number of urban students in recent years. In 2022, there were more than 96 million urban young people age 16 to 24 in China, of whom more than 65 million are students. "While college students focus on gaining knowledge on campuses, the public holds different opinions on whether we should include these students in our employment analysis before their graduation. We also need research to extend the age range to define 'youth' as young people now have longer schooling years," Fu said. He stressed that suspending the release of youth unemployment rate will not affect the calculation of the general unemployment rate. "The bureau will improve its statistical methods to reflect the real situation of the nation's employment market in the future to better serve the nation's economic and social development," he added. SOUTH BEND In the wake of criminal charges alleging that a Republican city council candidate punched and choked his teen daughter Monday, the Republican candidate for South Bend mayor, Desmont Upchurch, has confessed to slapping a woman he was dating more than 20 years ago. On Tuesday, Upchurch visited The Tribunes newsroom to share that he was charged with assault on a woman in 2000, when he was in his early 20s and lived in Durham, N.C. Records show that Upchurch pleaded guilty to the misdemeanor charge, and he says he was required to participate in an anger management program. Upchurch, now 46, said he's discussing the assault now to preempt any attempts by the St. Joseph County Democratic Party to use it against him ahead of the Nov. 7 municipal election. He also shared that in 1998, he pleaded guilty to larceny, or theft of personal property. He initially was charged with embezzlement, a felony, but entered a plea deal to reduce the charge. He said some friends talked him into stealing about $800 from a place where he worked and loading it on to a credit card. "They were just doing a lot of mischief," Upchurch told The Tribune. "One came to me and said, 'Hey man, put this on here, and you can keep this amount,' and I said, 'OK man, here's my credit card number,' and they did the thing." Darrin McDougal, a representative of the Durham County clerk's office, confirmed that the assault happened in July of 2000 and the larceny in 1998. But case files for less severe offenses are regularly purged every several years, McDougal said, so detailed reports on the two incidents no longer exist. McDougal said Upchurch still owes Durham County $336 in penalties and court costs for the larceny. Along with his confessions, Upchurch condemned fellow Republican candidate Roosevelt Stewarts alleged domestic battery earlier this week and said he wont support Stewarts campaign for the 2nd District seat on the South Bend Common Council. Given that the two were knocking on doors together just Saturday, Upchurch said, thats a dramatic shift. Roosevelt Stewart, a Republican candidate for South Bend Common Council, has been charged with a Level 6 Felony for allegedly punching and choking his daughter. Stewart, also 46 years old, is charged with domestic battery in the presence of a child under age 16, a Level 6 Felony in Indiana. His 15-year-old daughter told police that her father assaulted her Monday after she refused to hand over his car keys, believing that he was too drunk to drive. The girl told police that Stewart punched her in the face, bloodied her nose and wrapped both hands around her throat until she became dizzy, according to a probable cause affidavit filed Tuesday by the St. Joseph County prosecutors office. Due to the recent issues, I can no longer support Roosevelts campaign, Upchurch said. I have empathy, but at this time, I believe Roosevelt does not need to focus any longer on politics, but to focus on how to repair the hurt to his family. The St. Joseph County Republican Party did not respond to multiple Tribune requests for comment Tuesday and Wednesday about whether the party will continue to support Stewarts candidacy. In a statement Tuesday, county GOP chair Tyler Gillean said the party strongly condemns domestic violence and is praying for Stewart's family. In an arraignment hearing Wednesday afternoon, a judge set a $1,500 cash bond for Stewarts release. He has been ordered to avoid all contact with both the daughter he's accused of assaulting and his five-year-old niece who witnessed the incident. If hes released, he will be forced to wear a tracking device. A judge said Stewarts prior criminal history included nine public safety convictions, all of which occurred more than 20 years ago. The Rev. James Stewart (no family relation) is Roosevelt Stewarts pastor of a decade at the Lords House of Shepherds church, and he attended the Wednesday hearing. He told The Tribune that Roosevelt is an upstanding member of the church, having served as a deacon. But the past year in Roosevelts life has been turbulent because of a family crisis, the pastor said. Upchurch details affairs that led him to hit his girlfriend in 2000 Speaking of the assault, Upchurch said he was dating a woman with whom he had a child. But Upchurch cheated on her with the fiancee of a coworker. His colleague found out and, in an act of revenge, slept with Upchurchs partner. And so when I (got to) the place they were at, I showed up angry, Upchurch said of his partner and the coworker. I slapped her. Upchurch says he and his partner made up by the next day. But after being slapped, she had called the police. Officers soon arrested Upchurch and took him to jail, where he was detained for 72 hours before his court hearing, he said. He claims to have told the story publicly multiple times over the past few years, particularly during October, which is Domestic Violence Awareness Month. In a statement on his campaigns Facebook page Wednesday, Upchurch condemned Stewarts actions and said, it's important to promote respect, empathy, and open communication to prevent and address such behavior." After the 2000 incident, Upchurch joined the Army. He did three tours of Iraq and was stationed in several states before he became a recruiter, which eventually brought him to South Bend, where he focused on attracting Notre Dame pre-med students into medical positions. He lives with his wife and their blended family of six children, with a seventh on the way, he said. Hes now retired from service and announced his mayoral bid this January. Facing incumbent South Bend Mayor James Mueller, Upchurch would be the city's first Black mayor and its first Republican mayor since 1967, when Lloyd Allen won reelection. Last year, Upchurch narrowly lost a race for St. Joseph County auditor. Email South Bend Tribune city reporter Jordan Smith at JTsmith@gannett.com. Follow him on Twitter: @jordantsmith09 This article originally appeared on South Bend Tribune: South Bend mayoral candidate admits he slapped a woman two decades ago MILLVILLE - A city man was fatally shot after he fell to the ground during an altercation here last month, authorities say. The daylight murder of Salmadine "Sal" Johnson, 40, was captured on surveillance video that also helped police identify his accused killer, Marcus D. Thompson, 50, of Millville, according to a court record. Thompson allegedly shot Johnson in the head on the 600 block of High Street around 4:45 p.m. on July 25, said the Cumberland County Prosecutor's Office. A surveillance camera at a nearby business filmed a dispute between the men, according to a probable cause statement. Zachary Adamo charged with murder Millville man accused of fatally stabbing Vineland woman, critically wounding her husband "During the course of the altercation, Johnson was lying on the ground when the perpetrator walked up to him and fired a single shot resulting in the victim's death," the statement said. The video also showed witnesses to the shooting, and investigators obtained an identification of Thompson from a photo array, it said. Weeks-long search for murder suspect Marcus Thompson Thompson was charged on July 28 and arrested Tuesday, Aug. 15. He was taken into custody in Roselle, Union County, about 115 miles north of Millville. Authorities initially reported Johnson appeared to be the victim of a stabbing. That was based on a preliminary on-scene assessment by an investigator from the Medical Examiner's office, the statement said. An autopsy later determined Johnson had been shot. Murder victim Salmadine Johnson was a handyman An obituary said Johnson graduated in 2001 from Millville High School and Cumberland County Vo-Tech. "Salmadine was a self-employed handyman and worked at Duran Glass and AJM Packaging in Millville and Vineland," the obituary said. "He has also worked with many factory temp services." Thompson is charged with murder and weapons offenses, including unlawful possession of a gun after conviction for indictable offenses that included aggravated manslaughter. The charges against the West Depot Street resident are only allegations. No one has been convicted in the case. Anyone with information is asked to call Millville Police Detective John Harris at 856-825-7010 or Prosecutor's Detective James Riley at 856-982-6256 Jim Walsh is a senior reporter with the Courier-Post, Burlington County Times and The Daily Journal. Email: jwalsh@cpsj.com. This article originally appeared on Cherry Hill Courier-Post: Marlon Thompson charged with killing Salmadine 'Sal' Johnson (Bloomberg) -- South Korean President Yoon Suk Yeol said the world would never accept North Korea as a nuclear weapons power while opening the door to a possible breakthrough in cooperation on deterrence with the US and Japan when leaders from the nations meet in a landmark summit later this week. Most Read from Bloomberg Yoon expects the summit with Prime Minister Fumio Kishida and President Joe Biden will lead to agreement on ways to enhance their capabilities to respond to the nuclear and missile threats from North Korea, he said in a written interview with Bloomberg News before leaving for the Friday meeting at the Camp David presidential retreat in rural Maryland. The complete denuclearization of North Korea is a clear and consistent goal of the international community, including the Republic of Korea and the United States, Yoon said. The international community will never accept North Korea as a nuclear power under any circumstances. Three-way talks on what is known as extended deterrence a force strong enough that it convinces an adversary it cant achieve its military and political goals through aggression would mark a new chapter in ties among the three and help the Biden administration as it tries to manage threats posed by the likes of North Korea. At a summit in April at the White House, Yoon and Biden agreed on enhancing the deployment of military assets such as nuclear-missile submarines near South Korea and set up a Nuclear Consultative Group that gives Seoul a greater say in how Washington deploys its nuclear umbrella of protection. Read: Six Takeaways from White House Visit by South Koreas Yoon Regarding extended deterrence, we are also open to separate consultations among the Republic of Korea, the United States and Japan, Yoon said, referring to his country by its formal name. Japan and the US set up a regular bilateral dialogue for extended deterrence in 2010, Japans Foreign Ministry said. The three sides held military talks in April and discussed items such as regular drills to track submarines and defend against missiles as ways to deter North Korea. Lim Eul-chul, a professor at Kyungnam Universitys Institute for Far Eastern Studies in Seoul, said by making talks on extended deterrence more formal, the process could be something like a mini-NATO aimed at keeping Beijing and Pyongyang in check. Chinas state-owned Global Times said the summit was targeting Beijing and adds to the drumbeat of a new Cold War. The foreign ministry said Tuesday that China opposes to the countries forming small cliques and is against actions that are confrontational. While Yoons government has welcomed the arrival of the USS Kentucky, the first ballistic missile submarine to arrive at a port in South Korea in about four decades, the Japanese public is far more apprehensive about such displays due to the country being attacked with nuclear weapons at the end of World War II. North Korea has denounced the Nuclear Consultative Group, which met for the first time in July, as a nuclear war tool. It demanded the end of submarine deployments and rejected requests from the US and South Korea to return to long-stalled nuclear disarmament talks. China has slammed bringing US submarines near the Korean Peninsula, saying this undermines the global nuclear nonproliferation regime. There will be discussions at the Camp David meeting on ways to step up trilateral defense exercises, Yoon said. Within this year, the three intend to operationalize their sharing of missile warning data on North Korea in real time as agreed upon at a summit last November. North Korea leader Kim Jong Un has rolled out a new array of nuclear capable missiles designed to deploy quickly and evade US-operated interceptors in the region. The missiles could hit all of South Korea in a manner of minutes and most of Japan in less than 15 minutes from launch, underscoring the importance of real-time data sharing to prepare counter-measures. The international community, including South Korea, the US and Japan, will strictly and thoroughly implement United Nations Security Council resolutions that impose sanctions on North Korea, Yoon said. Capabilities will be channeled into blocking Kims illegal cyber activities that finance his development of weapons of mass destruction. We must make the North realize that its persistent and illegal nuclear and missile development will inevitably come at a cost and will only deepen its regimes isolation and crises, Yoon said. Here are some other highlights from the interview. When asked about the best ways for South Korea, Japan and the US to de-risk global supply chains from China for items such as semiconductors and batteries, Yoon responded: We plan to discuss concrete ways to strengthen cooperation, including sharing information on the three countries supply chains and establishing an EWS (Early Warning System). When asked about the most prudent path for South Korea in the wake of some US partners like Japan and the Netherlands joining the Biden administrations initiatives to curb exports of some crucial materials to China: The Republic of Korea, as a responsible member of the international community, is also actively participating in global discussions on export controls to maintain world peace and security. We plan to continue to consult closely with major countries on the operation of export control systems. When asked what he would like to see from the meeting in terms of economic cooperation: First, we plan to further solidify the framework for our cooperation to strengthen the resilience of supply chains. Also, we will conduct joint research and enhance cooperation in AI, quantum, space and other key critical and emerging technologies, which will become future growth engines, and we will work together to set global standards. --With assistance from Emily Yamamoto, Colum Murphy, Shinhye Kang and Isabel Reynolds. Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. Elaine C. Thompson, the former CEO of Lakeland Regional Health, has joined Southeastern University as a distinguished professor of professional practice. Elaine C. Thompson, the former CEO of Lakeland Regional Health, has been hired as a distinguished professor of professional practice at Southeastern University in Lakeland. Thompson, who led LRH for 10 years, most recently served as CEO of the YMCA of West Central Florida. She will serve across the university in a variety of capacities through the creation and instruction of courses relating to bias and worldview, leadership development of staff and faculty and community education, the school said in a news release. Thompson will design and implement a curriculum that highlights the acknowledgement of biases and centers on social harmony and prepares and equips students to fulfill the needs of underserved communities, the release said. She will also help develop seminars and presentations that will be available to local community members on health and workplace-related topics. What really called me to SEU was its focus on students and its efforts to create an environment where every person in the community is equally valued, Thompson said. I would love to be able to help the students, staff and faculty be the very best they can be, and through that, make our surrounding community even stronger. Florida Polytechnic President Randy Avent plans to resign next year, move into faculty position Thompson worked with the YMCA of West Central Florida to stabilize its finances and accomplish a campus transformation project, the release said. She serves on its board of directors. Thompson received a doctorate in biomedical science from Drexel University, a master of science in physical therapy from Temple University and a bachelor of science in physical therapy and a bachelor of arts in psychology from the University of Pennsylvania. This article originally appeared on The Ledger: Former Lakeland Regional CEO joins staff of Southeastern University President Joe Bidens son Hunter Biden leaves after a court appearance on Wednesday, July 26, 2023, in Wilmington, Del. Attorney General Merrick Garland announced Friday, Aug. 11, he has appointed a special counsel in the Hunter Biden probe, deepening the investigation of the presidents son ahead of the 2024 election. | Julio Cortez, Associated Press Newly appointed special counsel David Weiss said the plea deal Hunter Biden struck with federal prosecutors is not binding, as Bidens lawyers had previously argued. In a court filing Tuesday, Weiss said the deal to resolve a felony related to possession of a firearm wasnt approved by a probation officer and it never went into effect, per CNN. Bidens lawyers in a separate court filing Sunday said federal prosecutors decided to renege on the agreed-upon plea agreement. Tensions over Bidens legal troubles have been rising as GOP lawmakers released testimony from another whistleblower. Hunter Biden, special counsel Weiss back and forth in courts Under the plea deal, Biden, son of President Joe Biden, was going to plead guilty to two misdemeanor tax charges and enter a pretrial diversion agreement to avoid prosecution on the felony gun charge. Federal prosecutors proposed and largely dictated the form and content of the deal, which also gave Biden immunity from any further charges and was said to resolve the governments sprawling five-year investigation, the defense attorneys said. But in his filing, Weiss argued that prosecutors did not renege on the previously agreed-upon Plea Agreement, adding that Biden chose to plead not guilty at the hearing on July 26, 2023, and U.S. Probation declined to approve the proposed diversion agreement. Judge Maryellen Noreika said she had concerns about the agreement during a July court hearing. She cited the ongoing congressional investigation and the possibility that Biden could face additional charges. It seems to me like you are saying just rubber stamp the agreement, Your Honor. This seems to me to be form over substance, she said during the court hearing, asking the defendant and the prosecutor for additional briefs. After Attorney General Merrick Garland appointed Weiss as special counsel last week, Weiss in a court filing said the negotiations over the plea deal were at an impasse. Related He also moved to dismiss the tax charges against Biden so that they can be refiled in Washington, D.C., or California, where Biden allegedly committed the tax-related offenses, instead of being pursued in Delaware, as the Deseret News reported. House Republicans release new whistleblower testimony Meanwhile, the House Committee on Oversight and Accountability released a transcript of a former FBI supervisory special agent, who alleged that the FBI headquarters tipped off Secret Service headquarters and the Biden transition team about the planned Hunter Biden interview during U.S. Attorney for Delaware David Weiss investigation, according to a press release. The FBI agents name is redacted in the transcript. The latest testimony corroborated what IRS Supervisory Special Agent Gary Shapley previously told the committee. Shapley and the FBI agent planned to interview Hunter Biden in December 2020, but learned the night before that the Biden transition team was tipped off, said committee chairman James Comer, R-Ky. They were told to not approach Hunter Biden and wait for his call. That never happened, and they never got to interview Hunter Biden. Comer added this was another example of the Justice Departments misconduct in the Biden criminal investigation that occurred under U.S. Attorney Weiss watch. TRANSCRIPT RELEASE Today were releasing the former FBI supervisory special agents transcript. During the transcribed interview, the former FBI SSA corroborated key details in the IRS whistleblowers testimony. During U.S. Attorney Weiss investigation, the Biden pic.twitter.com/AfVZq5wek1 Oversight Committee (@GOPoversight) August 14, 2023 Shapley has previously alleged that Weiss, the U.S. attorney of Delaware who launched the investigation into Biden in 2018, struggled to bring the charges forward while being denied special counsel status last year. But Weiss said that he did not request special counsel status in a letter and only discussed a potential appointment that would allow him to file charges in a district outside my own without the partnership of the local U.S. Attorney. Dems, White House continue brushing off allegations House Democrats pushed back on Comers statements. Committee Ranking Member Rep. Jamie Raskin, D-Md., said that the release of the testimony is timed to distract from news of an imminent potential fourth criminal indictment of Donald Trump. Related (The testimony) features the same selective and distorted parsing of information we have come to expect in service of the Republicans fruitless investigation into President Biden, which has failed to turn up a single shred of evidence of wrongdoing, he added. White House press secretary Karine Jean-Pierre said in a press briefing on Monday that Republican lawmakers have spent years investigating the allegations against the Biden family but they keep turning up documents and witnesses showing that the president wasnt involved, never discussed these business dealings, and did nothing wrong. Of Weiss appointment as special counsel, she said the president believes the current administration should not politicize the DOJ. Special counsel Jack Smith obtained an extraordinary array of data from Twitter about Donald Trumps account from direct messages to draft tweets to location data newly unsealed court filings reveal. But it took a bruising battle with Twitters attorneys in January and February punctuated by a blistering analysis by a federal judge, who wondered whether Elon Musk was attempting to cozy up to the former president by resisting the special counsels demands before prosecutors got the goods. Ultimately, U.S. District Judge Beryl Howell held Twitter (now known as X) in contempt of court in February, fining the company $350,000 for missing a court-ordered deadline to comply with Smiths search warrant. But the newly unsealed transcripts of the proceedings in her courtroom show that the fine was the least of the punishment. Howell lit into Twitter for taking extraordinary and apparently unprecedented steps to give Trump advance notice about the search warrant despite prosecutors warnings, backed by unspecified evidence, that notifying Trump could cause grave damage to their investigation. Is this to make Donald Trump feel like he is a particularly welcomed new renewed user of Twitter? Howell asked. Twitter has no interest other than litigation its constitutional rights, replied attorney George Varghese of WilmerHale, the firm Twitter deploys for much of its litigation. But Howell returned to the theme repeatedly during the proceedings, wondering why the company was taking momentous steps to protect Trump that it had never taken for other uses. In the hearing on Feb. 7, 2023, Howell referenced Musk, asking: Is it because the new CEO wants to cozy up with the former president? The new documents also detail the painstaking categories of data investigators were seeking from Trumps account, offering remarkable detail about an intensely secretive aspect of Smiths probe of Trumps bid to subvert the 2020 election. Howell pressed the company on who held the keys to Trumps newly reinstated Twitter account a group that apparently included Trumps list of representatives to the National Archives. Twitter attorneys also revealed that Trumps account sent some volume of direct messages that prosecutors were working to obtain. Among the data the search warrant commanded Twitter to produce: Accounts associated with @realdonaldtrump that the former president might have used in the same device. Devices used to log into the @realdonaldtrump account IP addresses used to log into the account between October 2020 and January 2021. Privacy settings and history All tweets created, drafted, favorited/liked, or retweeted by @realdonaldtrump, including any subsequently deleted. All direct messages sent from, received by, stored in draft form in, or otherwise associated with @realdonaldtrump All records of searches from October 2020 to January 2021 Location information for the user of @realdonaldtrump from October 2020 to January 2021 The bulk of the battle focused on prosecutors demand that Twitter abide by a nondisclosure order and refrain from notifying Trump about the search warrant prosecutors had obtained. Twitter raised concerns that prosecutors were seeking data that could be covered by executive privilege a contention that drew incredulous responses from both prosecutors and the judge, who said Trump was unlikely to be doing government business with senior aides via Twitter DM. In addition, Howell emphasized that Twitter had little to no insight into the evidence prosecutors relied on to obtain the warrant or the details of their acute concerns that notifying Trump could endanger the investigation and result in harm or intimidation to witnesses. You dont even know the half about the very warrant you are coming in here to delay the execution of, Howell said. Prosecutors emphasized repeatedly that they had evidence not just based on public information that disclosing the search warrant to Trump could jeopardize their probe. There actually are concrete cognizable reasons to think that if the former president had notice of these covert investigative steps, there would be actual harm and concern for the investigation, for the witnesses going forward, said Gregory Bernstein, a member of the special counsel team. Howell pressed Bernstein to respond to Twitters contention that Trump likely wouldnt take steps against witnesses because so much of the special counsels work was publicly known and reported in the news. First, they don't know anything. I mean, they know some stuff. They know what they have read in the newspapers, Bernstein said. But they're making these confident factual assertions without knowing the actual facts of the investigation. Special prosecutor to examine Georgia lieutenant governors role in Trump bid to stay in office A special prosecutor will be appointed to examine Georgia Lt. Gov. Burt Joness (R) actions following the 2020 election in the wake of former President Trumps new indictment, according to a state official. Fulton County District Attorney Fani Willis (D) on Monday charged Trump and 18 other individuals in connection with her election investigation, but she was barred from bringing charges against Jones because of a conflict of interest. Jones, a former state senator who signed documents purporting to be one of Georgias valid presidential electors, appears to be the eighth of 30 unindicted co-conspirators who were not named but were described in Mondays charging documents. Pete Skandalakis, executive director of the Prosecuting Attorneys Council of Georgia, confirmed he would appoint a special prosecutor to review the matter because of Williss removal. I have no timetable on this matter but will move as quickly as possible, Skandalakis said in a statement. I will also add, this case is unprecedented in its scope and nature. Finding a special prosecutor with the resources to handle such a case will not be easy. Willis last year hosted a fundraiser for a Democratic candidate who went on to face Jones in the lieutenant governor race. A state judge disqualified the district attorney from bringing charges against Jones, whom Willis had identified as a target of the grand jurys investigation. Skandalakis said his agency assumed the responsibility of appointing the prosecutor in accordance with state law following Williss removal. Skandalakis added that he has asked Willis for a copy of the report produced by the special grand jury that previously investigated the case. The development was first reported by The Associated Press. Although the indictment unsealed late Monday does not name Jones, it does appear to mention him on multiple occasions. It lists a Dec. 7, 2020, tweet by Trump attorney Rudy Giuliani that prosecutors say was a retweet of the unnamed individual. That matches Giulianis retweet of Jones that day. The charging documents also note that the unnamed individual was a state lawmaker at multiple state legislative committee hearings about the 2020 election, again matching Joness known involvement. A spokesperson for Jones did not return a request for comment. In a statement posted to social media on Tuesday, Jones cast the indictment as politically motivated but did not mention the news about the special prosecutor. The Fulton County District Attorney has spent millions of taxpayer dollars and thousands of man hours over the past two and a half years orchestrating a constant media and PR campaign for the sole purpose of furthering her own political career, he said. For the latest news, weather, sports, and streaming video, head to The Hill. A special prosecutor could be appointed to investigate whether Georgia Lt. Gov. Burt Jones should face criminal charges in a scheme to overturn the 2020 presidential election in the state. Photo courtesy of Lt. Gov. of Georgia Aug. 15 (UPI) -- A special prosecutor could be appointed to investigate whether Georgia Lt. Gov. Burt Jones should face criminal charges in a scheme to overturn the 2020 presidential election in the state. Jones is one of 30 people who was named, but not charged as co-conspirators, in the Fulton County indictment unsealed Monday. Former President Donald Trump and 18 others, including his former White House chief of staff Mark Meadows and Trump lawyers Rudy Giuliani and John Eastman, were named as defendants and accused of illegally trying to "change the outcome of the election in favor of Trump," according to the 98-page indictment. The executive director of the Prosecuting Attorneys Council of Georgia Pete Skandalakis said Tuesday he is planning to appoint a special prosecutor to investigate Jones' actions. Jones, who was a state senator at the time of the 2020 election, is accused of pushing for a special legislative session to consider appointing Trump electors. He's also accused of supporting lawsuits to void the results in Georgia. Last year, a Fulton County judge barred District Attorney Fani Willis from investigating Jones after she held a fundraiser for his Democratic opponent during the election. Jones, who was elected to the Georgia State Senate in 2012, was elected lieutenant governor in November. While Monday's indictment did not name Jones, it referred to him as "unindicted co-conspirator Individual 8," who urged Georgians to rally their support for overturning Biden's victory. "Call your state Senate & House Reps & ask them to sign the petition for a special session. We must have free & fair elections in GA & this is our only path to ensuring every legal vote is counted," Jones wrote in a social media post on Dec. 7, 2020. Georgia Patriot Call to Action: today is the day we need you to call your state Senate & House Reps & ask them to sign the petition for a special session. We must have free & fair elections in GA & a this is our only path to ensuring every legal vote is counted. @realDonaldTrump Burt Jones (@burtjonesforga) December 7, 2020 Monday's indictment claims Individual 8 was one of the fake Republican electors, while accusing Individual 8 of being part of a conspiracy to impersonate a public officer, forge fake Electoral College paperwork, make false statements and file false documents. While Jones did not address the possible investigation into his actions Tuesday, he blasted Willis in a post on X. "The Fulton County District Attorney has spent millions of taxpayer dollars and thousands of man hours over the past two and a half years orchestrating a constant media and PR campaign for the sole purpose of furthering her own political career," Jones wrote, accusing the district attorney of ignoring "real criminals." "For the past two and a half years -- smash and grabs, homicides, shootings and break ins have continued to go unchecked in Atlanta," Jones said. "How many criminals could have been locked up, how many break ins could have been prevented, how many lives could have been saved if the district attorney had simply been going after real criminals and protecting our streets?" Jones queried. "While the Fulton County district attorney continues to pursue the political vendettas of the past -- I have and will continue to look forward, solving the most pressing issues facing our city and our state." Ramsey County prosecutors have declined to bring charges against a Highland Park homeowner in last months fatal stabbing of a 73-year-old man, who St. Paul police say tried to get into the home while armed with a knife. Police said Tuesday that Robin Sherwood Lambert of Bloomington first stabbed the 59-year-old man in the chest with a knife during the July 29 altercation, which began about 9:30 a.m. after Lambert knocked on the door of his home in the 1100 block of Bowdoin Street, near Cleveland Avenue and Mississippi River Boulevard. Dennis Gerhardstein, spokesman for the Ramsey County attorneys office, said in a Tuesday statement that the mans use of self-defense against Lambert was justified based upon all the facts presented to us by the investigators. Police previously said that two men stabbed each other during the altercation, and that Lambert, who was stabbed in the leg, was detained until officers arrived. Both men were taken to a hospital, where Lambert died. The homeowner is expected to recover, according to police. Police spokesman Sgt. Mike Ernster released more details of the incident Tuesday, which he said came from interviews with those involved. Lambert rode a Metro Transit bus into the area and got off the bus about a block and a half from the mans home. The homeowner is a Metro Transit bus driver, according to an online search by the Pioneer Press. However, Ernster said there is no connection between the man and Lambert, and its unknown why he selected that home. After Lambert knocked on the door, the mans adult daughter saw Lambert, who appeared to be tucked into the corner of the doorway as if he needed help. She opened the door, and he tried to get into the home by forcing his head through the open door, Ernster said. The woman told investigators that Lambert had crazy eyes, according to Ernster, and she tried to hold the door closed and prevent him from entering the home. She yelled for help from her father, who was sleeping at the time. Lambert was wearing latex gloves. The man ran to the door and confronted Lambert. He told police that he felt as if he was punched in the chest, but realized Lambert stabbed him in the chest, according to Ernster. With help from his daughter, he was able to get the knife away from Lambert and stabbed him in the leg. The man and his daughter held Lambert down on the sidewalk in front of the home, and soon were assisted by two good Samaritans who were working on a home nearby and heard the fight. A follow-up search warrant at Lamberts home revealed that he had many knives similar to the one used in this incident, which led investigators to believe he brought the knife with him to the home, Ernster said. Lambert was charged with murder in the early 1980s, and was civilly committed as mentally ill and dangerous, court records show. He was sent to the state security hospital in St. Peter. About 20 years later, he was released to a residential treatment facility, began living independently in an apartment in 2007 and continued receiving psychiatric and social services. A 2021 risk assessment, along with information at a hearing, showed Lambert did not present a substantial danger to the public, according to a court filing. A board recommended he be released from civil commitment, which he was two years ago. Court records showed no new criminal cases against Lambert since then. Related Articles RICHMOND The state Court of Appeals has refused to reverse two sexual battery convictions of a man on a child whose family he shared a house with in Colonial Heights. In a ruling released Tuesday morning, the court said it would not hear arguments in the 2021 case involving Wilber Alberto Rosa Avalos. Rosa Avalos, now 27, appealed the convictions after a Colonial Heights Circuit Court judge denied defense testimony that the charges were fabricated to block him from getting his green card while simultaneously enhancing the case of the childs father to get one. Rosa Avalos, who is imprisoned at the Greensville Correctional Center near Jarratt, was convicted of two counts of aggravated sexual battery after prosecutors claimed he coerced a little girl into fondling him through his clothes on two separate occasions. The incidents reportedly happened in 2018, but the child did not come forward with the accusations until three years later. According to court documents, the child was living with her father and stepmother in a house owned by the stepmom's mother. Rosa Avalos lived in the house for a brief period in 2018, staying in quarters across from the family on the second floor. The records indicated that on two different occasions, Rosa Avalos took advantage of other adults being in other parts of the house, particularly the bedrooms and bathrooms, to get the child to rub her hand over his genitalia while he wore pants. Right before the adults returned to the room where they were, Rosa Avalos made the child stop and then promise not to tell anyone what she did. In his defense, Rosa Avalos had claimed the charges were a ruse against him generated by the childs family to deny him from getting his green card while helping the girl's father expedite his residency application as the parent of a crime victim. Under federal law, anyone who has non-immigrant status and is directly related to a crime victim may apply for the green card. During the trial, the childs grandmother was called as a defense witness. She said on the stand that the childs father always wanted to have a green card but was unable to obtain one. At the same time, the grandmother said, her son did not want Rosa Avalos to get his card. When the defense counsel asked her if her son had told her of a way to get that card by claiming his daughter had been violated by Rosa Avalos, the witness claimed he had. At that point, the commonwealth objected to the testimony as hearsay, and the judge concurred. Rosa Avalos attorney ended the questioning without countering the objection. For that sole reason, the Court of Appeals rejected the case. Because Rosa Avalos failed to preserve his argument that [the grandmother's] testimony was not hearsay, we do not address it, the court wrote in its ruling. For the convictions, Rosa Avalos was given a 40-year prison sentence with 35 years of it suspended. He is eligible for release in 2026, when he will be placed on indefinite probation. Bill Atkinson (he/him/his) is an award-winning journalist who covers breaking news, government and politics. Reach him at batkinson@progress-index.com or on X (formerly known as Twitter) at @BAtkinson_PI. This article originally appeared on The Progress-Index: Va. court won't hear appeal of Colonial Heights sex-crime convictions A delay of at least four years in discovering the British Museum thefts may mean it is already too late to recover the priceless objects taken from its vaults, art recovery experts have warned. Gold jewellery, gems and glassware spanning nearly 3,500 years of history could already have been melted down, recut or sent abroad, it is feared. Christopher Marinello, a lawyer and founder of Art Recovery International, has worked for 30 years tracing stolen antiquities. He said that tragically many criminals regard irreplaceable objects as a source of quick cash that can be traded for the value of their raw materials. The expert has said that in 30 years of experience, no job he has come across has been done to order and most are simply crimes of opportunity. Christopher Marinello says the artefacts are 'priceless' from a historical perspective - AFP/Niklas Halle'n Mr Marinello said: From a historical perspective, these artefacts are priceless. That is the great shame of these criminals, they dont think of this priceless quality. They think of quick cash. They will tend to melt down gold as quickly as possible, and have the gems recut. Look at the Henry Moore statue that was melted down for the value of the raw metal. There is no thought of art criminals are unsophisticated in this sense. That is the great tragedy of these incidents. George Osborne, the British Museums chairman, has ordered an independent review of how the thief was able to help himself to objects over a period of years dating back to at least 2019. Sir Nigel Boardman, a former museum trustee, and Lucy DOrsi, chief constable of the British Transport Police, who are conducting the review, will also want to make sure that no opportunities were lost to begin the process of recovering the items. Sir Nigel Boardman is one of those leading the review It is understood that the museum carried out its own internal investigation and sacked the suspected thief before calling in the police. The man in question has not been arrested and the museum has said it will pursue legal action against him. Among the questions for Sir Nigel will be whether the museum should have called in police at an earlier stage. Art dealers who spoke to The Telegraph said the fact that the police have not yet published photographs or descriptions of the missing items might mean museum bosses are unsure that they have identified everything that has been stolen something Sir Nigels review will look into. The museum has eight million items in its collection, of which 80,000 are on display at any one time at its world-renowned home in Bloomsbury, London. Dick Ellis, an art theft expert, said the fact that police and the museum were refusing to release pictures or even descriptions of the missing objects was potentially significant. He said: Normally, when you are trying to recover stolen antiquities, the first thing you do is to get maximum publicity for the objects so that people who might have seen them for sale or even bought them can contact you. The fact that no information is being released suggests the police may be planning operations and may have some intelligence on where the items are. Mr Ellis said it is also possible that the items could be in the possession of someone who does not realise what they are. If they do realise they are stolen, they could then melt them down or destroy them which could account for the secrecy surrounding the theft. Embarrassment But Mr Marinello suggested the real reason no details of the stolen items are being released was down to embarrassment. He called on the museum to release pictures of the objects to help the art world to find them. He said: I think that they are embarrassed to say that they dont know the extent of the crime right now. Of course it makes sense to publish as much as possible, every media outlet should be showing images of the missing items. Sothebys, Christies, Bonhams, all the big auction houses will cooperate. Anthony Amore, an art theft expert and the author of Stealing Rembrandts, said the British Museum had acted responsibly by not disclosing which items were missing. I wouldnt expect that theyd want to put out a list and then a day later have to add an item to it and then two days later have to add another item, he said. I think that would give a bad impression to the public and make it seem like they dont have a good grasp on their collection. Which I think that they probably do. Sir Nigel said: We are absolutely determined to use our review in order to get to the bottom of what happened. Furthermore, the recovery programme will work to ensure the stolen items are returned to the museum. It will be a painstaking job, involving internal and external experts, but this is an absolute priority however long it takes. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. A student at a South Los Angeles high school was stabbed by a classmate on Tuesday afternoon just a day after the start of the new academic year, authorities said. The Los Angeles Fire Department responded to multiple calls of a stabbing at Joseph Pomeroy Widney Career Preparatory & Transition Center at 12:45 p.m, as did officers from the Los Angeles Police and Los Angeles School Police departments. School police officers discovered an injured student on the campus, who was then taken to a local hospital, according to department spokesperson Lt. Nina Buranasombati. Authorities did not provide the student's condition. School police confirmed that a suspect, another student, was arrested, but did not provide any other information. No other students or staff were involved in the incident, according to school police. Joseph Pomeroy Widney has an enrollment of just under 300 students, state records show. Sign up for Essential California, your daily guide to news, views and life in the Golden State. This story originally appeared in Los Angeles Times. Kennon Shaw is escorted Friday out of the 364th District Court where he pleaded guilty to murder. His punishment, which ranges from 25 years to life in prison, will be determined after a bench trial set for Aug. 14. A 53-year-old man was sentenced Wednesday to life in prison after admitting to the fatal shooting of a bouncer at a gentlemen's club last in year in south of Lubbock. District Judge William Eichman of the 364th District Court handed Kennon Shaw the maximum sentence for murder after a bench trial that began Monday. Shaw entered what is called an open plea on Aug. 4 to a count of murder. However, since his plea was not a result of a deal with the Lubbock County District Attorney's office, he asked for Eichman to determine his sentence. File image Murder typically carries a punishment of five years to life in prison. However, Shaw's prior convictions were used to enhance his punishment to 25 years to life in prison. He is represented by attorney Matt Chandler. Authorities ID man wanted in deadly south Lubbock club shooting Shaw has been held at the Lubbock County Detention Center since March 23, 2022. He was initially arrested by U.S. Marshals March 16, 2022 in the 200 block of Pedernales Street in Austin, sheriff's officials announced at the time. His charge stems from a Lubbock County Metropolitan Special Crimes Unit investigation of a deadly shooting at the Angelwitch Cabaret in the 600 block of Country Road 7150. Lubbock County Sheriff's deputies responded to a 1:50 a.m. shots fired call at the club and found Joseph Burk, 28, suffering a gunshot wound. He was taken to University Medical Center, where he died, according to an arrest warrant. Burk was an employee of the club, which had a security camera system that captured the shooting, the warrant stated. Video footage from the cameras reportedly shows a man wearing blue cap, blue shirt and blue jeans arguing with Burk before the shooting, according to the warrant, which did not reveal the cause of the argument. In the footage, the gunman also fires at the club's manager but misses, walks out of the club and drives away in a sliver or light gold Lincoln Town Car that has a paper buyer's tag. Investigators identified Shaw as the gunman after comparing a prior booking photo to the video, the warrant stated. Investigators traveled to Shaw's home in the 1500 block of East Cornell Street in Lubbock, where they found the Town Car, a vehicle he was known to drive. This article originally appeared on Lubbock Avalanche-Journal: Suspect in deadly Lubbock County club sentence to life in prison Survivors of the June shipwreck off the coast of Greece stand outside a warehouse at the port in Kalamata town (Angelos Tzortzinis) For desperate Syrians, a WhatsApp message saying "I want to go to Europe" can be all they need to start a treacherous journey to Libya and then across the Mediterranean. Twelve years after conflict broke out when President Bashar al-Assad repressed peaceful pro-democracy protests, Syrians are still trying to escape a war that has killed more than 500,000 people, displaced millions and pulled in foreign powers and global jihadists. At least 141 Syrians were among up to 750 migrants thought to have been on a trawler that set off from Libya and sank off Greece in June, relatives and activists told AFP. Most of the passengers are feared drowned. AFP interviewed Syrian smugglers and migrants about the journey to migrant hub Libya, notorious for rights abuses, and then across the central Mediterranean -- the world's deadliest migrant route. Almost everyone requested anonymity, fearing reprisals. - 'A batch every month' - "We finalise everything by phone," said a smuggler in Syria's southern Daraa province. "We ask for a copy of their passport and tell them where to deposit the money. We don't have to see anyone in person," he told AFP over WhatsApp. Daraa, the cradle of Syria's uprising, returned to regime control in 2018. It has since been plagued by killings, clashes and dire living conditions, all of which are fuelling an exodus, activists say. "The first year we started, we only sent one group. Today, we send a batch every month" to Libya, the smuggler said. "People are selling their homes and leaving." Libya descended into chaos after a NATO-backed uprising toppled and killed dictator Moamer Kadhafi in 2011, the same year Syria's war began. The North African country is split between a UN-recognised government in the west and another in the east backed by military strongman Khalifa Haftar, who has ties to Damascus. Syrians deposit the money -- more than $6,000 per person -- with a third party, often an exchange office which takes a commission. The smuggler declined to disclose his cut, but said he was paid once the migrants reached Italy. His partner in eastern Libya organises the actual boat trip. - 'Humiliated, beaten' - One travel agent in Daraa told an AFP correspondent posing as a migrant that a package deal cost $6,500. This included a plane ticket, eastern Libya entry document, airport pickup, transport, accommodation, the boat journey to Italy and a life jacket, a WhatsApp message said. Migrants stay "in a hotel or a furnished apartment", it added, but Syrians said such promises were seldom kept. They told AFP of overcrowded and disease-ridden warehouses, where armed guards subjected migrants to violence and extortion. Omar, 23, from Daraa province, borrowed $8,000 to be smuggled to Libya and then Italy this year, saying he was desperate to leave "a country with no future". Now in Germany, he said he spent two weeks locked in a hangar near the coast in eastern Libya with around 200 other people. "We were abused, yelled at, humiliated and beaten," added Omar, who said guards gave them only meagre servings of rice, bread and cheese to eat. On departure day, "around 20 armed men forced us to run" the distance from the hangar to the sea, "hitting us with the back of their rifles", he said. "When we finally reached the shores, I was exhausted. I couldn't believe I'd made it." - Among mercenaries - In part of northern Syria controlled by Ankara-backed rebel groups, a recruiter of fighters said he also smuggled migrants to Libya by listing them among pro-Turkey mercenaries. Turkey supports the Tripoli administration in Libya's west. Ankara has largely shut down a once well-trodden route to Europe via Turkey. "Every six months, we use the fighters' rotation to send people with them," the recruiter told AFP. Syrians from the impoverished, opposition-held northern Idlib and Aleppo provinces, "particularly those living in displacement camps, contact us", the recruiter said. Listed as "fighters", the Syrian migrants are entitled to a Turkish-paid "salary" of around $2,500, the recruiter said. The armed group pockets $1,300, the recruiter takes the rest and the migrants get a free flight to Libya, he said. Syrians first go to border camps for pro-Ankara fighters before crossing into Turkey and flying to the Libyan capital Tripoli. They spend two weeks in Syrian militia camps in western Libya before being introduced to smugglers, who ask around $2,000 for the boat trip to Italy, he added. - 'To hide our tracks' - For those in regime-held Syria, getting to Libya can involve criss-crossing the Middle East on a variety of airlines and sometimes overland -- "to hide our tracks", the smuggler in Daraa said. AFP saw a group ticket for around 20 Syrian migrants who travelled to neighbouring Lebanon and then flew from Beirut to a Gulf state, then to Egypt, before finally landing in Benghazi in eastern Libya. Direct flights are also available from Damascus to Benghazi with private Syrian carrier Cham Wings. The European Union blacklisted Cham Wings in 2021 for its alleged role in irregular migration to Europe via Belarus, lifting the measures in July last year. Several Syrians told AFP that on their flights to Benghazi, direct or not, were many migrants bound for Europe. Spokesperson Osama Satea said Cham Wings carried only travellers with valid Libyan entry documents, noting the presence of a considerable Syrian diaspora there. He told AFP the airline is not responsible for determining whether passengers are travelling for work or for other reasons, but "it certainly doesn't fly to Libya to contribute to smuggling or migration attempts". - 'There was terror' - Syrians arriving in Benghazi need a security authorisation from the eastern authorities to enter. But the Daraa smuggler told AFP this was not a problem: "In Libya, like in Syria, paying off security officials can solve everything." "We have a guy in the security apparatus who gets the authorisations just with a click," he said. Migrants told AFP a smuggler's associate -- sometimes a security officer -- escorted them out of Benghazi's Benina airport. One security authorisation seen by AFP bore the logo of Haftar's forces and listed the names and passport numbers of more than 80 Syrians bound for Europe. Once in Libya, the Syrians may wait weeks or months for the journey's most perilous part. More than 1,800 migrants of various nationalities have died crossing the central Mediterranean towards Europe this year, according to International Organization for Migration figures. Around 90,000 others have arrived in Italy, according to the UN refugee agency, most having embarked from Libya or Tunisia. A 23-year-old from northern Syria's Kurdish-held Kobane was among around 100 survivors of the June shipwreck off Greece. He paid more than $6,000 for a trip that almost cost him his life. "There was terror," he said. Six people died in desperate fights over food and water, and "on the fifth day, we started drinking seawater". "I wanted to leave the war behind, live my life and help my family," he said from Europe, warning others against making the trip. "I was promised decent lodgings and a safe trawler, but I got nothing." rh-lg/srm/lb Former President Donald Trump and 18 associates stand accused of racketeering and other charges related to alleged schemes to overturn the results of the 2020 presidential election, according to the indictment filed Monday night by Fulton County, Georgia, District Attorney Fani Willis. It's the fourth felony indictment for Trump as he pursues his third bid for the White House. The 98-page indictment describes an alleged criminal enterprise that began with Trump's loss in the 2020 presidential election. The defendants, according to the indictment, "refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump." Here are some of the top takeaways: 19 defendants, 41 total charges Trump is one of 19 defendants named in the indictment, and he faces 13 counts. The indictment says that Trump made false statements about the 2020 election to Georgia Secretary of State Brad Raffensperger and solicited him to unlawfully decertify the election. The indictment states that the former president urged then-Vice President Mike Pence to reject electoral votes from certain states or delay Congress' Jan. 6, 2021, joint session to count the electoral votes. Trump is also accused of "corruptly soliciting" Justice Department officials to make false statements that the "election was corrupt" to top Georgia officials Among those charged include Rudy Giuliani, Trump's White House chief of staff Mark Meadows, former Justice Department official Jeffrey Clark and conservative attorneys John Eastman, Jenna Ellis and Sidney Powell. Trump, Giuliani, Meadows and others accused in the indictment who have yet to enter pleas but say they're innocent accused Willis of seeking the indictments for political purposes. Trump attorneys Drew Findling, Jennifer Little and Marissa Goldberg criticized "this one-sided grand jury presentation" that they said "relied on witnesses who harbor their own personal and political interests." In a statement to CBS News, Giuliani said the case "is an affront to American Democracy and does permanent, irrevocable harm to our justice system." "The real criminals here are the people who have brought this case forward both directly and indirectly," Giuliani said. Meadows, who is charged with two counts, is trying to have the case against him in Fulton County moved to federal court because the conduct alleged in the indictment took place while he was White House chief of staff. His lawyers also indicated in a court filing Tuesday that they plan to file a motion to dismiss the charges, arguing in the filing that "nothing" he was alleged to have done is criminal: "arranging Oval Office meetings, contacting state officials on the President's behalf, visiting a state government building, and setting up a phone call for the President." The indictment describes several schemes allegedly employed by Trump and some of his co-defendants to try to reverse his electoral loss, including making false statements to state legislatures and top state officials; creating fake Electoral College documents and recruiting supporters to cast false votes at the Georgia Capitol; harassing Fulton County election worker Ruby Freeman; and "corruptly" soliciting senior Justice Department officials and Pence. Others in the alleged "enterprise" are accused of stealing data, including ballot images, voting equipment software and personal voter information, from Coffee County, Georgia, and making false statements to government investigators. Who did the Fulton County D.A. indict along with Trump? Meet the 18 co-defendants in the Georgia election case There are also 30 additional unnamed, unindicted co-conspirators. Racketeering charges All 19 of the defendants, including Trump, face a charge of racketeering under Georgia law. The state's Racketeer Influenced and Corrupt Organizations Act, better known as RICO, allows prosecutors to group together "predicate" acts that are alleged to have taken place both in Georgia or outside the state in furtherance of the conspiracy to overturn the outcome of the presidential election in Georgia. Racketeering laws are commonly associated with organized crime syndicates, but they are far broader in scope. To charge a defendant in Georgia with racketeering, prosecutors must connect a certain number of predicate acts or predicate crimes which could include everything from violent crimes such as murder or arson, to false statements and obstruction of justice and argue they're part of a shared goal, which in this case, is the alleged attempt to overturn the presidential election in Georgia. Multiple Trump allies, including Trump's former attorney, Giuliani, Meadows, a number of his other lawyers and so-called "fake electors" supporters who submitted an illegitimate version of the state's Electoral College vote were charged for their alleged roles in the racketeering scheme. Fake electors schemes Some alleged members of the enterprise are accused of making false statements concerning election fraud in a bid to convince the Georgia Legislature that it should reject the electoral votes cast by duly elected presidential electors and replace them with new, unlawful electors who would vote for Trump. The indictment also alleges that some of the defendants solicited legislators in other states including Arizona, Pennsylvania and Michigan to appoint new electors. One defendant, Kenneth Cheseboro, is accused of coordinating groups of fake electors in Georgia, Michigan, Arizona, Nevada, Pennsylvania and Wisconsin states lost by Trump who would cast illegal electoral votes on Dec. 14, 2020, the same date that legal electors were to vote. After the indictment was made public, Chesebro did not reply to a request for comment. Some in the enterprise also, according to the indictment, urged Pence to reject Georgia's electoral votes during the joint session of Congress on Jan. 6, 2021. The fake elector scheme is also part of Trump's federal indictment connected to his alleged attempts to overturn the 2020 election and were highlighted by the House select committee investigating the Jan. 6, 2021, attack on the U.S. Capitol. False statements about and harassment of Fulton County election worker Ruby Freeman The indictment accuses Trump and Giuliani of spreading false information about Fulton County election worker Freeman, while three other defendants allegedly harassed Freeman. Freeman's daughter, Wandra "Shaye" Moss, testified last year before the House Jan. 6 select committee that after she and her mother appeared in security footage of ballot counting at an arena in Atlanta, it upended their lives. Trump is alleged to have told Georgia Secretary of State Brad Raffensperger, deputy Secretary of State Jordan Fuchs and Secretary of State general counsel Ryan Germany that Freeman was a "professional vote scammer" and a "known political operative." Both descriptions are false. The indictment said Trump falsely accused Freeman, Moss and others of being "responsible for fraudulently awarding Joseph R. Biden 118,000 ballots at the State Farm Arena" and falsely stated that Freeman had "stuffed the ballot box." Giuliani, according to the indictment, falsely said that Freeman and Moss were seen in the security footage "passing around USB ports "'as if they're vials of heroin or cocaine'" to be used to "'infiltrate'" Dominion voting machines. According to the indictment, several of the co-defendants are accused of trying to contact and intimidate Freeman. They purported to "offer her help," but were instead allegedly trying to "solicit her to falsely confess to election crimes she did not commit" and "influence her testimony" in an official Fulton County proceeding examining election night at State Farm Arena. Voting equipment breach alleged in Coffee County The indictment alleges that some of the defendants, including conservative lawyer Sidney Powell, unlawfully breached election equipment in rural Coffee County, Georgia. Powell, according to the indictment, contracted with a Fulton County forensic data firm, SullivanStrickler LLC, in early December for the "performance of computer forensic collections and analytics on Dominion Voting Systems equipment" in areas that included Coffee County. Powell and others are accused of unlawfully taking possession of ballots in Coffee County on Jan. 7, 2021, and illegally copying data from Dominion Voting Systems equipment. An unindicted co-conspirator is accused of illegally accessing that data and then downloading it. On April 22, 2021, an unindicted co-conspirator allegedly sent an email to SullivanStrickler directing the transfer of the voting data to another unindicted co-conspirator and Powell. Powell did not respond to a request for comment after the indictment was announced. Alleged lies to investigators The indictment claims that Powell made two false statements in a sworn deposition to the House Jan. 6 select committee investigating the Capitol attack. Both alleged lies concerned access to the voting machines in Coffee County. The charging document states that Powell falsely claimed she "didn't have any role in really setting up" efforts to access the equipment in Coffee County or Antrim County, Michigan. Powell also told committee investigators she was aware of an "effort by some people" to gain access to voting machines in Georgia, but falsely stated she did not "know what happened with that" and didn't "remember whether that was Rudy (Giuliani) or other folks." "This was an overt act in furtherance of the conspiracy," the indictment states. Robert Cheeley, a longtime Georgia lawyer allegedly involved in a scheme to convene fake presidential electors and transmit their Electoral College votes to Congress, is accused of making numerous false statements about those efforts to the Fulton County Special Purpose Grand Jury, which Willis convened in May 2022 to assist in her investigation. He's been charged with perjury. Cheeley declined to comment on the indictment. A section in the Fulton County special purpose grand jury's report released in February stated that a "majority of the grand jury believes that perjury may have been committed by one or more witnesses testifying before it" and recommended Willis seek "appropriate indictments" for crimes where the "evidence is compelling." Biden to host first Camp David summit with Japan and South Korea Republican lawmakers target Trump prosecutors amid indictments Lahaina natives describe harrowing scene as Maui wildfire raged on: "It's like a bomb went off" As Oregon lawmakers got ready to pass tough new digital privacy protections for their citizens this spring, they considered a major new approach to enforcement: giving citizens the right to sue companies for violations. Consumer advocates, who had worked for three years to help draft the bill, saw it as a model for other blue states to follow, pushing back against an industry built on scraping and monetizing peoples data. Their excitement didnt last. When debate on the bill finally kicked off this spring, the legislation was immediately set upon by the tech lobby. Representatives from TechNet, the Computer and Communications Industry Association and the State Privacy and Security Coalition successfully urged Oregons legislators to kill that key provision, known as a private right of action. It is frustrating for me as a legislator, learning that lobbyists in many cases win the day based on the information that theyve provided to members and members not necessarily taking a step back and looking at the bigger picture, the lead sponsor, Democratic Sen. Floyd Prozanski, said in an interview. Its not just Oregon. A POLITICO analysis of every state privacy law passed in 2023 shows that the tech industry has notched a steady series of wins. In Oregon and the six other states that passed legislation between January and July, lawmakers enacted bills that bore clear hallmarks of lobbying influence. If any legislation emerged that would impose stronger privacy protections, industry successfully watered it down. The tech lobbyists are kind of winning, said Matt Schwartz, a policy analyst for Consumer Reports. Theyve pretty much gotten their way. The tech lobbys rash of state-level successes marks a turning point in Americas long-running fight over digital privacy protections. When California passed the nations first comprehensive data privacy law in 2018, lobbyists worried its strict protections would quickly spread to other states. But the tech lobby has instead run the table, pushing through industry-friendly laws in 11 states. The victories have come in both red and blue states, highlighting the tech industrys sway. And to date, no state has followed Californias model. One thing that I think everyone assumed was going to happen when just California had passed a privacy law was that basically every other liberal state would pass a pretty similar law very quickly after, said Ashley Johnson, a senior policy analyst at the Information Technology and Innovation Foundation think tank. That hasn't really happened. The tech lobby is proud of its achievement. TechNet CEO Linda Moore said her group worked hard to make sure that the industry-friendly bill passed in 2021 by Virginia became the template nationwide. At an event held on Capitol Hill last month, Jordan Crenshaw, head of the U.S. Chamber of Commerce's Technology Engagement Center, said that with the exception of California, we've actually seen ... states pass privacy legislation that all tend to go around the Virginia model. The tech industrys success in the states has also changed its calculus in Washington, D.C. After years spent bombarding Capitol Hill with warnings that a state-by-state privacy patchwork is untenable, lobbyists are now spending less time and money on Congress. In lobbying disclosures for the first three quarters of 2022, NetChoice a powerful tech group that counts Amazon, Google, TikTok and Meta among its members listed a national standard on privacy legislation as one of its priorities. But that language disappeared from those forms in the last quarter of 2022 and has not reappeared. Carl Szabo, general counsel at NetChoice, said his organization needed to channel its limited lobbying resources into statehouses. That's where a lot of the time and energy ends up getting spent, Szabo said. And its not just NetChoice. Graham Dufault, general counsel at ACT The App Association, said his group is spread thin addressing the recent spate of state privacy bills. Hayley Tsukayama, senior legislative activist at the Electronic Frontier Foundation, a digital-rights nonprofit, said the tech lobby may even be hoping to pass more industry-friendly state privacy laws before turning its full attention back to Washington. If the tech industry can reach critical mass in enough statehouses, it could influence what a federal law may look like, she said. They say they want a federal privacy bill, but I cant imagine that they would make trouble for themselves where they dont need to, Tsukayama said. Tech takes over the patchwork The tech industrys drive for a federal privacy law began immediately after the 2018 passage of the California Consumer Privacy Act, which tech lobbyists called unworkable and unduly burdensome. Industry launched a two-pronged approach to ensuring it didnt catch on as a national model. The first move was to urge Congress to pass a national data privacy law one that would preempt all state laws to avoid a complex patchwork of rules that they warned could cost businesses as much as $1 trillion. That number was based on a study released by ITIF, which often promotes policies that conform with industry interests. The second strategy was quieter, but just as dedicated. It started after it became clear a quick fix from Capitol Hill wasnt coming, with the two parties stuck in a debate over whether to preempt state privacy laws and allow people to sue companies for violations. As Congress dithered, the tech industry was forced to play defense in the states. An opportunity to counter Californias privacy rules arrived with Virginia in 2021, where the states Consumer Data Protection Act had the tech industrys fingerprints all over it. The first draft of the bill was written by an Amazon lobbyist, and input on how to implement the law came from industry groups like CCIA and SPSC. Virginias rules soon became the model for state privacy regulations, finding their way into nearly every law that later passed. States would have different variations but ultimately, the tech industry was setting its own bar for privacy rules across the country. Industry has an inordinate amount of influence on what happens in these bills, said Schwartz. The reality is, were working off a model in many of these states that was introduced by Amazon. Additional successes in places like Colorado, Utah and Connecticut gave the tech lobby an even greater edge. It could now point to a growing body of industry-friendly laws and warn state legislators that deviating from those templates would cause chaos for businesses operating across state lines. Even minor statutory divergences between frameworks can create onerous costs, said Khara Boender, CCIAs state policy director, in her June testimony on Delawares privacy law. Connecticuts approach became the favored one for the tech lobby following its passage of a privacy law in 2022. While slightly stronger than Virginias law it gives people the ability to opt out of their data being processed for things like targeted advertising with a single click, for example it was still mostly based on the Amazon-inspired legislation. And because it was somewhat stricter, lobbyists could successfully sell it to Democratic states like Oregon. Connecticut, being the strongest of the weaker bills, does appeal to some of the legislatures, where its like, Well, this was clearly a good compromise, said Tsukayama. Washington on the back burner In a drab conference room tucked into a corner of the Rayburn House Office Building, Rep. Suzan DelBene (D-Wash.) was begging a group of tech lobbyists to dial up pressure for a federal privacy bill. We need to make sure folks have a huge sense of urgency around this, said DelBene, the chair emeritus of the moderate New Democrat Coalition, speaking at Julys relaunch of United for Privacy a coalition of over two dozen tech groups that had reconvened to pressure Congress on a privacy bill. From the sidelines of the meeting, some industry reps said it should not have taken them more than six months after the start of the new Congress to start beating the privacy drum. Dufault admitted lobbyists should have pushed Congress on a privacy law earlier and more often in 2023. He said The App Association, which is funded in significant part by Apple, spent much of this year recovering from the hangover of last year's antitrust fight in Congress, where it devoted the bulk of its resources working to defeat bills that would have weakened Apple and Googles power over the mobile app marketplace. Early optimism that leaders on the House Energy and Commerce Committee would replicate a bipartisan deal negotiated last year on the American Data Privacy and Protection Act gave way to cynicism, after lawmakers failed to reintroduce the bill before the August recess. The delay is in part a function of efforts by House Republicans, including Energy and Commerce Chair Cathy McMorris Rodgers (R-Wash.), to renegotiate portions of last years privacy deal now that the GOP holds the majority. And although tech lobbyists continue to say that a federal privacy bill is urgently needed to preempt a patchwork of state laws, some are willing to wait on a better bill. While Moore broadly backed last years privacy deal in the House, the TechNet CEO now says she generally" supports McMorris Rodgers effort to tweak the bill in a pro-industry direction. Although tech lobbyists have largely dominated the privacy debate in state capitals, theyre not declaring victory or giving up their quest for a federal standard. It definitely couldve been worse, said Dufault. But we are definitely gearing up for major costs and major compliance issues that will nonetheless be high enough that we are highly motivated to get something done [in Congress]. While admitting that some of his members arent particularly engaged in the push for a federal privacy law, Dufault said that could change if more state laws are enacted and companies start being pulled in conflicting directions. Industry is also keeping a close eye on California, which has since passed another round of privacy rules that gives a state agency new rulemaking powers. After touting the tech lobbys many statehouse wins at last months meeting, Crenshaw cautioned the assembled lobbyists about what could go wrong, including the emergence of an even stricter set of rules out of California or new rights for people to sue companies over privacy breaches. But while lobbyists fret that their luck could still turn, privacy activists see few paths forward in the states and are increasingly pessimistic about their ability to beat the tech industry. Its bleak out here for consumer advocates, Schwartz said. In Oklahoma County District Court, Zachry Brent Bailey, 19, faces two felony counts of obtaining property by deception or false representation. A teenager who pleaded guilty to falsely posing as a physician assistant in Texas is now accused of trying to trick Oklahoma auto dealerships into buying vehicles he claimed to have almost paid off. In Oklahoma County District Court, Zachry Brent Bailey, 19, faces two felony counts of obtaining property by deception or false representation. In December, Bailey made headlines after he pleaded guilty to pretending to be a physician assistant in Corpus Christi, Texas. More: FBI investigating after Oklahoma education funds misspent Age 17 at the time, Bailey blended in at two hospitals by wearing a $41 pair of scrubs, according to a report by KRIS-TV. Bailey managed to get a badge and gain access to emergency room areas, intensive care units, operating rooms and nursery units, the news station reported. Hospital staff looking up Bailey on social media learned he wasnt who he portrayed himself to be, it was reported. A judge ordered him to serve six years on probation. Teenage conman relocates to Oklahoma, police track financial crimes Since then, Baileys probation has since been transferred to Oklahoma, according to the Oklahoma Department of Corrections. On April 19, Bailey told staff at Cooper Auto Group that he had a 2023 Acura MDX nearly paid off and he wanted to sell, investigators allege. Investigators say when the dealership called Baileys financing company about the outstanding balance on his loan, they learned he only owed $1,713.23. The dealership bought the Acura for $48,000. Bailey returned to the dealership on May 1 with a 2023 Toyota Tundra. Employees contacted a loan company about the truck and learned Bailey owed just $2,244. Prosecutors say the dealership issued Bailey a check for $64,256. More: Trump charged in Georgia under law designed to nab Mafia bosses. What it means for case. However, court documents show Bailey is alleged to have made fraudulent bank transfers on the outstanding Acura and Tundra loans on the days before selling the vehicles to the dealership. The loan holders eventually learned the balances on the vehicles were not paid off legally, and notified the dealership the vehicle titles would not be released. On May 3, Cooper Auto Group discovered three bank transfer attempts. Two of the attempts totalling more than $8,600 were directed to one of Baileys loan holders, according to court documents. The other transfer attempt was for $23,500, allegedly toward a lease-to-own contract for a 20-foot enclosed trailer, according to court documents. The transactions were stopped before they were completed. On Tuesday, Bailey was booked into the Oklahoma County jail on $200,000 bond, according to a jail spokesman. In June, Bailey was in Oklahoma County District Court stemming from the same felony charge in a separate case. In July, a Tulsa County District Court judge ordered Bailey to serve probation for three years and pay back $4,294 to Walmart for stolen merchandise. This article originally appeared on Oklahoman: Teen who posed as medical staff in Texas now accused of fraud in OK Haig was told it will be at least 16 years before he can be considered for release on licence - UNPIXS A teenage killer who knifed a 14-year-old schoolboy and left him to bleed to death on a railway platform has been jailed for life. Daniel Haig was aged just 16 when he murdered a defenceless Justin McLaughlin, who pleaded for his mother following the attack at Glasgows High Street station on Oct 16 2021. Now 18, Haig was told it will be at least 16 years before he can be considered for release on licence, by which time he will be 34. Haig had chased his young victim, who tripped and fell, after a chance meeting at the station. They were affiliated with rival gangs in the east end of the city. Lord Clark, the judge, said the murder had a devastating effect on the victims family and that it was deeply disturbing to see gang violence in Glasgow still occurring. Haig had earlier denied murder but admitted stabbing the schoolboy. He claimed he only wanted to injure him following a fight at the station. But jurors at his earlier trial at the High Court in Glasgow rejected that and convicted him of murder. Justin McLaughlin pleaded for his mother following the attack - UNPIXS They heard that the dying schoolboy, who had celebrated his birthday two days earlier, pleaded for his mother as friends tried to come to his aid. He was taken to hospital after the attack but did not survive. Prosecutor Moira Orr, head of homicide and major crime at the Crown Office, said the case was tragic evidence of the destruction wreaked when young people carry bladed weapons. She added: Daniel Haig armed himself with a knife. Just 16 himself at the time, he was carrying it in his rucksack as he walked in Glasgow city centre. And because he had that knife, Justin McLaughlin lost his life two days after his 14th birthday. His family, his friends and a wider community have been left utterly bereft. We must hope this sends a message to children and teenagers who may be tempted to carry knives. They risk causing calamitous and irreparable harm to others and to themselves. Lord Clark told Haig: Justin McLaughlin was only 14, a child, and he was getting back on his feet when you stabbed him. He was in a defenceless position. A 14-year-old friend of the victim had told the court: Justin was trying to stand up. He kept saying I need my mum... I need to go home. His lips had turned blue and white. The other boys were crying. CCTV footage showed Haig with a knife at the station - UNPIXS After the verdict, the victims family paid tribute to their blue-eyed boy with a smile that lit up the room. They said: Justin had his full life ahead of him. Our life will never be the same. He was the character of the family. His younger brothers miss him so much. He was their best friend as well as a brother. It is a family devastated by knife crime. Defence counsel John Scullion KC said that Haig maintained it was not his intention to kill his victim He now bitterly regrets his actions and the tragic consequences for the deceased and his family, he said. Mr Scullion said Haig had suffered adverse childhood experiences during formative years. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. As soon as the magistrate judge in Washington warned Donald Trump that it was a crime to "influence a juror or try to threaten or bribe a witness or retaliate against anyone" related to his federal indictment for attempting to overturn the 2020 election, you could feel it coming: Trump would immediately flout the order his lawyers had agreed to in court. Sure enough, it took less than 24 hours for the 78-times-indicted former host of "The Apprentice" to issue all-caps challenges to the judge's authority on Truth Social. "IF YOU GO AFTER ME, I'M COMING AFTER YOU!" he posted in his usual understated manner. Prosecutors promptly bundled up the threat into a request for a protective order. That was largely granted by U.S. District Court Judge Tanya Chutkan, who warned Trump, "I will take whatever measures are necessary to safeguard the integrity of these proceedings." Now, of course, Trump sees that warning as a dare. Except for a brief campaign visit to Iowa, he spent most of last weekend doing what he loves best: Delivering unhinged rants on social media attacking the judge, the prosecutors and, in a direct violation of the order, potential witnesses he called out by name. Directly against federal Court orders/admonition given Duncan is witness in J6 DC proceeding. Expect his lawyers to be bought on the hot seat soon. At some point that individual straw will be placed on the camel's back. https://t.co/vrZejrjLvo Mark S. Zaid (@MarkSZaidEsq) August 14, 2023 Trump is showing no less restraint when it comes to the most recent round of indictments delivered by Fulton County District Attorney Fani Willis in Atlanta. Unsurprisingly, this latest round of spleen-venting also featured some gross wordplay referring to a notorious racial slur. Here we go again pic.twitter.com/AxBZeGVKEm Andrew Feinberg (@AndrewFeinberg) August 15, 2023 Want more Amanda Marcotte on politics? Subscribe to her newsletter Standing Room Only. No doubt those being targeted this way remember the brutal assault on Paul Pelosi, husband of then-Speaker of the House Nancy Pelosi, or the recent arrest of someone who threatened Barack Obama after Trump posted the former president's home address. No reasonable person can deny that the intent here is to intimidate witnesses, potential jurors, judges and prosecutors. There's an annoying tendency among the pundit class, however, to act like it's completely out of the question for Trump's bail to be revoked, which would silence him by sending him to jail (or possibly consigning him to house arrest). On "Pod Save America" last Thursday, host Dan Pfeiffer despite claiming he's not in the prediction business repeatedly scoffed at that idea. Legal experts on cable news and social media talked up such toothless consequences as further hearings, injunctions or an accelerated trial schedule. But so far, the conventional wisdom is echoed in former federal prosecutor Neama Rahmani's comments to Salon: "Is a judge actually going to jail Donald Trump in the middle of a presidential campaign? Probably not." To which I say: Why not? Trump is a human being, approximately speaking, with a physical body that would fit quite nicely inside a jail cell. The only reason Trump is perceived as invincible is because he's managed to hex almost everyone into believing that he'll get away with everything, every single time. All we need to do to change that is to stop believing in the collective fiction of Trump's impunity. It's not a law of physics. Donald Trump can go to jail. The only thing that's required is the will to make it happen. It wasn't long ago that the possibility of charging and indicting Trump for his numerous alleged crimes was routinely shrugged off as a #resistance fantasy. Anonymous FBI agents have told the Washington Post that higher-ups refused to entertain the idea of investigating Trump's role in the Jan. 6 insurrection until the House select committee held public hearings and shamed them into it. Now Justice Department special counsel Jack Smith has two criminal cases against Trump headed to court, one for the coup attempt and one for stealing classified documents. Willis filed a whopping racketeering indictment against Trump and 18 other alleged conspirators this week. The "unimaginable" can become reality. Trump is not physically impervious to justice. That imaginary protective shell around him is the result of choices of those in power, and it's time for those people to make different choices. Whether this is strategic or just the result of a sociopathic need to test boundaries, Trump's rapidly escalating violations of every court order are already shaking the mainstream certainty that locking him up is out of the question. Prominent legal experts have actually observed that it's the only real remedy for a defendant this badly out of control. On left: Former President Trump intimidates a witness in Georgia case. On right: Georgia law stating that to release a person on bail, the judge will have to find the defendant "poses no significant risk of intimidating witnesses." Note: That is a presumption against release. pic.twitter.com/jzO3vFl0KH Ryan Goodman (@rgoodlaw) August 14, 2023 Kyle Cheney of Politico pointed out an ironic subtext in Trump's online attacks on Judge Chutkan: Likely without meaning to, Trump's comments have highlighted Chutkan's observation that there's a double standard in play that leaves Trump free to strut, boast and complain while so many of his foot soldiers face punishment. UPDATE: Trump going after Chutkan again (at 1am), quoting from her sentencing of Jan. 6 defendant Christine Priola in which she alludes to fact that Trump remains free despite hundreds of supporters who went to the Capitol for him facing consequences for Jan. 6. pic.twitter.com/9PK4uEniFI Kyle Cheney (@kyledcheney) August 14, 2023 Cheney also notes that Trump agreed to explicit conditions: Witness tampering is a crime punishable by prison. On MSNBC on Tuesday morning, Dave Aronberg, the state attorney in Palm Beach County, Florida, took this analysis a step further. "I'm waiting to see if there's going to be a partial gag order, because Donald Trump can't comply with one. And if he gets ordered to stay silent, you know he'll violate that. And that may be the quickest way that he ends up in an orange jumpsuit." (People keep leaving "trade the orange makeup for an orange jumpsuit" jokes on the table. C'mon! It's time.) Sure, there could be logistical problems for the Secret Service (which protects all current and former presidents) if the judge sends Trump to jail for violating his release agreement. Those can surely be worked out. Even if the only realistic answer is somewhat unsatisfying putting Trump under house arrest and depriving him of his phone that's a lot better than doing nothing. Frankly, it's better for almost everyone if Trump actually loses his freedom for these threatening antics. It's better for witnesses, for the judge, for potential jurors and for prosecutors. It's better for Trump's lawyers, who can do their jobs more easily without the constant headaches caused by their impulsive man-child of a client. I won't claim it's actually better for Trump himself, but even he benefits in one way: History suggests that his poll numbers go up when he's being relatively quiet, because people start to forget what a noxious asshole he is. Most importantly, making Trump shut up is crucial to public safety. One of the most dangerous aspects of Trump's seeming invulnerability is that some of his followers start to believe they're immune to all consequences themselves. Most of the Jan. 6 insurrectionists weren't concerned with the cameras all around them, and many of them posted photos and videos of their escapades that day on social media. Trump's years of breaking the law with zero consequences had lulled them into thinking that they also were free to run buck-wild without fear of prison. More than 1,000 people have now faced legal punishment for their role in the Jan. 6 riot. That has definitely quelled the MAGA tribe's collective overconfidence. But it's reasonable to worry that if Trump keeps thumbing his nose at the law, his followers will start believing themselves to be untouchable all over again. Just last week, a self-proclaimed MAGA devotee was killed by the FBI, after waving a gun at agents and public threats against President Biden and other perceived Trump opponents. Fox News and other right-wing outlets are professing outrage, reinforcing the message to the MAGA faithful that they have some kind of "right" to commit violent acts on Trump's behalf. With their hero's flagrant refusal to respect a straightforward court order, this dangerous sense of entitlement will only get worse. Tossing Trump in jail, even briefly, would go a long way toward reminding these people that there's no special MAGA exemption from obeying the law. Wealth and privilege are only impregnable barriers to accountability if we believe they are. But it's not inconceivable for an elite person to get popped for a bail violation. Look at what just happened to Sam Bankman-Fried, the disgraced FTX founder now facing charges of financial fraud. He harassed a potential witness in his case, likely due to a Trumpian confidence that the judge wouldn't dare revoke his bail. But the judge did just that, and now a man who a year ago lived at a luxury resort in the Bahamas sits in jail awaiting trial. Trump's belligerence is so over-the-top that it can bamboozle people into thinking that nothing can be done to stop him. But that act could collapse pretty fast if those who hold actual power stopped playing along. It's worth remembering that in the end Trump did leave office as scheduled on Jan. 20, 2021, without being physically dragged from the White House. He had spent the previous two months loudly refusing to admit he had lost the election and cooking up schemes to undo that fact. He clearly hoped his childish tantrum might convince everyone to give up and just let him have the office in perpetuity. But even the vague threat of personal conflict and humiliation was enough to make him skedaddle down to Mar-a-Lago when it was time to go. The moral of the story is simple: Donald Trump is a coward. He can be contained and controlled, if he faces real consequences. Dealing with him just takes belief, imagination and some spine. It can be done. Read more coverage of the Trump drama Aircraft is being deployed to tackle the flames on the island of Tenerife A major wildfire on the Spanish island of Tenerife has led to the evacuation of five villages. The fire has spread some 8 sq km (800 hectares) since it started in a nature reserve on the north-east coast of the island late on Tuesday evening. Local authorities have cut off access to the forest around the Mount Teide volcano, Spain's highest peak, and say secondary fires have now broken out. Helicopters spraying water have been seen flying over the area. The main blaze is spreading through woodland and ravines in the Candelaria and Arafo areas, making it difficult for firefighters to tackle. Rosa Davila, president of the Tenerife Council, said aircraft were necessary because it was a steep area. "The blaze has a huge potential, we have asked for additional means," she said on local radio. The villages of Arrate, Chivisaya, Media Montana, Ajafona and Las Lagunetas were evacuated on Wednesday morning. Pedro Martinez, head of Tenerife's emergency services, said multiple secondary fires had also broken out. Arrate, Chivisaya, Media Montana, Ajafona and Las Lagunetas were evacuated Photos show large flames engulfing parts of the forest, and thick plumes of smoke billowing into the sky. As of Wednesday evening, there was no apparent disruption to arrivals or departures at Tenerife's South and North airports. Satellite image of Tenerife showing smoke from the wildfires south of Santa Cruz and in the east of the island. It comes after the Canary Islands were hit by a heatwave that has left many areas bone dry, increasing the risk of wildfires. Last month, thousands of residents on the nearby island of La Palma - which also forms part of the Canary Islands archipelago off the coast of northern Africa - were told to evacuate due to a wildfire amid a period of intense heat. Wildfires have raged in many parts of the world this summer, including in southern Europe, northern Africa, Canada, and Hawaii. Heatwaves have become more frequent, more intense, and last longer because of human-induced climate change. The world has already warmed by about 1.1C since the industrial era began and temperatures will keep rising unless governments around the world make steep cuts to emissions. Banner saying 'Get in touch' Are you in the affected region? If it's safe to do so, please share your story by emailing haveyoursay@bbc.co.uk. Please include a contact number if you are willing to speak to a BBC journalist. You can also get in touch in the following ways: If you are reading this page and can't see the form you will need to visit the mobile version of the BBC website to submit your question or comment or you can email us at HaveYourSay@bbc.co.uk. Please include your name, age and location with any submission. CATL, the Chinese battery giant and a major supplier to Tesla, has unveiled its latest product that aims to solve electric vehicles' charging and range limitations. The battery, dubbed Shenxing or "god-like movement," is able to refuel up to 400 kilometers (250 miles) of range in 10 minutes, Gao Han, chief technology officer of CATL's e-car division, said at a launch briefing on Wednesday. That means vehicles powered by Shenxing can drive from New York to Boston (about 215 miles) after just 10 minutes of fast charging. Mass production of the battery is expected to be underway by the end of 2023, with shipping to begin in 2024. Shenxing claims to be "the world's first 4C superfast charging LFP battery. "LFP stands for lithium iron phosphate, a type of battery chemistry that Tesla widely adapted in 2021 for its shorter-range cars in place of nickel-cobalt-aluminum. China is a big proponent of LFP, a technology spearheaded by its renewable energy darling CATL, which topped the global EV battery market with a 35% share in Q1, according to research firm SNE. This type of battery is known for its cheap prices and chemical stability, though it has a lower energy density than other battery chemistries, which is a drag on EVs' range. CATL's extraordinary growth has been buoyed by an EV boom in China over the last few years. But the EV industry is decelerating as government subsidies shrink and consumption contracts amid a post-COVID economic downturn. Meanwhile, the Fujian-based battery manufacturers face heated competition from BYD, the Chinese EV giant that also makes its own battery. In Q1, BYD trailed CATL in second place with a 16.2% share of the global EV battery market. BROOKHAVEN, Miss. A police dispatcher and a detective testified Wednesday in the trial of two white men in Mississippi who are accused of chasing and shooting at a Black FedEx driver who had dropped off a package at a home. Brandon Case and his father, Gregory Charles Case, charged with attempted first-degree murder, conspiracy and shooting into the vehicle driven by DMonterrio Gibson in January 2022, sat with their attorneys in a small courtroom full of spectators. Gibson, who was 24 at the time, was not injured. But the chase and gunfire led to complaints on social media of racism in Brookhaven, about an hour's drive south of the state capital, Jackson. It happened as Gibson made deliveries for FedEx on the evening of Jan. 24, 2022, while driving a rental van with the Hertz logo on three sides. After he dropped off a package at a home on a dead-end road, Gregory Case used a pickup truck to try to block the van from leaving, and Brandon Case came outside with a gun, District Attorney Dee Bates told the majority-white jury. Co-defendants Gregory Case second from right, and his son Brandon Case, both charged with attempted murder, conspiracy of murder and shooting into a motor vehicle, listen to arguments in the Lincoln County Circuit Court, Tuesday, Aug. 15, 2023, in Brookhaven, Miss. A Black FedEx driver, D'Monterrio Gibson was allegedly shot at by the Cases. (Hunter Cloud/The Daily Leader via AP) As Gibson drove the van around the pickup truck, shots are fired, Bates said, with three rounds hitting the delivery van and some of the packages inside. Gregory Cases attorney, Terrell Stubbs, told jurors that his client saw a van outside his mother-in-laws unoccupied home and went to check what was happening. Gregory Case was just going to ask the van driver what was going on, but the driver did not stop, Stubbs said. The sun had already gone down. It was completely dark, completely dark, and somebody was in the wrong place, Stubbs said. It wasnt my client. Vincent Fernando, a Brookhaven Police Department detective, testified that a truck stop's security camera video recorded a white van being followed by a pickup truck at 7:31 p.m., 14 minutes before Gregory Case called police. A police dispatcher testified that Gregory Case called first, reporting that he had seen a suspicious vehicle near his home, and that the van almost ran over him. Audio of the call was played in court, with Case saying he wanted to know who owns the van and saying he thought the driver was up to something that wasnt good. Lincoln County District Attorney Dee Bates, right, speaks with district investigator Damian Gatlin during following opening arguments. Gibson called shortly thereafter, reporting that someone shot at the van while he was delivering a package, the dispatcher said. Fernando also said that cellphone records showed calls between the father and son's phones were made that evening before Gregory Case called police. Stubbs questioned Fernando repeatedly about whether an average person would try to find out whats happening if they see a strange vehicle near their home. All Mr. Gibson had to do was stop and say, Hey, Im a FedEx driver. He was in a Hertz van with a Florida tag, Stubbs said. Fernando replied: The average citizen should call the police department and ask for help. Gibson's attorney, Carlos Moore, compared the episode to the killing of Ahmaud Arbery, a 25-year-old Black man who was running empty-handed through a Georgia subdivision in 2020 when three white men a father, son and neighbor chased him down and blasted him with a shotgun. D'Monterrio Gibson, second from left, stands with his attorney Carlos Moore, center, at a press conference before jury selection in Lincoln County Circuit Court, Tuesday, Aug. 15, 2023, in Brookhaven, Miss. Gibson, a FedEx driver, was allegedly shot at by Gregory Case and his son Brandon Case. During a news conference days after the confrontation, Gibson said he was wearing a FedEx uniform and driving the van FedEx had rented for his deliveries when he dropped off a package at a house. He said the driver of a pickup truck tried to cut him off as he left the driveway. Gibson said he swerved around the truck and encountered a second man who was pointing a gun at the van and motioning him to stop. Gibson said the man fired as he drove away, damaging the van and packages inside. The pickup driver chased him to Interstate 55 near Brookhaven before ending the pursuit, he said. Moore said Gibson is still employed by FedEx and is out on workers compensation leave. A judge dismissed Gibson's federal lawsuit seeking $5 million from FedEx last week, writing that it failed to prove the company discriminated against him because of his race. That litigation also named the city of Brookhaven, the police chief and the Cases, and Moore said he plans to file a new civil suit in state court. Outside the court on Tuesday, Moore said: The family is cautiously optimistic that theyll get justice here in Lincoln County. This article originally appeared on Nashville Tennessean: Black FedEx driver shooting trial testimony begins in Mississippi The horrific sexual assault and slaying of 11-year-old Maria Gonzalez has left her family and local police in Pasadena, Texas, perplexed as to whos behind the very violent killing on Saturday, with her eerie final messages indicating that a stranger was knocking on her apartment door. That final text was sent by Maria to her dad, Carmelo Gonzalez, around 9:45 a.m. Saturdayabout 30 minutes after Carmelo had left Maria at their apartment alone to go to work, Pasadena Police Chief Josh Bruegger said Tuesday. Bruegger said Carmelo has been cleared as a suspect in his daughters murder. He said Carmelo frantically returned home around 3 p.m. Saturday after Maria stopped responding to his texts. Before he got there, Carmelo dispatched the girls aunt and uncle to check on her, but she was nowhere to be found, Bruegger said. The chief said Carmelo searched in and around his apartment frantically for Maria, eventually making a harrowing discoveryshed been killed and stuffed under a bed. They left her under the bed in a plastic bag, he told Fox 26. They left my poor daughter. Mayor Reminds Public That Killer Mushroom Lunch Case Isnt Midsomer Murders Bruegger said Carmelo was quickly cleared as a suspect because he had proof hed been at work, and no other suspects have been identified. He said the Harris County Medical Examiner determined Maria died from asphyxia due to strangulation and blunt force head and neck trauma. Bruegger said evidence showed that the girl was also sexually assaulted in what he described as a very brutal and very violent crime. Bruegger said there were no signs of forced entry at the apartment, and the front door was unlocked when Marias aunt and uncle arrived to check on her. Carmelo told Univision that Maria was sending him voice and text messages through WhatsApp about the stranger knocking on the door. I told her not to open the door because I was arriving at work, Carmelo said. He later added, They took my girl, what I loved the most. Carmelo said Maria was an educated, respected girl who was very calm. Hed recently moved with her to Pasadena from Austin, Texas, after a stint living together in Florida, Bruegger said. Theyd been in the United States for four years, and in the Pasadena apartment for about three months. Bruegger said it was a pretty quiet apartment complex largely made up of Guatemalan immigrants. No one else lived with Carmelo and Maria, and her mother lives in Guatemala, he said. One unnamed resident of the complex told Fox 26 that Maria was such an angel. Given the time of day, Bruegger said he believes that someone in the complex must have seen Marias killer. Whoever saw something just after 10 a.m. on Saturday at that particular apartment, we're asking them to come forward with the information, he said. It seems awfully suspicious that dad leaves for work and, you know, within 30 minutes you've got somebody knocking at the door. Locating a witness may be the police's best chance at solving the murder. Bruegger said the apartment complexlocated about 13 miles southeast of Houstonhad security cameras, but they were damaged by recent storms and are believed to have been inoperable over the weekend. Bruegger insinuated that some residents of the complex have been hesitant to work with detectives because of their immigration status. He said he instructed his officers to make clear that their only priority is finding Marias killer. Im here to tell you immigration status in a case like this, thats neither here nor there, Bruegger said. The important thing is solving this case and getting the community safe. Given the suspected randomness of the slaying and Marias age, Bruegger said the case will be a priority. He said it hit especially close to home because he has a 10-year-old daughter himself. DNA evidence from the scene has been sent to the Harris County Institute of Forensic Sciences, Bruegger said, but that process can be time consuming. We're working on trying to identify which pieces of evidence are most important, at this point, to try to get that processed as soon as we can, Bruegger said. This case is very important to us and anytime you have a death of a child, one that has been sexually assaulted, it's very brutal. Read more at The Daily Beast. Get the Daily Beast's biggest scoops and scandals delivered right to your inbox. Sign up now. Stay informed and gain unlimited access to the Daily Beast's unmatched reporting. Subscribe now. Three weeks after a Texas woman said a hawk dropped a squirming snake onto her arm and then attacked her to retrieve its prey Peggy Jones says she still has nightmares. Jones, 64, said she was mowing her lawn with her tractor on July 25 in Silsbee, Texas, near the Louisiana border, when a four-foot-long snake dropped out the sky and landed on her arm. "It wasn't until moments later I realized a hawk dropped the snake on me," she said. As Jones shook her right arm to remove the snake, she said a hawk flew down to recapture his prey, stabbing Jones' right arm with its claws in the process. Peggy Jones attacked by hawk and snake Jones said the hawk managed to get the snake off of her arm on the fourth try and as painful as it was, it might have been a blessing. "When I look back at it, the hawk was the reason why I was able to get the snake off my arm," Jones said. "The snake was gripping my arm tight and hissing in my face." Airboats collide in Florida: 13 injured who were on Everglades tours 'I cried every time I told this story' Jones's husband rushed her to the emergency room, where doctors stabilized her condition. She had deep wound cuts from the hawk and mild bruising from the snake. She also had venom on her glasses from the snake but none got in her eyes. Peggy Jones attacked by hawk and snake "It's been three weeks later and I still have nightmares from that day. I cried every time I told this story in the first two weeks after it happened," Jones said. "The pain now is getting better but the memory of that day isn't." Jones said she can't use her right arm after the incident. Her husband, children, and grandchildren assist her in daily tasks such as cooking, cleaning, laundry and shopping. Her biggest fear is one of her open wounds getting infected since she has artificial knees. "I have to wrap my wound very well and be very cautious," Jones said. "If my wounds get infected its a chance I would have to get new knees again since venom travels to the weakest part of your body." This article originally appeared on USA TODAY: Texas woman's arm healing after hawk, snake attack, nightmares remain The first edition of the Marion County Record since its newsroom in central Kansas was raided by police. (Katie Moore/The Kansas City Star) The Marion County Record raid warrant has been withdrawn. Too little, too late. Investigators are returning the items seized at the newspapers office and its owners home. The prosecutor on the case cites insufficient evidence. Thanks, but media law experts have been saying the same thing since Friday. What kept you? In a statement Wednesday, Marion County Attorney Joel Ensey wrote that he had concluded that insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and items seized. This means the Record will get back the items it needs to continue its heroic work of covering a town and protecting its residents. They will get back the computers, cellphones and other electronic devices and documents taken, including, we assume, the router that connected the late Mrs. Joan Meyers Alexa speaker. Mrs. Meyer, 98, died the day after the raid, after suffering a sleepless night and a lot of stress. Much has been written about the papers co-owner, a newswoman since 1953, and the role she played in the operations of the paper. Her last statement on the matter described the Hitler tactics of the police in raiding the paper and her home. Which is why we say too little, too late with the law acting now, only after the nations scrutiny has fallen on Kansas, and after something could have been done to stop this police action in the first place. Too little, too late on the part of prosecutor Ensey, who was remiss in taking five days to realize what should have been done immediately. Too little, too late on the part of the Kansas Bureau of Investigation, which earlier appeared to support the raid. Too little, too late on the part of Gov. Laura Kelly, who said basically nothing about the attack on press freedoms after reporters asked her. (Governor, if you do come forward now, after news of the warrant being revoked reaches your office, it really will be TL,TL. But we welcome your statement.) Too little, too late on the part of Magistrate Judge Laura Viar, who approved the raid despite having a complicated background that might have clouded her decision. And certainly too little, too late on the part of Marion Police Chief Gideon Cody, who appeared to move with jackboot accuracy to the aforementioned Hitler tactics cited by the late Mrs. Meyers. We welcome a return to publishing by the Marion Country Record, and hope this incident serves as a wake-up call to law enforcement everywhere. We call for Kansas (and Missouri) to work swiftly and pass legislation that clearly protects the press, as well as send a message to law enforcement and state officials down to the most local of levels that such tactics will not be tolerated. Power in a New Jersey town was knocked out for hours over the weekend and police shared a fishy explanation literally. Around 2,100 JCP&L customers in Sayreville lost electricity when a fish destroyed a transformer, officials said. Investigators with the Sayreville Police Department believe a bird dropped the fish on the transformer as it flew overhead on Saturday. Police paid tribute to the fish in a social media post after the power outage, naming it Gilligan. "Please let us not forget the victim in this senseless death," officials wrote. "Gilligan was a hard working family man. He was a father to thousands of children." Police also shared a photo of the "suspect," who was last seen flying south. JCP&L said the bird was likely an osprey. "If you see him do not try to apprehend him," police wrote. "Although he isn't believed to be armed he may still be very dangerous. If you have any information in this case please contact Det. John Silver who handles all of our fish cases." While animal contact is a common cause of power outages, fish are uncommon, a JCP&L spokesperson said. The power company sent thoughts to both the fish's family and to the osprey who dropped the fish. "If you've ever dropped your ice cream cone at the fair, you know the feeling," the spokesperson said. There's a large osprey presence in that section of New Jersey, according to the power company. JCP&L said the outage served as a reminder to stay away from electrical equipment and to avoid using "power lines for your neighborhood fish fry." Families search for loved ones in Maui wildfires Fani Willis proposes March 2024 trial for Trump, co-defendants Exploring food across Iowa's state fair A state grand jury handed up a 15-count indictment against a Toms River man accused of throwing smoke bombs at a crowd leaving an anti-racism concert in January in Asbury Park then trying to use bear spray on participants while yelling, White lives matter, authorities said. Nicholas G. Mucci, 28, has been charged in the indictment with: aggravated arson; two counts of causing or risking widespread injury or damage; two counts of possession of a destructive device; unlawful possession of a weapon; two counts of possession of a weapon for unlawful purposes; two counts of aggravated assault; two counts of making terroristic threats; possession of an assault firearm; possession of a large capacity ammunition magazine; and hindering Mucci is being held at Monmouth County Jail pending trial after being deemed a threat to the public following his arrest. The crimes occurred between 9 and 10:30 p.m. on Jan. 27, during a concert at the Trinity Episcopal Parish on Asbury Avenue organized by a group known as One Peoples Project, which calls itself a social justice organization aligned against right wing groups. It uses the slogan Hate has consequences. At 9 p.m. Mucci, wearing a black face mask, blocked concertgoers from leaving the church, yelling, White lives matter too, authorities said. He allegedly threw two or three smoke bombs into the crowd from the drivers side of a dark colored SUV and drove off. The vehicle, caught by the churchs surveillance camera, resembled a vehicle owned by Mucci, according to a statement from the New Jersey Office of the Attorney General. The people in the crowd became "visibly alarmed, the office said. Witnesses told investigators that a dark-colored vehicle circled the church around 10 p.m. after the smoke bombs were thrown, driving up to where people were gathered in a parking lot. Mucci, with his face still covered, got out of the vehicle and tried to use bear spray on crowd, admitting in a video in which he allegedly narrated the event afterward that the spray burned his eyes, according to the statement. He again yelled White lives matter, then left the scene, the statement said. A license plate reader in the area recorded a vehicle matching one that Mucci drives and phone records showed Muccis cell phone had gone dark during the time of the incident, according to the statement. Investigators found that the smoke bombs were purchased from a fireworks store in Morrisville, Pennsylvania, and that Mucci bought identical items from the store on October 23, 2022, with an out-of-state photo ID, the statement said. A raid of Muccis home turned up an AR-style assault rifle, several large capacity ammunition magazines and hundreds of rounds of ammunition, authorities said. White Lives Matter and other white nationalist propaganda were also recovered in both his home and vehicle, along with the bear spray used in the attack, according to the statement. More: Former Brick woman accused of taking part in Jan. 6 Capitol riot Mucci made social media posts about the incident, the statement said. Mucci faces several second-degree charges that each carry a maximum penalty of 10 years in prison if theres a conviction. A message left toward the end of the business day at the Monmouth County Office of the Public Defender, which is representing Mucci, was not immediately returned. Ken Serrano covers crime, breaking news and investigations. Reach him at 732-643-4029 or at kserrano@gannettnj.com. This article originally appeared on Asbury Park Press: Toms River man draws 15-count indictment in Asbury Park church attack The Missouri Supreme Court has upheld a law criminalizing low school attendance for parents. Two single mothers from Lebanon, Mo., challenged the law after they were sentenced over their respective childrens absences. Caitlyn Williams was sentenced to a week in jail after her daughter missed 16 days of first grade last school year, and Tamarae Larue was sentenced to 15 days in jail after her son missed 13 days of kindergarten. Larue instead agreed to serve two years of probation. The pair sued the state, claiming the law is too vague and the school district could not prove the parents were to blame for the absences. The court ruled against them in a 6-0 vote, with one justice not participating. This nonattendance was not excused by any circumstance provided for in the statute, Justice Robin Ransom wrote in the courts opinion. Given the notice provided to each parent and that each parent was in control of their young child, evidence existed to support the inference that each parent knowingly failed to cause their child to attend school on a regular basis. The parents based their challenge on the wording of the law, which requires students to keep regular attendance, though they said it was unclear what regular exactly meant. The court ruled that regular applied to the school districts attendance expectations: 90 percent attendance. Excused absences due to sickness, for example counted toward that 90 percent mark, the court said. If Williamss and Larues childrens sick days were not counted toward their attendance, they would have been higher than 90 percent attendance, the parents said. When measured by common understanding and practices, no Missouri parent would conclude attendance on a regular basis means anything less than having their child go to school on those days the school is in session, Ransom wrote. Only a verified consistent illness or other long-standing condition or circumstance, communicated to the school in advance, would excuse that amount of absences, the court said. The mothers attorney declined The Hills request for further comment. Updated at 11:42 a.m. For the latest news, weather, sports, and streaming video, head to The Hill. Five French tourists and one Swiss tourist were arrested after allegedly gang-raping an 18-year-old British woman at a popular tourist destination in Spain. Spanish Civil Guard police announced the arrests of the French and Swiss tourists Wednesday on the island of Mallorca in the popular tourist town of Calvia, according to a report from The Associated Press. Police say the alleged rape happened Monday, when they were alerted to the case by the staff of a hotel on the island who had discovered the British woman crying in desperation following the alleged assault. The alleged victim told police she met the suspected rapists Monday and went to the hotel room of one of the suspects and was subsequently sexually assaulted by all six. Police also said a judge on the case found video clips of the alleged rape on at least one of the suspects' phones. 6 MEN ARRESTED FOR ALLEGED GANG RAPE OF TEEN TOURIST AT EUROPEAN VACATION HOTSPOT A French gendarme, left, and a Spanish civil guard patrol officer along the beach in the Magaluf holiday resort in Calvia, on the Balearic island of Mallorca. The alleged victim, who has not been named by police, received immediate medical attention and counsel, police said, while the six suspects, all reported to be 20 years old, were detained shortly after the incident was reported. READ ON THE FOX NEWS APP The Civil Guard took the six detainees before a judge in a court in Palma de Mallorca on Tuesday, and they continue to be held in jail. None of them have been formally charged, and the investigation is still ongoing. Further details about the suspects and their identities have yet to be released by police. Tourists enjoy the sunset from a beach club at Can Pastilla in Palma de Mallorca on Aug. 5, 2021. The incident marks the second case of an alleged gang rape by tourists on the island in a matter of weeks. In mid-July, six young German men were arrested for allegedly gang-raping an 18-year-old German tourist at a hotel on Mallorca. PILOT ASKS 20 PASSENGERS LEAVING SPANISH VACATION HOTSPOT TO DEPLANE OVER FLIGHT BEING 'TOO HEAVY' Police officers lead suspects to a hearing with a magistrate on the Balearic island of Mallorca on July 15, 2023. Allegations have been made against six vacationers from Germany on Mallorca. The police are investigating a gang rape. A judge will now decide whether the men must remain in custody. The crime of gang rape can carry a prison sentence of up to 15 years in Spain, according to the AP. The Associated Press contributed to this report. Deputies who pulled over a New York man on a traffic violation say they found gold bars worth hundreds of thousands of dollars. Wyoming County deputies stopped a 28-year-old resident of Queens, New York, on July 25 on a traffic infraction, they wrote in an Aug. 15 news release. The deputy had reasonable suspicion of a crime, according to the release, and the vehicle was searched. A backpack in the front seat was filled with $200,000 worth of gold bars, deputies said. In the backseat, deputies found UPS packages with the address of a man in Orchard Park, New York, according to the release. The driver said the gold bars did not belong to him, and he was released, the sheriffs office said. Investigators began looking into the incident, and they discovered the Orchard Park man was a victim of an elder fraud scheme, the release said. He said a person posing as a U.S. marshal contacted him and demanded payment to avoid arrest, deputies said. The person claimed a United States Marshal Courier would drive to the victims residence, and that the victim was to place gold bars of a certain value into the rear seat of the couriers vehicle, according to the news release. Within an hour of the pickup, the man from Queens was pulled over. Deputies said the bars will be returned to the Orchard Park man. During the investigation, deputies said they also found child pornography on a cellphone that the driver had, and a warrant was issued for the drivers arrest. Eventually, police in Passaic, New Jersey, arrested the man, the sheriffs office said. Deputies in Wyoming County brought him back on Aug. 11, and he was arraigned in Warsaw Justice Court on charges of criminal possession of stolen property, possession of an obscene sexual performance by a child less than 16, and speed in zone, deputies said. The man then was taken into the custody of U.S. Immigration and Customs Enforcement due to an arrest warrant and immigrant detainer issued by the Department of Homeland Security, deputies said. Nearly 90,000 people over the age of 60 reported fraud to the Internet Crime Complaint Center in 2022, the agency said, resulting in $3.1 billion in losses. The Wyoming County Sheriffs Office reminds the people of Wyoming County to be skeptical of any unsolicited phone calls where a person is asking for money or personal information, deputies said. Government agencies will never call a person offering to accept payment in exchange for avoiding arrest. Pool builder stole $1.5M from customers and bought Super Bowl tickets, Florida cops say Florida couple befriended man with dementia and caused him to lose $2 million, feds say Man drove around stealing checks from mailboxes with raised flags in Florida, feds say Caregiver stole womans life savings and bought beach house and cars, AL officials say Flash Japanese Prime Minister Fumio Kishida sent a ritual offering to the controversial Yasukuni Shrine on Tuesday, as the country marked the 78th anniversary of Japan's unconditional surrender in World War II. Kishida, in his capacity as leader of the ruling Liberal Democratic Party (LDP), sent donations to the shrine, a symbol of Japan's past brutal militarism. The offering was delivered by an LDP lawmaker on his behalf. While Kishida did not visit the notorious shrine in person, one of his cabinet members, economic security minister Sanae Takaichi, paid homage to the shrine. Visits to the shrine were also paid by the chairperson of the LDP's Policy Research Council Koichi Hagiuda, former environment minister Shinjiro Koizumi, and former defense minister Tomomi Inada, as well as a cross-party group of about 70 lawmakers. The Yasukuni Shrine, located in central Tokyo, honors 14 convicted Class-A Japanese war criminals from WWII. It has long been a source of diplomatic friction for Japan and its neighbors. Visits and ritual offerings made by Japanese officials to the controversial shrine have consistently sparked criticism both at home and abroad, and hurt the feelings of the people of China, South Korea and other countries brutalized by Japan during the war. In his speech delivered at Monday's memorial ceremony for Japan's surrender in World War II, Kishida stated Japan's opposition to war without mentioning Japan's wartime aggressions and atrocities. Meanwhile, Emperor Naruhito at the ceremony expressed his remorse. "Reflecting on our past and bearing in mind the feelings of deep remorse, I earnestly hope that the ravages of war will never again be repeated," the emperor said. Chinese Foreign Ministry spokesman Wang Wenbin told a press conference on Tuesday that the Japanese politicians' negative moves once again reflect Japan's wrong attitude toward historical issues, noting the Yasukuni Shrine is a spiritual tool and symbol of the Japanese militarists' war of aggression. "China has lodged solemn representations with Japan and made clear its solemn position," Wang said. Facing up to and deeply reflecting on history is an essential prerequisite for Japan to restore and develop normal relations with its Asian neighbors after WWII, said the spokesman. China urges Japan to draw lessons from history, adhere to the road of peaceful development, and make a clean break with militarism through concrete actions to avoid further losing the trust of its Asian neighbors and the international community, he added. By Josh Smith and Soo-hyang Choi SEOUL (Reuters) - North Korea's claim on Wednesday that U.S. soldier Travis King fled racism and abuse in America comes as Pyongyang pushes back on Washington's criticism of the North's human rights record. North Korea broke nearly a month of silence on King, who is Black, issuing a state media report that he had confessed to illegally and deliberately entering the North, driven by "ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army" and disillusionment with inequality in U.S. society. King has not been directly heard from, but an uncle in United States told media this month his nephew said he experienced racism during his military service. The state media report comes a day before the United Nations Security Council is due to meet at the behest of Washington to discuss human rights abuses in North Korea. For decades Pyongyang has highlighted racial discrimination in the United States as what it says is an example of Washington's hypocrisy, and analysts said North Korea is likely to use King's case to resist pressure over human rights. "North Korea will likely highlight racism in the United States and use it as a means to counter the United States' criticism of North Korea's human rights situation, rather than engaging in negotiations with the U.S.," said Lim Eul-chul, a professor of North Korean studies at South Korea's Kyungnam University. North Korea highlights racism in the United States to cast a negative light on it, and to make the point that the United States, which regularly points to human rights conditions in other countries, is in no position to do so, said Rachel Minyoung Lee of the U.S.-based Stimson Center. North Korea's foreign ministry cited racial discrimination, among other ills, in a statement on Tuesday calling it a "mockery of human rights and deception on the international community" for the United States to call Thursday's meeting on human rights. "Not content with conniving at and fostering racial discrimination, gun-related crimes, child maltreatment and forced labour rampant in its society, the U.S. has imposed unethical human rights standards on other countries and fomented internal unrest and confusion," the statement said. In 2018, Pyongyang released a "White Paper on Human Rights Violations in the U.S.", which accused the administration of Donald Trump of aggravating the "racial discrimination and misanthropy" already "inherent to the social system of the U.S.", citing white supremacist violence in Charlottesville, Virginia. During the protests after the police killing of George Floyd in 2020, North Korean officials cited "extreme racists" in America and criticised authorities' response for threatening to "unleash even dogs for suppression". In a report at the time, C. Harrison Kim, a professor at the University of Hawaii, told NK News, a Seoul-based site that monitors North Korea, that although the relationship had waned, Pyongyang's "alliance with the Black Power movement was a very real thing". In 1969 Pyongyang hosted American author and activist Eldridge Cleaver, head of international affairs at the Black Panther Party (BPP), who wrote that North Korea and its "great leader" had "heightened our consciousness to a level that makes us equal to the task of dealing with our number one enemy, the U.S. imperialist aggressors. North Korean state media has its own history of issuing racially charged statements. In 2014, the state news agency published a report saying then-U.S. President Barack Obama "looks like an African native monkey with a black face", among other quotes comparing him to an animal. A landmark 2014 U.N. report on North Korean human rights concluded that North Korean security chiefs - and possibly leader Kim Jong Un himself - should face justice for overseeing a state-controlled system of Nazi-style atrocities. That report included allegations that North Korea conducts forced abortions on women suspected to have been impregnated by men in China, driven by an underlying belief in a pure Korean race in North Korea to which mixed-race children are considered a contamination of its pureness. (Reporting by Josh Smith and Soo-hyang Choi. Editing by Gerry Doyle) Former President Trump and the 18 other individuals indicted by a Georgia grand jury Monday night over their alleged efforts to overturn the results of the 2020 election are set to be booked at the Fulton County jail, according to multiple reports. At this point, based on guidance received from the district attorneys office and presiding judge, it is expected that all 19 defendants named in the indictment will be booked at the Rice Street Jail, Fulton County Sheriffs Office spokeswoman Natalie Ammons said in a statement Tuesday, according to the Atlanta Journal-Constitution. Keep in mind, defendants can turn themselves in at any time. The jail is open 24/7, she added. Also, due to the unprecedented nature of this case, some circumstances may change with little or no warning. Ammons also emphasized that the booking process and arraignment are two separate things in criminal cases in Fulton County, noting that some arraignments could be virtual. The former president and his co-defendants which include Trump lawyers Rudy Giuliani, John Eastman, Kenneth Chesebro, Jenna Ellis and Sidney Powell, as well as former White House chief of staff Mark Meadows and former Justice Department lawyer Jeffrey Clark, among others must voluntarily surrender by Aug. 25 at noon. In his fourth indictment this year, Trump faces 13 charges, ranging from racketeering to making false statements and filing false documents, tied to his efforts to overturn the results of the 2020 presidential election in Georgia. Earlier this month, the former president was also indicted on federal charges related to his efforts to remain in power after losing the 2020 election, which culminated in the Jan. 6, 2021, attack on the Capitol. For the latest news, weather, sports, and streaming video, head to The Hill. Former President Donald Trump is expected to surrender at the Fulton County jail, the local sheriff said Tuesday in a statement, along with the other 18 co-defendants charged on Monday in the Georgia 2020 election subversion case. Trump, who was charged with 13 counts including racketeering, has not publicly indicated when he intends to surrender ahead of the August 25 deadline imposed by Fulton County District Attorney Fani Willis. The statement from the Fulton County sheriffs office addressed the key question of where the former president would be arrested and processed as a criminal defendant. At this point, based on guidance received from the district attorneys office and presiding judge, it is expected that all 19 defendants named in the indictment will be booked at the Rice Street Jail, the statement said. Keep in mind, defendants can turn themselves in at any time. The jail is open 24/7, the news release states. Also, due to the unprecedented nature of this case, some circumstances may change with little or no warning. Most defendants charged in Fulton County are typically booked at the Fulton County jail. Fulton County Sheriff Pat Labat previously suggested he wants to treat the defendants charged in the Trump election subversion case the same as any other defendant would be treated. Unless someone tells me differently we will be following normal practices. It doesnt matter your status we will have mug shots ready for you, Labat said earlier this month on CNN. The sheriff will now have to negotiate with Secret Service and Trumps attorneys about the logistics of Trumps surrender. Defendants who are not immediately arrested upon indictment as was the case for Trump and his associates usually negotiate bond if applicable, as well as other terms of release with the district attorneys office. Rudy Giuliani, Trumps former lawyer who is also charged in the case, said Tuesday on WABC talk radio that he would pick a day next week to surrender to authorities, adding, There has to be bail, I imagine. Kind of silly for me to have bail, I mean I showed up there voluntarily and testified. The 41-count indictment unsealed Monday night lays out a sweeping investigation led by Willis into some of the most egregious efforts by Trumps allies to meddle in the 2020 presidential election. It accuses the former president of being the head of a criminal enterprise that was part of a broad conspiracy to overturn his electoral defeat in Georgia. Charges in the indictment include: False statements to and solicitation of state legislatures; false statements to and solicitation of high-ranking state officials; the creation and distribution of false Electoral College documents; the harassment of election workers; the solicitation of Justice Department officials; the solicitation of then-Vice President Mike Pence; the unlawful breach of election equipment; and acts of obstruction. Former Trump lawyers, John Eastman and Giuliani, as well as former White House chief of staff Mark Meadows, are among the defendants. The indictment also included an additional 30 unindicted co-conspirators in addition to the charged defendants. Trump is now facing 91 charges across four separate indictments at the same time that hes running for president in 2024. He denies any wrongdoing and has slammed the cases as politically motivated. CNNs Sara Murray, Zachary Cohen, Maxime Tamsett, Tierney Sneed, Devan Cole, and Jeremy Herb contributed to this report. For more CNN news and newsletters create an account at CNN.com The Georgia prosecutor who indicted Donald Trump and 18 co-defendants yes, she indicted them, the grand jury merely rubber-stamped has said she will try to bring the case to trial within six months. I have been practicing criminal law for 60 years, I have never seen a trial with 19 defendants, a 90-plus page indictment and this degree of complexity brought to trial in anywhere close to six months. It simply cant happen. Why then did she begin this case, which is about lying, by misleading the American people? Because to her, this case seems entirely political. Is she using it to run for office, or is she bringing it to garner favor with other Democrats? This sprawling indictment rests largely on the so-called RICO law a law that was designed to prosecute and bankrupt members of organised crime. I recall a client of Italian American heritage complaining that the law was targeted at the mafia: Why else would they call it RICO instead of Morris or John? He was being perceptive. Subsequent to its enactment, the RICO law became a favorite of prosecutors, although many RICO convictions were later overturned on appeal. It turned out that RICO prosecutions were more appealing to jurors than they were to judges. That is because jurors want to convict racketeers, while judges need to apply the law fairly. One serious problem with this indictment is that the 19 defendants may not all share the same state of mind or intent. Surely some of them, including Donald Trump himself, actually believed and still believe that the election was unfair. Others may have joined in that erroneous belief, while still others may have their doubts. I am aware of no evidence that Trump himself ever expressed doubts about his certainty that the election was stolen, but it is possible that prosecutors may be able to introduce testimony that other defendants had expressed doubts, or may even have admitted that the election was not stolen. This diversity of viewpoints may pose problems for the prosecution, as well as for the judge who must instruct the jury on the law applicable to each defendant. Even when RICO and conspiracy are charged, individual guilt must be proved beyond a reasonable doubt. American law does not recognise guilt by association. Every defendant must have the requisite intent, and that intent must be proved in every case beyond a reasonable doubt. Some courts have been sloppy in applying the intent requirement to RICO and conspiracy prosecutions, but the Supreme Court has never deviated from the requirement that individual guilt must be proved beyond a reasonable doubt. Because there are 19 defendants, this trial will take an enormous amount of time to conduct. Some defendants may choose to take the witness stand, others may not. Some may move for separate trials, others may not. Lawyers will argue with each other about certain rulings which may benefit some but not others of the defendants. A trial of 19 defendants guarantees a logistical mess. There will be pre-trial efforts to move the case to federal court on behalf of some of the defendants but not others. There may also be efforts to change the venue of the case to a different Georgia county, even if it remains in state court. There will be arguments about the trial dates, because the 40 or more lawyers that are likely to be involved in this case will have different trial schedules. Justice must not only be done, it must be seen to be done. This is especially true when the main defendant is also the main candidate against the incumbent president. Although this is a state, rather than a federal, case, it is being brought by a highly politicised Democrat who is clearly seeking to serve the interests of her party and her preferred candidate. At the moment it appears that Trump will be required to be fingerprinted and to provide a mug shot (the inevitable T-shirt with the mugshot picture will probably be among the best sellers of all time!) The prosecutor appears to be trying to milk this case for every partisan benefit she can secure, both for herself and for her party. One key issue is going to be whether there are any motions that can be made, which, if they are denied, can be immediately appealed. Such an appeal would probably delay any trial, possibly even beyond election day. The law varies from state to state regarding the immediate appealability of certain motions, but the motion to move the case to federal court is almost certainly appealable. The current indictment on its face seems strong: it tells a sad tale of claims of corruption, perjury and malfeasance. But as the prosecutor reminded her listeners, all of these defendants are presumed innocent. We must await the presentation of evidence and the cross-examination of witnesses to assess the actual strength of the case. I predict that the case will be weaker and more subject to challenge as it progresses to trial and verdict. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. Drew Findling, one of the attorneys defending Donald Trump against charges of racketeering to overturn the 2020 election in Georgia, donated to the campaign of the district attorney who brought the fourth indictment against the former president, Rolling Stone reported Tuesday. Findling also donated to President Joe Bidens winning campaign. Findling gave $1,440 to Fani Willis successful Democratic primary bid for Fulton County DA in July 2020, the outlet wrote, citing data from the Georgia Government Transparency and Campaign Finance Commission. Meanwhile, he donated $8,400 for Bidens White House bid. In the past, Findling had criticized Trump publicly, calling him pathetic and some of his statements racist and cruel. But when the Georgia investigation was steaming forward after Findlings hiring in August 2022, he deemed the probe politically driven persecution. He told Insider at the time that differing political views do not stand in the way of him offering a vigorous defense against wrongful investigations. Drew Findling and Donald Trump. Drew Findling and Donald Trump. Findling has previously represented celebrities like Cardi B and Gucci Mane, but working on behalf of a controversial former president has proved difficult at times. Hes been put on the hot seat over the evidence against Trump in some TV appearances this year. HuffPost has reached out to Findling for comment. Related... Trump still leads GOP polls in Iowa, but some voters grow weary Former President Donald Trump's latest indictment on Monday fell right in the middle of an important political event: the Iowa State Fair. It's a tradition for presidential candidates to visit the fair and talk to voters ahead of Iowa's first-in-the-nation caucuses. Trump attended the state fair on Saturday, drawing thousands of supporters. "The fair is huge and it's a really great opportunity for the presidential candidates to come out and really test the waters," said Iowa's junior GOP Sen. Joni Ernst. Polls show Trump maintains a significant lead in Iowa a stronghold of Republican support even as the former president has now been indicted in four separate criminal cases. More widely, there's no evidence that the indictments have hurt his status as the front-runner for the 2024 Republican presidential nomination. At the fair, some Iowans expressed sympathy for the former president, portraying him as a victim of too many investigations, while others believe the legal challenges have left him wounded and distracted and that it may be time to move on. Some voters CBS News spoke to say they still like Trump but less than they used to. "I feel uncomfortable with him at the podium, very much," said Bob Erickson from Webster City, Iowa. "But we still have to keep a little bit of him, not all of him." Other voters are looking to other candidates. Norm and Pat Hejlmland are leaning toward Florida Gov. Ron DeSantis. "I think we need somebody new, and I want somebody that can win, of course," said Norm Hejlmland. Pat Hejlmland has soured on the former president. "What he accomplished was good. But his personality just turns me off, plain and simple," she said. Scott Heilskov, who voted for Trump twice and is now leaning toward Sen. Tim Scott of South Carolina, said he doesn't like the way Trump "smashes the other candidates." "He's putting down the other political candidates in the Republican Party and I've had enough of it myself," said Heilskov. Even so, Trump remains the heavy favorite five months before the Iowa caucuses and reaction from Republican leaders to Trump's latest indictment showed what a hot wire it is to cross him and his supporters. Sen. Scott, who has been making strides in Iowa, cautiously told CBS News he believes the "legal system is being weaponized against political opponents." But others, like former Arkansas Gov. Asa Hutchinson, didn't hold back, calling the charges brought against Trump "serious." "They address fundamental issues of our democracy. And so he ought to be held accountable," he said while campaigning at the fair. Hurricane Hilary intensifies as it heads toward Southern California Maui official asked if he regrets not sounding sirens amid wildfires Video shows woman using Rome's Trevi Fountain to fill water bottle Former New York City Mayor Rudy Giuliani is seen with then-President-elect Donald Trump in Bedminster, New Jersey in November 2016. Drew Angerer/Getty Images Donald Trump's advisors say he's is "very upset" that Rudy Giuliani was indicted, Maggie Haberman told CNN. But not enough to pay his outstanding legal bills, Haberman added. Giuliani was indicted alongside Trump in Georgia on Monday night over their attempt to overturn the 2020 election. Former President Donald Trump's advisors say he was "really worried" and "really upset" that his longtime lawyer and ally Rudy Giuliani would be indicted as one of the 18 of Trump's co-defendants in the Georgia RICO case, Maggie Haberman told CNN. But not enough to pay him what he's still owed, she noted. Haberman, a senior political correspondent at the New York Times who's reported on Trump's inner circle, spoke to CNN's Kaitlan Collins on Tuesday night after Trump was criminally charged again this time in a Georgia indictment that was unsealed late Monday. "One of the ironies right now in the situation around Donald Trump is you will hear some of his advisors say 'He's really upset that Rudy got indicted,' or, leading into this, 'He was really worried about Rudy,'" Haberman told Collins. "But not so worried that he was going to pay Giuliani's legal bills," Haberman said. Haberman added that those close to Giuliani will still try to blame the Republican National Committee or former Trump aides for the fact that his legal bills remain unpaid. But "This is Trump," she said. "According to my reporting, it was Trump who didn't want Giuliani paid unless Giuliani delivered on his promises, and obviously that didn't happen," Haberman said. Collins pointed out that Giuliani, who once pioneered using RICO law to prosecute organized crime in New York, is now charged with a very similar law in Georgia. Haberman noted that New York voters who have grown to dislike Giuliani see this as "the ultimate irony," but his supporters in the state see this as a "tragedy." "It is surreal hearing him say he is really excited about this indictment because I can't imagine that he ever thought it would be fun, or engaging, or a fun fight to be accused of racketeering," Haberman said, calling Giuliani a "renowned national figure for busting mobsters using a similar law." Haberman also reflected on how the former mayor of New York City ended up here. "That he has, in a quest basically to be relevant to stay around former President Trump, ended up here is very upsetting to the people who still care about him," she said. Read the original article on Business Insider Special Counsel Jack Smith and Fulton County District Attorney Fani Willis are each prosecuting Donald Trump for the same fundamental alleged crime: conspiring to overturn the 2020 election and cling to power despite knowing he lost. But they are taking fundamentally different approaches, made clear in the indictments they secured against the former President this month. Whereas Smith is pursuing a narrow set of four charges against Trump in federal court, Willis has produced a sprawling and expansive case in Georgia that charges Trump and 18 of his associates with a grand total of 41 criminal counts. The contrast signifies the diverging strategies at the heart of their historic and politically combustible legal cases. Both are grappling with the complexity of prosecuting a former President who is also a leading presidential candidate in the next electionexcept they are not necessarily navigating the same set of headwinds. If Trump reclaims the White House, he could potentially exert executive power to inoculate himself from federal criminal vulnerability, such as attempting to pardon himself or appointing an attorney general who will quash the charges against him. That may be why Smith brought forth a lean indictment that he hopes can get to trial quickly, according to former federal prosecutors. Theres a race against the clock, says Barbara McQuade, a former U.S. Attorney, referring to Smiths case. In Georgia, thats not really the case. No attorney general of the United States can shut down a state court investigation. So this case can proceed, even if Trump is elected. That may also help to explain why Smith eschewed charging Trump with inciting an insurrection on Jan. 6, 2021, when a mob of his supporters stormed the U.S. Capitol. Indicting him on those grounds, legal experts say, would tangle the prosecution in First Amendment arguments that could provide Trump more room to maneuver. Instead, Smith limited the 45-page indictment to the four counts of conspiracy to defraud the government, conspiracy against the right to vote, conspiracy to obstruct an official proceeding, and obstruction of an official proceeding. While Smith listed six unnamed and unindicted co-conspirators, legal analysts suspect he left them untouched for now to expedite the case. He could charge them down the road, or try to flip them as government witnesses against Trump in the intervening months. Willis, for her part, charged Trump with 13 criminal counts, including soliciting a public official to violate his oath of office, conspiring to commit forgery in the first degree, conspiring to file false documents, and making false statements. Moreover, she charged Trump and allies such as former White House Chief of Staff Mark Meadows and former New York City mayor Rudy Guiliani with orchestrating a criminal scheme to subvert the election results. They collectively face a range of charges but are all being prosecuted under Georgias anti-racketeering laws, what are known in legal parlance as RICO statutes, which have typically been used to prosecute members of the mob. She named those defendants because she has to be able to show the criminal enterprise, says Anna Cominsky, a professor at New York Law School. She has to show the overt acts of the participants. By naming these people, and saying, here's what each of them did, either alone or collectively, that makes this a criminal enterprise. That's what's going to prove her case. While Willis has said she wants to try the case within the next six months, former prosecutors suspect thats not a realistic timeline, especially given the number of co-defendants. In fact, the case is unlikely to be resolved before voters cast ballots next year. When you have 19 total defendants, you will have 19 potential motions, says Jeremy Saland, a former Manhattan prosecutor. Youre going to have motions to sever. You're going to potentially have 19 motions to dismiss. Willis has indicated she will push to try them all together, but the co-defendants are likely to seek separate trials, a decision that can slow down the process and that will ultimately be made by the judge. I think that Jack Smith knew what he wanted, and he wanted to do it effectively, Saland adds. He wants to get this indictment rolled out and a potential trial in advance of the election. A federal judge will determine when the trial starts. Smith has asked to begin the trial on charges that Trump illegally plotted to nullify the election on Jan. 2, 2024, two weeks before the Iowa caucuses. Trumps team has already sought to push that trial back, and most former prosecutors suspect they will successfully postpone it, as defendants are often able to procure trial delays, especially when theres a voluminous amount of evidence presented through discovery. The government will also have to reckon with Trumps packed courtroom itinerary over the next year while he faces multiple criminal indictments concurrently, including in a separate Special Counsel case alleging that he mishandled classified documents. But Smith will face another complication if Trumps lawyers can shelve the matter long enoughthe looming presidential election in which the defendant could be the Republican nominee. Trump is currently leading the GOP primary by more than 40 points in most polls. Smith is keeping this trim and limited to Trump, because he really does want to take one of these two cases to trial before the next general election, says David Weinstein, a former assistant U.S. attorney, and because of the policies and practices of the Department of Justice, where they don't want to be perceived as influencing an election. He wants to get his prosecution over and done before you get too close to the next general election. Meanwhile, Trump is expected to stand trial in March on charges from the Manhattan District Attorney that he falsified business records. And a federal judge overseeing the case alleging that he hoarded national security secrets at his Mar-a-Lago Club has set a trial date for May 2024. Trump had asked for an indefinite postponement in the Mar-a-Lago documents case, saying it interfered with his campaign schedule, but Judge Aileen Cannon denied the request. While Smith will be racing to secure convictions in the federal cases against Trump, Willis may be able to proceed with her prosecution in 2025 no matter the outcome of the next election. Its a reality that gives her the latitude to pursue a wide-ranging case from the outset. Should Trump win the 2024 election, his lawyers could argue that he cant go on trial as a sitting president, but theres no guarantee such a maneuver could work, according to McQuade. Williss case could stretch on for years, potentially making it the last-resort legal instrument that can hold those who tried to subvert American democracy accountable. Rather than try to do this sort of streamlined one-defendant indictment, she charged them all with the full scope of all of the conduct, McQuade says. She says she'd like to try this case in six months, but realistically, she probably knows that's not likely. She's in it for the long haul. Contact us at letters@time.com. Sudanese people sit on a bus as they traverse the Nile River on a ferry after crossing the border from Sudan, in Abu Simbel, southern Egypt, in mi-May. The United Nations on Tuesday called on the international community to fund humanitarian aid for the country as the war spirals out of control. File Photo by Khaled Elfiqi/EPA-EFE Aug. 16 (UPI) -- Global humanitarian leaders have again called on Sudan's warring sides to cease their fighting that they say has engulfed the Northeast African nation, affecting millions. Sudan has been submerged in four months of bloody fighting that erupted on April 15 between the Sudanese Armed Forces and its breakaway Rapid Support Forces. On Tuesday, leaders of the United Nations' various humanitarian branches called on the two sides to end the conflict while asking the international community to open their wallets and fund aid. "It is time for a reset," 20 U.N. leaders said in a statement to the Sudaneses people, the warring sides and the international community. "We call for an immediate cessation of hostilities. The people of Sudan need peace and equitable access to humanitarian relief. And the international community must step up today, engage at all levels and act to put Sudan back on track and end the war." According to the latest assessment from the U.N.'s International Organization for Migration, more than 4.25 million people have been forced to flee their homes in Sudan because of the fighting, including 3.2 million internally displaced and nearly 1 million who have crossed borders into neighboring countries Egypt, Libya, Chad, Central African Republic, South Sudan and Ethiopia. The U.N.'s Food and Agriculture Organization early this month raised a "dire warning" over the nation's escalating food crisis, stating more than 20.3 million people, or 42% of Sudan's population, are experiencing high levels of acute food insecurity -- with more than 6 million of them listed as Phase 4 of its 5-phase system, meaning they are in an emergency. In the Tuesday statement, the U.N. leaders warned the situation may further deteriorate if something is not done as time is running out for farmers to plant crops and medical supplies were dwindling. "The situation is spirally out of control," they said. "Each day the fighting continues, the Sudanese are being robbed of the peace they cherish, the lives they are entitled to and the future they deserve." The two appeals it has made of the international community for funds are less than 27% met, they said, adding that with the help of world leaders, they could save 19 million Sudanese. For years, Sudan had teetered on the precipice of war following the ousting of the country's former three-decade dictator government of President Omar al-Bashir in a civilian-backed coup in 2019. Amid its crawl toward democracy, Gen. Abdel Fattah al-Burhan, head of the Sudanese Armed Forces, and his deputy, Rapid Support Forces head Gen. Mohamed Hamdan Dagalo, executed another coup but infighting over control of the government turned into bloodshed this spring. To the warring sides, the U.N. leaders called on them to end their fight, protect civilians and grant safe and unfettered access. To the Sudanese civilians, they said the humanitarian community has not forgotten about them and remains committed to supporting them. "We will continue to push for access to all people and in all areas of Sudan to bring humanitarian supplies and essential services," they said. The statement came nearly six weeks after U.N. Secretary-General Antonio Guterres warned the conflict was devolving into a full-scale civil war. Now that Kenya has taken the lead in possibly leading a foreign intervention into Haiti, the United Nations secretary-general, who has been a strong advocate of a robust use of force against the Caribbean nations armed gangs, said restoring law and order will need support from the international community, a strengthened U.N. presence and movement in Haitis ongoing protracted political crisis. International action to enhance the security situation should be supported by the Security Council and be guided by the primacy of the political process, anchored in the inter-Haitian political dialogue, U.N. Secretary-General Antonio Guterres said in a highly anticipated letter to the U.N. Security Council outlining options to help Haitis struggling police force combat armed gangs. Guterres, who began pushing for the deployment of a rapid action force back in October, was asked by the Security Council last month to report back on how the global body could help restore security to Haiti. His Aug. 14, 2023, letter, addressed to the president of the Security Council, currently headed by U.S. Ambassador Linda Thomas-Greenfield, was obtained by the Miami Herald. It outlines the full range of support options along with other needs that must be addressed for a non-U.N. multinational force deployment to be effective. For one, the mission would be under the umbrella of the Security Council, but it would not be a traditional peacekeeping mission. The countrys current situation is not conducive to a traditional peacekeeping force, Guterres said in the 14-page document. However, disarming gangs in Haiti requires a capable specialized multinational police force enabled by military assets, coordinated with the national police, he said, and it should be part of a broader strategy, led by Haitian political and civil society leaders and supported by the U.N. Integrated Office in Haiti and international partners. Are Haitian artists being forced to perform for gangs? Recent arrest triggers debate Stephane Dujarric, Guterres spokesman, told reporters in New York on Tuesday that what the secretary-general had put forward is really complementary to what he had said earlier on recommendations for a non-UN multinational force to support the Haitian police. I think he did that back in October of last year, Dujarric said. Whether or not there is enough resources put forward by different Member States, groups of Member States that coalesce into a non-UN police force, I think thatll be clear when its clear. In the letter, the secretary-general says that ideally, a lead nation for the non-U.N. multinational force into Haiti would deploy fully self-sustained and with its own integral support arrangements. It should have the ability to recapture areas currently under gang control and after doing so, would install itself and then receive logistics support from the United Nations, similar to the kind of support the U.N. is currently providing to the African military in Somalia. Guterres refers to the force as having both police and military units, an acknowledgment of the complex nature of Haitis armed groups, which he said have become increasingly sophisticated as they expand and control large swaths of territories. Addressing the security situation in Haiti requires a range of coercive law enforcement measures, including active use of force in targeted police operations against heavily armed gangs, he said. Guterres suggestion for the security missions deployment into Haiti, with logistics support from the U.N., is similar to what took place in 2004. Following a bloody revolt that sent Haitis democratically elected president, Jean-Bertrand Aristide, into exile, the United States deployed military troops. Months later, after the U.S.-led mission had reestablished a semblance of order, a U.N. Stabilization Mission, led by Brazil, arrived in Port-au-Prince. However, this time around, no one is talking about a peacekeeping mission but about a more hybrid approach in hopes of avoiding the criticism that has accompanied past foreign interventions. Guterres security option comes after extensive consultations with the Haitian government and leaders in Haiti, not all of whom favor foreign intervention. But in recent months, an increasingly number of Haitians have come to accept that without assistance, the Haiti National Police will not be able to stop the escalation in kidnappings and killings that have internally displaced at least 195,000 people from their homes since 2022. Kenya, in the coming days, is preparing to send a team to New York and Port-au-Prince to explore the idea of leading a multinational force into Haiti. The countrys government last month said it would consider leading a security mission and deploying about 1,000 police officers to help train and assist the Haiti National Police combat violent gangs. Thomas-Greenfield, welcoming the offer, later said the U.S. would sponsor a resolution at the Security Council to support Kenyas efforts if its government decides to go ahead with the mission. Along with how a security mission would be carried out in Haiti, Guterres also argues for a strengthened U.N. presence in the troubled country through the U.N. Integrated Office in Haiti known as BINUH. The office, already tasked with helping Haitians find a solution to the deepening political crisis, would further work to train and advise the Haitian national police in its efforts to deter, capture and disarm gangs, secure strategic installations and major roadways, to allow freedom of movement, and create an enabling environment for a political process leading to credible, inclusive and transparent elections, and the restoration of democratic institutions. The U.N. office in Haiti, for example, could provide technical support to the Haitian justice system to build and strengthen a specialized judicial task force on complex issues, including sexual violence, vetting of former gang members entering demobilization and reintegration programs and referral to judicial authorities. In the immediate term, the stabilization of the security environment requires significant international support not only to the national police to restore security, but also to corrections, justice, custom controls, border management and security, Guterres said. This needs to be matched by equally significant political will and commitment to adequate, predictable, and sustained financing to preserve institutional gains in the long term. It will be essential to scale up interventions aimed at reducing violence at community level and providing alternatives to violence, especially for youth at risk of recruitment into gangs. But at the core of the assistance is progress on the political front. Haitis political crisis, in the making for years, has deepened since the assassination of President Jovenel Moise two years ago. His still unsolved murder has plunged the country deeper into a constitutional crisis with no elected leaders in office, and has contributed to the rapid erosion of state authority, which has allowed heavily armed criminal gangs to expand their territorial control and criminal activities around illicit economies. Today, gang violence is no longer limited to Port-au-Prince, the countrys capital, but is now spreading to the Artibonite region, just north, and other areas of the country, generating pressing humanitarian needs, the U.N. leader said. Haiti has seen a 62% increase in gang-related killings, injuries and kidnappings between the first semester of last year and the same period this year. The threat of gang violence, including sexual violence, extortion, killing and kidnapping, now affects all communes in the Port-au-Prince metropolitan area, obstructing the freedom of movement of residents and hindering access to essential goods and services, Guterres said. The capital is encircled by gangs and effectively cut off by road from the northern, southern and eastern parts of the country. But for the deployment of any force to be successful it will need not just the support of the United Nations, but also member countries, which Guterres suggests should pay for the multinational force through assessed member contributions to the U.N. Additional assistance for the Haitian police should continue to come through bilateral donor assistance, he said. While the letter doesnt provide a start or end date for a multinational force, Guterres said that Haiti will need not just strong bilateral support for its police, but also substantial and sustained international support to address its myriad of other issues including the operational capability of the national police. It will also need the country to get its political and governance act together. Without a meaningful reform of the political system, Haiti will continue to face these cycles of crises and instability emanating from weak political representation and disenfranchisement, a polarized political climate, and fragile and politicized state institutions, Guterres said. Flash China has made serious demarches to Japan over its certain politician's visit to Taiwan and his irresponsible remarks, a Chinese Foreign Ministry spokesperson said on Tuesday. Spokesperson Wang Wenbin made the remarks at a press briefing when asked to comment that according to a Japanese lawmaker who accompanied Taro Aso, Vice President of the Liberal Democratic Party and former Prime Minister of Japan, on his visit to Taiwan, Aso had discussed his remark in Taiwan about "a readiness to fight" and "deterrence" with the Japanese government beforehand, and the remark is in line with Japan's official view. Taiwan is part of China, Wang said, pointing out that the Taiwan question is purely China's internal affair that brooks no foreign interference. Wang pointed out that the Cairo Declaration issued by China, the U.S. and the UK in 1943 made it clear that Taiwan and all the other territories Japan stole from China shall be restored to China. Article 8 of the Potsdam Proclamation in 1945 reaffirms this. The Instrument of Surrender signed by Japan in 1945 says explicitly that Japan accepts the Potsdam Proclamation. The China-Japan Joint Statement signed in 1972 when the two sides normalized diplomatic relations states that the Japanese government fully understands and respects the position of the Chinese government that Taiwan is an inalienable part of China's territory and declares the commitment to Article 8 of the Potsdam Proclamation. Wang said in 1978, the two countries concluded the Treaty of Peace and Friendship between China and Japan, which affirms the principles set out in the joint statement in legal form. The third and fourth political documents concluded in 1998 and 2008 respectively both reiterate Japan's commitment to the position on the Taiwan question stipulated in the joint statement. Japan has more than once made serious commitments to China on the Taiwan question, including not supporting "two Chinas," "one China, one Taiwan" or "Taiwan independence," and only maintaining people-to-people and region-to-region exchanges with Taiwan, Wang added. "Those are the historical context of the issue, and the principles and position that Japan must abide by," said Wang. "I wish to stress that the Taiwan question bears on China's core interests, the political foundation of China-Japan relations, and basic trust and principles between the two countries," Wang said, urging Japan to adhere to the one-China principle and the spirit of the four political documents between China and Japan, and take concrete action to honor its political commitment and related statements. "China is ready to take all measures necessary to firmly safeguard its sovereignty and territorial integrity," Wang said. Ukrainian forces have rendered five major landing ships of the Russian Black Sea Fleet inoperable since the commencement of Russias full-scale invasion, Dmytro Pletenchuk, spokesperson for the Ukrainian Naval Forces, conveyed during a broadcast on the Freedom television channel on Aug. 15. He said that ahead of the conflict, Russian forces had been replenishing their Black Sea Fleet under the guise of training exercises. However, this ability has now been largely thwarted. Read also: Russia claims Novorossiysk attacked by sea drones, port temporarily closed to shipping traffic They managed to bring in six more of their large landing ships, on top of the six they already had. Yet, the outcome is known: five of them are no longer operational. This tally may further increase, said Pletenchuk. In March of 2022, Ukrainian forces sunk the Saratov landing vessel in the port of Berdyansk, and among the Russian large landing ships also known to have been damaged earlier were the Novocherkassk and the Caesar Kunikov. Read also: New images show extensive damage to Russian warship targeted by Ukrainian naval drones Then, on Aug. 4, 2023, two maritime drones launched an assault on Novorossiysk in the Krasnodar Krai of the Russian Federation. Subsequently, a video emerged depicting another heavily damaged landing ship, the Olenegorsky Gornyak. It suffered a sizable breach in its hull and is currently undergoing repairs in a floating dry dock. Pletenchuk didnt name the four ships known to have been damaged, or the fifth one claimed by Ukraine. According to data from the General Staff, as of Aug. 16, Russia has lost a total of 18 ships and boats. Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine FILE PHOTO: U.S. Air Force in Europe holds media day at a base in Germany KYIV (Reuters) - Ukraine will not be able to operate U.S.-built F-16 fighter jets this coming autumn and winter, air force spokesperson Yuriy Ihnat told Ukrainian television late on Wednesday. "It's already obvious we won't be able to defend Ukraine with F-16 fighter jets during this autumn and winter," Ihnat told a joint telethon broadcast by Ukrainian channels. Ukraine has repeatedly called its Western allies to supply the country with F-16s, which Ukrainian President Volodymyr Zelenskiy said would be a signal that Russia's invasion would end in defeat. U.S. President Joe Biden endorsed training programmes for Ukrainian pilots on F-16s in May but no timing for the supply of war planes has been given so far. "We had big hopes for this plane, that it will become part of air defence, able to protect us from Russia's missiles and drones terrorism," Ihnat said. The West says it wants to help Ukraine defeat Russia but has repeatedly insisted it does not want to trigger a direct confrontation between the U.S.-backed NATO military alliance and Moscow. (Reporting by Oleksandr Kozhukhar in Kyiv, writing by Maria Tsvetkova in New York, editing by Sandra Maler) Ukraine retakes village but warns of trouble in northeast - Kyiv Ukrainian soldiers stand with Ukrainian flag in Urozhaine By Max Hunder and Vitalii Hnidyi KYIV/KUPIANSK, Ukraine (Reuters) -Ukraine announced the recapture of the village of Urozhaine from Russian troops in the southeast on Wednesday, but warned the situation on the northeastern front was deteriorating amid Russian counter-attacks. Urozhaine, on the edge of Donetsk region, is the first village Kyiv says it has retaken since July 27, a sign of the challenge Ukraine faces advancing through heavily mined Russian defensive lines without powerful air support. "Urozhaine is liberated," Defence Minister Hanna Maliar said on the Telegram messaging app. "Our defenders are entrenched on the outskirts." The village is one of several small rural settlements near the Mokri Yaly river that Ukraine has declared liberated since early June, when it launched a long-touted counteroffensive against Russian troops who occupy swathes of the south and east. Its recapture would bring Kyiv closer to threatening the village of Staromlynivka, several kilometres to the south, which military analysts say is a Russian stronghold in the area. Russia's defence ministry did not confirm losing Urozhaine in a statement on Telegram but said its artillery and warplanes were attacking Ukrainian forces in the Urozhaine area. The village's recapture would indicate Ukraine is pressing ahead with an offensive drive south towards the Sea of Azov that aims to cut Russian occupying forces in half. Urozhaine lies just over 90 km (55 miles) from the Sea of Azov. PRESSURE BUILDS IN NORTHEAST Hours after the Urozhaine announcement, Oleksandr Syrskyi, one of Ukraine's top generals, said the situation on the Kupiansk front in the northeastern region of Kharkiv was growing more difficult. Kupiansk, a town with a pre-war population of around 27,000, was seized by Russia in the early days of the February 2022 invasion before Ukrainian troops recaptured it in a lightning offensive last September that embarrassed Moscow. "Due to the complication of the situation in the Kupiansk direction, I worked most of the day with units that lead the defence on the approaches to the city," Syrskyi was quoted as saying by Ukraine's Military Media Center. "The enemy is trying to break through the defences of our troops every day, in different directions, with assault squads consisting mainly of convicts, with the aim of blockading and then capturing Kupiansk," he said. Losing Kupiansk a second time would be a major blow to Kyiv's battlefield momentum at a time when its summer counter-offensive has so far failed to deliver significant territorial gains, except for villages such as Urozhaine. Regional authorities announced a mandatory evacuation of civilians from near the Kupiansk front earlier this month due to daily Russian shelling. "Our house is the only one standing, there are no other houses left intact around ours," said Oleh Yanytskyi, a resident of the village of Kurylivka who was evacuated by the Ukrainian Red Cross this week. Kyiv says its counteroffensive is progressing slower than it wanted because of vast Russian minefields and prepared Russian defensive lines. Russia controls nearly a fifth of Ukraine, including the peninsula of Crimea, most of Luhansk region and large tracts of the regions of Donetsk, Zaporizhzhia and Kherson. Reuters could not independently verify the battlefield reports. (Reporting by Max Hunder and Pavel Polityuk in Kyiv and Vitaliy Hnidyi in Kivsharivka; additional reporting by Lidia Kelly in Warsaw; Writing by Tom Balmforth; Editing by Angus MacSwan and Bernadette Baum) NATO Secretary General Jens Stoltenbergs chief of staff on Tuesday created a bit of an international kerfuffle with his suggestion on how Ukraine might obtain its coveted NATO membership. I believe that a solution may be that Ukraine gives up territory and receives a NATO membership in return, said Stian Jenssen in a panel debate in southern Norwegian coastal city of Arendal, according to the Norwegian VG news outlet. https://twitter.com/faytuks/status/1691413855727915009?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Discussion of Ukraines possible status after the war is already underway, Jenssen told the publication. He added that questions of surrendering territory to Russia have been raised by others, whom he did not name. Jenssen also pointed out that it must be up to Ukraine to decide when and on what terms they want to negotiate. I'm not saying it has to be this way, said Jenssen. But there may be a possible solution. Ukrainian presidential advisor Mykhalio Podlyak took to Twitter to skewer the suggestion. "Trading territory for a NATO umbrella? It is ridiculous," he said. "That means deliberately choosing the defeat of democracy, encouraging a global criminal, preserving the Russian regime, destroying international law, and passing the war on to other generations." https://twitter.com/Podolyak_M/status/1691478223542984706 After Jenssen's comments, a NATO official reassured Ukraine that there has been no change in policy. "We fully support Ukraine's sovereignty and territorial integrity, as NATO leaders reaffirmed at the Vilnius Summit in July, the unnamed official told Ukrainian Pravda. We will continue to support Ukraine as long as necessary, and we are committed to achieving a just and lasting peace." Beyond being a non-starter in Kyiv, the question of a land-for-membership swap is highly unlikely. During its July summit in Vilnius, NATO basically pushed the concept of Ukrainian membership until after this war is over on Ukrainian terms. President of Ukraine Volodymyr Zelenskyy seen during a joint press conference with the Secretary General of NATO Jens Stoltenberg on the second day of the 2023 NATO Summit in Vilnius, Lithuania, on July 12, 2023. (Photo by Artur Widak/NurPhoto via Getty Images) While the summit's decision "contains relatively positive phrasing regarding Ukraine," nothing is imminent, European Pravda reported Tuesday. "In particular, the NATO Summit agreed to remove the requirement for a Membership Action Plan for Ukraine's path to the Alliance. At the same time, the allies indicated that they would invite Ukraine to join NATO 'when conditions are met.'" Still, that a NATO official would suggest such a move is significant in itself. Before we head into the latest news from Ukraine, The War Zone readers can catch up on our previous rolling coverage of the war here. The Latest On the battlefield, even as Ukraine appears to be making incremental advances in Zaporizhzhia and Donetsk Oblasts, it has transferred reserve troops to the Kupiansk area of Kharkiv Oblast to blunt an attempted Russian advance there, Kyiv says. "Direct, clear orders were given to build an echelon defense, Serhii Cherevatyi, a spokesman for the Eastern Group of the Armed Forces of Ukraine, said on national TV Tuesday. Our fire positions were strengthened, certain methodical recommendations were given, reserves were transferred. Therefore, this made it possible to make the enemy's movement impossible." The moves were in reaction to Russians conducting a large-scale offensive in the Lyman-Kupiansk direction, he said. Ukraine has moved reserve forces to Kupiansk to blunt a Russian offensive there, a Ukrainian official said Tuesday. (Google Earth image) Cherevatyi didnt disclose how many troops were transferred, but Ukraine has shown steady concern about Kupiansk. As we wrote on Aug, 9, oblast officials began to plan a mandatory evacuation as Russian shelling of the city increased and its troops drew closer. Its unclear how or if the troop transfer will affect the ongoing counteroffensive. But there are reports that Ukraine has advanced in Zaporizhzhia Oblast further toward the town of Robotyne and in Donetsk Oblast toward the town of Urozhaine. https://twitter.com/TheStudyofWar/status/1691560688672485454 A small group of Russian forces unsuccessfully tried to cross into northeastern Ukraine near Novhorod-Siverskyi in Chernihiv Oblast, Ukraines Joint Forces, Lt. Gen. Serhiy Naiev, said on his Telegram channel Tuesday. Two armed groups of militants were noticed by the sentry of the observation post, Naiev wrote. A shooting battle ensued. According to preliminary data, sabotage and reconnaissance groups consisted of five and seven fighters, respectively. Having suffered losses in wounded and killed, the enemy retreated in the opposite direction, he wrote. https://twitter.com/euromaidanpress/status/1691391418588164096?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A The Russian Defense Ministry on Tuesday released new video of its troops boarding the Palau-flagged merchant ship Sukru Okan on Sunday The video shows armed Russian troops boarding the vessel, entering the bridge, pointing their weapons at the crew and ordering them in broken English to "stop the ship." They then ordered the crew to sit down and asked why they didn't stop the ship despite Russian orders to do so. The Russian Project 22160 patrol ship Vasily Bykov reportedly encountered the Sukru Okan north of Turkish waters, per the Russian Ministry of Defense. In a news release, Moscow claimed the Bykov hailed the 283-foot-long cargo ship, but the captain did not respond. You can read more about this incident in our original story here. https://twitter.com/COUPSURE/status/1691399774153383937 An image of a U.K.-donated Challenger 2 tank fitted with a cope cage emerged on social media Tuesday. There was no indication of where or when it was taken. The Kremlin-connected Rybar Telegram channel said the image - first posted by the Ukrainian Tysk Telegram channel - was actually the first objective evidence of the appearance of vehicles of this type on the frontline. The U.K. has donated 14 Challengers to Ukraine. The tanks are believed to belong to the Ukrainian 82nd Airborne Brigade, which is also the unit of a Ukrainian Stryker armored vehicle hit by a Russian Lancet drone near Robotyne, Zaporizhzhia Oblast that we wrote about earlier today. The Challenger image and Stryker video indirectly confirms that the Ukrainian command is preparing to use earlier reserve formations for a strike in the Orekhovsky direction, Rybar surmised. https://twitter.com/front_ukrainian/status/1691514186470170624?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Confronted by upwards of hundreds of thousands of Russian landmines that have slowed down its counteroffensive, Ukrainian troops have developed a new way of finding them. Ukrainian frontline troops are using thermal cameras on commercially available drones at dusk, CNN reported. The camera floats above Russian minefields and detects dozens of heat signatures. Some are craters, but many are landmines, barely hidden under the surface. The heat they gather from the glaring summer sun during the daytime is retained as the sun sets, causing them to show up more clearly on the thermal camera. While such drones are readily available and affordable, the scale of the task is formidable, with often up to five landmines per square meter across more than 180,000 square kilometers of mine-affected land, according to official Ukrainian estimates, CNN reported. https://twitter.com/front_ukrainian/status/1691486569520148484?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Sweden on Tuesday announced its 13th package of aid for Ukraine that includes ammunition and spare parts for the CV90 infantry fighting vehicles and Swedish variant Leopard 2 tanks it has already provided. In addition, Sweden said it obtained authorization to sell the U.S. an undisclosed number of RB-90 Advanced Medium Range Air-to-Air Missiles (AMRAAM), which it will then donate to Ukraine. The AIM-120 is used with the country's NASAMS air defense systems. The package is valued at SEK 3.4 billion ($313 million). https://twitter.com/front_ukrainian/status/1691422881203232769?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Air defense remains critical factor for both sides in this fight. Losing systems - especially one as rare as a Russian S-300V4 battery - stings. This video below, posted by the Ukraine Weapons Tracker open source group, reportedly shows the battery - featuring the most updated version of the Russian S-300V series - being attacked by Guided Multiple Launch Rocket Systems GMLRS munitions. Ukraine Weapons Tracker said that a 9A83M2 transporter erector launcher and radar (TELAR) and a 9A84-2 transporter erector launcher (TEL) were destroyed and two radars damaged. https://twitter.com/UAWeapons/status/1691491187985723402 Elsewhere, the Ukrainian special operations forces (SSO) posted a video it says showed the destruction of four pieces of Russian equipment somewhere on the southern front. During aerial reconnaissance, enemy equipment was discovered, including the Strela-10 air defense system, a tractor with a howitzer, and a KAMAZ truck, the SSO said on its Telegram channel. As a result of the precise work of the operators of the attack UAVs, all targets were destroyed. https://twitter.com/ralee85/status/1691350251033055232?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Russia displayed vehicles it says it captured in Ukraine during its ongoing International Military-Technical Forum Army. Some, like the Swedish-donated CV9040C infantry fighting vehicle and the French-donated AMX-10RC armored vehicle, have been put on display by Russia in the past. https://twitter.com/WarVehicle/status/1691444202666602496 And finally, a little more than two months after the Nova Khakovka dam was destroyed, what was once covered by the Dnipro River now looks like a meadow. A video posted to social media on Tuesday shows two men walking across the river bottom, filled with plants and littered with the occasional unexploded artillery shell. https://twitter.com/astraiaintel/status/1691412645209837568?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A That's it for now. We will update this story when there is more news to report about Ukraine. Contact the author: howard@thewarzone.com Social media posts claim a video of two camouflaged individuals dragging a man shows an attempt to stage footage of Ukrainian troops in combat. This is false; the clip was filmed by a center that offers military skills training for civilians. "'Ukrainian fighters are very brave and courageous. No one is abandoned,'" says a Facebook post published July 26, 2023. "And, oh, sorry, the camera person got into the shot, let's do it again! Oscar-worthy actors serve in the AFU!" The video shows two men dressed in combat gear apparently pulling an injured comrade to safety. A woman wearing a reflective vest and holding a camera appears in the background. Screenshot of a Facebook post taken August 14, 2023 The caption, which references the Armed Forces of Ukraine (AFU), implies the video is evidence of an attempt to stage footage from the country's war with Russia. Similar posts have spread in German, French, Polish, Slovak and Russian. But the clip is not from live combat operations -- it was uploaded by a center that offers training for civilians in Kremenchuk, a city in central Ukraine along the Dnieper River. Clues on TikTok The footage shared online bears the watermark of a Telegram channel called "The Military Theme Z," where the video was posted July 25 with a Russian caption saying: "We are monitoring Ukrainian TikTok." A reverse image search of frames from the clip surfaced an identical video on Russian social network VKontakte -- but with a different watermark referring to @yar0slav_yar0slav on TikTok. The account published the video July 24 with a Ukrainian caption that says: "It is better to test yourself and really evaluate your strengths during training tasks." The post (archived here) includes hashtags mentioning "Kremenchuk," "education," "Ukraine" and "dftg12." DTFG12 is a reference to Educational and Training Center 12, which says on social networks that it trains civilians in basic military skills. "If you want peace, prepare for war!" the center says on Telegram, giving an address in Kremenchuk. As of August 16, Kremenchuk was under Ukrainian control. The city has not been close to the front lines since the invasion, although a shopping mall was the target of a Russian attack in June 2022. Footage, pictures from same event Educational and Training Center 12 published the video and several related photos (archived here and here) July 24 on Facebook. "The next test, after completing the 5-week Basic Military Training Course for Civilians, in the ranks of the NTC12," one post caption says. Screenshots taken August 8, 2023 from the video shared online (L) and the Facebook account of a Ukraine training center, with elements highlighted by AFP The head of the center, Jaroslav Panasko, told AFP in an August 11 email that the video was taken during the final part of a five-week training course, as the Facebook post describes. The participants practiced pulling a wounded man out of a combat zone so they could stabilize him. "In this case, our photographer got into the shot," Panasko said. He said last year the group set up a volunteer territorial defense organization "teaching civilians basic military skills -- safe handling of weapons, infantry tactics, tactical medicine." The regional military administration in Lviv, another part of Ukraine, published additional information about the efforts (archived here). Authorities in October 2022 disbanded volunteer formations in the area, but Panasko said the center continued similar work in a different form. "We work in the format of an NGO. We have no legal contacts with the Armed Forces, but we often cooperate at various events," Panasko said. AFP has debunked numerous false claims about the Russian invasion of Ukraine since the conflict began in February 2022. A Ukrainian sergeant says the battleground was so thick with wounded soldiers that the evacuation vehicles were accidentally driving over their bodies Ukrainian soldiers help a wounded comrade into an evacuation vehicle in the frontline in Bakhmut, Donetsk region, Ukraine, Monday, Feb. 20, 2023. AP Photo/Libkos A New York Times report detailed how Ukrainian soldiers are coping with the mental trauma of war. One soldier who has nightmares said he saw evacuation vehicles mistakenly drive over wounded soldiers. "I remember the faces of all our dead comrades," another Ukrainian soldier told the Times. A Ukrainian sergeant said at times there were so many wounded soldiers on the battleground that the vehicles evacuating them were accidentally driving over bodies, according to a report published in The New York Times on Tuesday. The sergeant, 28-year-old Vladyslav Ruziev, was among the Ukrainian soldiers who told the Times they were traumatized by what they'd seen in the ongoing war with Russia. Ruziev said he has recurring nightmares about when his unit faced constant attacks from Russian troops during the freezing winter, with many soldiers losing limbs. "Sometimes the ground was so thick with the wounded that the evacuation vehicles drove over their bodies by mistake in the chaos," he said. Estimates suggest more Russians have died fighting in Ukraine than Ukrainians have, but the war has been costly for both sides. Experts have also said morale, and the willingness to rescue injured soldiers, has been higher on the Ukrainian side, but Ukraine has experienced heavy casualties, especially since launching its slow-moving counteroffensive in June. The lack of progress and the number of wounded Ukrainian soldiers have started to take a toll on the country's morale, The Washington Post reported last week. Ruslan Proektor, a 52-year-old Ukrainian soldier who lost his leg to a land mine, told the outlet that he regretted going to war and would not volunteer again. "They are taking everyone and sending them to the front line without proper preparation," he told the Post. "I don't want to be in the company of unmotivated people." The physical and mental toll of the war on Ukrainians has been worsened by Russia's prolific use of land mines, which has caused an increasing number of servicemen and civilians to undergo amputations. The Wall Street Journal reported 20,000 to 50,000 Ukrainians have lost limbs since the war began. Tanisha Fazal, a professor at the University of Minnesota who studies medical care in war, told Insider that soldiers today are surviving injuries they would not have survived in the past, which adds to the mental health challenges countries face once the fighting has ended. "This is going to be a long-term cost of war for the Ukrainians," Fazel said of the land mines and amputations, adding: "This is something that's going to have to be part of rebuilding in Ukraine, dealing with veterans but also I think probably civilians with serious wartime injuries, both physical and mental." The Times reported Ukraine is no longer able to keep up with treating the psychological trauma that its soldiers have experienced. There are some treatment centers that focus on both physical wounds and mental trauma, but some Ukrainian soldiers struggling with their mental health do not always seek out treatment. A 35-year-old soldier, who told the outlet most of the men in his unit had been killed, said one night he woke up and attacked his roommate, thinking he was a Russian soldier. "I cry sometimes. When I'm falling asleep, I can visualize it all over again," he said, adding, "I remember the faces of all our dead comrades." Read the original article on Business Insider Leniie Umerova, a Ukrainian citizen and a Crimean Tatar who has been detained in Russia for six months after trying to visit her sick father in Crimea, has written a letter to President Volodymyr Zelenskyy. Source: Leniies brother Aziz Umerov on Facebook Quote: "Dear Volodymyr Oleksandrovych, greetings to you! My name is Leniie Umerova, I am a citizen of Ukraine and a Crimean Tatar. I am writing this letter to you as a political prisoner from the Lefortovo pre-detention centre. At the beginning of last winter, I tried to get to my sick father in Crimea, but when I entered the territory of the Russian Federation, I was detained in a criminal manner. And six months later, in May, far-fetched charges of espionage were brought forward against me. Today I want to write about the most crucial thing. Now our society is going through difficult times and is fighting for its rights to development and life. And all of us, citizens of Ukraine, each in their place, courageously defend the most valuable things we have, our dignity and freedom. The foundation laid by previous generations and fixed on their own experience. Words that for each of us have become a basic need that we defend! Thank you for showing by your own example, as a leader of a sovereign democratic country, that the words dignity and freedom have no price! Yours sincerely, L.R. Umerova P.S. And there will be a son, and there will be a mother, and there will be people on earth!" [quote from a poem And Archimedes, and Galileo by Taras Schevchenko ed.] Details: Leniies brother said that the Russians had ordered a forensic psychiatric examination in relation to Umerova. Quote: "The term, methods and means of this examination remain unknown. Lawyers systematically visit Leniie and try to keep the situation under control as much as possible. During the last visit, Bojek [Leniies nickname, translated from the Crimean Tatar as "bug" ed.] handed over a letter addressed to the president of Ukraine. . Background: On 4 December last year, Leniie had to leave Kyiv for occupied Crimea due to the deterioration of her cancer-stricken father. Russian security forces detained the woman crossing the Georgian-Russian border, allegedly for violating the rules of the state border crossing. Until 16 March, she was kept in the Temporary Detention Centre for Foreigners near Vladikavkaz. The court found Umerova guilty of "violating the rules of the state border crossing" and fined her RUB 2,000 [approx. US$20 ed.] On the night of 16 March, Leniie was released, but a car with four men was waiting for her right at the gate. According to human rights activists, Leniie was captured, a bag put on her head, and taken to an unknown location in Vladikavkaz. Umerova was almost immediately detained by a police patrol for "insubordination". The court ruling said that when asked to identify herself, Leniie showed the passport of a citizen of Ukraine and a travel passport. After that, she said representatives of law enforcement told her to go with them, explaining that they are searching for people involved in distribution of narcotics. When asked why she was being detained, law enforcement officers drew up a second protocol for disobeying police officers requests. They thought that Umerova "was being too loud and refused to get into the car". On 27 March, the court re-imposed her arrest under the Russian Code of Administrative Offences for "disobeying the order of a police officer". This time, the reason for the extension of the arrest was that Leniie did not return the phone on time to the detention centre staff after talking with her father. On 9 April, Leniie Umerova's detention term was about to expire. But on 11 April, the court appointed a third arrest under the Code of Administrative Offences for 15 days. This time, she was accused of refusing to get out of the car at the request of a police officer. On 26 April, the Vladikavkaz court again arrested Umerova for 15 days. Another administrative charge sheet on disobedience was drawn up against Leniie. In May, Umerova was arrested by the Lefortovo court in Moscow on suspicion of espionage. Leniies brother noted that her only crime was that she did not obtain a Russian passport as a native of Crimea. In June, her detention was extended for three months. Ukrainska Pravda is the place where you will find the most up-to-date information about everything related to the war in Ukraine. Follow us on Twitter, support us, or become our patron! A prosecutor in Marion County, Kansas, has withdrawn the search warrant that sparked Fridays controversial police raid of the small towns newspaper offices. Marion County Attorney Joel Ensey said in a statement Wednesday that the warrant was based on insufficient evidence that a crime had been committed. The seized electronics and documents will be returned to the Marion County Record. The news followed days of criticism of the police search of the newspaper, which appeared to be aimed at finding evidence about how the paper obtained information that a local restaurateur, who applied for a liquor license, lost her drivers license over a DUI in 2008. In addition to the Records newsroom, the police also executed search warrants at the home of publisher and co-owner Eric Meyer and the home of Ruth Herbel, a Marion city councilwoman. First Amendment advocates have said the raid went too far and violated legal protections for newsrooms. Also Wednesday, new information was reported about the judge who signed the search warrant, who has her own history of drunken-driving arrests. Here are the latest on developments following the raid on the Marion County Record: Eric Meyer, the editor and publisher of the Marion County Record, stands outside the newspapers office on Monday. The office and Meyers home were raided by police on Friday. Prosecutor orders seized materials to be returned to newspaper office Marion County Attorney Joel Ensey has withdrawn the search warrant that led to Fridays police raid. The warrant listed 15 categories of items police could seize on suspicion of identity theft and unlawful acts concerning computers. Ensey said he concluded that insufficient evidence existed to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. Bernie Rhodes, the Records lawyer who also represents The Star, said Wednesday that all the electronics police seized from the newsroom will be returned. But he argued that this is a small remedy to the harm caused by the raid. It does nothing about taking care of the damage that has already occurred from the violation of the First Amendment in the first place, he told The Star. Read more: Warrant for Kansas newspaper raid withdrawn by prosecutor for insufficient evidence Magistrate Judge Laura Viar signed a search warrant that authorized Marion police to raid the Marion County Records newsroom and the home of the editor. Judge who signed the warrant has her own DUI history Magistrate Judge Laura Viar, who signed the warrant authorizing Fridays raid, did so because of allegations that the newspaper had improperly obtained information about a local restaurant owners past DUI conviction. But Judge Viar has a DUI history of her own. She has been arrested at least twice for driving under the influence in two different Kansas counties, an investigation by the Wichita Eagle reported Wednesday. During a 2012 incident in Morris County, she allegedly drove off-road with a suspended license and crashed into a school building while under the influence. She was running unopposed for Morris County Attorney at the time and won. Viar was not sanctioned by the states attorney discipline board. Read the investigation: Judge who approved raid on Kansas newspaper has history of DUI arrests Marion locals react to the newspapers police raid Tensions ran high in the small town of Marion on Tuesday as residents reacted to Fridays raid. The newspaper has received support from around the country, including over 1,000 new digital subscriptions in the days since the raid alone. One resident classified the papers coverage as negative, while another praised the paper for its watchdog reporting on local government and business issues. This newspaper is very good at investigative reporting, he said. Read more: Raided Kansas newspaper is known for aggressively covering small towns many disputes Vultures roosting on the water tower in Marion, Kansas. KBI took over the investigation Monday The Kansas Bureau of Investigation became the lead law enforcement agency in the investigation of the Marion County Record on Monday, The Stars Jonathan Shorman reported. KBI spokesperson Melissa Underwood told The Star that the agency will review prior steps taken and work to determine how best to proceed with the case, which involved a now-withdrawn search warrant suggesting that the newspapers offices contained evidence of identity theft and improper use of computers. Its unclear why the KBI, a state agency based in Topeka which provides advanced law enforcement services like forensic lab testing and special operations, took over this case. Read more: KBI takes lead in Marion investigation following police raid of local newspaper Marion, Kansas, Police Chief Gideon Cody The newspaper had previously investigated Marions new police chief The Record had previously investigated Marions new police chief, Gideon Cody, at the time of the raid. Cody had recently started the job after 24 years as a captain with the KCPD. Editor and publisher Eric Meyer declined to comment on the exact nature of the investigation, but characterized the charges as serious. The paper informed city officials of allegations against Cody, but had not published anything about them at the time of the raid. I have already been vetted. Theyve (the newspaper) actually did a background on me. And thats why they chose not to (publish a story), Cody said in a Sunday interview with The Star. However, if they can muddy the water, make my credibility look bad, I totally get it. Theyre gonna try to do everything they possibly can. Read more: Kansas newspaper raided, shut down by police had investigated chief who came from KCPD The Stars Luke Nozicka, Jonathan Shorman, Katie Moore, Glenn E. Rice and Judy Thomas contributed. The Wichita Eagles Chance Swaim contributed. Do you have more questions about the police raid on the Marion County Records offices? Ask the Service Journalism team at kcq@kcstar.com. US asks Iran to stop selling drones to Russia-FT Handout image shows evidence of new Russian-Iran cooperation (Reuters) - U.S. is pushing Iran to stop selling armed drones to Russia as part of discussions on a broader unwritten understanding between Washington and Tehran to de-escalate tensions, the Financial Times said on Wednesday, citing people briefed on the matter. The U.S. is pressing Iran to stop selling armed drones to Russia, which Moscow is using in the war in Ukraine, as well as spare parts for the unmanned aircraft, the report said, citing an Iranian official and another person familiar with the talks. The White House and Iran's foreign ministry did not immediately respond to a Reuters request for comment. The news comes as Washington and Iran are trying to ease tensions and revive broader talks over Iran's nuclear program. US Secretary of State Antony Blinken said on Tuesday that he would welcome any Iranian steps to de-escalate its "growing nuclear threat." These discussions have taken place alongside the negotiations on a prisoner exchange deal last week, the newspaper said. Iran allowed four detained U.S. citizens to move into house arrest from Tehran's Evin prison while a fifth was already under home confinement. Last week, sources told Reuters that Iran may free five detained U.S. citizens as part of a deal to unfreeze $6 billion in Iranian funds in South Korea. (Reporting by Lavanya Ahire in Bengaluru; Editing by Himani Sarkar and Kim Coghill) Military cooperation between Russia and North Korea would violate UN resolutions aimed at restricting North Korea's nuclear program, US State Department official Vedant Patel said at an Aug. 15 press briefing. "Our concerns persist as North Korea continues its assistance to Russia's invasive war against Ukraine," he stated. Patel also added that Moscow is compelled to rely on countries such as North Korea and Iran for military support. According to the State Department, Russian Defense Minister Sergei Shoigu visited Pyongyang recently to convince North Korea to sell more munitions to Russia. Patel emphasized that "any security cooperation or arms trade between North Korea and Russia will violate a series of United Nations Security Council resolutions." In addition to North Korean leader Kim Jong-Un's prior declaration of "complete backing" for Russia's actions in Ukraine, Moscow apparently initiated talks with Pyongyang regarding the provision of food in return for weaponry. Read also: Belarus Weekly: Sanctions, new passports, as Belarusians mark third anniversary of stolen election Weve been working hard to bring you independent, locally-sourced news from Ukraine. Consider supporting the Kyiv Independent. Flash The Democratic People's Republic of Korea (DPRK) on Wednesday said Travis King, the U.S. soldier who crossed the Demilitarized Zone (DMZ) into the country last month, has admitted that he "illegally intruded" due to "inhuman maltreatment and racial discrimination within the U.S. Army," state agency KCNA reported. The report also claimed that King expressed a willingness to seek refuge in the DPRK or in a third country. Mifepristone, sold under the brand name Mifeprex, at a family planning clinic in Rockville, Maryland (Anna Moneymaker) A US federal appeals court on Wednesday imposed restrictions on a widely used abortion pill, but the ruling will remain on hold as the Supreme Court decides whether to hear the case. The ruling by a three-judge panel of the New Orleans-based 5th Circuit Court of Appeals would limit use of mifepristone to the first seven weeks of pregnancy, instead of 10, and block it from being distributed by mail. It would also require the abortion pill, which accounts for more than half of the abortions in the United States, to be prescribed by a doctor. Despite the ruling by the panel of conservative judges, two of which were appointed by former president Donald Trump, the drug will remain on the market for the time being. Anti-abortion groups are seeking to have mifepristone banned, claiming despite its long track record that it is unsafe. The case is the latest skirmish in the battle over reproductive rights in the United States. At a hearing in May, the three judges pushed back against government arguments that the decision on whether to allow the use of mifepristone should be left to the Food and Drug Administration (FDA), which approved the drug more than 20 years ago. The case stems from a ruling by a conservative US District Court judge in Texas that would have banned mifepristone. The 5th Circuit Court blocked a ban on the abortion pill, but imposed restrictions on access, after which the baton was handed to the Supreme Court, where conservatives wield a 6-3 majority. The Supreme Court temporarily preserved access to mifepristone, freezing the rulings by the lower courts and sent the case back to the 5th Circuit, whose latest decision will also remain on hold until the nation's highest court decides whether it will hear the case. It would be the most significant abortion case to reach the nine-member Supreme Court since it overturned the constitutional right to the procedure in June of last year. Mifepristone is one component of a two-drug regimen that can be used through the first 10 weeks of pregnancy. It has a long safety record, and the FDA estimates 5.6 million Americans have used it to terminate pregnancies since it was approved in 2000. cl/md FILE PHOTO: Signage is seen outside of FDA headquarters in White Oak, Maryland By Bhanvi Satija and Sriparna Roy (Reuters) -The U.S. Food and Drug Administration (FDA) on Wednesday approved French drugmaker Ipsen's drug for a rare bone disorder, making it the first treatment available to patients with the condition that causes abnormal bone growth. The company said its drug, Sohonos, was approved in adults and pediatric patients with fibrodysplasia ossificans progressiva (FOP), a rare genetic connective tissue disorder that causes progressive loss of mobility and reduced life expectancy. The regulator approved use of the drug in girls aged 8 years and above, and 10 years and above in boys. Sohonos tablets will be sold at an estimated annual list price of $624,000 based for 5mg (dose) per day, the company told Reuters, adding that dose may vary based on an individual's weight and disease state. The drug approval is based on data from a late-stage study, which showed a 54% reduction in the volume of new abnormal bone formation in patients compared to standard of care. The approval puts Ipsen ahead of other drugmakers such as Regeneron Pharmaceuticals, which is also developing an experimental drug, garetosmab, for the disorder and expects to seek U.S. health regulator's approval in 2024. Currently, FOP patients rely on high doses on steroids at the start of a 'flare-up', which entail unpredictable episodes of soft tissue swelling, pain, reduced movement and stiffness. FOP occurs in about 1 in 1,600,000 newborns, according to U.S. government data, and about 800 people worldwide are known to have the disease. Ipsen is also developing another FOP drug licensed from Blueprint Medicines Corp called BLU-782. It has been boosting its rare disease portfolio by helping develop a string of drugs licensed from other smaller developers.Sohonos will have a boxed warning for embryo-fetal toxicity and premature epiphyseal closure, which can lead to stunted growth and deformities in bone. (Reporting by Bhanvi Satija, Sriparna Roy and Pratik Jain in Bengaluru; Editing by Shinjini Ganguli) The Biden administration Wednesday sanctioned three businesses linked to a scheme to transfer weapons from North Korea to Russia for use in the latter countrys war in Ukraine. The sanctions target three businesses linked to Slovakian national Ashot Mkrtychev, who the U.S. sanctioned in March for attempting to facilitate arms deals between Russia and the Democratic Peoples Republic of Korea (DPRK), the formal name for North Korea. The Treasury Department alleges Mkrtychev worked with DPRK officials to try to obtain more than two dozen different kinds of weapons and munitions for Russia in exchange for a range of materials, from commercial aircraft to raw materials and commodities for North Korea. The Treasury Department said Mkrtychev also confirmed Russias readiness to receive military equipment from the DPRK with senior Russian officials. The sanctions announced Wednesday target businesses linked to Mkrtychev, called Limited Liability Company Verus; Defense Engineering Limited Liability Partnership (Defense Engineering); and Versor S.R.O. (Versor). The Treasury said in a statement that Mkrtychev has used Versor to go between contacts with DPRK procurement officials and companies abroad. The sanctions imposed Tuesday block any property or interests of the companies in the U.S. and block U.S. persons from doing business with the sanctioned entities, among other penalties. The United States continues to root out illicit financial networks that seek to channel support from North Korea to Russias war machine, Under Secretary of the Treasury for Terrorism and Financial Intelligence Brian E. Nelson said in a statement. Alongside our allies and partners, we remain committed to exposing and disrupting the arms trade underpinning Putins brutal war in Ukraine. Russia and North Korea have sought to deepen ties amid both countries pariah status on the world stage Moscow because of its war in Ukraine, and Pyongyang for its illegal nuclear weapons program and human rights abuses. North Korean media said this week that North Korean leader Kim Jong Un and Russian President Vladimir Putin have exchanged letters in which Kim called for strengthening ties into a long-standing strategic relationship. For the latest news, weather, sports, and streaming video, head to The Hill. FILE - Banggai cardinalfish swim in a tank at an export warehouse in Denpasar, Bali, Indonesia, April 12, 2021. The federal government is looking to ban importation and exportation of the species of tropical fish that conservation groups have long said is exploited by the pet trade. (AP Photo/Alex Lindbloom, File) (ASSOCIATED PRESS) The federal government is looking to ban importation and exportation of a species of a tropical fish that conservation groups have long said is exploited by the pet trade. The fish is the Banggai cardinalfish, a small striped saltwater fish native to Indonesia. The National Oceanic and Atmospheric Administration listed the species as threatened under the Endangered Species Act in 2016, saying that the main threats to the fish included harvest for ornamental aquariums. The agency proposed on Tuesday to apply prohibitions under the Endangered Species Act that would make it unlawful to import or export the species in the United States. The proposal followed a 2021 petition from conservation groups that said the fish needs the ban to have a chance at survival. The U.S. imports an average of 120,000 of the fish every year, members of the groups said Wednesday. The species have declined in number by as much as 90% since the 1990s because of exploitation in the aquarium trade, they said. Todays proposal is the first step in eliminating the United States as a destination for Banggai cardinalfish and sending a clear signal to Indonesia that it must do more to conserve the species and its habitat," D.J. Schubert, a wildlife biologist at the Animal Welfare Institute. The Banggai cardinalfish is among numerous species caught with the intention of adorning home aquariums and reef tanks in places such as offices and restaurants. The little fish is native only to the Banggai Archipelago in Indonesia. Critics of the tropical fish trade at large have described it as notoriously difficult to track and regulate, and call it a major contributor to the decline of some jeopardized species. Many of the fish don't survive from capture to exportation. NOAA officials said in its proposal to ban the Banggai cardinalfish trade that the agency's goal is to prevent further reduction of existing wild populations of the fish species. The agency also said the species suffers from lack of protections and regulations in international trade. NOAA said it will solicit public comments on its proposal and might hold a public hearing before a final ruling is made. The United States is considering various options to ensure Ukraine can export its grain after the breakdown of the United Nations and Turkey- brokered grain deal, including a "military solution" to protect ships, U.S. newspaper the Wall Street Journal reported on Aug. 15. The article says that the United States is discussing with Turkey, Ukraine and its neighbors the expansion of alternative routes for Ukrainian grain exports. The plan, which is supported by Washington, envisages that by October Ukraine could export 4 million tons of grain per month via the Danube. Much of the grain would be shipped down the river and across the Black Sea to nearby Romanian ports, and then transported to other destinations. As the WSJ writes, "Although slower and more expensive, this route could be an alternative to the Black Sea corridor." The Black Sea corridor is an area of Ukrainian coastal and international water effectively controlled by Russian warships through which grain ships would pass under Russian "security guarantees. Russia withdrew these on July 17, halting the transit of shipping through the area. Read also: Traffic jam on entry to Danube as vessels line up to export Ukrainian grain The newspaper notes that the approach of the United States shows that it, together with Ukraine and its European partners, is preparing for a scenario in which Russia does not return to the grain deal in time for the export of the Ukrainian summer and fall harvest. "The U.S. is considering all potential options, including military solutions, to protect ships traveling to and from Ukrainian ports on the Danube, a Washington official said, but declined to specify those options or say which countries would be involved," the article says. According to U.S. officials, they are discussing how to improve the efficiency of the Danube route, including allowing larger ships to pass through, freeing up space for anchorage, etc. However, Erin Elizabeth McKee, Assistant Administrator in the Bureau for Europe and Eurasia at USAID, said earlier that these efforts will not replace the Black Sea ports and are only a "temporary alternative." The Danube route remained the only way to export grain through the Black Sea after Russia disrupted the grain deal. Breakdown of the grain deal: What is known On July 17, the Kremlin announced the suspension of the "grain deal" brokered by the UN and Turkey in July 2022, which allowed three Ukrainian ports to be unblocked for food exports. Russia also announced the withdrawal of "security guarantees" for shipping under the Black Sea Grain Initiative. Ukraine proposed to Turkey and the UN to continue the work of the grain corridor without Russia, but Moscow responded with threats. Read also: Russian attack inflicts severe damage on Danube Shipping Company and sea terminal in Izmail photos On July 19, Russia announced that it would consider all ships sailing to Ukrainian ports to be "military targets." In response, Ukraine's Defense Ministry warned that it would consider all ships heading to Russian ports in the Black Sea, as well as to ports in the temporarily occupied territories, as "carrying military cargo with all the corresponding risks." Russia began to launch massive strikes on Ukraine's port and grain infrastructure, attacking Odesa, Mykolaiv, and other port cities. As a result of the attacks, civilian infrastructure has been destroyed, and civilians have been killed. Russian dictator Vladimir Putin said that Russia is ready to "consider the possibility" of returning to the "grain deal" if the aggressor's conditions are met. Russia wants to bring its food to world markets, and, according to Reuters, to restore Russian state agricultural bank Rosselkhozbank's connection to the SWIFT international payment system. In early August, media reported that Russian dictator Putin may visit Turkey after talks with Recep Tayyip Erdogan. Late in the evening of Aug. 4, a surface drone attacked a Russian tanker SIG, which was transporting fuel for Russian troops, near the illegally constructed Crimean Bridge. On the morning of Aug. 4, an attack drone severely damaged Russia's large amphibious assault ship Olenegorsk Miner near the port of Novorossiysk in Krasnodar Krai. Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine The United States is pushing Iran to stop selling attack drones to Russia aspart of discussions on a broader unwritten understanding between Washington and Tehran to de-escalate tensions and contain a long-simmering nuclear crisis. Thats according to a report on UK newspaper the Financial Times published on Aug. 16. The administration of U.S. President Joe Biden raised the issue with the Islamic regime at indirect talks in Qatar and Oman this year, according to people briefed on the matter, the FT reported. The discussions have been taking place alongside negotiations on a prisoner exchange deal that led to Tehran transferring four Iranian-U.S. citizens from prison to house arrest last week, the people said. According to an Iranian official and another person briefed on the talks, the United States wants Iran to stop supplying Russia with the attack drones that Moscow is using in the war in Ukraine, as well as providing spare parts for the unmanned aircraft. The official added that Tehran which officially denies its drones are being used in Ukraine had repeatedly asked Moscow to stop deploying them in the conflict, but Washington wanted more concrete steps. The negotiators hope the indirect talks will lead to both sides agreeing de-escalatory measures. For Iran, this would mean agreeing not to enrich uranium above 60% purity, improving its co-operation with the International Atomic Energy Agency, and pledging not to target Americans, said the Iranian official and another person briefed on the talks. In return, Washington would refrain from imposing fresh sanctions in some areas, with the exception of those involving human rights, and would not strictly police the sanctions already in place on oil sales, the Iranian official said. Irans help to Russia in the war against Ukraine: What is known U.S. newspaper the Wall Street Journal reported in late April that, over the past six months, Iran has supplied Russia with over 300,000 artillery shells and around one million rounds of ammunition via cargo ships. Read also: Russia has sufficient Iranian-made Shahed drones to attack Ukraine daily In exchange for weapons to continue its full-scale war against Ukraine, Russia supplies Iran with cyber weapons, Western weapons captured on the battlefield and helps develop the missile program. Earlier, a joint investigation by Protocol and the RZVRT YouTube channel reported that Russia is producing Iranian kamikaze drones in the special economic zone in Alabuga, Tatarstan. According to one of the journalists' sources, the contract with Iran can be estimated at 115-130 billion rubles (up to $1.45 billion). Currently, components for the kamikaze drones are supplied from Iran and assembled in Alabuga. UK intelligence has also reported that Russia has probably switched from small shipments of Iranian UAVs by air to larger shipments by ship across the Caspian Sea. Intelligence sources confirmed that the Russians are also working on launching domestic production of Shaheds, with Iranian support. Read also: Risk of new attacks after Russia receives more Iranian drones, Kyiv warns U.S. National Security Council spokesperson John Kirby said on June 9 that, according to U.S. intelligence, Iran was providing Russia with materials to build a drone manufacturing plant to ensure a steady supply of weapons for the war against Ukraine. On June 12, NV reported that a Chinese-made part from 2023 was found in the downed Iranian kamikaze drone. Cyrillic writing was visible in the wreckage of several Shahed drones that were downed during recent Russian air attacks, whichindicates that they were made in Russia, the head of the Joint Coordination Press Center of the Southern Defense Forces, Natalia Humeniuk, said on July 26. On Aug. 8, Japans Foreign Minister Hayashi Yoshimasa called on his Iranian counterpart, Hossein Amir Abdollahian, not to provide Russia with weapons for its war against Ukraine. Were bringing the voice of Ukraine to the world. Support us with a one-time donation, or become a Patron! Read the original article on The New Voice of Ukraine FILE PHOTO: S.Korean, U.S. officials meet for first nuclear planning group with eye on North Korea By David Brunnstrom and Michael Martina WASHINGTON (Reuters) - A U.S. summit with Japan and South Korea on Friday will include an ambitious set of initiatives to lock in progress between the allies, White House Indo-Pacific coordinator Kurt Campbell said on Wednesday. Campbell said the U.S. relationship with Japan and South Korea would be a "defining trilateral relationship for the 21st century." Senior U.S. administration officials have told Reuters the summit will launch joint initiatives on technology and defense, amid mounting shared concerns about China and North Korea. "What you will see on Friday is a very ambitious set of initiatives that seek to lock in trilateral engagement, both now and in the future," Campbell told a Brookings Institution event. The summit, at the Maryland presidential retreat of Camp David will be the first standalone meeting between the U.S. and its two allies. Campbell said plans would be announced to make it an annual event and also to invest in technology for a three-way crisis hotline. U.S. President Joe Biden invited Japanese Prime Minister Fumio Kishida and South Korean President Yoon Suk Yeol to Camp David as the Asian nations work to mend their tattered diplomatic relations in the face of rising regional threats. Washington has formal collective defense arrangements in place with both Tokyo and Seoul separately, but it wants them to work closer together given growing concerns about China's mounting power and intentions. However, U.S. officials said the meeting will stop short of any formal three-way security framework and Campbell acknowledged domestic political constraints in the countries. He said agreements reached at the summit would be "a substantial step forward in recognizing the common security picture that each of the countries are facing" and recognizing that "it will require common actions." "I think we can imagine a future with more ambition, but ... the key is not to get too far over your skis, to take this a step at a time to build appropriately to not get beyond the domestic context of which we're dealing." Campbell said the three would explore how to extend their security cooperation but do so "prudently," "carefully" and "responsibly." He praised the courage of Yoon and Kishida in mending ties fraught with historical baggage, stemming particularly from Japan's past colonial rule of Korea, calling it "a breathtaking kind of diplomacy." "It belongs on the top tier with respect to diplomatic initiatives of modern times," he said. Campbell said the aim of the summit was to "try to embed this in our politics in such a way that it will be hard for any leader in either of the three countries" to back out of. His comment alluded both to the perceived fragility of the Seoul-Tokyo rapprochement and to concerns about the stance of a future U.S. government after former President Donald Trump voiced skepticism about whether Washington benefits from its traditional alliances. (Reporting by David Brunnstrom, Michael Martina and Jasper Ward; editing by Grant McCool) Craig Robertson, the Utah man who FBI special agents shot and killed last week while attempting to arrest him for allegedly making threats against President Joe Biden, confronted Provo police in 2018 with an AR-15, according to a report by The Salt Lake Tribune. The Salt Lake Tribune obtained police records that state on August 20, 2018, two Google Fiber employees knocked on Robertsons door after they arrived to connect his neighbors internet service, and went to his backyard when the knocks went unanswered. Robertson soon exited his backdoor, allegedly waving a handgun, and yelled for the workers to get off his property, according to the report. One of the workers later told Provo police that Robertson pointed the gun at them as he brandished it, the Tribunes report states. The encounter led the Google Fiber employees to call the police, and when a responding Provo police officer rang Robertsons doorbell, Robertson was holding an AR-15 rifle, which triggered a bit of a stand off, the Tribune reported, citing the police report. Eventually, according to the police report, Robertson abided by police orders and put the rifle away. Robertson said that while he had his firearm in view he was holding it against his body, muzzle down in a ready position, the report states, and did not wave it around or threaten the males with it, according to police records. According to police records, an officer said it was his belief that no actual crime had occurred and that (Robertson) had been exercising his 2nd Amendment rights, albeit a little recklessly. Provo police contacted the Utah County attorneys office for another opinion, and prosecutors agreed with the officer, the report states. CNN has requested a copy of police records. Robertson was facing three federal charges, including threats against the president as well as influencing, impeding and retaliating against federal law enforcement officers by threat. Investigators noted that Robertson appears to owns a sniper rifle and several other firearms. Some of the threats happened just ahead of Bidens planned trip to Utah. Prosecutors alleged that in one online threat to the president, Robertson wrote, I HEAR BIDEN IS COMING TO UTAH. DIGGING OUT MY OLD GHILLE SUIT AND CLEANING THE DUST OFF THE M24 SNIPER RIFLE. WELCOM, BUFFOON-IN-CHIEF! He had also posted online threats against other Democratic politicians and prosecutors who have brought cases against former President Donald Trump. The case comes amid heightened vitriol aimed at national and local leaders in the lead-up to the 2024 election and what FBI Director Christopher Wray has called an unprecedented level of threats against FBI agents. In another post Robertson said, Hey FBI, you still monitoring my social media? Checking so I can be sure to have a loaded gun handy in case you drop by again. For more CNN news and newsletters create an account at CNN.com Gordon Hunter Pedersen, 63, of Cedar Hills, Utah, arrested for allegedly selling a fake cure for the coronavirus, (Justice Department) A man in Utah has been arrested after allegedly posing as a doctor and making at least $2m by selling a fake cure for Covid-19. He evaded arrest for almost three years. Gordon Hunter Pedersen, 63, who lives in Cedar Hills, Utah, was arrested last week on Wednesday after he was charged in 2020 with seven felonies, including mail and wire fraud, selling of misbranded drugs with intent to defraud and mislead, the US attorneys office in the state said on Monday. He allegedly sold a "structural alkaline silver" online and claimed that the drug "resonates or vibrates at a frequency that destroys the membrane of the (COVID-19) virus, making the virus incapable" of infecting humans, court documents said. He posed to his customers as an "anti-ageing medical doctor" with PhD degrees in immunology and naturopathic medicine, it said. A warrant for Mr Padersen was issued in August 2020 when he failed to appear in a court for the indictment, leading to a three-year manhunt. The arrest in the case comes a month after he was spotted on surveillance camera footage at a gas station around 40 miles south of Salt Lake City. Mr Padersen promoted his fake cure through YouTube videos, Facebook posts, podcasts and websites, wearing a white lab coat with Dr monogrammed on it. There is no drug that man has made that can do the same, he said in his podcast interview in March 2020. If you have the silver in you, when the virus arrives, the silver can isolate and eliminate the virus, he added. He maintained that his silver product can destroy Covid-19 virus in an interview with federal agents but acknowledged that his credentials were exaggerated. He had been promoting a cure for diseases such as arthritis, diabetes and pneumonia, since 2014. But he substantially profited between January and April 2020 when he made approximately $2m in sales from the company he co-owned, My Doctor Suggests, according to court records. The US District Court for Utah filed a restraining order against Mr Pedersen to stop him from selling the products by labelling them cure-alls, the Justice Department said at that time. Following three years of evading authorities, Mr Pedersen was identified by a Food and Drug Administration special agent on 5 July, while he was in a vehicle registered under his wifes name, Julia Currey, according to prosecutors. The agent tracked the vehicle to a gas station, where Mr Pedersen was captured on a surveillance camera, as stated by prosecutors. His indictment was part of a task force created by attorney general Merric Garland in 2021 to prosecute businesses and entities that tried to profit unlawfully from the pandemic. His lawyer is yet to comment on the development. U.N. General Assembly to vote on whether to ask top global court to issue opinion on climate responsibility By Kirsty Needham SYDNEY (Reuters) -Vanuatu Prime Minister Ishmael Kalsakau narrowly survived a no-confidence motion on Wednesday, with the opposition falling one vote short of the 27 needed to unseat him after criticising his government for signing a security pact with Australia. Vanuatu, at the centre of a strategic rivalry between China and Western countries in the Pacific Islands, was plunged into political crisis after opposition leader Bob Loughman lodged the no-confidence petition, which also criticised the government for raising the minimum wage. The no-confidence motion won 26 votes, compared to 23 votes against, but failed to win the absolute majority of 27 needed to remove a prime minister in the 52-seat parliament. One seat is vacant and one lawmaker did not attend the session due to illness. Loughman drew Vanuatu closer to China as the previous prime minister. His government lost a snap national election in 2022. In addition to domestic issues, he has criticised the security pact with Australia, saying it compromises Vanuatu's "neutral" status and could risk development assistance from other partners. In parliament on Wednesday, Kalsakau said the allegations against him were baseless, and more international leaders had visited in the past eight months than in two years of Loughman's government. China has been a major infrastructure lender to Vanuatu, donating the parliament building, stadiums and the prime minister's office, as well as constructing roads and wharves. The United States and its allies are seeking to deter Pacific Islands nations from establishing security ties with Beijing, after China signed a security pact with the Solomon Islands. Kalsakau reshuffled his cabinet in an effort to win support ahead of the vote, with the new deputy prime minister making a show of support for China. At a ceremony to welcome the Chinese navy's medical ship Peace Ark last week, Deputy Prime Minister Matai Seremaiah said that "health and security ties are an important part of our bilateral relations", according to a post on the prime minister's Facebook page. Tourism and Trade Minister Samson Samsen resigned to join the opposition block on Monday. (Reporting by Kirsty Needham; editing by Miral Fahmy) On Tuesday, Vietnam's first major automaker Vinfast went public via SPAC, catapulting its valuation to $85 billion. That makes it more "valuable" than any of Detroit's Big Three, more than VW, and more than four times what Rivian's valued at. It's lunacy. No, it's more than that. It's a reminder that the stock market is a fantasy world, where everything is made up and the product performance doesn't matter. Vinfast is the first significant automaker to emerge on the world stage from Vietnam, a fast-growing but small economy that has not historically been an industrial powerhouse. The company was founded (and is majority-owned) by Pham Nhat Vuong, today the richest man in Vietnam, and got its start manufacturing BMW-based sedans and crossovers. Recently, Vinfast branched out into original EVs, like the VF8 that launched last year. And if reviews are to be believed, they're terrible. 2023 Vinfast VF8. Vinfast Complaints about the 2023 Vinfast VF8 run the gamut of hopeless suspension to spotty build quality, which has already resulted in a recall for screen failures. Vinfast's planned EV battery subscription model has also been scuttled because nobody wants to pay for that. The company has responded to all this bad press in only the most graceful way possible: By allegedly trying to sic the police on one negative reviewer. Vinfast's public image isn't great, and neither are its balance sheets. According to The Guardian, Vinfast lost $2.1 billion in 2022, and it's on track to burn even more in the current financial year. As of the end of Q1, it had lost $598 million, up from $411 million in the red over the same period last year per U.S. News & World Report. Some of this is due to capital expenditure, like on Vinfast's planned North Carolina factory, but there's also the fact that sales aren't so hot. In 2022, Vinfast sold only about 7,400 cars according to Reuters, all of them in Vietnam. This year, its target is a much loftier 50,000 globally, with hopes high for Vinfast's launch in the valuable U.S. market. As of July though, Automotive News reported Vinfast had only sold 128 cars in the U.S. after five months on sale. According to Vinfast itself, 45 of those were on day one, or more than a third. 2023 Vinfast VF8. Vinfast But of course, none of this matters. Vinfast's stratospheric valuation doesn't stem from a careful analysis of its business fundamentals. If stock value was the result of a rational market, Tesla wouldn't be valued at nearly triple what Toyota is despite delivering an eighth as many cars in 2022 and facing federal investigations into its brand-defining products. Vinfast's market cap blossoms purely from hype, from early investors' hope that the company might be worth even more someday. Similar "de-SPAC" offerings like it have a pattern of fizzling according to Bloomberg, which notes a median falloff of 45 percent from such offerings' market highs this year. Vinfast's valuation has also been inflated by the low availability of its stock, 99 percent of which remains in its founder's hands. You'd be hard-pressed to engineer a more unrealistic bubble in valuation than Vinfast's without resorting to fraudwhich plenty of EV startups have. At the same time, financial outlets like WSJ and Barron's are tracking Vinfast's stock value in a plummet as investors deem shares too expensive. When you get down to it, stock market valuations are extrinsic to a business's prospects; they're mere quantifications of stock traders' imaginations. No matter how well-informed the investment, if it isn't insider trading, then it's gambling. And the house always wins. Got a tip or question for the author? You can reach them here: james@thedrive.com After a federal judge dismissed a lawsuit seeking to block student loan forgiveness, more than 800,000 borrowers from all over the U.S. are in line see their loans erased. The student debt in question is worth about $39 billion and was owed by borrowers who've been making 20 to 25 years worth of payments. The borrowers involved in these plans for forgiveness include those with Direct Loans or Federal Family Education Loans held by the department, including Parent PLUS loans. Many of the borrowers affected are likely 50 or older. An estimated 9.2 million borrowers fall into this category. If you don't qualify for loan forgiveness through these programs, a career in public service may be the answer to student debt cancelation. Which jobs offer student loan forgiveness? The Public Service Loan Forgiveness Program encourages employment in sectors that benefit the public. Some examples of careers that qualify for loan forgiveness include: Emergency management Medical professionals, including nurses or doctors Law enforcement officers Early childhood educators Public health professionals Social workers The public service loan forgiveness program cancels the remaining balance of loans for people working full-time government or not-for-profit jobs. Here's the catch: Qualified individuals must also make 10 years of consecutive payments on their loans. Full-time teachers at low-income elementary and secondary schools or people working at educational service agencies may be eligible for $5,000 to $17,500 in student loan forgiveness under the teacher loan forgiveness program. Highly qualified math, science and special education instructors are eligible for up to $17,500, according to the Department of Education. Teachers must be working for five consecutive years to qualify. In 2021, over 1 million Americans were qualifying borrowers under the public service loan forgiveness program, according to the office of Federal Student Aid. But the program faced major criticism for being difficult to navigate. Some borrowers were denied loan forgiveness after making what they thought were a decades worth of qualifying payments. In 2022, the Biden administration temporarily expanded eligibility, in order to include borrowers who had loans that didnt originally qualify. What else qualifies you for student loan forgiveness? If teaching or a career in public service is not appealing to you, there are a few other cases where borrowers may qualify for discharged loans. Death, false eligibility for a loan and in some rare cases, bankruptcy, will qualify a borrower. Borrowers with Federal Perkins loans have access to a separate forgiveness program. Volunteer service and some employment (including education, firefighting, the military, or the Peace Corps) are qualifying factors. School closure, disability or being misled by your school are other qualifying factors for loan forgiveness. $39 billion in debt erased: With lawsuit dismissed, student loan debt forgiveness begins for 800,000 borrowers What's next for loan forgiveness: Supreme Court strikes down plan to forgive student loan debt; Biden vows to use alternate path Loan discharges begin Soon after the judge's order was posted Monday, the Education Department said loan forgiveness for the borrowers in question had begun and would affect people in every state. The ruling comes two months after a major blow to the Biden administration: The Supreme Court ruled against Biden's plan for large-scale student loan forgiveness. The now-defunct proposal would have forgiven debt for more than 40 million borrowers. Today, the Biden-Harris Administration is beginning to discharge loans for 804,000 borrowers who never received the forgiveness they rightfully earned through decades of payments, Education Secretary Miguel Cardona said. We are standing up for borrowers who did everything right, but whose progress toward forgiveness went uncounted due to past administrative failures that the Biden-Harris team has worked tirelessly to correct." This article originally appeared on USA TODAY: Jobs with student-loan forgiveness start with public-service sector The legal hardship facing Hunter Biden appeared to be nearing an end last month. He had just reached a plea deal with prosecutors that would keep him out of jail, settled a child support case with the mother of a child he fathered, and started making regular public appearances with his dad again. It seemed like he would finally turn the page on his troubled past. But it didnt quite work out that way. The judge presiding over his criminal case refused to sign off on the plea deal and Attorney General Merrick Garland later made the prosecutor in the case a special counsel, giving the lawyer a greater degree of independence from the Justice Department and heightening the legal peril facing President Joe Bidens son as his father campaigns for re-election. The federal probe into Hunter Bidens foreign business dealings, drug use, and finances could now go on for months, with prosecutors expecting the case to go to trial. Heres a look at what happens next in Hunter Bidens long-running legal woes. What are prosecutors investigating? The criminal investigation into Hunter Biden began in 2018 and originally centered on his finances related to business dealings and lucrative consulting work on behalf of companies in Ukraine and China during a period when he was addicted to drugs and alcohol. But after interviewing witnesses and reviewing financial documents, the U.S. Attorneys Office in Delaware, led by David Weiss, the Donald Trump-appointed prosecutor in charge of the investigation, shifted its focus to whether Hunter Biden failed to report all of his income and whether he lied on a form for a gun purchase by denying that he was a drug user. The Justice Department ultimately charged Hunter Biden in June 2023 with two misdemeanor tax offenses for failing to pay more than $200,000 in income taxes in 2017 and 2018 and a felony firearm offense for making a false statement related to a gun purchase. According to The Washington Post, Hunter Biden allegedly answered no to a question on a federal form about whether he was using illicit drugs, even though he wrote in his own memoir that he was using crack cocaine that year. The decision to file charges against the Presidents son brought national attention to the topic, with Republicans seeking to tie Hunter Bidens legal woes directly to his father. In response, Hunter Biden reached an agreement with prosecutors to avoid jail time and a trial that would likely generate months of distracting headlines for the White House. In exchange for pleading guilty to the two tax charges, going to an addiction counseling program, staying clean for two years, and not owning guns, the Justice Department agreed to not prosecute Hunter Biden on the gun charge. Why did the plea deal fall apart? Despite reaching an agreement with the Justice Department, Hunter Bidens plea deal on two tax charges fell apart in late July after the federal judge hearing his case expressed legal and constitutional concerns over the immunity provisions and her role in enforcing the terms of the agreement. U.S. District Judge Maryellen Noreika, who was appointed by former President Donald Trump, said she was concerned about language that would have offered Hunter Biden broad immunity against the possibility of future prosecution in connection with the long-running government investigation into his business dealings. The agreement would have effectively given Hunter Biden some legal protection in the event that hes prosecuted by the special counsel or a future Republican president seeks to reopen the case, a scenario that Trump has vowed to make happen if he wins the 2024 election. Noreika also expressed concern over the diversion program on the gun charge, in which she would be called on to determine whether Hunter Biden was meeting the terms of the deal. She noted that diversion agreements are meant to be forged between prosecutors and defense attorneys, and as such do not need to be approved by a judge. At the time, Judge Noreika seemed to remain open to the deal if more explicit terms were fleshed out. Im not in a position to accept or reject it. I need to defer, she said before sending the parties home to hash out the details. Prosecutors and defense lawyers have since been trying to shore up the language in the plea deal but have not come to an agreement on the extent of immunity from future prosecution that Hunter Biden would receive. His lawyers said in a court filing on Aug. 13 that the plea deal reached with the Justice Department is still valid and binding, though Weiss countered that the deal was never approved by a probation officer and therefore is not binding. What happens next? With negotiations to revive the plea deal seemingly at a standstill, the Justice Department is expecting the case to go to trial over the charges filed against Hunter Biden. The two sides had been hoping to salvage the deal and avoid a politically charged trial, but the effort has been held up by Hunter Bidens demand for blanket immunity from future prosecution. Weiss, whose new special counsel status gives him greater autonomy in the probe, has said that he plans to indict Hunter Biden on the tax charges, with his office filing court papers on Aug. 11 indicating that they had reached an impasse with Hunter Bidens lawyers over the proposed plea deal. Some legal analysts viewed his recent appointment as special counsel as a procedural step to enable him to take the case against Hunter Biden to trial since it gives Weiss the authority to pursue charges in jurisdictions outside Delaware. Weiss could also use his authority to levy additional charges in the case, such as a potential violation of the Foreign Agents Registration Act, or FARA, which requires lobbyists for foreign governments or companies to disclose their assistance to the Justice Department. Its unclear how Weiss will proceed, but the standoff likely ensures that the Presidents son will remain under scrutiny by the Justice Department while Joe Bidens re-election campaign is underway. What does the case mean for Joe Bidens 2024 campaign? A potential trial in the Hunter Biden probe would cast a long shadow in Joe Bidens re-election campaign, distracting voters from his message and potentially drawing attention away from Donald Trumps own mounting legal problems. Ever since Joe Biden announced he was running for President in 2019, Republicans have come to see Hunter Biden as a proxy for their ongoing political pursuit of his father, scrutinizing his sons bouts with drug addiction and his business dealings in Ukraine and China. Trumps first impeachment was tied to a phone call in which he pressured Ukraine President Volodymyr Zelensky to open an investigation into the Bidens. Some Democrats worry that Trump will try to exploit the Hunter Biden saga the same way he weaponized Hillary Clintons private email server during the 2016 presidential campaign, using it to sow doubts about her character and drain energy from her campaign. That could damage Joe Biden, who holds a slim lead over Trump in most polls. Write to Nik Popli at nik.popli@time.com. Unsafe levels of the carcinogen trichloroethylene, or TCE, have forced the evacuation of two Milwaukee-area apartment developments this year, prompting questions from residents about where the toxic chemical is found in the region. State records show that Milwaukee County has 832 total sites known to be current or former TCE cleanup spots. Of these, 281 are residential properties. The Milwaukee Journal Sentinel gathered records for all of these sites from the Wisconsin Department of Natural Resources and used them to create the map below. This data is current as of August 2023. TCE is a man-made chemical commonly used in factories and manufacturing processes. It can be found at former industrial sites as well as dry cleaning facilities. TCE-related issues have been recorded at military bases such as Camp Lejeune in North Carolina as well as Google and NASA facilities in Silicon Valley. The chemical, which has been listed as a known carcinogen since 2000, is present in half of the most toxic contamination sites monitored by the Environmental Protection Agency and is the most frequently reported organic contaminant in the country's groundwater. Health effects from exposure to the chemical can include headaches, dizziness and sleepiness. The carcinogen has also been linked to kidney and liver disease, Parkinsons disease, fetal cardiac defects, and death. The evacuation of Milwaukee's Community Within the Corridor development due to high levels of the toxic chemical was the first of its kind in Wisconsin history. However, four months later, an apartment complex in Glendale was evacuated for the same reason. State officials have insisted that these evacuations are highly unusual." Complaints about TCE exposure have also been reported at the soon-to-be-closed Master Lock factory just south of the Community Within the Corridor apartments. More: Toxic chemical problems that forced evacuations in Milwaukee reflect massive national problem Contaminated properties clustered in industrial areas A number of open and closed TCE sites run along current and former railroads. Although the DNR has not analyzed any connections between TCE and the area's surrounding railroads, Milwaukee's industrial history likely plays a role in the chemical's concentration, said DNR remediation and redevelopment field operations director Trevor Nobile. Historically, factories fed into railroad lines, Nobile said. Under state law, the DNR keeps track of hazardous discharges of chemicals, but the responsibility for cleaning up harmful toxins falls on the current property owner rather than the original polluter. A lot of laws haven't kept up with the sheer amount of toxic chemicals people were using, said Tracey Woodruff, director of the Program on Reproductive Health and the Environment at the University of California, San Francisco. Some have questioned why original polluters, many of whom have relocated operations from the area, are not held liable for cleaning up on their way out. You make a profit off of it and it left a horrific problem a legacy problem, said state Sen. Lena Taylor, D-Milwaukee. They should be helping with the cleanup. More: Two apartment complexes have been evacuated because of a carcinogen this year. Here's what we know More: What to know about carcinogen TCE that caused two Milwaukee apartment evacuations What are officials doing about TCE? Minnesota and New York have partially banned TCE's use. However, in 2020, the EPA estimated that 250 million pounds of the chemical are still used annually while over two million pounds are released into the environment. Some Wisconsin legislators, as well as Gov. Tony Evers, have called for improvements to the current regulations governing the redevelopment of former industrial sites into residential units. State Sen. Robert Cowles, R-Green Bay, who chairs the Legislatures natural resources committee, said he is open to strengthening the DNRs power to regulate developers and polluters. However, his Republican colleagues stripped $8 million from the most recent state budget for chemical cleanup. Many are also supporting a lawsuit that would overturn the state law that empowers the DNR to regulate polluters. What to do if you have concerns about TCE Immediate concerns about TCE-related symptoms should be reported to Wisconsin's poison control line at 1-800-222-1222. For general questions about potential TCE exposure, individuals can contact the state's Department of Natural Resources or their local health department. The DNR can be contacted at 1-888-936-7463. Trouble viewing the map? Try viewing it in a browser. For questions about this map, or to report a problem, email ahahn@gannett.com. This article originally appeared on Milwaukee Journal Sentinel: Look up TCE cleanup sites in Milwaukee The Marion County Record is displayed in a newspaper box outside the papers offices in Marion, Kansas on Monday, Aug. 14, 2023. (Luke Nozicka) The White House expressed concern Wednesday over the raid of a Kansas newspaper last week by local police as a prosecutor withdrew the search warrant used to justify the search. Marion Police Chief Gideon Cody and his officers searched the newsroom of the Marion County Record on Friday, as well as the home of the owner and publisher. The officers were looking for evidence about how the paper obtained information that a local restaurateur, who applied for a liquor license, lost her drivers license over a DUI in 2008. White House press secretary Karine Jean-Pierre told reporters at a briefing that she would not get ahead of an ongoing investigation into the police search, but expressed concern over reports on the incident. They raise a lot of concerns and a lot of questions for us, Jean-Pierre said. The freedom of the press, that is a core value when we think about our democracy. The president always speaks about that, she said. Well continue to reaffirm this fundamental right. She referred questions about the legal aspect of the case to the Department of Justice. Jean-Pierres comments came as Marion County Attorney Joel Ensey, the local prosecutor, announced he had asked to withdraw the search warrant and that law enforcement would return computers and other devices that had been taken. Ensey said in a statement insufficient evidence to establish a legally sufficient nexus between this alleged crime and the items seized. Magistrate Judge Laura Viar had signed off on the warrant, which had been sought by Cody. The Kansas Bureau of Investigation took the lead in the investigation on Monday following intense criticism of the raid over the weekend by media law experts and press freedom advocates. Newspaper co-owner Joan Meyer, 98, died the day after the raid. Her son, owner and publisher Eric Meyer, said she had been distressed by the raid. In her final hours, she condemned the search as Hitler tactics. The Record published its first issue since the search on Wednesday. The front page headline: Seizedbut not silenced. Keesler Federal Credit Union lowered the value of points in their popular credit card rewards system in August, prompting outcry from customers who said they lost hundreds of dollars in perks. Keesler Federal said the decrease restores the rewards program to its normal rate of cash-back on purchases. The higher rate, the credit union said in a statement, was a limited-time offer. The change affects anyone who uses a Keesler Federal Visa Signature credit card. People who use that card earn points on purchases and can use them to pay for account deposits, statement credits, merchandise and more. Under the current rate, members earn $50 for every 7,500 points. Customers said online that they earned $50 for every 2,500 points under the former rate. In a statement, Keesler Federal said the rewards program offered a higher cash-back redemption rate beyond our disclosed rate of two percent for a limited time. We understand that some members may be disappointed that the higher point redemption rate changed, the statement said, but the change restored the program to the disclosed rate. Keesler Federal has been known for its generous perks for years. The credit union did not respond to questions about whether it warned customers of the change or why it changed the rates as of Wednesday morning. Eric Bank, who writes for the credit card guide website Cardrates.com, said credit card issuers can change their rewards programs at will without warning customers. He also said those changes are common across the country. They can make points more valuable or less valuable anytime they want, he said. Why is the rewards rate changing? The Keesler Federal statement did not say why the credit union made points less valuable this summer, other than to specify that the higher rates were part of a limited time program. Bank said one reason other credit unions nationwide have lowered the value of their rewards points is simple: money. If a credit union is not doing as well as it used to, he said, sometimes its rewards points can become less generous. In Washington, a bill called the Credit Card Competition Act has not been passed but aims to lower the small fees often called swipe fees that credit card companies charge on each purchase. Many banks fund credit card rewards programs, in part, through those fees. So far, disagreement exists over how much the bill would impact rewards programs if it is passed later this year. The American Bankers Association opposes the bill. If passed, the bill would only impact U.S. credit card issuers who have assets higher than $100 billion, Callum Godwin, chief economist at the consultant firm CMSPI, told The Hill. Keesler Federal had $4.3 billion in assets as of 2022, according to their website. Ethiopia's Prime Minister Abiy Ahmed has found himself at the centre of a new conflict - this time in the vitally important Amhara region that marshalled its troops to help him thwart an attempt by rival Tigrayan forces to topple him. The conflict is the latest sign that Mr Abiy is battling to live up to his Nobel laureateship - an honour bestowed on him in 2019 for ending long-running hostilities with Eritrea and setting Ethiopia on the path of democracy after almost three-decades of iron-fist rule. But Mr Abiy's reputation as a peacemaker and democrat has been further tarnished by the conflict in Amhara - the second-biggest region in Ethiopia. The violence has raised alarm internationally, with Israel evacuating its citizens and Jewish people from the region last week. So who is fighting in Amhara? Mr Abiy is facing a formidable challenge to his power from militias known as Fano - an Amharic word loosely translated as "volunteer fighters". The phrase was popularised in the 1930s, when "volunteer fighters" joined the army of Emperor Haile Selassie to fight Italian invaders. It is still used today by the farmers and young men who have formed militias to defend the Amhara people whose future, they believe, is threatened by the government and other ethnic groups. Although they have no unified command structure, these militias - or Fano - have demonstrated their strength in recent weeks by: carrying out what Ethiopia's Minister of Peace Binalf Andualem called "horrific attacks" on army camps briefly taking control of the airport in Lalibela, a historic city famous for its rock-hewn churches advancing into the two biggest regional cities - Bahir Dar and Gondar - as well as the industrial city of Debrebirhan, before being beaten back by government forces looting of weapons and ammunition from police stations raiding a prison in Bahir Dar, and freeing thousands of inmates - including fellow militiamen. The crisis is so serious that many people say the Amhara state government - controlled by Mr Abiy's ruling Prosperity Party (PP) - is on the brink of collapse, with key officials having fled to the federal capital, Addis Ababa, for fear of being attacked. What triggered the conflict? The violence can be traced back to the peace deal signed by the federal government and the Tigray People's Liberation Front (TPLF) to end the two-year civil war that saw Tigrayan forces advance towards Addis Ababa in 2021, before being forced to retreat back north. The agreement - brokered by the African Union (AU), with the backing of the US - was widely welcomed as an attempt to restore stability in Ethiopia - a vast country that has long been regarded as a lynchpin for security in the Horn of Africa and as the birthplace of pan-African unity. Amharas in the diaspora have been rallying in support of their people back home But the deal was met with deep suspicion among Amharas as they were excluded from the talks despite the fact that the Fano militias and Amhara special forces - a paramilitary group linked to the regional government - fought on the side of the federal army. The influential US-based campaign group, the Amhara Association of America, went as far as to describe it as a "war pact" - a charge denied by Mr Abiy's government. Nevertheless, the perception took root in Amhara, especially after Mr Abiy then announced plans to dismantle the special forces present in each of Ethiopia's 11 ethnically based regions. He proposed that the special forces - which are thought to number in the tens of thousands - be integrated into the federal army and police force in order to foster ethnic unity and to prevent regional forces being drawn into conflicts - as was the case in Tigray when its special forces joined the rebellion against Mr Abiy's government in 2020, more than two years after he assumed the premiership. But many Amharas saw his plan as a red flag, arguing it would leave them vulnerable to attacks from neighbouring Tigray - their historic rivals for land and power in Ethiopia. Though some of the Amhara special forces have agreed to integrate into the army and police, many others have deserted to the Fano, hiding in mountains and villages and using their weapons to carry out raids on government and military posts. In some towns and villages, the militias have tried to establish their own administrations, in a direct threat to the power of the government. What has been Mr Abiy's response? So far, the prime minister has primarily relied on military force, with the lower chamber of parliament endorsing, on Monday, his decision to declare a six-month-long state of emergency in the region. This has placed Amhara under the de facto control of the security services. The region has been divided into four command posts, falling under the overall control of a committee chaired by intelligence chief Temesgen Tiruneh. The heavy deployment of troops has been backed up by airpower. On Sunday, an air strike was carried out in the town of Finote Selam, reportedly killing at least 26 people at an anti-government demonstration. This has fuelled speculation that the army will increasingly use its airpower to repel the territorial gains of the Fano, though it carries the risk of causing civilian casualties The government has neither confirmed nor denied that an air strike took place. Ethiopia's Prime Minister Abiy Ahmed was seen as unifying figure when he took office in 2018 Night-time curfews have been declared in six cities - including the regional capital, Bahir Dar - forcing people to stay indoors. The security forces have also set up check-points across the region, with reports that many Amharas have in recent months been barred from travelling to Addis Ababa, raising concerns of ethnic profiling. The authorities say they are trying to prevent potential trouble-makers from infiltrating the city. But this has fuelled the anger of Amharas, and has increased their sense of alienation from the federal government. What's the way out of the crisis? During Monday's parliamentary debate, Ethiopia's former Foreign Minister Gedu Andargachew - who was once also the leader of the Amhara regional government - said it was clear that the ruling party had lost its support there. He said there was a need to enter into dialogue, and to form a new interim administration in the region, but so far there is no sign of this happening. Some analysts point out that there is also conflict in other parts of Ethiopia - including in Mr Abiy's political heartland of Oromia, where the Oromo Liberation Army (OLA) rebel group is fighting for what it calls "self-determination". The federal government held peace talks with the rebels in April, but they failed to achieve a breakthrough, with the region still hit by conflict. Oromos form the largest ethnic group in Ethiopia, followed by Amharas. The OLA has been accused of widespread atrocities against Amharas in Oromia, raising fears that it wants to drive them out of the region. The OLA denies targeting Amharas. Violence in Ethiopia has forced millions of people to flee their homes When he took office, Mr Abiy championed his vision of Mademer, or "coming together", and ended state repression by unbanning opposition groups, freeing political prisoners and allowing exiles to return. He also launched the PP, a merger of different ethically based parties, believing that it would promote nationhood in a country where ethic loyalties are strong. But critics say that Ethiopia has returned to repressive rule, with Mr Abiy battling to gain popular support for his vision - the latest sign of this being the conflict in Amhara. It is unclear what the prime minister plans to do next but some analysts say he needs to convene a national forum where political and ethnic groups can discuss how best to resolve their differences so that peace returns to a nation torn apart by conflict. You may want to watch: FRAMINGHAM While the 39 refugees who were moved to Framingham on July 28 are getting their footing in the city, social groups continue to seek donations from residents to assist with migrants' transition to Massachusetts. Jewish Family Services of MetroWest, the social agency that is assisting with the transition of migrant families, said it's in need of gift card donations to Walmart, Target, Walgreens and Stop & Shop, general VISA gift cards and cash donations. Lino Covarrubias, CEO of Jewish Family Services, said the cards supplement support the families are receiving from the state. By having gift cards to popular chain stores, families can still use them if they're transitioned to a different community. "The cards work great because they can help pay for things that are not being provided by the commonwealth," Covarrubias said. "The commonwealth is really only providing shelter and food, up to the point that they have the SNAP benefits." The families were moved into Framingham late last month due to an ongoing migrant crisis taking place throughout the state. Most migrants are ethnically Haitian who have been fleeing ongoing violence in their home country and are seeking asylum in the United States. What's the plan for Haiti?: Foreign troops needed to help with a gang-related crisis, U.S. diplomat says Massachusetts is a right-to-shelter state, meaning it's obligated to provide housing for homeless families with at least one child under age 21. However, given the surge in refugee families entering Massachusetts, the state lacks the shelter infrastructure to house all of them, leading to some being moved into hotels as temporary housing. In Framingham, families were originally placed at the Red Roof Inn on Cochituate Road; however, on Aug. 11 they were moved to another, undisclosed hotel in Framingham, according to Covarrubias. "We have moved them to a better hotel site," he said. "This one has kitchenettes in them that will allow them to cook on their own. What we are trying to do is stabilize them as quickly as possible, and this is a step in doing that and getting them set up on their own." Why Framingham organizations are looking for gift cards Framingham originally sought donations for migrants, and thousands of items were received through the Framingham Fire Department and other intermediaries during the first week of the migrants' arrival. But the city has since stopped taking donated goods, as migrants had enough supplies. But other items are still in demand, providing a need for gift cards and cash donations. "One thing we need is booster seats or car seats," Covarrubias said. "The state doesn't provide those and we don't take used products like that because if they are recalled for some reason, we don't want to be responsible for distributing them, so those need to be purchased by the individuals." 'Many volunteers stepped up': Framingham says about 40 Haitian migrants are staying in city hotel SNAP benefits also need to be supplemented, with items like baby formula not being readily available and some families in significant need of it. "It's typical with refugees that we have worked with before that breastfeeding is very difficult for them, given all the travel they have been through, (and) often not being nourished properly," Covarrubias said. "Breastfeeding can be difficult under optimal circumstances, and for some of these women some of whom have traveled on foot from South America to the U.S. you can only imagine what they've gone through." Covarrubias said donating gift cards and cash can help these new city residents learn how to get the items themselves, moving them toward the independence they achieve when they're authorized to work. 'Looking for work': Why employment for asylum-seeking refugees is a long road in MA "Instead of the Fire Department showing up and giving them a bunch of diapers, we give them a Walmart gift card, (and) they go, using the transportation system and learning how that works, and buy the diapers themselves," Covarrubias said. He also said that while it's unknown how long migrants will stay in Framingham, state officials have confirmed that it will be at least into September. Where to drop off or send donations Gift cards and donations can be dropped off or mailed to Jewish Family Services, 475 Franklin St., Suite 101, Framingham 01702. This article originally appeared on MetroWest Daily News: Gift cards, cash donations sought to help migrants in Framingham Enjoy this years edition of the Battle for the Iron Skillet, because it could be one of the last games of the rivalry. ActionNetwork insider Brett McMurphy reported on Wednesday morning that TCU would be pausing its annual rivalry game with SMU after the 2025 season. Sources confirmed the report to the Star-Telegram. The two Metroplex rivals will meet in Fort Worth this season, Dallas next season and the final game would be in Fort Worth. The two programs had their first meeting in 1915 and have played every year since with the exception of six seasons. TCU currently has a 52-42-7 record against the Mustangs. TCU had dominated the rivalry recently, but Sonny Dykes swung the momentum of the rivalry by leading SMU to back-to-back wins over TCU in 2019 and 2021. That was the first time that happened since 1992-93. Dykes success with making SMU more competitive in the rivalry was one of the many reasons he was selected to replace Gary Patterson after the 2021 season. That only made the rivalry more contentious last season as the Mustangs had one of the largest crowds in history to watch Dykes and the Horned Frogs beat SMU 42-34. The rivalry continued to grow more contentious in the off-season when former top-100 recruit Jordan Hudson transferred to SMU. This led to accusations of tampering and a war of words between the fanbases. This announcement will only inflame the hostilities. Conversations about potentially pausing or ending the series had been ongoing for sometime according to sources. The loss of another rivalry is sure to upset fans across the country after the latest wave of realignment saw more schools breaking away from local rivals like Oregon and Oregon State and Oklahoma and Oklahoma State. If there is a bright side, TCU now has more flexibility to add a marquee Power Five opponent to the future schedule. Starting in 2026, the Horned Frogs have games scheduled against North Carolina, Duke, Purdue and Stanford. Solid programs, but not quite a Florida State, Penn State or Washington right? Theres also the option of still playing the Mustangs, but just on a less frequent basis. Regardless of how the future schedule turns out, expect there to be a bunch of fireworks during the 102nd Battle for the Skillet on Sept. 23. Boise is projected to be in the direct path of remnants of a hurricane as early as Sunday, a rarity that could bring widespread heavy rains and localized flooding. The storm, named Hurricane Hilary, is just off the Mexican coast and gaining strength. The Weather Channel has projected Hilary to become a Category 3 hurricane before crashing into the Baja Peninsula and traveling through Southern California and the western part of the United States. A Category 3 hurricane requires sustained winds of 111-129 mph and is considered a major hurricane. The Global Forecast System, a modeling device used widely by meteorologists, expects the hurricane to drop up to 10 inches of rain in Southern California over three days, equating to about three years of precipitation for the region. Latest GFS model shows the remnants of (future) Hurricane Hilary could bring up to 10" of rain to the deserts of southern California. That's about 3 years of rainfall in about 3 days. pic.twitter.com/HAVVuAszz6 Eric Holthaus (@EricHolthaus) August 16, 2023 How could Hilary impact Boise? Boise wont see nearly as drastic of an impact as California, but the City of Trees still may find itself amid a historic event. National Weather Service meteorologist Josh Smith told the Idaho Statesman on Wednesday afternoon that based on Hilarys track northward, Idaho has encountered such a direct track from the remnants of a tropical storm or hurricane on only three occasions in the past 102 years: 1921, 1956 and 1998. Smith said there would be widespread rainfall from the remnants of Hilary from eastern Oregon through Southern Idaho, toward Jackson, Wyoming, and as far north as Missoula, Montana. Thursday mornings storm track for Hilary features the southwestern corner of Idaho within the probable path cone, something that Weather Service meteorologist Spencer Tangen believes never has happened before. The Weather Service expects Hilary to provide Boise with rainfall from sometime Sunday through Tuesday, but doesnt have a precise reading yet on how much could fall. The Weather Service has predicted totals through Sunday night, with the mountains of Idaho receiving up to 1.5 inches of rain and the valleys, including Boise, up to three-quarters of an inch. I think that might be a little bit on the low side, Smith said. But thats what we have right now because we dont have all the days (of the storm) in that total yet. Confidence increasing in widespread heavy rain this weekend from the remnants of Tropical Storm Hillary. Moisture from this storm is forecast for Sun/Mon bringing a potential for localized flooding. If your planning to be outdoors this weekend, stay tuned! #idwx #orwx pic.twitter.com/VKgqBZWEUr NWS Boise (@NWSBoise) August 16, 2023 Cloud cover from Hilarys remnants will also help Boise cool significantly. The city was under a heat advisory through Wednesday evening, with high temperatures hitting 105 degrees, but the high will drop to 81 by Monday, according to Weather Service forecasting. Why will Hilary remnants make it this far north? Hilarys arrival on the Baja coast coincides perfectly with conditions favorable for a monsoon, which is when moisture from the gulfs of California and Mexico is pulled northward through the deserts of the U.S. Southwest. The ensuing storms follow a south-to-north track typically seen during a monsoon, eventually bringing rain toward Idaho. In addition to Hilary, a high-pressure system to the southwest of Idaho is providing a flow of air toward the state, and a low-pressure system off the coast of California is pushing a lot of moisture toward the Gem State. (Hilarys) forecast track northward for the next four days, Smith said. The eye of the storm will reach near California sometime Monday morning, but all that moisture associated with that storm is going to be transported north, and its forecast to go right over Idaho as well as Nevada, Montana and Wyoming. Aug. 16 (UPI) -- Emergency officials in Northern California ordered the evacuation of more than a dozen communities west of Yreka as fast-moving wildfires scorched as many as 4,000 acres of the Klamath National Forest in less than a day. The Head Fire in Siskiyou County was one of at least 19 wildfires burning throughout the region early Wednesday after a lightning storm sparked the blaze before strong winds spread the flames, according to the U.S. Forest Service. Klamath National Forest Supervisor Rachel Smith posted an update to Facebook, saying the fire developed rapidly and had grown exponentially since late Monday when only 200 acres had burned. By Tuesday night, the Head Fire grew to 1,000 acres or more near the Klamath and Scott rivers, about 40 miles west of where Highway 96 and Interstate 5 intersect, the Siskiyou County Sheriff's Office said. Later, conditions worsened and forced authorities to shut down Scott River Road and Highway 96 between Highway 263 and Seiad. Unable to contain the flames, local officials issued evacuation warnings before ordering at least 18 communities to get out as the blaze intensified and closed in on homes, sending residents scrambling for their belongings. Many areas remained under evacuation order early Wednesday, including neighborhoods along a stretch of Highway 96, including Cougar Creek and Hamburg, where the wildfire is believed to have started about 4:30 p.m. Monday. A temporary shelter for displaced residents was set up at the Kahtishraam Wellness Center in Yreka. Meanwhile, firefighters from multiple jurisdictions responded to the scene, including the Forest Service in California and Oregon, the California Department of Forestry and Fire Protection's Siskiyou Unit, and the California Governor's Office of Emergency Services. Crews were battling the inferno on several fronts throughout the region, including the Titus Fire south of Norcross Campground, the Malone Fire along Elk Creek, and the Elliott Fire near Dillon Creek Campground, the Forest Service said. Another eight fires burned up to 20 acres at Happy Camp/Oak Knoll Ranger District as windy conditions frustrated efforts to put out the flames. The Deep Fire in Shasta County southwest of Siligo Peak was smoldering but remained a threat as wind gusts could cause embers to flare up again. The Lone Pine Fire was still burning in Humboldt County, while the Slide Fire in Tehama County had consumed 60 acres through Tuesday night. Elias Alvarado and Angel Guichardo each had a gun when they walked into a convenience store one day earlier this year. They wanted a third gun specifically the one Teryton Bonner carried in his pocket. So, they shot him for it and left him to die, just a few feet from a row of gas pumps. Now, Alvarado will spend the next two decades behind bars for his role in Bonner's violent death. Alvarado, 18, faced as much as 55 years in prison for the Feb. 6 killing. Milwaukee County Circuit Court Judge Mark A. Sanders on Wednesday sentenced him to 21 years and ordered him to 10 years of extended supervision when he's released. More: 16-year-old boy killed, 18-year-old injured in Milwaukee shooting related to robbery Assistant District Attorney Paul Tiffin said Alvarado and Guichardo wanted to rob Bonner. They saw a gun in his pocket as he stood in line at the store, waiting to buy snacks and wanted to take it from him. Alvarado pleaded guilty to felony murder July 6, online court records show. "We lost someone so special. Nothing could have prepared us" for this, said Bonner's mother, Leena Denny. "You've taken our son's life, but not his soul. It belongs to God." "When the day comes, you will have to answer for your reckless actions and behavior." More: Two arrested following robbery of more than $10,000 at north side bank, Milwaukee police say Here's what prosecutors and police say happened Bonner regularly visited the Amstar gas station on the 1700 block of West Rogers Street. On Feb. 16, he entered the store and went to the coolers. Two other men came in and also made their way to the coolers, according to a criminal complaint. Bonner later went to the counter to buy some items. The clerk said Bonner was carrying a gun in his right front pants pocket. Bonner left the store with his items, put on his earbuds and walked toward his house. The two men walked toward the register, but abandoned their items when Bonner exited and followed him. Outside, the two men caught up to Bonner and one of them put a gun to his neck. The clerk then heard a single gunshot. Video from outside the store showed one of the men removing something from Bonner's front right pocket. Both men fled afterward. More: Police chase ends with 1 dead and 9 injured after crash near 68th & Silver Spring Here's how the state linked Elias Alvarado to Bonner's slaying Investigators were able to connect Alvarado to the killing with a left palm print found on a soda can on the counter. They later went to his home on South 16th Street and spoke to a brother, who also identified Guichardo from a picture from the store video. Guichardo, 18, was arrested at his job in Grafton four days after the killing. Alvarado fled to Mexico, but eventually surrendered to authorities at the U.S.-Mexico border and was returned to Milwaukee. Online jail logs say Alvarado was booked March 18. More: Residents react after a teenager is shot and killed at a bus stop near 53rd and Center streets in Milwaukee Bonner's family struggles without him Family members said Bonner was raised in and around the military; his stepfather was in the Army, and Bonner lived "a pretty sheltered life" away from high-crime areas, his aunt Junell Bannister said in a victim impact statement to the court. He decided to return to Milwaukee to live with his father and sister after getting into trouble. Bannister was concerned for Bonner's safety and was skeptical about him living in the city. He had been in Milwaukee for less than a year at the time he was killed. Denny described coordinating the funeral for her only son as "the most depressing and traumatizing moment" in her family's life. More: Police records tell two stories about the fate of Alexis Patterson. This is one account. "Every day, our family wakes up without him. Most of the time, I feel guilty for moving forward in life, knowing his was shortened," she said. Sanders said Alvarado's youthful self-absorption and "violent naiveness" resulted in the death of a father-to-be who doted on sharing breakfast some mornings with his grandmother, Diane Buchanan. "I miss my grandbaby everyday," said Buchanan, her voice choked by tears. What's next? Court records show a plea hearing for Guichardo is scheduled for Sept. 6. This article originally appeared on Milwaukee Journal Sentinel: Milwaukee teen robber gets 21 years in killing outside gas station Hunter Biden's lawyers this week claimed a pretrial diversion agreement that would allow him to avoid prosecution for illegally buying a gun is still "valid and binding." David Weiss, who filed the gun charge in June as the U.S. attorney for Delaware and has since been appointed as a special counsel charged with investigating Biden, rejected that claim in no uncertain terms yesterday, saying "the now-withdrawn diversion agreement, by its own terms, is not in effect." The collapse of that agreement could set up yet another legal test of a constitutionally dubious law that at least two judges and a federal appeals court have deemed inconsistent with the Second Amendment. That case would pit Biden against his own father, who steadfastly supports an irrational gun policy that could send his son to prison. Biden's argument that the diversion agreement has already taken effect is puzzling, because it was presented as part of a package that included a plea deal involving misdemeanor tax charges, which a federal judge rejected last month. At a hearing on July 26, U.S. District Judge Maryellen Noreika said she was troubled by two aspects of the diversion agreement that seemed to be aimed at protecting Biden from the possibility that his father will lose reelection. Biden, by his own admission, was a crack cocaine user when he bought a Colt Cobra .38 Special from StarQuest Shooters, a Wilmington, Delaware, gun store, in 2018. Although that transaction violated at least three provisions of federal law, Weiss charged Biden with just one of those crimes: receipt or possession of a firearm by an "unlawful user" of a controlled substance, a felony that was punishable by up to 10 years in prison at the time of his gun purchase. The Justice Department said it would drop the gun charge if Biden successfully completed a two-year diversion program that would have required him to avoid drugs, maintain or seek employment, and stay out of legal trouble. The agreement also would have permanently barred Biden from possessing guns. Noreika noted two highly unusual provisions of the diversion agreement. First, it charged her rather than prosecutors with deciding whether Biden had abided by its terms. Second, it included an ambiguous promise that Biden would not face additional charges based on the conduct described in the documents detailing his tax and gun crimes. Both of those provisions seemed designed to shield Biden from the consequences of a Republican victory in the 2024 presidential election. If Donald Trump or another Republican wins, Biden's lawyers worried, the Justice Department, under new leadership, might be more inclined to accuse him of violating the diversion agreement. It probably also would be more aggressive in pursuing other potential charges against Biden, including tax felonies and his alleged violation of restrictions on foreign lobbying. Weiss' office seemed to share those concerns, which reinforced Republican complaints that Biden was benefiting from favoritism. Noreika expressed concern about her proposed involvement in determining whether Biden had breached the diversion agreement, which she said raised separation-of-powers issues by requiring her to perform a prosecutorial function. She also wondered why the promise of immunity was included in that agreement, which both sides claimed was not subject to her approval, rather than the plea deal, which clearly was. "The judge said she couldn't find another example of a diversion agreement so broad that it shielded the defendant from charges in a different case," Politico reported. "Leo Wise, a prosecutor working for Weiss, told the judge he also was unaware of any such precedent." Noreika objected to the apparent expectation that she would "rubber stamp" that seemingly novel arrangement. During the hearing, it also became clear that Wise and Biden's lawyer, Christopher Clark, disagreed about the scope of the defendant's immunity. Rather than sign off on the plea deal, Noreika directed both sides to hammer out a clearer, less legally problematic arrangement. Last Friday, Weiss said those negotiations had reached "an impasse," meaning that Biden's tax case will go to trial. Weiss asked Noreika to dismiss the tax charges without prejudice, saying the proper venue to try that case is not Delaware but either the District of Columbia or the Central District of California. He said his office agreed to handle the case in Delaware based on the understanding that Biden "would waive any challenge to venue and plead guilty in this District." Since Biden did not plead guilty at the July 26 hearing and subsequent negotiations were unsuccessful, Weiss wrote in his motion, "a trial is in order." In their response on Sunday, Biden's lawyers complained that the Justice Department had decided to "renege" on the plea deal. But they maintained that "the parties have a valid and binding bilateral Diversion Agreement," which they said had already taken effect. Weiss vigorously disputed both claims yesterday. "The Government did not 'renege' on the 'previously agreed-upon Plea Agreement,' as the Defendant inaccurately asserts," he wrote in a reply to Biden's filing. He noted that Biden "chose to plead not guilty at the hearing on July 26, 2023," and the U.S. Probation and Pretrial Services System "declined to approve the proposed diversion agreement at that hearing." As Weiss sees it, "neither proposed agreement entered into effect." As of the July 26 hearing, Weiss said, "the two proposed agreements were drafts that either party could propose changes to," and "both parties did so following the hearing." But the two sides could not agree on final versions of the agreements, which is why Weiss decided to try the tax case. On the same day that Weiss announced that decision, Clark moved to withdraw as Biden's attorney. "Based on recent developments," Clark's lawyer wrote, "it appears that the negotiation and drafting of the plea agreement and diversion agreement will be contested, and Mr. Clark is a percipient witness to those issues. Under the 'witness-advocate rule,' it is inadvisable for Mr. Clark to continue as counsel in this case." The motion noted that Biden "will continue to be represented by other firms." Without the diversion agreement, Weiss is free to prosecute Biden on the gun charge as well as the tax charges. That will raise a constitutional issue, since the gun charge is based on a statute that arbitrarily strips peaceful Americans of their Second Amendment rights based on their choice of politically disfavored intoxicants. That prohibition applies not only to crack users like Biden but also to cannabis consumers, regardless of whether they live in states that have legalized marijuana. Two federal judges have concluded that the gun law Biden violated is inconsistent with "this Nation's historical tradition of firearm regulation"the constitutional test that the Supreme Court established last year in New York State Rifle and Pistol Association v. Bruen. Last week, the U.S. Court of Appeals for the 5th Circuit agreed with that assessment, overturning the conviction of a Mississippi man who was caught with two guns and the remains of several joints during a routine traffic stop in April 2022. The defendant in that case, Patrick Darnell Daniels Jr., received a prison sentence of nearly four years. Under Biden's proposed diversion agreement, by contrast, he would have avoided any sentence at all. Those starkly unequal outcomes reinforce the impression that Biden got a "sweetheart deal" because he is the president's son. But they also illustrate the wildly uneven application of this rarely enforced statute. Although the potential defendants include millions of gun-owning drug users, violators are almost never caught. And if they are unlucky enough to be prosecuted, their punishment can range from a slap on the wrist to years behind bars. Whether or not Biden benefited from his father's position when the Justice Department initially agreed to forgo prosecution on the firearm charge, he now faces a potential prison sentence because of a law his father views as a commonsensical restriction on gun ownership. Although Joe Biden says marijuana use should not be treated as a crime, his administration insists that marijuana users are so dangerous that they cannot be trusted with guns. And last year, the president signed a bill that increased the maximum penalty for his son's crime while adding yet another potential felony charge for people who do what he did. If the government pursues the gun charge against Hunter Biden, his lawyers reportedly told the Justice Department before his plea deal was announced, they will challenge the prosecution on Second Amendment grounds. That development would create an instructive clash between father and son, underlining the irrationality and injustice of a policy that the president stubbornly defends. Correction: The original version of this post erroneously stated that Noreika's signature was required for the diversion agreement. Only the plea agreement included a space for her signature. The post Without a Diversion Agreement, Hunter Biden Could Go to Prison Under an Arbitrary Gun Law His Dad Supports appeared first on Reason.com. In a rare legal move, more than a dozen people who last year witnessed a white gunman open fire and kill 10 Black people at a Tops grocery store in Buffalo, New York, have sued over the trauma they endured. The lawsuit, brought Tuesday by the nonprofit group Everytown for Gun Safety and exclusively obtained by NBC News, names multiple defendants, including YouTube and Reddit, online spaces where the shooter was allegedly radicalized, as well as the retailer that sold the shooter's gun and the manufacturer of his body armor. The suit, which also names the shooter's parents, was filed in New York Supreme Court. The 16 plaintiffs, most of whom worked at Tops, as well as some customers, survived the racist attack but had to endure moments of terror that left lasting effects, such as nightmares, trouble sleeping, anxiety and paranoia, the lawsuit alleges. Some, according to the lawsuit, have even been unable to return to work at Tops or other jobs. While I escaped without a bullet wound, the terror that the shooter inflicted on me and other survivors will live with us forever. Its my hope that this lawsuit can help to not only hold the individuals and entities accountable who allowed the shooter to carry out his racist rampage, but that we can also change the conversation around who constitutes a victim following tragedies like this one, said Fragrance Harris Stanfield, who worked at Tops with her daughter, according to a news release from Everytown. The plaintiffs ordeals included hearing gunshots and running haphazardly trying to find hiding places and dropping to the ground as bullets whizzed, according to the suit. Unclear exactly where the gunfire was coming from, many just prayed they would see their loved ones again. The lawsuit, which was announced Wednesday afternoon at a news conference in Buffalo, names RMA Armament, a body armor manufacturer, and Vintage Firearms LLC, a gun retailer, as defendants, in addition to YouTube (as well as its parent companies, Google and Alphabet) and Reddit. The death, terror and other harm suffered by plaintiffs was made possible by the companies and individuals who facilitated and equipped the shooter for his racist attack. As a result of their negligent and unlawful actions, the shooter gained the racist motivation, tools and knowledge necessary for him to commit the mass shooting at Tops, the lawsuit alleges. People attend a vigil across the street from Tops Friendly Market (Kent Nishimura / Los Angeles Times via Getty Images file) None of the defendants were immediately available Wednesday for comment, except for YouTube. A spokesperson for the company said in a statement: We have the deepest sympathies for the victims and families of the horrific attack at Tops grocery store in Buffalo last year. Through the years, YouTube has invested in technology, teams, and policies to identify and remove extremist content. We regularly work with law enforcement, other platforms, and civil society to share intelligence and best practices. Stanfield said at the news conference Wednesday that she constantly replays the shooting in her head and asks herself questions such as if her daughter had died, would it have been her fault? And was she supposed to die? She added that her daily memory for routine things no longer works like it used to. I used to have a very sharp memory. They said a memory like an elephant. I could play a day like a tape recording, she said. Thats how clear my memory used to be. But its not like that anymore. I can barely remember what Im doing later. The suit, which alleges negligent infliction of emotional distress, seeks unspecified damages from the companies, as well as the cost of their legal fees. An uncommon strategy As mass shootings in the U.S. have increased, so have the lawsuits pointing responsibility at gun companies and others. But so far the vast majority of that litigation has come from victims injured in the tragedies or family members of those who didnt survive. Wednesdays lawsuit, however, focuses on witnesses of the Buffalo shooting who survived unscathed or with minimal physical injuries but say they were emotionally traumatized. Given that there was an injury, even though a psychological injury, its a small step for a lawyer to figure out a basis for suing to receive damages for that injury, just like a person whos physically injured, said Robert Spitzer, a gun policy expert and former chairman of the political science department at the State University of New York at Cortland. That legal strategy, Spitzer said, is sure to catch on. When you think about the number of people who are emotionally affected by a mass shooting, its a pretty big number, he said. People gather at the scene of a mass shooting at Tops Friendly Market (Kent Nishimura / Los Angeles Times via Getty Images file) Despite its being an uncommon legal maneuver, the lawsuit isnt unprecedented after a high-profile mass shooting. A class-action lawsuit was filed in 2017 on behalf of three people who attended the Route 91 Harvest Moon Festival in Las Vegas, the worst mass shooting in modern American history, in which at least 59 people were killed and hundreds more were injured. The plaintiffs in that case brought the lawsuit on behalf of themselves and on behalf of all persons who tragically suffered emotional distress as a result of the shooting that occurred, the suit said. The defendant in that lawsuit was a bump stock manufacturer. Bump stocks allow semi-automatic rifles, such as the popular AR-15-style weapons, to fire more quickly. The gun accessory was used in the mass shooting. Its not clear how the case ended. It was moved to federal court and was closed in September 2020, according to court records. In July, families of the victims killed in the Tops shooting which included many of the same defendants as in this weeks lawsuit sued social media companies, weapon manufacturers and gun retailers, as well. White shooter carrying assault-style rifle livestreamed the massacre On May 14, 2022, the gunman, who was 18 at the time, drove more than 200 miles to a Tops Friendly Markets with a horrific plan. In a racist tirade he published online, he admitted having researched what ZIP codes had the highest populations of Black residents. He also detailed his belief in the great replacement theory, a false conspiracy theory that nonwhite immigrants are slowly displacing white Americans. Dressed in tactical gear and body armor, the shooter used an assault-style rifle to gun down employees and customers. He also wore a helmet with a GoPro camera on it and broadcast parts of the attack for about two minutes on Twitch. He was sentenced in February to life in prison without the possibility of parole. The Tops survivors attorneys also filed another lawsuit Tuesday against the same companies on behalf of Wayne Jones, the only child of Celestine Chaney, 65, who was shopping at Tops for her favorite dessert, strawberry shortcake, when the gunman fatally shot her, the suit says. Jones said at the media briefing Wednesday that his mother gave birth to him when she was 16 and that both her parents died before she turned 20. "She raised me and raised herself by herself," he said. Jones' mother had survived three aneurysms and breast cancer, he said. Jones said he has seen video of the carnage and can't shake images of his mother being fatally shot. This is my reality. I cant get this out of my mind. It goes nowhere," he said. This article was originally published on NBCNews.com A suspected carjacker saw his situation go from bad to worse when he tried hiding in a Florida womans apartment and discovered she had very protective dogs, according to the Volusia Sheriffs Office. In the minutes that followed, the man found himself being chased by two pit bulls while trying to squeeze through of a small second-story window, the sheriffs office said in a news release. It happened Monday, Aug. 14, in the Daytona Beach area, and the 42-year-old suspect made it out of the apartment, only to be captured at a nearby warehouse, officials said. The episodic pursuit began three hours earlier, when the suspect pulled a gun on a man pumping gas and demanded his car and money, officials said. The stolen Toyota Corolla was then abandoned at the Whispering Winds Apartments, where the suspect became the unwelcome guest of a woman and her two dogs, officials said. A 57-year-old woman ... partially opened her door after hearing someone banging on it while screaming Momma. (The suspect) forced his way through the door, knocking her backwards, and slammed it shut, the sheriffs office said. When she realized (the man) was a stranger, the victim commanded her two dogs (identified as pit bulls) to attack him, and they did. As Daytona Beach police officers arrived at the door of the second-floor apartment, (he) ran into the kitchen and broke a small glass window before jumping out of it. A SWAT team is credited with arresting the suspect after an extended time of no compliance at a warehouse, officials said. He was then taken to Halifax Health Medical Center for treatment of cuts that were believed to be sustained during the break-in and/or jump from the nearby apartment, officials said. The suspect has been charged with armed carjacking with a firearm, armed robbery with a firearm, grand theft, possession of a firearm during the commission of a felony, resisting an officer without violence, burglary of an occupied dwelling with battery, possession of a firearm by a convicted felon, criminal mischief, and trespassing, officials said. The firearm believed to have been used in the carjacking was recovered from a different nearby apartment complex ... where a 7-year-old boy discovered it around 4 p.m. Monday, the sheriffs office said. The boys mother reported her son had accidentally fired the handgun, striking an unoccupied apartment. Fortunately, no one was injured. Daytona Beach is about 60 miles northeast of Orlando. Police dog jumps in baptismal pool while hunting accused church burglar, Florida cops say Chihuahua named Sugar dies after C-section done by fake veterinarian, Florida cops say Young alligator found living it up in softball dugout at Florida high school, cops say In this season of big anniversaries, the cake will soon bake for a not-to-be-overlooked birthday party in York County. The countys 275th birthday comes in August 2024 Aug. 19, to be exact. Its birthday with 275 candles comes before three big national anniversaries. York County played a role in those three big events that face anniversary parties in 2026 through 2028. Those are the 250th anniversaries of the Declaration of Independence, Articles of Confederation and Treaties with France. In those documents, we announced our separation with our Mother Britain, our 13 states agreed to agree on a constitution, and we cut a deal with a foreign power. York County had been around for 27 years when the Declaration of Independence was signed, and one of its residents inked it: James Smith. Another statesman, New York signer Philip Livingston, is buried here. The county withdrew from Lancaster in 1749 because it took too long for Lancaster Countys sheriff to respond to a growing crime problem in a region west of the Susquehanna River that included todays York and Adams counties. Lancaster separated from Chester in 1729 to get its own sheriff and Adams from York in 1800 the inconvenience of distance to York was a problem. The Susquehannocks are shown occupying the hill behind todays Zimmerman Center overlooking the Susquehanna River at Long Level. According to Susquehanna National Heritage Area, there are 16 longhouses within the stockade, home to about 900 people. Women and children work perhaps 150 or more acres of farmland that surrounded their village. Carol Oldenburg, who painted this scene, also shows the men harvesting eels in the Susquehanna. Location, location, location York County grew fast, with roads albeit dusty, muddy, deeply rutted and some barely passable crisscrossing the county. The countys rolling countryside of about 1,500 square miles took up a big chunk of the trans-Susquehanna before settlers hit mountains to the west and the north. In his 1999 book Patterns of Our Past, Thomas L. Schaefer views the countys position before the American Revolution in this way: The county represented Pennsylvanias last best farmland that possessed reasonably easy access to major shipping ports. Beyond those borders were trees, trees and more trees. York Countys placement in the middle of things brought those who had settled in contact with travelers along the Great Wagon Road to the South and those newly arrived looking for local land to plow. And the countys location as the first stop west of the Susquehanna and north of the Mason-Dixon Line brought the world in regular contact with the county. The Continental Congress met here in 1777-78, the Confederates occupied the county in 1863 and American defense officials sought out county industries for ordnance, refrigeration and olive-drab painted pianos in World War II. All these meetups inevitably caused conflict and those collisions created pivotal moments that helped shape York County as we know it today. Ten of those moments are chosen from among hundreds of shaping events: York County before the American Revolution is seen in this W. Scull map of 1770. The county, then including todays Adams County, stands out at the bottom of the map. This large geographical footprint west of the Susquehanna caused historian Thomas L. Schaefer to write in 1999: The county represented Pennsylvanias last best farmland that possessed reasonably easy access to major shipping ports. Beyond those borders were trees, trees and more trees. 1608 European contact with Native Americans Capt. John Smith meets the Susquehannocks in the Port Deposit, Maryland, area when exploring the Chesapeake Bay, the first known contact in this region between Europeans and Native Americans. The Susquehannocks and their native American predecessors have peopled the land, later known as York County, for centuries. The Susquehannocks are believed to have arrived along the lower Susquehanna from the mid-1500s to mid-1600s. They created villages on the rivers west bank, in future York County, about 1675. By the time that European settlers started crossing the Susquehanna in 1730, the Susquehannocks were just about gone. But not totally. The U.S. census in 2020 counted 1,116 Native Americans living in York County, an 18.5% increase over 2010 numbers. This childrens book came out as part of the 225th anniversary of the adoption of the Articles of Confederation in 2002. 1777-78: All roads lead to York There was no room in the inns in Lancaster, so the Continental Congress, fleeing Philadelphia, crossed the Susquehanna for a nine-month stay in York County. Here, they adopted Americas first constitution, the Articles of Confederation. That document, plus good news about the American armys success in the Battle of Saratoga in New York, brought France on board as an ally, with Treaties with France ratified in May 1778. Yorks hosting of 64 delegates, including 26 signers of the Declaration of Independence, has long been considered the countys finest moment. This mural was dedicated to the 250th anniversary of York County in 1999. And at its centerpiece is an American Revolution theme, pointing to the importance of that war in the countys history. 1838: Railroad spikes link with the South York County has historically benefited from access to at least two major ports: Baltimore and Philadelphia. That location has benefited this well-watered and large geographical county in bringing its considerable agricultural and industrial goods to market. The Northern Central Railway connected York and Baltimore in 1838, giving the county even greater access to Baltimore and strengthening the countys business, cultural and family connections with the South. Polish-born Gen. Casimir Pulaski raised a cavalry unit, Pulaskis Legion, in March 1778 in Baltimore. This unit made its way to York, indicative of the streams of visitors coming in and out of York in those days. 1863: Confederate surrender shapes York Robert E. Lees troops stepped on York County soil on June 27, a tangible example that the country was coming apart deconfederating. That was 86 years to the day after Continental Congress left York carrying a document of coming together, the Articles of Confederation. The Confederate advance prompted Yorks leaders to ride out twice to meet the enemy and surrender the town. That controversial action civilians seeking out the enemy to surrender in a theater of war shaped the way the York area views itself to this day. The community predominantly looks back on its significant American Revolution and World War II moments, or at least did until recently when Civil War studies have accelerated. That was the case despite hosting a major Civil War hospital, helping to provision a camp to season green Union recruits and persevering through more than 600 dead in blue uniforms. This art piece by J.H. Buford places Crispus Attucks at the center of the Boston Massacre of 1770, five years before the first shot of the American Revolution was fired. By the 1850s, Black leaders and other abolitionists were using Attucks story as an indicator that Black people had been part of the quest for American liberty from the beginning. 1887: Market sheds pulled down Farmers had sold their goods at the market sheds in Yorks Centre Square since the countys earliest years. But in 1887, with York a newly crowned city, the sheds stood in the way of the movement of goods and workers through this crossroads via trolleys and other conveyances, as the Industrial Revolution buzzed. The development verses agriculture tug of war continues today. In the early 1980s, the amount of developed acreage in the county exceeded that used for agriculture for the first time. 1941: New decade brings three challenges County residents faced myriad hurdles with the Great Depression still in play and a polio epidemic that cost at least nine lives and scores more impacted by the disease in 1941. Then at years end came the advent of World War II with the attack on Pearl Harbor. York Countys industries came together to form the York Plan, the sharing of manpower and machinery to gain major contracts that otherwise would have been out of reach of companies of the size operating in the county. About 570 servicemen in uniform died in this deadly war. World War II in York County is seen as one of its finest moments. 1950s: Latinos arriving in York County The arrival of Spanish-speaking people in York County rose to such an extent that a pastor was appointed at Yorks St. Marys Roman Catholic Church to minister to Latino members. By 1980, this Latino group formed a congregation at the former Annunciation Greek Orthodox Church on East South Street. This parish became the Cristo Salvador congregation. About 1960, two Latino families the Edwin and Delma Rivera family and the Jose and Gloria Hernandez family arrived. These families and others would provide leadership in a growing Latino population, a group that stands as the countys largest nonwhite population at about 40,000 people in 2020. 1968-1970: Race riots erupt Enslaved Black people had arrived with European settlers in the 1700s, making York County home to about 500 bondsmen and even more freedmen by 1790. In the decades after World War I, scores of Black families arrived in York from the South. By the late 1960s, race riots erupted, prompted by years of racial oppression and sparked by police dogs targeting Black residents. This difficult moment caused a needed disruption and brought on the York Charrette, a type of civic group therapy, that brought the community together in search of solutions and contributed to the end of widespread violence in subsequent summers. It brought reform agencies in housing, public health and public transportation. In subsequent decades until today, Black and women leaders gained the highest positions in York County. Still, poverty rates among Blacks and Latinos are multiple times higher than the white population today and income in the Black and Latino communities are considerably lower in comparison. Members of the Livingston family visit Prospect Hill Cemetery and the gravesite of Philip Livingston. This New York delegate and signer of the Declaration of Independence died in the line of duty while attending Continental Congress in the spring of 1778. 2000: New millennium offers highs, lows The 250th anniversary of York County in 1999 was a grand celebration, with a long parade, commemorative books and music written for the occasion. But soon after the clock ticked to 2000, the excitement of the 250th and the promise of the new millennium were tamped down by a succession of high-profile and consuming community controversies. Long-delayed trials for the assailants in two race riots murders from the late 1960s were followed by a high-profile trial in a wrenching fratricide case, the Witman court proceedings. The Dover intelligent design trial came next. Then shortly after Dover, an eminent domain dispute over scenic farmland in Lower Windsor Township roiled the county and resulted in voters ousting two sitting county commissioners. For some, parts of these proceedings did bring a degree of much-sought-after resolution, some form of redemption. But for others, these controversies created great division. All would agree that they agitated even exhausted the York County community. And amid all this, York County experienced three violent attacks in its schools. 2016: Top down changing to bottom up The generations of major community philanthropists and locally controlled industries have largely passed. To help offset this loss of community philanthropy is the growth of numerous grassroots groups, often with diverse memberships, providing sweat equity. Give Local York and other initiatives seek to raise small donations from thousands of people to replace the thousands of dollars in giving from a handful of philanthropists. Something seems to be working. Here is a sampling of significant history or cultural projects started or completed countywide in the past five years: Historic York leases Strickler House from county; Yorktowne Hotel renovated; Hanover Area Historical Societys Museum opens; 27-mile Heritage Rail Trail completed; Heart of Hanover Trails wayside markers completed, first phase; fundraising completed for City Cemetery (potters field) monument; Hanovers McAlister Hotel developed into market rate apartments; Lebanon Cemetery restoration started and work extended to other Black cemeteries; Farquhar Park Allen/Schaad memorial, signage, beautification; ongoing New York Wire Works renovations; Hellam, Martin and Red Lion libraries renovated; Susquehanna Heritage's River Discovery tours initiated aboard the vintage Chief Uncas and statue of 19th-century freedman William C. Goodridge unveiled. And here is a sampling of projects in the queue, many due for completion mid-decade in time for the anniversaries: Smithsonian exhibit of pioneering photographer Glenalvin Goodridges work; Mifflin House restoration; York County History Center steam plant museum; Crispus Attucks History and Culture Center; West Bank/Creekside Trail Codorus Greenway; Hard Bargains Articles of Confederation monument; improvements at Glen Rocks Ruins Hall, Glen Rock Mill Inn and other Trail Towns projects. Also, improvements to Susquehanna Riverlands State Park; improvements in the Hellam Hills preserve (Wizard Ranch) and other Lancaster Conservancy holdings; Hanover Market House operations analysis and capital needs assessment; work on Dillsburg end of South Mountain Trolley Greenway; archaeological findings from stockade at Camp Security, British POW camp. Also, Horn Farm restoration; Hanover Trolley Trail sections opening; Penn Market restoration; Spring Groves Hoke House restoration/relocation; Glen Theatre restoration; York Colleges Knowledge Park and Manor House completion and other Penn Street investment; Susquehannas Veterans Memorial Bridge improvements; phased improvements to Wrightsville Riverfront Park; possible reuse of Hanover (State) Theater; restoration of Eichelberger Distillery at Dills Tavern; ongoing restoration of three Welsh quarrymen cottages in Delta/Peach Bottom; broadband infrastructure enhanced countywide; and erection of new memorials and signage in Allen/Schaad commemoration. County scores compelling story Its tempting for residents and historians to consider their home area as unique and exceptional. You could say that York missed that classification when it sought out the invading Confederates and handed over the keys to the town. Without that misdeed, how many towns have major roles in three wars: Revolutionary War, Civil War and World War II? York Countys lack of a singular defining event or cultural asset the battle in Gettysburg or the Amish in Lancaster makes its story compelling nonetheless. Instead of focusing on one big moment, there are countless smaller stories that add up to a deep narrative. Indeed, for years, scholars have written about York Countys importance on the land west of the Susquehanna. Still, more needs to be written about York Countys 65-mile border with the South in the 1700s, and how that has shaped us. That said, Schaefer had it right. Essentially, Schaefer wrote, York Countys place is quite a place. Upcoming presentations I will present on these three topics in the fall term at OLLI at Penn State York: Fascinating Things about Northern York County, When Ephrata's Cloister Opened a Branch Campus along York County's Bermudian Creek and When Diplomat George Kennan and Other Famous People Viewed Adams County's East Berlin and Its Region as Home. Registration is open for OLLI members. Nonmembers: Registration opens at noon Aug. 21. And for OLLI's complete catalog: olli.psu.edu/york/courses. Jim McClure is a retired editor of the York Daily Record and has authored or co-authored nine books on York County history. Reach him at jimmcclure21@outlook.com. This article originally appeared on York Daily Record: York County Pa. nears 275: 10 big moments from its past STATEN ISLAND, N.Y. (WPIX) In 2004, a nurse found a days-old baby girl inside a New York hospital bathroom. Nearly two decades later, the now 19-year-old and her family returned to say thank you and find out more about that day. The story even comes with a twist. It was like any other work day nearly 20 years ago for Claudia Beadle as a cardiac technician at Richmond University Medical Center in Staten Island. During her lunch break, she went to the bathroom, and what happened next changed her life forever. Victoria Lynn, 19, was just days old when Beadle discovered her wrapped in a blanket in a hospital bathroom. Beadle said she saw a beautiful little face tucked behind the toilet tank on the floor. They returned to the exact spot together Tuesday, held hands and hugged each other. Beadle cared for Victoria during her stay at the hospital. She would even visit her during her breaks and days off to feed her. As a cardiac technician at the hospital for nearly 40 years, Beadle said its the moment of which shes most proud. Earn $1K to test Buc-ees snacks how to apply Victoria was eventually adopted by loving parents, Angela and Dennis. It was meant to be, her mom said. Victoria always hungered to know more about that day. Her family, who did not want their last name revealed, considers Beadle a guardian angel. Victorias older brother, 21-year-old Frank, was also adopted. He was abandoned at a day care center on Staten Island seven months before Victoria was abandoned. Thanks to ancestory.com, the brother and sister found out just last week that they are actually full biological siblings. Beadle now has a bond that will last a lifetime. Every birthday and holiday, they plan to be together. The family is now joining with the hospital to create a fund for scholarships. People wanting to help can visit the Richmond University Medical Center Foundation page and use the donate button to make a gift indicating the tribute name as Victoria and Frank. For the latest news, weather, sports, and streaming video, head to FOX 4 Kansas City WDAF-TV | News, Weather, Sports. MUNCIE, Ind. A young Muncie man has been accused of providing a teenager with the narcotics that led to a fatal overdose. Michael Alejandro Reed who will observe his 21st birthday on Friday is preliminarily charged with dealing in a controlled substance resulting in death and dealing in cocaine or a narcotic drug. Reed, arrested Tuesday, is accused of selling pills containing fentanyl a powerful synthetic opioid to an 18-year-old Muncie man on July 13. The teenager died in his home on July 14. An autopsy determined he had died as a result of "acute fentanyl intoxication." According to Muncie police, text messages during the teen's final hours reflected he was discussing plans to purchase drugs, reportedly from Reed. More: Muncie man convicted of drug dealing resulting in death During an interview with police on Tuesday, Reed reported "admitted to meeting with (the teenager) the night before he died (and) selling him the pills for $40." Reed cointnu8ed to be held in the Delaware County jail on Wednesday under a $55,000 bond. The dealing-resulting-in-death charge is a Level 1 felony carrying up to 40 years in prison, while the other dealing charge is a Level 5 felony with a maximum six-year sentence. At the time of this week's arrest, Reed already faced four misdemeanor charges two counts each possession of marijuana and possession of paraphernalia in a pair of cases pending in Muncie City Court. To date, six people have been convicted of dealing-resulting-in-death charges in Delaware County. The indiana General Assembly enacted the charge in 2018 "as a tool for prosecutors across the state to hold those accountable who sell drugs to people that end up overdosing and dying," Delaware County Prosecutor Eric Hoffman said at the time. On Wednesday, Delaware Circuit Court 4 Judge John Feick sentenced a Muncie man convicted in a dealing-resulting-in-death case to 44 years in prison. A jury on April 26 found Michael Tyler Schoeff, 38, guilty of aiding, inducing or causing dealing in a controlled substance resulting in death. Prosecutors said Schoeff was a player in the events that saw his girlfriend sell heroin to a local woman who then died of an overdose in 2020. Feick on Wednesday imposed a 32-year sentence for that conviction, and added 12 years to the sentenced based on a finding that Schoeff was a habitual offender. "We will continue to hold drug dealers and habitual violators of the law who prey on the vulnerable in society accountable," Hoffman said in a Wednesday release. Douglas Walker is a news reporter at The Star Press. Contact him at 765-213-5851 or at dwalker@muncie.gannett.com. This article originally appeared on Muncie Star Press: Young Muncie man accused of selling fentanyl to teen who fatally overdosed There has been a significant movement in the field of search engine optimization (SEO) in recent years. More clever procedures are quickly replacing the classic approaches of keyword stuffing and link-building. One can question how this metamorphosis took place. The solution lies at the crossroads of "AI and chatGPT in SEO" and other machine learning breakthroughs. As AI technologies advance, they bring with them the enormous potential to transform the field of SEO. This essay goes into detail about how machine learning improves search performance and why companies should adapt. Understanding Machine Learning in SEO A subset of artificial intelligence, machine learning, involves teaching a computer system to make predictions or judgments without being explicitly programmed for the purpose. Machine learning algorithms in SEO evaluate massive quantities of data to forecast which content will rank high on search engines. They look at things other than keywords, such as user behavior, site structure, and the environment in which material is generated. The Shift from Manual to Automated Optimization SEO was once a manual process in which specialists made informed estimates about what search engines valued and tweaked their websites appropriately. With the development of AI-powered technologies, most of this guessing is no longer necessary. Algorithms may now assess user interactions with information in real-time, instantaneously modifying techniques to maximize search results. User Behavior and Predictive Analytics The capacity to anticipate user behavior is one of the most important benefits of using machine learning in SEO. Machine learning algorithms can predict what sort of material a person would seek next by evaluating previous user interactions with websites. This predictive analysis guarantees that the material is constantly one step ahead of the user, resulting in a better user experience and increased levels of engagement. AI and Content Creation The development of SEO technologies such as chatGPT has reshaped article production. Gone are the days when writers had to spend hours researching and assembling material to generate content from fresh. AI-powered technologies can now produce human-like prose in response to cues. This not only expedites the content production process, but also assures that the material is search engine optimized from the start. Contextual Understanding and Semantic Search Machine learning improves search engines' capacity to recognize the context of a search query. Search engines may now understand the purpose behind a user's search rather than just matching terms. This is especially beneficial when dealing with ambiguous inquiries, when the user's meaning may not be evident from the search words alone. Search engines can give more relevant and accurate results if they comprehend the larger context. Customization of Search Results Search engines may now personalize results depending on specific user preferences and search history thanks to machine learning. Personalization guarantees that consumers get what they're seeking more quickly, resulting in higher satisfaction and longer engagement. Businesses profit from better click-through rates and more opportunities to convert leads into customers as a consequence. Link Construction and Network Analysis Previously, the emphasis of link development was mostly on quantity. Websites sought as many backlinks as possible, sometimes without regard for the quality or relevancy of those connections. With the introduction of machine learning in SEO, there has been a movement away from quantity and toward quality. Algorithms now examine the complete network of connections, recognizing the context and importance of each link, guaranteeing that real, high-quality backlinks are rewarded. Continuous Improvement and Adaptive Learning One of the intrinsic characteristics of machine learning algorithms is their capacity to continually adapt and learn from fresh data. This adaptability is critical in the ever-changing field of SEO, where search engine algorithms are routinely modified. Machine learning guarantees that SEO methods are constantly in sync with the most recent search engine criteria, resulting in long-term high performance. AI SEO Challenges and Considerations While the combination of AI and SEO provides tremendous benefits, it is critical to recognize the problems and issues that come with it. 1. Creating Ethical Content: Concerns about authenticity emerge when technologies like chatGPT gain popularity in SEO content generation. It is critical to guarantee that AI-generated material is utilized responsibly, fully reported when appropriate, and does not contribute to the spread of disinformation. Brands must be held responsible for the material they transmit, even if it is generated by computers. 2. Excessive optimization: While artificial intelligence can optimize every component of a website for best search results, there is a danger of over-optimization. A website might become too geared to algorithms and lose its human touch or brand voice in the process. Balancing AI-driven insights with human intuition is still a key component of effective SEO strategy. 3. Concerns about privacy: Machine learning algorithms, especially those used to personalize search results, need massive volumes of user data. In an era where user privacy is crucial, organizations must verify that all data collecting and processing complies with legislation such as GDPR and prioritizes user privacy. 4. Constant Change: The SEO landscape is always changing. As search engines improve their algorithms, the AI models that power SEO techniques must be updated on a regular basis. To remain relevant, ongoing investment in learning, training, and improving machine learning models is required. 5. Reliance on Tools: A significant dependence on artificial intelligence techniques may sometimes lead to complacency. While AI may give meaningful insights and automation, the human aspect - knowing the brand, its audience, and the subtleties of human language - is vital. SEO Collaboration Between Humans and AI SEO is really about engaging with people - understanding their requirements, addressing their questions, and providing value. AI supports SEO professionals by offering data-driven insights, automating time-consuming processes, and optimizing methods for maximum success. The ultimate success in SEO, on the other hand, stems from a harmonic combination between AI's computational strength and human creativity and intuition. Human specialists contribute cultural awareness, emotional intelligence, and creative flare that AI cannot imitate at the moment. While AI may produce content, the narrative arc, emotional touchpoints, and brand voice, for example, are fundamentally human areas. Human knowledge shines in areas such as incorporating input, recognizing the nuanced nuances of audience groups, and adjusting to changes in market dynamics or world events. As a result, the most effective SEO campaigns will combine the accuracy and efficiency of AI with the creativity and insight of human professionals. Last Thoughts AI and SEO are combining to reshape the digital environment. Businesses have an unparalleled chance to improve their digital presence, engage more closely with their audience, and drive growth as machine intelligence continues to make inroads into search optimization. But, like with any great instrument, accountability, and balance are essential. Businesses may plan a road to long-term success in the digital era by recognizing the possibilities and limits of AI in SEO and combining them with human experience. A Federal High Court in Lagos will on Thursday rule on an application for withdrawal of charges against the suspended Governor of Central Bank of Nigeria, Godwin Emefiele. The application was made by the Federal Government. Justice Nicholas Oweibo gave the date after arguments on the application by parties in the suit. Oweibo had reserved a hearing of two pending applications for Tuesday. One of the pending applications was filed by the Federal Government. It seeks to appeal the bail granted to Emefiele by the court on July 25. The second application was filed by Emefiele. It seeks to stop his prosecution by the government on charges of illegal possession of firearms and ammunition. However, on Tuesday, the Director of Public Prosecutions (DPP) in the Federal Ministry of Justice, Mr Mohammed Abubakar, made an oral application for withdrawal the charges against Emefiele. He said that the application was informed by emerging facts that needed further investigations. He urged the court to grant the application. Emefieles counsel, Mr Joseph Daudu (SAN), however, opposed the application. He argued that the government was in disobedience of the courts order granting Emefieles bail and, therefore, should not have its application entertained by the court. We have an application that the government has flouted the courts order which says the respondent/ defendant should be remanded at the Nigeria Correctional Service. The court granted an order of substituted service to be published in three national dailies, and after that, the prosecution brought an application for stay of execution of the bail order, he submitted. He added that the application for withdrawal of charges must be in writing. In the interest of justice, we need to prevent abuse of legal processes. I urge the court to reject the application and order the learned DPP to go on with todays business, he said. The News Agency of Nigeria (NAN) reports that the court, on July 25, admitted Emefiele to N20 million bail after he was arraigned on a two-count charge of illegal possession of firearms and ammunition. The court ordered his remand at the facility of the Nigeria Correctional Service pending perfection of the bail conditions. However, operatives of the State Security Service re-arrested the the defendant in the courts premises at the end of proceedings on the same day. VANGUARD NEWS SECTIONS The Federal Government has dissolved the Advertising Standard Panel (ASP) for approving the trending All Eyes on The Judiciary billboards. The Director-General of the Advertising Regulatory Council of Nigeria (ARCON), Dr. Olalekan Fadolapo, confirmed the dissolution of the panel in a statement on Tuesday. The billboard is perceived to be blackmailing the Presidential Election Petition Tribunal. The ASP is the Statutory Panel under the Council charged with the duty of ensuring that advertisements conform to the prevailing laws of the Federation as well as the Code of advertising ethics of the advertising profession. Fadolapo said the Council has also suspended its Director and Deputy Director in charge of Regulations to allow investigations into the issue. The statement reads, The attention of the Advertising Regulatory Council of Nigeri? [ARCON) has been drawn to the All Eyes on the Judiciary advertisements exposed on some billboards across the country. The Advertising Standards Panel of the Council also erred in the approval of one of the concepts as the advertisement failed to vet guidelines on the following grounds: The cause forming the central theme of the campaign in the advertisement is a matter pending before the Presidential Election Petition Tribunal. Hence, its jus pendis. A matter being jus pendis and awaiting judicial pronouncement is, by virtue of the Nigerian legal system, precluded from being a subject of public statement, debate, discussion, advertisement, etc. The advertisement is controversial and capable of instigating public unrest and breach of public peace. The advertisement is considered blackmail against the Nigerian Judiciary, the Presidential Election Petition Tribunal, and particularly the Honourable Justices of the Tribunal who are expected to discharge their judicial functions without fear or favour over a matter that is currently jus pendis. The ARCON DG said the Council would set up a committee to investigate the circumstances leading to the erroneous approval of one of the concepts of the advert and the breach of the vetting guidelines. Consequently, the Director and Deputy Director, Regulations have also been suspended. The suspension is to enable an unprejudiced investigation of the issue. The Advertising Standards Panel (ASP) Secretariat failing to diligently exercise its function as the gatekeeper of advertising, advertisement, and marketing communications is hereby dissolved. VANGUARD NEWS SECTIONS Director of Public Prosecutions (DPP) at the Federal Ministry of Justice Mohammed Bakodo Abubakar made this known to journalists after Tuesdays proceedings. The Federal Government has filed 20 charges against a former Central Bank governor Governor in Abuja. According to Abubakar, the fresh charges with 20 counts were filed at the Federal Capital Territory (FCT) High Court. One of the counts accuses Emefiele of conferring unlawful advantages. On Tuesday the Federal Government applied to withdraw the illegal possession of firearms case it filed against Emefiele, at the Federal High Court sitting in Lagos. Abubakar told Justice Nicholas Oweibo that the application followed the result of further investigations. But defence counsel Joseph Daudu (SAN) opposed him, arguing that because the government was in disobedience of the courts order granting Emefiele bail, its application could not be taken. UPDATE: Jordan was found safe on Friday, Aug. 18. The Council Bluffs Police Department is seeking the publics help in locating a 29-year-old man. Deni Jordan was last seen at the Walmart on North 16th Street in Council Bluffs on July 29. His family filed a missing person report with area police as they have not been able to contact him recently. Jordan does not have a permanent residence that family is aware of. Jordan is 5 feet 11 inches tall and weighs 170 pounds. He is a Black man with a beard. Anyone with information on Jordans current location is asked to call Sgt. Roberts at 712-890-5212. Anheuser-Busch and Doll Distributing are providing 2,352 cans of emergency drinking water to both the Tabor and Mineola volunteer fire departments as they continue to protect our communities and prepare for wildfire relief efforts. The donation of emergency drinking water was sourced from Anheuser-Buschs brewery in Fort Collins, Colorado, which periodically pauses beer production to can clean and safe drinking water to be delivered to communities in times of need. With volunteers comprising 67% of firefighters in the United States, they are local communities first line of defense in a range of emergencies, while often operating with limited resources and staffing. Anheuser-Busch has a longstanding tradition of providing emergency drinking water and supplies for disaster relief efforts in partnership with the American Red Cross, dating back to 1906. Since the inception of its emergency drinking water program in 1988, Anheuser-Busch has donated more than 90 million cans of clean drinking water to U.S. communities affected by natural disasters and other crises. Doll Distributing's commitment to the communities it serves inspired a partnership with Anheuser-Busch and the National Volunteer Fire Council "to deliver this much needed water to our local heroes in the Tabor and Mineola volunteer fire departments," Rhea Eitmann, marketing manager at Doll Distributing, said in a news release. Through its partnership with the National Volunteer Fire Council, the national association representing Americas volunteer fire departments, Anheuser-Busch also donates emergency drinking water each year to provide critical hydration to volunteer firefighters. Since its launch in 2019, the program has donated over 4.8 million cans of water to volunteer fire departments across the county. The Veterans Business Outreach Center will host a Boots to Business | Reboot program in Council Bluffs on Friday, Aug. 18. Boots to Business | Reboot is a one-day, in-person course offered to anyone, free of charge, and provides participants with an overview of business fundamentals while introducing techniques for evaluating the feasibility of business concepts. The course provides assistance to those interested in exploring business ownership or other self-employment opportunities by leading participants through the key steps for evaluating business concepts and providing foundational knowledge required to develop a business plan. Participants are introduced to a broad spectrum of entrepreneurial business concepts and resources available to access start-up capital, technical assistance, contracting opportunities, and more. VBOC is hosted by the Nebraska Enterprise Fund and is funded in part through a Cooperative Agreement with the U.S. Small Business Administration. The VBOC program is designed to provide entrepreneurial development services such as business training, counseling and resource partner referrals to transitioning service members, veterans, National Guard & Reserve members and military spouses interested in starting or growing a small business. The event is being held in partnership with TS Bank, which will serve as the meeting location. TS Bank is located at 53 Scott St. in Council Bluffs. Pre-registration is required and can be done at eventbrite.com/e/694793043697?aff=oddtdtcreator. For more information, visit nebbiz.org/vboc. Weather Alert ...EXCESSIVE HEAT WARNING REMAINS IN EFFECT FROM NOON TODAY TO 10 PM CDT WEDNESDAY... * WHAT...Dangerously hot conditions with heat index values up to 110 expected. * WHERE...Portions of west central Iowa and east central and northeast Nebraska. * WHEN...From noon today to 10 PM CDT Wednesday. * IMPACTS...Extreme heat and humidity will significantly increase the potential for heat related illnesses, particularly for those working or participating in outdoor activities. PRECAUTIONARY/PREPAREDNESS ACTIONS... Drink plenty of fluids, stay in an air-conditioned room, stay out of the sun, and check up on relatives and neighbors. Young children and pets should never be left unattended in vehicles under any circumstances. Take extra precautions if you work or spend time outside. When possible reschedule strenuous activities to early morning or evening. Know the signs and symptoms of heat exhaustion and heat stroke. Wear lightweight and loose fitting clothing when possible. To reduce risk during outdoor work, the Occupational Safety and Health Administration recommends scheduling frequent rest breaks in shaded or air conditioned environments. Anyone overcome by heat should be moved to a cool and shaded location. Heat stroke is an emergency! Call 9 1 1. && Gabon has converted $163 million, a third of its debt, into funds to protect its oceans, US-based NGO The Nature Conservancy (TNC) and the Government of the central African country said Tuesday August 15. The swap operation marks the start of a 15-year conservation project to help Gabon finance ocean protection and management for 30 percent of its ocean, TNC noted. For years weve been talking about green financing But there has been little action, Water and Forestry Minister Lee White told AFP. We hope this small step will lead the way to new conservations, he said. The African countrys beaches and coastal waters are reportedly home to the worlds largest population of endangered leatherback turtles, critically endangered Atlantic humpback dolphins, and one of the largest olive ridley turtle nesting sites in the Atlantic. The funds will be used to refinance $500 million of national debt, a move expected to secure funding for marine conservation activities and a clampdown on illegal fishing. TNC said the deal involved the Bank of America that issued a new bond that is insured against political risk by the United States International Development Finance Corporation. Our Blue Bonds program (helps) governments reach conservation and climate goals while also supporting the well-being of their people and economies, explained CEO Jennifer Morris. The converted debt will also help the African country improve the management of its territorial waters, 26 percent of which are currently earmarked as protected, and make its fishing industry more sustainable. Entering China with a virtual APEC Business Travel Card (People's Daily App) 14:26, August 16, 2023 To facilitate business travel in the Asia-Pacific region, China has allowed foreigners holding virtual APEC Travel Business Cards (ABTC) to enter the country since May 1. Click the video to learn more! (Video source: City News Service) (Web editor: Zhang Kaiwei, Wu Chaolan) Tunisian Tourism Minister, Mohamed El Moez Belhassine, has presented a set of new measures to promote the North African countrys destination. In an interview with State-run news agency TAP, Belhassine indicated that his ministry and stakeholders of the sector have decided to create a Higher Council of Tourism that will play a coordination mechanism role between various ministries intervening in the tourism industry. This body will coordinate policies and strategies formulated by the various stakeholders to promote the sector and oversee the implementation of these strategies, he said, noting that the promotion of the tourism sector is a collective responsibility involving various ministries (transport, health, industry, agriculture, etc.) to address challenges such as water scarcity, energy efficiency and tourist safety. The Higher Tourism Council was originally established in 2003 and dissolved in 2010. This institution will work within a holistic 2035 vision for Tunisia, in line with strategies in other sectors. The department has also proposed a tourism Code in a move to unify the legislative framework and boost tourism investment. Belhassine also announced the creation of a Tourism Satellite Account to assess the real contribution of the tourism sector to the national economy. As another new measure, the ministry plans to introduce a new system for revising hotel classification by the end of 2023, with a view to improving service quality, Belhassine told the agency. The real tourism revenues are double the figure announced, which begs for the introduction of a new statistical system for the tourism sector, enabling to assess the real contribution of the tourism sector to the economy, the minister added. Estimated tourism revenues of TND3.8 billion up to the end of July 2023 do not represent the true value of this sectors contribution to the national economy, since this figure does not take into account spending on tourists medical care, cruises, purchases of handicrafts, shopping and also travel within the country, especially for those traveling alone, stressed the Government. As many as 5 million tourists have so far visited Tunisia this year. The figures account for 70 per cent increase compared to the same period in 2022. Military chiefs from the West African bloc ECOWAS are set to meet Thursday and Friday in Accra, Ghana, to discuss a possible military intervention in Niger, one week after leaders approved deployment of a standby force. The meeting was originally slated for Saturday August 12 but has been postponed amid diplomatic efforts to bring new Niger leaders to restore democratic order and release deposed leader Mohamed Bazoum. The ECOWAS leaders had met in Abuja earlier to push for a diplomatic solution while also demanding the deployment of a standby force. The Junta is Niger slammed the decision and announced plans to try Bazoum for high treason. As the military Chiefs gear up for the meeting, Russias leader Vladimir Putin in a telephone conversation with his Malian counterpart Assimi Goita, has stressed the importance of resolving the Niger crisis by diplomatic means. Mali and Burkina Faso, two Russias key allies in Africa, have vowed to side with Niger should the regional bloc conduct a military intervention. UN agencies and humanitarian organizations are urging global action as millions of people in Sudan run out of food and many die due to the lack of healthcare after four months of bloodletting. The situation in Sudan, which could possibly amount to crimes against humanity, is rapidly spiraling out of control with widespread displacement and the looming threat of famine, UN agencies and NGOs warned on Tuesday (15 August). The signatories from 20 global organizations stressed that more than six million Sudanese people are one step away from famine, with the United Nations adding it was particularly worried about women and girls amid shocking incidence of sexual violence, including rape. More than 14 million children need humanitarian aid and over four million people have fled the fighting, either within the war-ravaged country or as refugees to neighboring states, according to the joint statement. Fighting between the Sudanese army and the paramilitary Rapid Support Forces (RSF) has devastated the capital Khartoum and sparked ethnically-driven attacks in western Sudans Darfur area, threatening to plunge the northeast African country into a protracted civil war and destabilize the wider region. In Darfur, the latest fighting has also morphed into ethnic violence, with the RSF and allied Arab militias targeting African communities, UN officials say. Efforts led by the United States and Saudi Arabia to negotiate a ceasefire in the ongoing conflict have stalled, and humanitarian agencies have struggled to provide relief while also hospitals across the country experience partial or complete shutdown. Malis military leader and interim president, Assimi Goita, had a telephone conversation with Russian President Vladimir Putin on Tuesday about the escalating situation in Niger, amid growing concerns in the West that Africas latest coup undermines its influence while presenting an opportunity to expand Russias presence in the West African region. The Kremlin said Malis leader initiated the call to President Putin about the events unfolding in Niger where a coup on 26 July ousted democratically elected President Mohamed Bazoum. Both leaders discussed a number of hot topics but particular attention was given to the situation in the Sahara-Sahel region, according to the statement. The Russian president stressed the importance of employing exclusively peaceful political and diplomatic means to resolve the ongoing situation for the sake of a more stable Sahel, the statement said. The Kremlin also noted the phone call was in continuation of the Russian-Malian high-level talks held at the Russia-Africa Summit in St Petersburg in July. Putins call for a peaceful resolution comes days after West African leaders ordered the activation and the deployment of a regional ECOWAS standby force to restore constitutional order in Niger. Mali has continued to urge the African Union and the United Nations to prevent any military intervention against Niger. The hotline between Bamako and the Kremlin is likely a source of great concern for Western governments who fear the prospect of growing Russian influence in the Sahel. West African army chiefs will meet on Thursday and Friday (17-18 August) in Ghana to prepare for a possible military intervention of ECOWAS forces in Niger, if diplomacy fails. But some experts have warned that any military intervention could further destabilize the impoverished Sahel, including Niger that has strategic significance for the West, Russia, and China due to its uranium and oil resources and its role as a hub for foreign forces fighting armed groups in the region. Danfoss, a Danish energy solutions company, is eyeing the growing data centre market in Kenyas data center sector, partnering with local companies and institutions to grow its foothold in the East African country that has long harbored the ambition to become the regional petroleum hub. Through partnerships with Kenyan companies, alliances with universities, and internship programs designed to support the countrys up-and-coming talent, the Danish company has gradually been affirming its local presence. However, as it looks at Kenyas expanding energy potential, it is now boosting its investment in partner competence, contractor and installer training, and customer support. Danfoss country manager for sub-Saharan Africa, Emil Berning, says that the firm will continue building a network of partners to improve geographical and market segment coverage locally. He was speaking after Danfoss met with more than 100 partners, customers, and industry experts to present its solutions to the local market. As part of the seminars, the multinational aimed to create awareness and transfer expert knowledge to over 100 stakeholders in Kenya on how Danfoss solutions contribute to energy efficiency and decarbonization. Amid growing collaboration with Kenyan firms to support green technology advancement, the Danish company increases investment in partner competence and training, focusing on energy efficiency and decarbonization. Danfoss is growing its presence in the market with their current partners Jash Agencies, Centurion Systems, Hydromatics, Frigitec, as it bets its success on collaboration with Danish Trade Council in Nairobi. Founded in 1933 by a Danish engineer, Danfoss has evolved into a global enterprise employing over 42,000 individuals worldwide. The company recently celebrated its 30th anniversary in South Africa, marking three decades of growth and expansion in the region. Photo: COBB COUNTY POLICE/via REUTERS On the morning of December 15, 2020, Reverend Stephen Lee was far from his home in Illinois, sitting in a red Ford Fusion outside the house of Georgia poll worker Ruby Freeman, who just called the police on him. Im a pastor and Im also working with some folks who are trying to help Ruby out and also get to some truth of whats going on, Lee told the officer who arrived at the scene, according to body-cam footage obtained by Reuters last year. Over the previous 24 hours, Lee had knocked on Freemans door and passed a message to her neighbor letting her know that he could help her make things right. Based on a deceptively edited video distributed by Donald Trumps team, Lee erroneously believed that Freeman and her daughter, both poll workers in Fulton County, had taken suitcases full of ballots for Joe Biden and scanned them after hours without supervision. Trump allies hoping to overturn his loss in Georgia considered this the smoking gun showing evidence of voter fraud. Ive got some pro bono service for her if shes interested, Lee told the officer, adding that shes terribly spooked. Ruby Freeman, the mother of Wandrea ArShaye Shaye Moss, an election worker in Fulton County, Georgia, at a hearing of the January 6 committee in Washington last year. Photo: Tom Williams/CQ-Roll Call, Inc via Getty Images For the 20 years leading up to that moment, Lee had dedicated much of his life to helping people in crisis, traveling the country to minister to Americans who lived through hurricanes, mass shootings, and terrorist attacks. But by showing up at Freemans house that morning, he stumbled into a wide-ranging conspiracy that threatens the former president of the United States with an unpardonable racketeering charge. Deep into the 98-page indictment of Trump in Georgia, Lee was named as one of 18 co-conspirators, charged with attempting to influence witnesses and conspiring to solicit false statements and writings. Prosecutors described his action as an act of racketeering activity in furtherance of the conspiracy to overturn the election. (A representative for Lee did not respond for requests for comment and he has yet to answer the charges in court.) Lee, a 70-year-old Lutheran pastor, is unlike most of the other figures charged in the indictment politicians and political activists working directly with the Trump campaign to pressure state officials to change the vote count. After serving as a sheriffs deputy in California in the 1980s, Lee was ordained as a minister in 1992 and began serving as a police chaplain. In 1999, after moving to Colorado Springs, he was the head chaplain for the Bureau of Alcohol, Tobacco, and Firearms agents who responded to the Columbine school shooting. The event stayed with him. More than any incident in my experience, Columbine remains a black hole of residual pain and agony, he recalled in July 2001. Two months later, he would travel to New York to serve as a chaplain for first responders after 9/11. They often just need somebody to hold their hand and be alongside them, he told the Palo Alto Weekly back then. With groups he founded, such as Peace Officer Ministries and Quick Response Team Ministry, Lee traveled the country to natural disasters like Hurricane Katrina and mass shootings, including those at Virginia Tech and in Las Vegas. In a blog post about the Pulse nightclub attack in 2016, he described his crisis ministry: At mass shootings, which he called demonic births, Lee and other pastors would break into small groups with cops on the scene to mitigate the emotional (here I add spiritual) impact of the violence. We must accept our human limitations in preventing evil, sometimes tough for first responders to do, but we also need to realize we can turn these frustrations over to a loving God who walks with us through the shadowed valley, he wrote. He shared these teachings to Christian police officers in self-published books like Backup on the Beat and Gods Word for Peace Officers. During the 2016 election, Lee became enamored with Trump. He traveled to New York City to pose in front of Trump Tower, where he claimed to have briefed a Trump-transition team adviser on a volunteer federal law enforcement chaplaincy proposal. After the trip, he wrote: The president has issued a clarion call to make America great again and drain the swamp. No matter how we voted in the recent election, we should all support these noble goals. After Trump lost the 2020 election, Lee went to Georgia to help prove the outgoing presidents bogus claims of voter fraud. After he failed to speak with Ruby Freeman, prosecutors say he contacted Harrison Floyd, the leader of the group Black Voices for Trump who was tasked with rallying African American voters to the Trump campaign. (Lee believed that Freeman, a Black woman, was afraid to speak to him because he was white.) Floyd then reached out to Trevian Kutti, Kanye Wests former publicist, who then told Freemans neighbor that he was a crisis manager who could help her. He reportedly threatened her with jail time unless she admitted to the election-fraud scheme. After months of harassment by Trump supporters, Freeman and her daughter were cleared of any wrongdoing while Lee, Floyd, and Kutti were all charged in the indictment. (Floyd and Kutti did not respond to requests for comment.) It is still unclear to what extent if at all Lee was in contact with the Trump campaign. But a man who has dedicated his life to upholding the law has now found himself on the wrong side of it. According to Religion News, Lee remains an emeritus pastor at his church in Illinois and gave a sermon as recently as Saturday, preaching on the Book of Job, the Old Testament exploration of divine justice. It is a theme he has considered throughout his career in law enforcement and ministry. In an interview in 2000, he mulled over the meaning of the law. To Lee, the laws primary function is to reveal that weve fallen short of Gods glory: It serves as a mirror that shows us that the law will not save us and will ultimately condemn us. A certain political ghost is haunting the DeSantis campaign. Photo-Illustration: Intelligencer; Photos: Getty Ron DeSantis remains the most formidable rival to Donald Trump for the 2024 Republican presidential nomination. But its been a long, long time since hes gotten any particularly good news in the polls. A new Emerson College survey shows him dropping into single digits and third place in New Hampshire, behind Chris Christie. In the RealClearPolitics averages of national GOP polls, hes dropped from 30.1 percent at the end of March to 14.8 percent now. He looks relatively strong in Iowa, where it appears he is making a desperate all-or-nothing stand, but mostly just by comparison. Trump only leads him by 27 points in the first-in-the-nation caucus state, though sparse Iowa polling may disguise a less positive environment for DeSantis. Polling aside, recent news emanating from the DeSantis campaign has been generally quite bad. Hes had three campaign leadership shakeups, a big round of staff layoffs, and at least one major reboot of his message and strategy. Meanwhile, the Trump campaign is still building steam, and its main problem is that too much of his vast financial resources are going into legal costs in connection with indictments that arent hurting him at all among Republican voters. Another bad development for DeSantis is that a large field of rivals has remained in the race, spoiling his hopes for a one-on-one battle with the front-runner. Once an almost obscenely well-funded campaign, the DeSantis effort appears to have a high burn rate and some serious donor defections. And more generally, hes no longer the darling of Republican and conservative elites, most particularly Rupert Murdoch. The trajectory of DeSantis 2024 should remind political observers of another recent Republican presidential bid that at this point in 2015 was about to enter a dramatic plunge into premature defeat well before voters voted: Scott Walker. Read my colleague Jonathan Chaits description of Walker as he appeared at the beginning of that race and see if it doesnt sound exactly like the image DeSantis had built until his recent troubles: Scott Walker won three statewide elections in Wisconsin, which has supported the Democrat in every presidential election since 1984. He led national Republican polling as recently as March. He led in Iowa by enormous margins as recently as August. The Koch brothers loved him. Walker had spent his entire adult life developing an almost superhuman fealty to the principles of the modern Republican Party, its Reaganolotry, and, above all, a ruthless commitment to crushing its enemies beneath his boot heel. If there was anything that gave Walker joy it was the goal of wiping organized labor off the map. As Grover Norquist enthused in May, when you meet him, its like seeing somebody who sits on a throne on the skulls of his enemies. Like DeSantis, he was relatively young, in his 40s, and thus was able to generate a sense of generational change in his party (the two previous GOP nominees were 72 and 65 years old, respectively). Like the Floridian, the Wisconsin governor had found the absolute sweet spot of the GOP Zeitgeist: the strident ideologue who somehow still appeals to swing voters, and who strikes fear into the hearts of liberals everywhere as he destroys their counterparts in his state. Walkers very colorlessness (like DeSantiss) enhanced his reputation as a methodical Death Star come to remake America in his states increasingly reactionary image. The question now is whether DeSantis will also emulate Walker in the ultimate futility of his campaign. There are as many parallels in the decline of their candidacies as in their rise to national political celebrity. Margaret Hartmanns timeline for Walkers brief campaign shows some of the same weaknesses as DeSantiss, and also how quickly his problems snowballed: According to Real Clear Politics polling averages, during most of the first half of 2015, Walker was among the top three GOP presidential candidates in national polls, and led in Iowa by a wide margin Some outlets ran stories such as How Scott Walker Will Win and Six Reasons Why Scott Walker Will Be Elected President, but the Times raised the possibility that Walkers shift to the right on issues like same-sex marriage, immigration, and ethanol subsidies to maintain his lead in Iowa was making him appear inauthentic and costing him elsewhere in the nation. Coincidentally or not, DeSantiss Iowa-driven decision to run to the right of Trump also had less than ideal consequences for his candidacy. Also like Walker, DeSantis seems to have also underestimated Trump. Walker pretty clearly didnt know what hit him, Hartmann suggested: With Trump dominating the political conversation and a crowded field of 16 other Republican candidates, Walkers campaign began imploding in earnest. After months on top, a CNN/ORC poll found Walker had dropped to third place in Iowa behind Trump and Ben Carson. At this point, Walkers lack of charisma started becoming a problem for him in the retail political environment of Iowa, just as its a problem for DeSantis, especially after he made the dubious decision to promise to appear in all the states 99 counties. But what actually did in Walker after his campaign lost its magic were mediocre debate performances, beginning in August: Walkers appearance in the first GOP debate was unmemorable. Just before the debate, he had more than 11 percent in an average of the last nine national polls, but afterward he dropped below 5 percent. In the second debate, in September, Walker was all but invisible, struggling to draw questions and attention. And then he was done, with his support dropping to below one percent in national polls even as Trump soared and Ted Cruz replaced Walker as the true conservative in the race. Its entirely possible that Ron DeSantis is one poor debate performance away from the sad fate of Scott Walker. Hes supposedly been deep into preparations for the first candidate debate on August 23 for a while now, though hes handicapped by not knowing if Trump is going to show. But his margin for error has disappeared. Hes hardly the political behemoth he appeared to be earlier this year, and if he cant turn things around soon, impatient Republicans will either resign themselves to another Trump nomination or quickly find a new alternative like Tim Scott, who is waiting in the wings to steal DeSantiss thunder and leave him on the dust bin of presidential might-have-beens. The maximum leader of the Georgia Republican Party is also a likely witness to Trumps criminal conduct in 2020. Photo: Alex Slitz/AP One of the many fascinating aspects of the new indictment of Donald Trump by a grand jury in Fulton County, Georgia, is that this criminal proceeding is occurring in arguably the most politically polarized state in America. Georgia was the closest state in the 2020 presidential election that Trump tried to overturn (a major reason he and his cronies spent so much time disputing this one states results), and it could be the tipping point state in a 2024 presidential election. Georgia also gave Democrats control of the U.S. Senate in dual runoff elections in January 2021 and strengthened the Democratic Senate majority by rejecting Trumps friend Herschel Walker in 2022. But as Trumps legal drama in Atlanta is reminding us, political polarization in Georgia isnt just a matter of conflict between the two parties; it has also divided the Republican Party that controls state government. This intraparty division is mostly about Trump himself, or at least his efforts to boss and bully the Republicans who supervised the 2020 elections in Georgia and adamantly refuse to accept that it was rigged. So while across the country most Republicans are lining up in solidarity with Trump and his contention that his legal problems are just another phase in his ongoing persecution by the Democrats who stole the presidency from him in 2020, the situation is very different in Georgia. Three of the top Republicans in state offices in 2020 Governor Brian Kemp, Secretary of State (and chief election official) Brad Raffensperger, and thenLieutenant Governor Geoff Duncan have all testified before the Fulton County grand jury and will presumably be witnesses for the prosecution if and when this case finally goes to trial. Raffensperger, of course, was at the center of Georgias most notorious 2020 incident when Trump called him up and leaned on him to find enough votes to reverse his earlier certification of Bidens victory. But Kemp backed up Raffenspergers certification then and thereafter. And both these politicians trounced Trump-backed challengers in a 2022 primary clearly framed as act of revenge by the 45th president (Duncan, who refused Trumps demand to call a special legislative session to decertify the Biden electors, chose not to run for reelection; his successor, Burt Jones, the one successful statewide MAGA candidate in 2022, may yet face federal or state charges for his participation in Trumps fake-elector scheme in Georgia). Kemp in particular has done a remarkable job of simply ignoring Trump since those 2022 primaries; he managed to lead a united GOP to victory (with the conspicuous exception of Herschel Walker) in the 2022 general election. But the Fulton County proceedings and his role in them have forced him to go after Trump once again, as he did on social media this week: The 2020 election in Georgia was not stolen. For nearly three years now, anyone with evidence of fraud has failed to come forward - under oath - and prove anything in a court of law. Our elections in Georgia are secure, accessible, and fair and will continue to be as long as I pic.twitter.com/jaru2iBDo7 Brian Kemp (@BrianKempGA) August 15, 2023 This is not going over very well among Trump loyalists in Georgia, who are bloodied but unbowed. The most famous Peach State MAGA zealot of them all, Congresswoman Marjorie Taylor Greene, lashed out at Kemp right away, as the Atlanta Journal-Constitution reported: Greene, R-Rome, compared Kemps rebuke of Trump to standing with Willis and co-signing her investigation. His message should have been against this, not arguing with President Trump about the election and making it about his own ego and pride over Georgias election, Greene said. Thats a bad statement, and I was very upset over it. Greene went on to muse aloud about potentially challenging the term-limited Kemp if he runs for the U.S. Senate in 2026 (which had to be music to the ears of Democratic senator Jon Ossoff) if, that is, she hasnt first joined a Trump Cabinet or even served as his running mate (her ill-disguised chief ambition). If Trump becomes, as appears very likely, the 2024 presidential nominee of his and Kemps and Raffenbergers and Duncans party, putting together an effective and enthusiastic campaign for the ticket in this crucial state isnt going to be very easy, particularly if Trump and state party leaders are still lobbing insults at each other over the events of 2020. Amazingly, this recently very red state and its 16 electoral votes could wind up being an ace in the hole for Joe Biden. Georgia Democrats have their own issues, but they arent in the habit of calling their presidential nominee a lying thug who should probably be in the slammer. Grady Wayne Wilkes was found guilty of one count of capital murder and two counts of attempted murder in the May 2019 shooting at his Wire Road home that killed 37-year-old Auburn police officer William Buechner and wounded two others. The grand jury ruled him not guilty of attempting to murder Auburn police officer Ron Askelson. Jurors reached the verdict after six hours of deliberating. Those same jury members will decide on his sentencing, which could possibly be the death penalty. The sentencing hearing will begin on Wednesday. On May 19, 2019, officers William Buechner, Webb Sistrunk, Evan Elliott and Ron Askelson responded to a domestic disturbance call at Arrowhead Mobile Home Park on Wire Road. Wilkess ex-girlfriend testified that Wilkes physically assaulted her and threatened to kill her. After knocking on the trailer door, Elliott, Askelson and Sistrunk said Wilkes answered the door dressed in body armor and armed with a rifle. Wilkes opened fire on the responding officers, wounding Sistrunk and Elliott and killing Buechner. After an overnight manhunt, police arrested Wilkes approximately one mile away from where the incident unfolded. Lee County District Attorney Jessica Ventiere began closing arguments for the state on Monday. She argued that Wilkes intended to kill each officer that responded that night. Defense attorney William Whatley and Juliana Taylor argued that Wilkes is not guilty because of mental disease or defect. We have here a man who pursued law enforcement officers under gunfire, strategically placed bullets into patrol cars and ran through the night, Ventiere said. Whatley told the jurors that Wilkes never saw Buechner and he didnt aim at him. He said Wilkes didnt have the intent to kill and said Wilkes had been drinking heavily that night. Taylor added that Wilkes was mentally ill and had been suffering from mental illness at the time. This isnt a person lying in wait for the police to show up. He didnt plan for battle with the police, Whatley said. Ventiere countered that Wilkes didnt care who was knocking on the door that night the police, his ex-girlfriend or a neighbor. He was ready, aware and wanted to prove that he was in power. Ventiere said the video footage shows Wilkes standing on the outside of the door frame, hiding. When Elliot didnt enter the home, Wilkes stepped out, breaking the hinge of the screen door and firing 11 shots at the officers, she said. He intended to kill every last living soul that was on that porch, she said. The video footage shows the officers were running away before Wilkes ever fired the first shot, Ventiere told the jurors. She pointed out that Wilkess gun had a green laser and he was using it to sweep the area. Ventiere said the body camera footage captured 11 gunshots when Wilkes was on his porch, a gap in time and five more shots that took down Buechner. Then there were three very measured, intentional shots that took out the windshields of the three police cars, she said. The jury trial began on Aug. 7. Last week, the jurors watched body camera and dash camera footage and heard testimony from the responding officers, witnesses and experts. Elliot testified that he was the officer who knocked on the door. Before he could introduce himself that night, Wilkes stepped out wearing body armor and holding an AR rifle. Footage from all four responding officers was played in court. On the video, Elliot is heard knocking on the door then saying, Hey bud, hey bud, in an effort to keep Wilkes calm. Askelson yelled, Hey, get back! Shots rang out and an officer screamed. Elliot testified that Wilkes was already zoned in on the officers. Askelson jumped over the porch railing. Elliot retreated down the steps of the porch as gunfire went off. He was shot in the elbow. I couldnt believe actually what I was seeing at the time. I looked him up and down, and he was just giving us that dead stare, Askelson testified. So at that point, I made the decision to yell get off the porch, and then I jumped over the banister to my left. Sistrunk said he heard one of the officers scream and saw Askelson jump off the porch. Due to the fact that they screamed, I remember I drew my weapon just trying to figure out what the threat was, he said. After seeing Wilkes, Sistrunk said his first thought was that they were outmatched and outgunned. He ducked down looking for cover and was hit in the right shoulder. The bullet hit him from behind and exited out the front of his chest. Buechner, who had been stationed at the back of the trailer, was fatally shot in the shoulder and neck. Dr. Edward Reedy, a chief medical examiner with the Alabama Department of Forensic Science, said the bullets broke up on impact injuring Buechners spine and lungs. Wilkes took the stand on Thursday and told the jury he put on his National Guard gear and loaded his rifle out of muscle memory. He also said putting on the plate carrier made him feel safe, strong and empowered. Michael Dugan, who works for the Alabama Department of Forensic Sciences in the Firearm and Tool Mark section at the Montgomery laboratory, said the firearm in this case was a Smith & Wesson model MP15 5.56 NATO caliber firearm, which is a semi-automatic rifle. Dugan said a laser sight and a scope were submitted to him with the firearm. He examined the rifle and the 19 fired cartridge cases collected from the scene. Jurors heard from two psychologists who evaluated Wilkes after the May shooting. Both psychologists, John Davis Toppins and Stephen Zieman found Wilkes to have symptoms of bipolar disorder and PTSD from childhood trauma. Toppins added that he thought Wilkes had an alcohol use disorder and mentioned that the record showed Wilkes had ADHD. Toppins told the jury that bipolar disorder doesnt necessarily have to affect your ability to see the world around you, and Wilkes had a mild case. He also said that he found evidence that Wilkes understood the wrongfulness of his actions. Many of the statements (Wilkes) made showed that he was aware of what was happening and what he was doing, Toppins said. Yessss. I loved this show. Reply Thread Link I inhaled the seasons in two nights (because the hotel had it on offer) and I like watching her but she made it hard to like the character especially because Nikesh' is so damn charming. I think I can remember a solid motivation from hers, but it's also been five months since I watched it. Reply Thread Link Jealous of her hair and I even though she's got the charm for romcom, I hope she'll branch out in a bit. Reply Thread Link oh good, I was hoping it would come out soon Reply Thread Link Oh yay! I don't remember anything about this show bc I watched it all in like 2 days but will rewatch before the new season. Reply Thread Link Ah this is exciting! Reply Thread Link Yaaaay! I already feel like Ive been waiting forever. I adore this show. Reply Thread Link Yaay! I'm excited! I love Rose so much and find her really inspiring. She's so funny and charming. I can't wait to see what else she does. Reply Thread Link It sounds like her and Ivo are broken up now, thats a bit sad :( Reply Thread Link Her and Ivo Graham were dating?! Reply Parent Thread Link WHOA, she was with IG? Dang. I know she was with James Acaster a few years ago. Also, why is she saying that S3 will be about having an ex? That's not how the last season ended for the two main characters... Reply Parent Thread Link [ Spoiler (click to open) ] The opening montage of the third season, however, sees the relationship sour. Soon theyve split: Tom finds a new love interest; Jessies attempt to move on (by copping off with a stranger at a wedding) is interrupted when the exes cross paths again. Suffice to say, things get complicated. minor spoilers from the article: Reply Parent Thread Expand Link she and ivo dated????? Reply Parent Thread Link Isnt Ivo married with a kid omg Reply Parent Thread Expand Link Oh she likes her comedians huh Reply Parent Thread Link i only know her from taskmaster admittedly. i did love her in that, so i should watch this too Reply Thread Link Its honestly a joy to watch even if Im incredibly angry at the direction season 3 seems to be taking. Rose and Nikesh Patel have great chemistry. Reply Parent Thread Link What in the Max Fat Diary season three bullshit is happening?!!! Can ANY unconventional TV couples just have a happy ending? For fucks sake. Reply Thread Link I've showed so many people My Mad Fat Diary since it came out and we always skip season 3 haha. Reply Parent Thread Link I really love romcoms as a genre- I think you have to buy into the energy and the beats, or if you're going to play with them and subvert them, do it really well. Season two didn't work for me because I think you could see Rose's discomfort and what she was trying to explore, but she didn't do it in a way that makes sense for her character. I hope Season 3 will be better! I feel her on being single. I'm so much happier and more myself this way, and the older I get, the less I'm interested in another long term partnership. Reply Thread Link OMG it's been 87 years since S2 came out! Reply Thread Link i'm due for a rewatch, i really enjoy the show, but i do find the main character insufferable Reply Thread Link I love Rose and find Nikesh sf attractive but season 2 was basically a retelling of season 1 lol problems that couldve been solved with better communication Ill still check season 3 out tho Reply Thread Link Yeah series 2 left me cold even though I loved series 1. The storyline with her ex was so flat and annoying! Reply Parent Thread Link LMAO I wish he'd sing me to sleep so I won't fear the night. Reply Thread Link Lemme see the back of that cross stitch, Harrison Reply Thread Link I just got back into cross dtitching myself... DON'T LOOK AT THE BACK Reply Parent Thread Link Ooh we got a dirty, dirty stitcher over here Reply Parent Thread Expand Link aw, its a tiny snake! its not scary! Reply Thread Link maybe this is why I cannot get the basil to grow... I am not singing it the lullabies to ease its passage through the night Reply Thread Link What if we don't have a great singing voice, Harrison. Reply Parent Thread Link Its more reassuring to the basil that you can ward off predators with a grating tone Reply Parent Thread Expand Link you could always whisper threateningly "do not go gentle into that good night..." Reply Parent Thread Link Ive been kinda indifferent to him but damn I love cross-stitching, hes fully won me over Reply Thread Link I love him sfm Reply Thread Link he's on a very short list of celebs that could sill manage to disappoint me. Reply Parent Thread Link Ive always loved him but Shrinking made my heart grow at least another size. Reply Parent Thread Expand Link I find him very endearing. Reply Parent Thread Link So much of the ocean is unexplored, but its crazy to me new land animals are being found Reply Thread Link An ant and a spider, huh? I guess it doesn't always got to be snakes. Reply Thread Link "I sing lullabies to my basil pants, so they won't fear the night." Why did his inner poetic Tumblr girl jump out here omg Reply Thread Link LMAO I saw this earlier and thought it was from Clickhole Reply Thread Link I love this grumpy man. Reply Thread Link Is he really grumpy? Lol Reply Parent Thread Link he has his grumpy moments! haha but yeah, he's softened over the years. Reply Parent Thread Link I cant with basil fearing the night. Reply Thread Link Zooey Deschanel literally wishes rn Reply Thread Link Fuck this really got me. Reply Parent Thread Link Im slow. Whats a cinnamon roll have to do with this? Reply Thread Link https://knowyourmeme.com/memes/beautiful-cinnamon-roll-too-good-for-this-world-too-pure Edited at 2023-08-16 12:48 am (UTC) This comment made me feel so old I'm just gonna prepare for the grave. Reply Parent Thread Link THIS WAS ALMOST 10 YEARS AGO????? Reply Parent Thread Expand Link https://knowyourmeme.com/memes/beautiful-cinnamon-roll-too-good-for-this-world-too-pure it's an onion article that became a meme, just a way of saying they're a good, sweet thing Reply Parent Thread Link Well fuck. I thought it was because our moderator thought that snake looked like a cinnamon roll Reply Parent Thread Expand Link Ooh, if cinnamon roll is dead, can we finally kill 'I can't (even / at / with)'? I've been waiting for it to die for like 15 years. Reply Parent Thread Link He's great. He randomly ended up at a kid's bar mitzvah in a Fort Worth, TX restaurant back in the 00's and my friend said he was super nice to the kids. I guess that was the only private room in the restaurant. I'd watch a 6 episode series of him singing and caring for his plants and cross stitching. Calista hanging out in the background. Reply Thread Link "Everything had been met with love and acceptance and humility and respect, and that was a huge wave of reassurance that things are moving in the right direction." https://t.co/ttQXDI6gyv Rolling Stone (@RollingStone) August 15, 2023 "At Nashvilles Bridgestone Arena, fans on the floor waved rainbow flags in front of the band. Before the show, some fans had distributed swatches of multicolored paper throughout the crowd; during the encore of Light My Love, they held them up against their cellphone flashlights on cue to bathe the venue in a rainbow of light. It was nearly too much for Kiszka, who broke down in tears at the end of the ballad."An old gay said to him: You know, as a gay man, when I was younger, it would have made my life a lot easier if a lot of my heroes like Freddie Mercury or Elton John had come out earlier. It would have saved me a lot of strife, says Kiszka.From his coming out Instagram:"Where Ive settled a home in Tennessee, legislators are proposing bills that threaten the freedom of love. Its imperative that I speak my truth for not only myself, but in hopes to change hearts, minds, and laws in Tennessee and beyond.These issues are especially close to my heart as Ive been in a loving, same-sex relationship with my partner for the past 8 years. Those close to me are well aware, but its important to me to share publicly." via GIPHY Lebanon has now been in its economic and energy crisis for around two years, battling every day with a lack of electricity and relying on generators for the most basic energy supply. Earlier in the year, Human Rights Watch said that the crisis was driving up poverty and inequality as the government was failing to uphold the nations right to electricity. But an oil deal with Iraq, developments in its own oil and gas sector, and the expansion of the countrys solar energy capacity could potentially help to keep Lebanon afloat. In 2021, Lebanon was thrown into economic turmoil, which the World Bank identified as one of the top ten, possibly top three most severe economic collapses worldwide since the 1850s. The country was plunged into darkness due to a severe lack of fuel, with the energy ministry scrambling to source enough power to keep the lights on. The government and the state electric company, Electricite du Liban (EDL), have been blamed for making poor energy decisions for decades, which eventually resulted in shortages and ultimately an energy crisis. Lebanese citizens have long been plagued with daily power outages due to the countrys heavy reliance on foreign energy imports. Lebanon contributes around 20 percent of its import bill to fuel, to meet more than 90 percent of the countrys energy needs. This has left the country with a high level of energy insecurity. In August 2022, EDL said it was fighting tooth and nail to find a solution before the lights go out at Beiruts airport, port, and presidential palace. There were major concerns about losing power to Lebanons key infrastructure, such as hospitals and prisons. EDL quickly became reliant on Grade B gas oil to maintain power in the summer months, having to choose which buildings would receive electricity. This was necessary while the government hashed out a deal with Iraq for more fuel. A year on, there is some optimism around the future of Lebanons energy situation, but it is still a long way from being stable. In July, Iraq renewed its agreements to deliver up to 2 million tonnes of crude to Lebanonover the next year, according to the Lebanese energy ministry. And Iraqi news outlets reported that the two governments had signed a memorandum of understanding over the crude exports. This followed a deal signed in May over the supply of more fuel from Iraq, to support Beiruts fight to stay alight and tackle its economic crisis. Iraq is also expected to increase the volume of heavy fuel oil it sends to Lebanon this year by 50 percent, to 1.5 million metric tonnes, under a 2021 deal. In return, Lebanon will provide services such as health care for Iraqi citizens. In terms of Lebanese energy, a consortium led by TotalEnergies expects to begin drilling for oil and gas off the coast of Lebanon in September. The group, which includes Italian firm Eni and QatarEnergy, has a rig prepared for offshore southern Bloc 9. Lebanons caretaker energy minister Walid Fayyad stated, The rig will start working in Lebanon in September ... before the end of the year we will know if there is a discovery. This move comes following the delineation of the countrys maritime border with Israel last October. If the exploration is successful, the government hopes it will encourage greater investment in the countrys oil and gas sector. The ministry is expected to extend the deadline for applications to explore eight additional offshore blocs, with Fayyad explaining I have been hearing from players in the field that they are keen to see the result of the drilling in Bloc 9 before they make decisions as to further investments or commitments in Lebanon. He added, In the end, if we don't have enough interest and players, we must adapt. Meanwhile, an agreement under the World Bank to receive electricity from Jordan and gas from Egypt through Syria has not moved forward, with the organisation requesting further reforms before going ahead with the deal. Lebanese residents have come to rely heavily on fuel-guzzling generators to supply their electricity during the hours when there is no power, leading the average Lebanese household to spend 44 percent of their monthly income on generator bills between November 2021 and January 2022. However, some have now decided to invest in rooftop-fitted solar panels. From 2020 to 2022, the countrys installed capacity of solar energy rose eight-fold, with more than 650 MW installed in 2022, to a total of 870 MW. Installed capacity was expected to reach around 1,000 MW by June 2023. Estimates suggest that around 50,000 households have rooftop solar panels, approximately 4 precent of Lebanons 1.3 million households. While solar power continues to roll out on a small scale, with mostly individual, private investors dominating the market, it shows the potential for a shift away from the reliance on generators. And in the longer term, the Lebanese government must continue to make the economic reforms necessary to ensure World Bank funding for energy, as well as maintaining its energy relationship with Iraq and attracting other foreign players to its offshore oil and gas sector. By Felicity Bradstock for Oilprice.com ADVERTISEMENT More Top Reads From Oilprice.com: Russia took control of the oil sector of the semi-autonomous region of Kurdistan (KRI) in northern Iraq in 2017 for four key reasons, as analysed in depth in my new book on the new global oil market order. First, the KRI has significant oil and gas reserves. Second, its troublesome relationship with southern Iraq, governed out of Baghdad, would allow Russia to play the role of mediator between the two parts of the country, giving it leverage over both sides. Third, this leverage could then be used to extend Russias grip over southern Iraq too, which has even more oil and gas reserves. And fourth, it would enable Russia to stymie any efforts by the U.S. and its allies to begin to rebuild their influence in the country. This last point found further resonance after Marchs resumption of relationship agreement between Iran (Iraqs chief regional sponsor) and Saudi Arabia, brokered by China. Specifically, a source who works closely with the European Unions energy security apparatus exclusively told OilPrice.com at the time, Iran was told by a very high-ranking official from the Kremlin that: By keeping the West out of energy deals in Iraq and closer to the new Iran-Saudi axis - the end of Western hegemony in the Middle East will become the decisive chapter in the Wests final demise. With the future of independent oil supplies from the KRI looking highly precarious, Russia is moving firmly into the last phases of its plan for Iraq, as highlighted by serious discussions over the past two weeks for it to increase its presence in the countrys oil fields. A litmus test for both sides in this respect is Russia finally effecting a major increase in oil production from Iraqs supergiant West Qurna 2 oil field. This field along with the supergiant Rumaila was cited recently by Iraqs Oil Ministry as being vital to the countrys plan to increase its oil production capacity to around 7 million barrels per day (bpd) in 2027. The entire West Qurna oil field, located 65 kilometres northwest of the southern port city of Basra, has total estimated recoverable oil reserves of 43 billion barrels making it one of the very biggest oil fields in the world. West Qurna 2 has estimated recoverable oil reserves of around 13 billion barrels and, like most of the big fields in Iraq (and Iran, and Saudi Arabia), it benefits from the lowest lifting costs in the world at just US$1-2 per barrel. The original development plan for the West Qurna 2 field was to produce 1.8 million bpd but this was amended in 2013 to a three-stage plan in which peak production would be 1.2 million bpd. Phase 1 would add around 120,000 barrels per day (bpd) to the early 30,000 bpd of production from the sites Mishrif Formation. Phase 2 would add another 400,000 bpd from the full development of the Mishrif Formation. And Phase 3 would add another 650,000 bpd from the development of the deeper Yamama Formation. Related: Oil Prices Continue To Climb As Pace Of Drilling Continues To Slow However, it was at the time of this transition from Phase 2 to Phase 3, scheduled to start around the middle of 2017, that the trouble started from the Russian side, which is why output from the field has barely moved in years. The genesis of the trouble was that Russias key corporate oil proxy in Iraq at the time, Lukoil, believed the level of remuneration it was receiving per barrel drilled was too low. It was being paid US$1.15 per barrel recovered the lowest rate being paid to any international oil company (IOC) in Iraq at that time and dwarfed by the US$5.50 per barrel being paid to GazpromNeft to develop the Badra oil field. Making matters worse for Lukoil at that point was that it had already spent at least US$8 billion in developing West Qurna 2, and compounding this grievance was the fact that Iraqs Oil Ministry still owed it around US$6 billion in remuneration on recovered barrels and other development payments. In August 2017, a senior source who works closely with Irans Petroleum Ministry exclusively told OilPrice.com at the time, Lukoil was assured that Iraqs Oil Ministry would very quickly pay the US$6 billion that it owed the company and that a higher compensation rate per barrel would be looked into as soon as was feasible. In addition, the Oil Ministry agreed to extend Lukoils contract period from 20 to 25 years, so lowering the average yearly cost to the Russian firm. It was also agreed that Lukoil would invest at least US$1.5 billion in West Qurna 2 in the following 12 months with a view to raising production from the 400,000-bpd level closer to the 1.2 million bpd peak production target. However, only one month later, 93% of the people of Iraqs semi-autonomous region of Kurdistan voted in favour of complete independence from Iraq and chaos ensued, seeing Iranian forces move into the KRI, with Russian support. Only one month after that, Russia effectively took control of the regions oil sector, and looked to put the squeeze on the Federal Government of Iraq (FGI) run out of Baghdad. As also analysed in depth in my new book on the new global oil market order, Russia looked to gain more favourable terms for its existing operations in the FGI region, and for new oil field development awards there, by interposing itself between the two sides in their ongoing dispute over the 2014 budget disbursements-for-oil deal. Part of Russias manoeuvring at this point was doing nothing to increase production from West Qurna 2. Crucially for what followed, Lukoil knew back then that it was perfectly capable of producing at least 635,000 bpd on a sustained basis. According to the Iran source, the Russian oil firm had hit 650,000 bpd production over extended periods in August and September 2017, and its engineers had assured senior management that 635,000-bpd production was achievable on an ongoing basis with no problems. At the end of November 2017, though, Iraqs Oil Ministry found out that Lukoil was holding out on them. It threatened to withhold all payments due to Lukoil until it began to increase production steadily up to the 635,000-bpd level that its own production tests had shown was perfectly achievable. In response, and after the withdrawal of several IOCs from Iraq, Lukoils senior management thought that the time was right to try again to force the Oil Ministry into honouring its previous promises to increase its per barrel compensation on the West Qurna 2 field. Lukoil added that it was not making the 18.5 percent revenue per year from the field that it had expected only around 10 percent, in fact - and that the Oil Ministry needed to improve this, otherwise it would exit the project. Surprisingly for the Russians, the Oil Ministrys response was to say that it was fine if Lukoil wanted to leave but that before it did so it would pay compensation in lieu of the upfront investment that it promised in 2017 and promised again in 2019, as it was not meeting the time-sensitive oil production targets that it had agreed to. From that point, the standoff remained in place, up until recently. According to the Iran source, Lukoil has increased production over the past few weeks from 400,000 bpd to around 480,000 bpd. From this point it could be increased back above 600,000 bpd in just a few weeks, and it looks like the Russians are serious this time he added, he said. With the Iran-Saudi deal, the last part of Russias move with China to secure the whole region [Middle East] is in play, he added. A unified Iraq is a key element of this, as the three countries together [Iraq, Iran, and Saudi Arabia] are the heart of the Middle East and the heart of its oil and gas reserves, so to have control over that is a huge geopolitical advantage, and one the Americans wanted as well before their plan fell apart, he concluded. By Simon Watkins for Oilprice.com More Top Reads From Oilprice.com: Cocaine-fueled violence is sweeping across the tiny South American country of Ecuador. The crisis-prone Andean country of less than 20 million, which enjoyed a massive oil boom at the start of the twenty-first century that lifted millions out of poverty, has until recently managed to avoid the bloodshed associated with narcotics trafficking. In a region long troubled by the conflict Ecuador was known as an island of peace despite being wedged between the worlds largest cocaine producers Colombia and Peru. Soaring cocaine production and seizures within strife-torn Colombia made it inevitable that Ecuador would emerge as a key transshipment point for the narcotic. The surge in violence couldnt occur at a worse time for the South American country, which is embroiled in a deep political and economic crisis. Ecuador shares a porous northern border with strife-torn Colombia, a country long synonymous with cocaine trafficking and related bloodshed. The international line of demarcation starts at the Guepi River in the Amazon Basin and ends on the Pacific coast at the mouth of the Mataje River in the Sardinas Bight. Authorities in both countries are unable to exert total control over the full length of the border with various Colombian illegal armed groups crossing frequently. The cultivation of coca leaf, preparation of coca paste and manufacturing of cocaine are all lucrative sources of income for Colombias illegal armed groups. For these reasons, coca cultivation and cocaine production are spiraling ever higher in the conflict-torn country. Colombia is now producing and exporting more cocaine than ever before, even when compared to the early 1980s during the heyday of the Medellin Cartel. The United Nations Office On Drugs And Crime (UNODC) estimates Colombias 2021 coca leaf production surged 43% year over year to a record 1,137,700 metric tons despite land under cultivation shrinking by 7% to 353,000 acres. The agency believes this caused cocaine output to hit yet another all-time high of 1,400 metric tons, which was 14% greater than the 1,228 metric tons manufactured a year earlier. Seizures also soared to a record high that year, with authorities 669 kilograms of cocaine in 2021, which forced illegal armed bands to find alternate trafficking routes. Eight of Colombias top 14 top coca growing hotspots, where local economies are dominated by coca cultivation, cocaine manufacturing and the illegal armed groups engaged in narcotics trafficking, are situated close to Ecuadors northern border. For those reasons, Colombias cocaine trade, as well as the strife-torn countrys civil conflict, have spilled over into neighboring Ecuador. It is believed more than a third of Colombias cocaine production flows into Ecuador. The tiny Andean country is now an important transshipment point for cocaine, with its Pacific coast ports ideally located to facilitate the exportation of the narcotic to the U.S., Europe and the Asia Pacific. As a result, Colombias illegal armed groups, including FARC dissidents and the countrys most powerful criminal band, the Gaitanist Self-Defense Forces of Colombia (AGC Spanish initials), as well as Mexicos Sinaloa and New Generation cartels have established a presence in Ecuador. Those criminal organizations have forged alliances with Ecuadors prison and street gangs, who are responsible for providing the coordination necessary to transform coca paste into cocaine and ship the narcotic to key markets. By the end of the 2020 pandemic, Ecuadors government had lost control of the countrys prison system. Deadly riots causing the deaths of hundreds of inmates are commonplace, while prison gangs are wielding greater power than the authorities. Those gangs provide a willing source of recruits for criminal organizations seeking to control Ecuadors illicit drug trade. The Andean countrys importance as an international cocaine transshipment is underscored by estimates that more cocaine passes through it than any other country in the world. It is believed a least 700 tons of cocaine passes through Ecuador annually, most of which is destined for the U.S. and Europe. Accordingly, the violence afflicting the country is centered on the Pacific Coast cities of Guayaquil and Esmeraldas, the largest and second largest ports, respectively. These events, in a startling development, caused Ecuadors homicide rate to soar over the last five years, with it hitting an unprecedented high of 26 murders per 100,000 inhabitants during 2022. This represents a nearly fivefold increase from 2018, when there were nearly six murders per 100,000 inhabitants. Sadly, the number of murders keeps rising, and the 2023 homicide rate is expected to eclipse 2022. Ecuador is now experiencing a murder rate on par with neighboring Colombia, a country long caught in the grip of cocaine-fueled violence and a decades-long low-level multiparty asymmetric conflict. That ranks once peaceful Ecuador as one of the most violent countries in Latin America and the Caribbean, with it ranked fifth by homicides alongside Colombia but behind Honduras, Trinidad and Tobago, Venezuela and Jamaica in first place. The surge in cocaine trafficking, along with the boundless profits the narcotic generates, has allowed the criminal bands to rapidly consolidate significant power in Ecuador, which sees them now capable of challenging a historically weak state. Criminal bands are attempting to corrupt lawmakers and government officials while assassinating those investigators, prosecutors, politicians and lawmakers who are opposed to them, a phenomenon which is quite common in neighboring Colombia. The body count of public officials is steadily rising. The popular mayor of the Pacific Coast resort town Manta, Ecuadors fourth largest port, was gunned down by hitmen in July 2023. In a devastating first-time event for Ecuador, presidential candidate Fernando Villavicencio was assassinated when a gunman opened first last Thursday after a campaign rally. The Colombian gunman died in an exchange of gunfire with security officials, while a further six Colombians were later arrested for connection to the plot. Villavicencio, a former journalist who was an independent lawmaker in Ecuadors National Assembly from November 2021 until May 2023, when it was dissolved, has a long history as an anti-corruption campaigner. He was a vocal critic of leftist former President Rafael Correa, who in 2020 was sentenced to eight years in prison for corruption. Villaviciencos anticorruption activities over the years saw him frequently forced into exile, while he claimed to have received multiple threats from criminal groups when investigating ties between cocaine cartels and Ecuadors government. To avoid impeachment by the National Assembly, Ecuadors rightwing President Guillermo Lasso, who took office in May 2021, dissolved the National Assembly triggering a general election for 20 August 2023. Since taking office, the banker and conservative president has battled to introduce neoliberal economic reforms aimed at plugging a massive budget deficit and returning Ecuadors faltering economy to growth. The leftist-dominated National Assembly has opposed his every move, while the planned removal of various subsidies along with a spiraling cost of living sparked violent nationwide protests in 2022. All of these events, along with a flailing economically crucial oil industry, have shoved Ecuador into yet another political crisis which makes it essentially impossible for the state to tackle rising cocaine-fueled violence. By Matthew Smith for Oilprice.com ADVERTISEMENT More Top Reads From Oilprice.com: The undeniable inevitability is that everything is going to be electrified, and batteries are our future, as well as the biggest opportunity for investors in a lifetime. Yet, the lithium space has become crowded and chaotic, while the lesser-known critical battery componentgraphiteis an extraordinary $23-billion bounty ripe for picking. By 2032, it is projected to be worth an estimated $43 billion. This isnt about pencils. Its about the most important element of a multi-trillion-dollar energy transition. Its about yet another 35% jump in electric vehicle sales expected for 2023, and more explosive growth to follow. Its about the raw materials that make the batteries to feed this explosive growth. Graphite makes up 95-99% of the anode (negative electrode) material in lithium-ion batteries, in varying natural and synthetic combinations. In fact, according to John DeMaio, CEO of Graphex Technologies and President of the Graphene Division of the Graphex Group Ltd (NYSEAMERICAN:GRFX), many dont realize that graphite is the largest component by volume in an EV battery because graphite goes about its business, doing its job quietly, like its done ever since the seventies. Any given lithium-ion battery can contain 15X more graphite than lithium, making up some 25% of a batterys total volume. Its also why Elon Musk once opined that lithium-ion batteries should be called nickel-graphite batteries. There is no immediately viable solution to effectively replace the lithium-ion battery. Industry-wide, the consensus is that graphite will remain the primary anode material in the foreseeable future. And North America has zero commercial production Yet, planned North American battery factories represent some 1 million metric tons per year of demand for graphite anode material. That makes this $23-billion market one of the best to be in. Even more so for Graphex Group (NYSEAMERICAN:GRFX), which is creating domestic supply chains of graphite, and is strategically positioned in North America to potentially seize significant market share. Midstream Money: The Most Profitable Area of the Graphite Supply Chain Outside of China, there are very few graphite mines currently producing significant quantities of the right quality raw material. There are even fewer with the necessary experience of refining that raw material into the finished anode material we need to meet what promises to be raging demand. The most profitable aspect of the graphite supply chain is refining. Mining itself is wrought with exorbitant costs, regulatory burdens, and challenging operations. Not only is it the most profitable, but its also where we see the highest barrier to entry. This is a highly specialized field and battery makers need experienced refiners who can demonstrate scale. In North America, that means Graphex, which has the expertise and technology, and holds patents for everything from products and production methods to machinery design and environmental protection. Graphex Group already has a decade of commercial graphite refining experience and currently produces 10,000 metric tons of finished anode material annually, and they operate on a 24/7 basis, unlike others who have only produced sample materials at a pilot scale. This is a defining factor in the industry. There are fewif any--companies larger than Graphex in terms of production volume outside of China; and they have strong ties to Beijing, which is a riskier selling point in the current geopolitical atmosphere, heightened by a battle for technological dominance through access to critical minerals. While Graphex has extensive experience in China, it is not a Chinese company. Graphex Group Limited is a Cayman Island company with its principal offices in Hong Kong and regional offices in Shanghai and Royal Oak, Michigan, but it is seeking to create a standalone U.S. entity to make the distinction even more clear, and to qualify unhindered for U.S. and Canadian incentives, grants, loans, etc., according to the companys website. Now, its all about bringing critical graphene home to North American markets as the energy transition gains serious momentum. Possibly the Most Important Expansion in our Energy Transition Already producing 10,000 tons per annum, Graphex (NYSEAMERICAN:GRFX) is currently implementing a large-scale expansion to increase production to 20,000 tons per annum within the next 12 months, with construction completion and production implementation expected to be concluded within that timeframe, subject to typical construction scheduling. The expansion news has been fast-flowing. In February last year, Graphex announced the joint-venture construction of its first-ever facility outside of Asiain Warren, Michigan, a 15,000 tons-per-annum plant resurrected from an abandoned manufacturing sight in the Detroit automotive sector. Operations are expected to launch in Q1 2024. Graphex has prioritized North America to localize end-to-end graphite processing and production capabilities, and the 15,000 tpa plant in Michigan is a major step towards that goal. An international collaboration with Canada will also play a role, with Canada eyeing high-level status in the North American critical minerals game. Finally, Graphex has secured raw materials supply from one of the largest, high-quality graphite mines outside of China, with other offtake agreements either secured or in the worksall outside of China. Electrification = Batteries. Batteries = Graphite. Graphite = Graphex. But this could go far beyond batteries and gigafactories. Graphex (NYSEAMERICAN:GRFX) has undertaken extensive scientific research and sees a multitude of applications for graphene that may likely increase demand even further in the future. Graphenes unique properties will likely have major biomedical use, specifically with targeted drug delivery, smart implants, and tissue engineering. In the industrial sector, graphene-based composites can be used as a non-toxic rust-proofing alternative, as well as an improved coating for touch screens, phones and tablets. Graphene can also be used for enhanced computer circuitry, while graphene supercapacitors are huge boosts of power with comparably little energy. Eventually, because graphene is lightweight, it could be used in the manufacture of cars and planes, significantly reducing their weight. Finally, but not exclusively, graphene ultra-sensitive sensors could detect minute airborne particles, making it a potential preventative technology for any future pandemics. Domesticating the entire graphite supply chain is a daunting task, but Graphex Group has a clear advantage in North America. This is a team of veterans led by DeMaio, who has 35 years of experience in the energy and infrastructure sectors, including as former President, CEO and Board Member of JouleSmart Solutions, general manager of Siemens Smart Infrastructure, VP of MWH Global, VP of SPG Solar and COO of Thompson Solar Technologies. It has a decade of commercial graphite refining experience, but one very distinct advantage: Its would-be competitors are new to midstream graphite. Theyre only producing at lab or pilot scale, and scaling up can be accompanied by all sorts of challenges and misfires. Graphex is already producing through full-scale commercial processes with continuous output, and its at the forefront of creating one of the most critical North American supply chains of the coming decades. Other resource companies to keep an eye on: Freeport-McMoRan Inc. (NYSE:FCX), standing tall amidst giants, is revered for its unparalleled focus on copper, molybdenum, and gold. From the American landscapes to the vastness of Indonesia, their mining prowess resonates in the global circuits. The Grasberg mine, a testament to their dedication, encapsulates the richness of their resource pool. Diving deeper, FCX doesn't simply extract; it envisions and innovates. Their approach to sustainable mining practices, coupled with community engagement, sets a gold standard in responsible resource extraction. They understand that the minerals they pull from the Earth's depths power tomorrow's industries, and they're primed to be at the heart of it. For investors, Freeport-McMoRan is more than just a mining venture. It's an opportunity to be a part of the backbone that supports global development and electrification, making it an enticing prospect for those attuned to the future's mineral-driven demands. Southern Copper Corporation (NYSE:SCCO) embodies a legacy that's interwoven with history and innovation. A subsidiary of the mighty Grupo Mexico, it claims a heritage that has witnessed the ebb and flow of copper markets and has thrived regardless. Their assets, boasting some of the world's largest proven copper reserves, narrate tales of sheer abundance. Yet, it's not just about vastness. SCCO melds technology with tradition. Their pursuit of efficient, sustainable mining practices ensures that every ounce extracted does so without compromising the environment, making them a beacon for ethical mining. For the discerning investor, Southern Copper is more than a mere ticker symbol. It represents stability, a commitment to a brighter, greener future, and a promise of sustained growth, making it a shimmering opportunity in the world of metals and mining. Vale S.A. (NYSE:VALE), a titan emerging from Brazil's heartlands, transcends its identity as a mere iron ore producer. Their vast portfolio encompasses nickel, manganese, and even coal, painting a canvas of multifaceted mineral might. The Carajas Mine, a marvel in modern mining, showcases their capability and scale. Beyond extraction, Vale's ethos is built on transforming natural resources into prosperity. Their commitment to safety, sustainability, and stakeholder engagement provides a refreshing take on the traditional mining narrative, positioning them as leaders in responsible resource utilization. Investors eyeing the vast terrains of infrastructure and modern development will find Vale not just a potential asset but a partner. A partner dedicated to forging a path of progress, growth, and shared prosperity. With a name echoing the rivers of Spain, Rio Tinto Group (NYSE:RIO) stands as a global testament to exploration and innovation. It's not simply a miner; Rio Tinto is a storyteller, tracing tales from the red landscapes of Pilbara to the icy stretches of Canada. But while iron ore paints much of their narrative, their story branches into diamonds, copper, and aluminum. Their commitment to the environment is not mere corporate speak. It's tangible, as seen in their ambitious projects aiming at lower carbon aluminum and piloting underground mines powered entirely by electricity. It's a company that doesn't just adapt to the future but seeks to shape it. For the eclectic investor, Rio Tinto offers a palette of opportunities. Their diversified portfolio combined with their dedication to technological advancements makes them an appealing prospect in the evolving realm of mining. Hailing from the 'Land Down Under', BHP Group (NYSE:BHP) is more than its rich Australian roots. It's a vibrant tapestry of minerals and metals, spanning continents, a company that has, time and again, redefined the mining frontier. From petroleum to potash, their reach is vast and varied. But BHP's real strength lies not just in its resources but its people. Their commitment to a diverse workforce, ensuring that local communities benefit directly from their presence, is commendable. Their ethos: 'Think Big', is not limited to production but extends to a broader vision of societal impact. Investors don't just buy into a company with BHP; they buy into a philosophy. One that believes in continuous growth, sustainability, and community development, marking BHP as a resilient cornerstone in the commodities market. ADVERTISEMENT Albemarle Corporation (NYSE:ALB) is not merely a name; it's a revolution in the lithium industry. As the electric vehicle market burgeons, Albemarle stands at its epicenter, powering the drive towards a more sustainable future. But lithium is just one shade in their vibrant spectrum, with bromine and catalyst solutions adding depth to their identity. Their expansion endeavors, like the joint venture in Western Australia's Greenbushes lithium mine, reflect their foresight. Albemarle is a company that doesn't wait for the future; it actively crafts it. Their sustainable practices ensure they meet today's needs without jeopardizing tomorrow's possibilities. For the forward-thinking investor, Albemarle is an emblem of innovation. In a world making rapid strides towards electrification, an investment in Albemarle is a step towards a brighter, cleaner future. Teck Resources Limited (NYSE:TECK) is the epitome of diversified mining operations. Unlike many in its league, Teck doesn't wear a single crown. From steelmaking coal to zinc, its portfolio resonates with diversity and adaptability. Their trailblazing sustainability initiatives, such as the ambitious 'RACE21' program, look to harness technology and innovation to redefine the very essence of mining. Teck isn't just mining resources; they're mining possibilities, pushing boundaries to unlock potential where others might not look. Investors venturing with Teck aren't signing up for a static journey. With Teck, they're embarking on a dynamic voyage, one colored with evolving strategies, tech-driven transformations, and a vision that stretches beyond the horizon. Sociedad Quimica y Minera de Chile (NYSE:SQM) is dripping with a legacy that has touched multiple industries from agriculture to electronics. While globally known as a lithium stalwart, its portfolio richly spills into potassium, iodine, and even solar salts. SQM's real brilliance shines in its adaptability. They're not just extracting resources; they're harmonizing with the environment. Utilizing unique solar evaporation techniques to produce lithium, SQM emphasizes an environmentally-conscious extraction process. For investors, SQM represents an opportunity steeped in innovation and reverence for nature. In a world echoing with sustainable calls, aligning with SQM's vision can be a strategic step towards responsible and impactful investments. Turquoise Hill Resources Ltd.s (NYSE:TRQ) essence is woven around the grandeur of the Oyu Tolgoi mine. A venture not just of metals but of collaboration, bridging Mongolia's treasures with global markets. This copper-gold mine, however, is not just about extraction; it's a saga of partnership, development, and growth. Turquoise Hill's approach is collaborative, emphasizing shared benefits, community engagement, and fostering local employment. Their story isn't about lone success; it's about collective triumph, a success shared with the very soil they operate on. Investors resonating with community-driven progress will find Turquoise Hill's narrative compelling. It offers a unique blend of resource-rich potential coupled with sustainable community development, making it an intriguing proposition in the mining space. Lithium Americas Corp. (NYSE:LAC) is eying the electric future with determination and readiness. Spanning from the vastness of Nevada to the stretches of Argentina, LAC is poised to fuel the world's electric dreams. However, it's not just about lithium; their boron assets add a unique facet to their identity. Their endeavors, such as the Thacker Pass project, signal not just a commitment to production but to sustainability and community-centric growth. LAC's narrative is one of balance, aligning commercial goals with environmental and social aspirations. Investors plugging into the Lithium Americas story are not just tapping into the burgeoning EV market but aligning with a vision of balance and harmony. LAC emerges as an enticing prospect for those eager to be part of the green revolution, both in intent and action. By. Tom Kool IMPORTANT NOTICE AND DISCLAIMER Neither the author nor the publisher, Oilprice.com, was paid to publish this communication concerning Graphex Group. The owner of Oilprice.com owns shares and/or stock options of the featured company and therefore has an incentive to see the featured companys stock perform well. The owner of Oilprice.com has no present intention to sell any of the issuers securities in the near future but does not undertake any obligation to notify the market when it decides to buy or sell shares of the issuer in the market. This share ownership should be viewed as a major conflict with our ability to be unbiased. This is why we stress that you conduct extensive due diligence as well as seek the advice of your financial advisor or a registered broker-dealer before investing in any securities. Readers should beware that third parties, profiled companies, and/or their affiliates may liquidate shares of the profiled companies at any time, including at or near the time you receive this communication, which has the potential to hurt share prices. Frequently companies profiled in our articles experience a large increase in volume and share price during the course of investor awareness marketing, which often ends as soon as the investor awareness marketing ceases. The investor awareness marketing may be as brief as one day, after which a large decrease in volume and share price may likely occur. This communication is not, and should not be construed to be, an offer to sell or a solicitation of an offer to buy any security. Neither this communication nor the Publisher purport to provide a complete analysis of any company or its financial position. The Publisher is not, and does not purport to be, a broker-dealer or registered investment adviser. This communication is not, and should not be construed to be, personalized investment advice directed to or appropriate for any particular investor. Any investment should be made only after consulting a professional investment advisor and only after reviewing the financial statements and other pertinent corporate information about the company. Further, readers are advised to read and carefully consider the Risk Factors identified and discussed in the advertised companys SEC, SEDAR and/or other government filings. Investing in securities, particularly microcap securities, is speculative and carries a high degree of risk. Past performance does not guarantee future results. This communication is based on information generally available to the public and does not contain any material, non-public information. The information on which it is based is believed to be reliable. Nevertheless, the Publisher cannot guarantee the accuracy or completeness of the information. FORWARD LOOKING STATEMENTS. This publication contains forward-looking statements, including statements regarding expected continual growth of the featured companies and/or industry. The Publisher notes that statements contained herein that look forward in time, which include everything other than historical information, involve risks and uncertainties that may affect the companies actual results of operations. Factors that could cause actual results to differ include, but are not limited to, changing governmental laws and policies, success of the companies graphite production operations, the continuation and success of the companies joint ventures; the size and growth of the market for the companies products and services, the companies ability to fund its capital requirements in the near term and long term, pricing pressures, etc. INDEMNIFICATION/RELEASE OF LIABILITY. By reading this communication, you acknowledge that you have read and understand this disclaimer, and further that to the greatest extent permitted under law, you release the Publisher, its affiliates, assigns and successors from any and all liability, damages, and injury from this communication. You further warrant that you are solely responsible for any financial outcome that may come from your investment decisions. TERMS OF USE. By reading this communication you agree that you have reviewed and fully agree to the Terms of Use found here http://oilprice.com/terms-and-conditions If you do not agree to the Terms of Use http://oilprice.com/terms-and-conditions, please contact Oilprice.com to discontinue receiving future communications. INTELLECTUAL PROPERTY. Oilprice.com is the Publishers trademark. All other trademarks used in this communication are the property of their respective trademark holders. The Publisher is not affiliated, connected, or associated with, and is not sponsored, approved, or originated by, the trademark holders unless otherwise stated. No claim is made by the Publisher to any rights in any third-party trademarks. Before heading off on their summer break, the ambassadors of the 27 EU member states agreed to review some of the individual sanctions on the mainly Russian people and companies that the bloc deems to have undermined Ukraine's territorial integrity. Since the February 2022 invasion of Ukraine, the bloc has slapped asset freezes and visa bans on more than 1,800 individuals and entities, including Russian President Vladimir Putin, Foreign Minister Sergei Lavrov, and many oligarchs and businessmen close to the Kremlin. The rollover of these sanctions usually takes place in March and September each year, offering individual member states a chance to make changes as the six-month extensions require unanimity. Although no individual sanctions have so far been removed, the discussions have given Hungary plenty of opportunities to voice its displeasure about the EU's general sanctions policy on Russia. While Budapest has eventually agreed to all the EU's restrictive measures to date, it has used its veto power to water down some sanctions -- for example, by not agreeing that the head of the Russian Orthodox Church, Patriarch Kirill, should be targeted by the bloc; and by securing opt-outs for itself when it comes to the EU's embargo on Russian oil. In September 2022, when the cases of sanctioned individuals were up for review, Budapest asked for the removal of three Russian oligarchs. It backed down, according to diplomats familiar with the file, after Hungarian officials were promised that the sanctions reviews would take place every six months and not every 12 as most other member states wanted, giving Budapest more opportunities to challenge the listings. Hungary did just that. Ahead of the extension in March of this year, Budapest wanted nine people removed from the sanctions list. Once again, Hungary backed down but only after getting a commitment from the legal service of the Council of the EU to thoroughly examine the legitimacy of some of the listings. Deep Background: When discussing the legal review ahead of the upcoming September rollover, I was told by diplomats familiar with the issue but who prefer to remain anonymous as they aren't authorized to speak on the record that Hungary has once again pushed for nine removals. (It's not clear if these are the same people Budapest wanted taken off the sanctions lists in March.) In the talks that took place throughout July, it was clear that there would be some delistings, though not necessarily the ones Hungary was pushing for. The Council of the EU's legal service has identified so-called "weak cases," ones that EU lawyers fear they would likely lose in the European Court of Justice (ECJ), if challenged. So far, over 70 individuals and firms -- often wealthy oligarchs using expensive European lawyers -- have lodged appeals against their listings in the ECJ. Many hearings have already taken place, and some rulings are expected to come this fall. Brussels would very much like to avoid the humiliation of losing such cases as it could open legal grounds for even more delistings. The EU has already lost one case, on March 8, after Prigozhin's mother, Violetta Prigozhina, challenged her inclusion on the list. She had been sanctioned by the bloc due to supposed business ties with her son, but the ECJ reasoned that these links were tenuous at best. She remains listed because the ruling only concerned the decision to sanction her in February 2022 and not the subsequent renewals of the restrictive measures in September 2022 and in March of this year when the scope of EU sanctions was widened to make it easier to target family members of oligarchs. A warning shot, however, had been fired. Drilling Down ADVERTISEMENT According to several officials who are familiar with the talks but who are not authorized to speak on the record, the EU is set to delist three individuals ahead of the September renewal: Aleksandr Shulgin, Farkhad Akhmedov, and Grigory Berezkin. Blacklisted in April 2022, all of them have taken their cases to the ECJ, and EU lawyers have indicated they are likely to win in court. Shulgin was presented in the EU's official journal, where the bloc's reasons for blacklisting are stated, as the "CEO of Ozon, Russia's leading multi-category e-commerce platform." The journal also noted that "he attended a meeting of oligarchs at the Kremlin with President Vladimir Putin to discuss the impact of the course of action in the wake of Western sanctions." According to EU sources familiar with his file but not permitted to speak on the record, Shulgin has submitted documents that state that he no longer has a connection to the company and no new evidence to the contrary has emerged. Akhmedov, who according to the EU's official journal, is "close to the Kremlin and is a leading businessperson involved in economic sectors providing a substantial source of revenue to the Government of the Russian Federation," notably by owning gas companies. According to EU sources familiar with his case, he is set to be delisted because he was sanctioned based on old information. Berezkin is described as "a leading Russian businessperson and considered to be the 'henchman' of President Vladimir Putin." As the chairman of the board of the Russian private equity firm ESN, the EU journal notes that he has invested in industries that have provided "a substantial source of revenue to the Government of the Russian Federation." According to sources familiar with his case, even some members of the Russian opposition support his removal from the list because he isn't thought to be closely linked to the Kremlin. Three removals were not enough for Hungary, however, with Budapest lobbying for a fourth person to be delisted. That was Nikita Mazepin, the racing-driving son of oligarch Dmitry Mazepin. Hungary might not get what it wants, though, as sources within the EU legal service have suggested that there is likely enough evidence to show that Mazepin junior has financial ties with his sanctioned father. There is still one key name missing from the sanctions list: Belarusian oligarch Alyaksandr Moshensky. Nicknamed "the fish king" due to his ownership of Belarusian seafood giant Santa Bremor, Moshensky is believed to enjoy close links to the Lukashenka regime. Various EU member states have tried to get him blacklisted several times, only to come up against Hungarian opposition, with Budapest citing "food security" as the reason. It's worth noting that Syarhey Niadbaylau, Moshensky's aide and the managing director of Santa Bremor, is also the honorary Hungarian consul in Belarus. By RFE/RL More Top Reads From Oilprice.com: French energy group TotalEnergies (NYSE:TTE) has set the first drilling rig at its location in the Mediterranean Sea off Lebanons coast near Israels border with the country looking to commence operations in the coming weeks to search for gas. The cash-strapped nation hopes that future gas sales could help the country pull out of its deep financial crisis that has seen the local currency lose more than 98% of its value. "The arrival of the equipment marks an important step in the preparation of the drilling of the exploration well in Block 9, which will begin towards the end of August 2023," TotalEnergies said in a statement. TotalEnergies leads a consortium of energy companies working on the offshore project, which includes Italian oil and gas giant Eni S.p.A.(NYSE:E) as well as state-owned QatarEnergy. The drilling operations come after a landmark U.S.-brokered agreement last year that saw Lebanon and Israel establish a maritime border for the first time ever. Back in May, Lebanons Energy Minister Walid Fayad said they hope to determine whether the exploratory block has recoverable gas reserves by the end of the current year. "This is survival (for Lebanon). Hopefully before the end of the year there will be positive results and Lebanon becomes an energy producer. This offers a glimmer of hope," Hamie said. Levant Basin Province, home to the giant Leviathan Natural Gas Field, straddles the sea borders of Israel, Lebanon, Palestine, the Republic of Cyprus and the Turkish Republic of Northern Cyprus. With 22.9 trillion cubic feet of recoverable gas, Leviathan is the largest natural gas reservoir in the Mediterranean, and one of the largest producing assets in the region. The 330 square kilometer field was discovered in December 2010 by NewMed Energy (OTCPK:DKDRF), Chevron Corp.(NYSE:CVX) and Ratio Oil Corp. NewMed is Leviathan's main operator with a 45.3% working interest; Chevron has a 39.7% working interest while Ratio has a 15%. Unfortunately, Lebanon does not recognise Israel's right to exist, and still considers itself at war with its much more affluent and powerful neighbor. By Alex Kimani for Oilprice.com ADVERTISEMENT More Top Reads From Oilprice.com: Natural gas pipeline operator Energy Transfer LP (NYSE:ET) has announced it will acquire midstream energy company Crestwood Equity Partners LP (NYSE:CEQP) in an all-stock deal valued at ~$7.1B, including the assumption of $3.3B of debt. The merger will help expand Energy Tranfers natural gas and crude oil transportation network, give it exposure to the Powder River basin and extend its presence in the Williston and Delaware basins. Crestwood's assets spread across the three basins, and include about 2 billion cubic feet per day of gas-gathering capacity, 1.4 bcfpd of gas-processing capacity as well as 340,000 barrels per day (bpd) of crude-gathering capacity. According to Energy Transfer, the acquisition will extend its position deeper into the Williston and Delaware basins while also providing entry into the Powder River basin. This will complement its downstream fractionation capacity at Mont Belvieu and increase its hydrocarbon export capabilities from its Nederland Terminal in Texas and the Marcus Hook Terminal in Pennsylvania. ET expects the acquisition to be immediately accretive to distributable cash flow per unit as well but neutral to its leverage metrics upon closing. Both companies cash flows are supported primarily by fee-based revenues from long-term contracts with investment-grade counterparties. Energy Transfer reported Q2 revenue of $18.32B (-29.3% Y/Y), missing by $2.08B while Q2 GAAP EPS of $0.25 missed by $0.07. Distributable Cash Flow attributable to ETs partners for the three months ended June 30, 2023 clocked in at $1.55 billion, drop from $1.88 billion posted for last year's corresponding period. Crestwood reported Q2 revenue of $1.02B (-29.7% Y/Y), $140M lower than the Wall Street consensus while Q2 GAAP EPS of $1.16 beat by $0.33. The company expects full-year 2023 Adjusted EBITDA to fall within the $780 million to $860 million guidance range, but warned its likely to come in in the lower half of the range due to lower commodity prices. By Alex Kimani for Oilprice.com More Top Reads From Oilprice.com: Due to disagreements over policy, the cost of funding, and legal hurdles, Indonesia, the largest economy in Southeast Asia, is delaying the start of a $20 billion climate investment plan as part of a deal signed with the United States and other wealthy nations last year. The investment plan, in its draft, is not expected to be launched until later this year, because it would need unspecified additional data to be included, Indonesia said on Wednesday, as carried by Bloomberg. At the end of last year, Indonesia, the worlds top coal exporter and heavily reliant on coal for power generation, signed an agreement to launch a Just Energy Transition Partnership (JETP) co-led by the U.S. and Japan and including Canada, Denmark, the European Union, France, Germany, Italy, Norway, and the United Kingdom. To achieve total power sector emissions peaking by 2030, the long-term partnership said it intends to mobilize an initial $20 billion in public and private financing over a three-to-five-year period, using a mix of grants, concessional loans, market-rate loans, guarantees, and private investments. These investments are expected to help Indonesia achieve the other main targets of the JETP. These targets are: capping power sector emissions at 290 megatons of CO2 in 2030, down from the baseline value of 357 MT CO2; establishing a goal to reach net-zero emissions in the power sector by 2050, thus bringing forward Indonesias net-zero power sector emissions target by ten years, and accelerating the deployment of renewable energy. Under the partnership, Indonesia will target to have renewable energy generation accounting for at least 34% of all power generation by 2030, which would roughly double the total renewables deployment over the course of this decade compared to current plans. Indonesia needs billions of U.S. dollars in investment to shift away from coal the country doesnt have. The wealthy international partners in the JETP have pledged half of the $20 billion investment while the other half is expected to come from large banks under the Glasgow Financial Alliance for Net Zero. By Tsvetana Paraskova for Oilprice.com ADVERTISEMENT More Top Reads From Oilprice.com: Over the past week, portfolio managers have boosted their bullish bets on the benchmark European natural gas futures as supply concerns mounted with a potential strike at Australian LNG export facilities, adding to flow disruptions from Norway where some of the gas infrastructure is under maintenance. The position of the fund managers in European natural gas futures turned into a net long the difference between bullish and bearish bets for the first time this year and for the first time since October 2022, according to Wednesday weekly data from the Intercontinental Exchange cited by Bloomberg. Long positions increased in the past week, while shorts slumped by more than 20%, the data showed. Investors havent been this bullish on Europes natural gas prices all year. The most recent supply threat raised volatility after a period of several months of calm trade. The potential strike in Australia over pay and work conditions could affect a tenth of global LNG. The threat of a strike sent Europes prices surging in the past few days, and once again highlighted Europe's difficult energy security position. Europes benchmark gas prices surged by 40% last week when the workers union threatened to go on strike. The front-month futures at the TTF hub, the benchmark for Europes gas, traded at $42.15 (38.62 euros) per megawatt-hour (MWh) as of 1:24 p.m. GMT on Wednesday, slightly down by 0.5% on the day. The price is more than $10.91 (10 euros) per MWh higher than just two weeks ago, despite the fact that the EU gas storage sites are now 90% full, reaching the EU target two and a half months ahead of the November 1 deadline. It is looking as though European storage will essentially be full before the start of the next heating season and so we would expect to see renewed downward pressure on prices, particularly once there is some clarity around Australia, ING strategists Warren Patterson and Ewa Manthey said on Wednesday. By Charles Kennedy for Oilprice.com ADVERTISEMENT More Top Reads From Oilprice.com: The deadliest fighting in Libya so far this year ended after less than 24 hours after one side in the conflict released a commander from the other, which had ignited the violent clash. Some 27 people died during the Tripoli clash and 100 were injured, according to a local health agency quoted by Reuters. The most powerful and heavily armed factions in Tripoli fought in several districts of the Libyan capital on Monday evening and Tuesday morning local time. Following months of almost no incidents and clashes in Tripoli, one of the factions, the Special Deterrence Force, seized the commander Mahmoud Hamza from the 444 Brigade faction, a source in the brigade told Reuters. On Tuesday, Hamza was returned, according to the report. One interesting fact about the two factions is that both are supporters of the Government of National Unity. The infighting, then, suggests, Libyas political balance is even more fragile than it looks. In addition to the infighting, tensions remain considerable between the Government of National Unity and the eastern parliament, backed by the Libyan National Army of Khalifa Haftar. Last month, the LNAs commander threatened to use force to ensure an equal distribution of oil revenues. Haftar proposed the setting up of a committee to distribute those revenues which come from oil, most of which is produced in eastern Libya. If the authorities fail to do that, the LNA will use force to make its argument. The deadline is the end of this month. The countrys oil production, meanwhile, has remained largely resilient to the latest clashes between political and military factions. It averaged some 1.2 million bpd over the past year or so, with a temporary disruption in July when two of the largest fields were closed due to protests from local communities to the arrest of a former finance minister. ADVERTISEMENT By Charles Kennedy for Oilprice.com More Top Reads From Oilprice.com: There isnt a discrepancy in Brazils efforts to advance the energy transition and its state oil company Petrobras pursuing drilling in domestic frontier areas, the chief of staff of Brazilian President Luiz Inacio Lula da Silva said on Wednesday. Petrobras is looking to drill for oil and gas in the Amazon region. On the other hand, Brazil under Lula wants to accelerate the energy transition but it needs money to do that. There is no contradiction. You indicate where you want to get and then you'll need resources for that, Lulas chief of staff Rui Costa said in a radio interview carried by Reuters. We are going to build a sustainable, renewable energy matrix, but it's obvious that we need to fund that transition process, Costa added. Brazils environmental protection agency, Ibama, refused to grant approval for a controversial offshore oil project led by Petrobras. The company was preparing to drill a well in the Foz do Amazonas area in the so-called Equatorial Margin where the Amazon River meets the Atlantic. The block in the Foz do Amazonas basin, off Brazils northern coast, is close to the mouth of the Amazon River, which prompted the environmentalist protest that eventually led to the regulators decision. The Brazilian agency based its decision, which was celebrated by environmentalists, on a function of a group of technical inconsistencies. Petrobras already operates several blocks in the area, which, according to Brazils oil and gas regulator, has a similar geology to the Guyana-Suriname Basin, where several massive discoveries have been made in recent years. Petrobras has appealed the decision of the environmental protection agency. ADVERTISEMENT Earlier this year, Petrobras chief executive officer Jean Paul Prates said that even as the company boosts investment in clean energy over time, oil production would remain the top priority for the Brazilian state oil giant. By Charles Kennedy for Oilprice.com More Top Reads From Oilprice.com: Talks between workers and LNG producers in Australia are continuing this week although there is talk of positive progress. Woodside Energy, one of the companies risking LNG supply disruptions due to potential industrial action, said negotiations with trade unions had resulted in some positive progress, as quoted by Reuters. On the other hand, the unions themselves have said that differences remain on some key issues, the report notes. "Positive progress is being made and the parties have reached an in-principle agreement on a number of issues that are key to the workforce," Woodside told Reuters, adding "We continue to engage actively and constructively in the bargaining process." Woodside and Chevronthe other company involved in negotiationshave good reason to want to speed up things. Together, the two produce a tenth of the worlds liquefied natural gas and last week the very talk about strikes led to a 40% jump in European gas prices. With 99% of workers at Woodsides North West Shelf LNG facilities voting for industrial action a few days ago, negotiations have become even more important. The Australian labor regulator has already allowed the strike if the negotiations fail. "Woodside are well off the pace on key bargaining issues including job security and remuneration," the Offshore Alliance trade union representing the platform workers said on social media. Chevron workers, meanwhile, are yet to vote on whether they will strike or not, which, according to the trade union, will take place next week. Meanwhile, Reuters reported that Woodside is likely to report strong first-half results thanks to the resilience in LNG prices, according to analysts. Woodsides North West Shelf is the largest LNG production project in Australia, with a capacity of 16.9 million tons annually, followed by Chevrons Gorgon, which has a capacity of 15.6 million tons. The Wheatstone project, also operated by Chevron, can produce 8.9 million tons of LNG annually. ADVERTISEMENT By Irina Slav for Oilprice.com More Top Reads From Oilprice.com: Every day at Franklin Elementary School, kindergarten teacher Kaye Kiepert-Hensley tells her students an important message: I love you. You matter. You can do anything and I believe in you. The mantra has been uttered to each child before they leave class for the last four decades. Kiepert-Hensley continued the tradition on Wednesday as she welcomed new Omaha Public Schools students into the classroom on their first day. Every day, every year, is a new day and a new year. Every group is different, Kiepert-Hensley said. Theyre fun. Theyre excited to be here. Im excited to see them. We can have all those meetings, but it doesnt really come true until the day they walk through that door. Its Kiepert-Hensleys 41st year teaching in the exact same classroom at Franklin Elementary in North Omaha. The room hasnt changed much besides some recently installed blue carpet and still is an open concept that actually holds two kindergarten classes, split in half by tables and shelves. Kiepert-Hensley graduated from what was once known as Technical High School in OPS. It closed in 1984 before becoming the current Teacher Administration Center on 3215 Cuming St. After receiving a college degree, Kiepert-Hensleys first day began with 40 students sitting on the floor of her classroom at Franklin. Her principal asked if she could move from part time to full time in order to split the class in half to accommodate the large group. Since that day in 41 years ago, Kiepert-Hensley has taught both children and grandchildren of former students. She said this is the reason why she keeps coming back to Franklin every year instead of taking a job elsewhere. I love to see the families, because its the same families that I had many years ago, when they were students in here, Kiepert-Hensley said. Now I have their babies again. So they know its a safe and a loving place in our room. Kiepert-Hensley is starting this school year with 14 students, but she said more will register throughout the fall as they move into the neighborhood. Students trickled into the classroom around 9 a.m. on Wednesday. There were some tears upon arrival, but Kiepert-Hensley was there to dry them. She ushered the group into a U-shape as she softly explained how they will select their breakfast each morning. Some students listened intently while others took glimpses of their first elementary classroom. Kiepert-Hensleys was carefully organized, with white concrete walls garnished with hundreds of positive messages, colorful art and educational posters. Several decorations around the room were personalized to students, such as a homemade paper apple tree that displayed all 14 student names. Small water bottles for each student were lined up neatly on one desk while another had enough snacks and art materials for the entire class. Kiepert-Hensley said while her room hasnt really changed over the years, the curriculum has. When I first started, it was a lot of socialization and play and interaction, she said. Now weve focused towards the academic aspect of it, where we still have that play, but our play is infused into our academics. We now teach more structure in our classroom. Franklin, along with the rest of the elementary schools in OPS, began instruction on Wednesday, while the majority of secondary students will have their first day of school on Thursday, said Matt Ray, interim superintendent. The 2023-24 school year will begin with more than 52,000 students and 9,000 staff members. Ray said OPS is slowly making progress in hiring more staff to alleviate challenges like transportation delays and teacher shortages. The district is also going through a superintendent search to replace Rays predecessor, Cheryl Logan. We have great people in place, Ray said. If you think of how committed (Kiepert-Hensley) is, youll feel that energy and that excitement. And thats what our teachers have. Kiepert-Hensley doesnt see herself leaving Franklin Elementary any time soon. She said there is no better career than teaching and expects to be in her position for the next 10 to 15 years. When Im not ready to do this, I will know, she said. But right now, I dont see an end in sight. Photos: Omaha's Franklin Elementary kindergarten teacher starts 41st year of teaching Demolition began seven years ago today on Omahas 62-year-old Civic Auditorium, which for generations had been the scene of political rallies, graduation ceremonies, concerts and sporting events. The plan is for the building to be completely down by around Christmastime, said Brook Bench, who was Omahas Parks and Recreation director at the time. In February 2016, the Omaha City Council voted to approve a $3.1 million contract with Illinois-based DeNovo Constructors Inc. to demolish the building. But the project stalled in March after the contractor ran into financial problems. DeNovo stopped work at the site on March 3, 2016, and the city ended the contract and made a claim to the bonding company. Spirtas Wrecking Co., based in St. Louis, was selected to perform the demolition instead. The company that held the bond chose Spirtas to replace DeNovo. Workers from the Lincoln-based company New Horizons completed removal of asbestos from the downtown Omaha arena, concert hall and exhibition space one week before demolition started. Omaha Mayor Jean Stothert announced in August 2014 that the city had selected Tetrad Property Groups proposal for redeveloping the area, from 17th to 19th Streets and Chicago Street to Capitol Avenue. Tetrad planned to partner with Iowa-based NuStyle Development Corp., which has redeveloped numerous downtown Omaha buildings into residences and business space. The developers planned to work with architecture and engineering firm HDR Inc. and with Kiewit Building Group. In 2018, Tetrad pulled out of that agreement, in part over a dispute about incentives. Stothert then announced in May 2021 that the city had signed an agreement to sell the property to a development group called Civic Corner. The group was being led by White Lotus Group, an Omaha company with a history of developing local large-scale projects. Civic Corner has said it plans to build a mix of 268 market-rate apartment units, about 120 affordable units, and about 25 townhouses that will be for sale. Photos: Civic Auditorium demolition and events through the years During the opening weekend for Omahas new riverfront parks, visitors will be able to roller-skate, play sand volleyball, watch fireworks and check out dozens of interactive events. A ribbon cutting will be held at 10 a.m. Friday for Heartland of America Park and Lewis & Clark Landing, which will complete the $400 million public-private riverfront renovation that began in May 2019. The two parks will join the renovated Gene Leahy Mall, which reopened in July 2022, and is the third park in the RiverFront project. A variety of free events on Friday, Saturday and Sunday will focus on highlighting the new spaces within both parks, said Katie Bassett, vice president of parks for the Metropolitan Entertainment and Convention Authority. Were excited to have the entirety of the riverfront open and bringing people in to enjoy all three park spaces, Bassett said. Some highlights of the festivities are a fireworks show at 10 p.m. Friday and a showing of Super Mario Bros. at 10 p.m. Saturday at the Riverfront Green in Heartland of America Park. Walking tours with RiverFront staff starting at the 8th Street Plaza will be available from 10:30 a.m. to 5 p.m. Friday and from 10 a.m. to 5 p.m. Saturday and Sunday. There will also be open skating on Heartland of America Parks new skating ribbon, bocce lessons at the new bocce courts, open sand volleyball courts and several music performances at the new lakeside amphitheater. Many of the weekends events will also highlight programming partners like the Omaha Public Library, the Omaha Childrens Museum and the Kiewit Luminarium, Bassett said. The Kiewit Luminarium was constructed as a fourth piece of the RiverFront project and sits right off Lewis & Clark Landing. It will be open until 10 p.m. Friday for all ages and will also hold a variety of free programming outside the building throughout the weekend, Luminarium CEO Silva Raker said. Fig, the Luminariums restaurant that doesnt require admission to access, will also have some special menu items available, Raker said. Food trucks will be available throughout the weekend stationed at the Gene Leahy Mall, Heartland of America Park and Lewis & Clark Landing. Here are some other events happening throughout the weekend: Friday Bootcamp workout class at the Riverfront Green from 5:30-6:30 p.m. Music and magic with the Old Market Magician at the Lakeside Amphitheater from 8-10 p.m. Saturday Big Elk statue dedication at 9 a.m. Morning yoga with Lotus House of Yoga on the Riverfront Green from 9-10 a.m. Nebraska Trailblazers guided walk at the 8th Street Plaza from 9-11 a.m. Bug Discovery with the Omaha Childrens Museum at the Riverfront Green from 10 a.m.-1 p.m. Out and About with the Omaha Public Library at the Lakeside Amphitheater from 10:30-11:30 a.m. Love to Groove Fitness Pop Up Class at the Riverfront Green from 11 a.m.-12 p.m. Movement and Mobility Class at the Riverfront Green from 1-2 p.m. Nebraska Salsa Scene Social at the Performance Pavilion from 5-10 p.m. Sunday HIIT bootcamp at the Riverfront Green from 10-11 a.m. Get Fit in the Park dance class at the 8th Street Green Pavilion from 2-3 p.m. Scavenger hunt with Nebraska SciFest at the 8th Street Plaza from 4-5 p.m. Photography Basics with the Hot Shops Art Center at the 8th Street Plaza from 7-8 p.m. A full calendar of RiverFront events and more information on the parks is available at www.theriverfrontomaha.com. Photos: All three RiverFront Parks expected to open Aug. 18 A 42-year-old Lincoln man was arrested after leading Nebraska State Patrol troopers on a vehicle chase that took the man through a residential yard in the small town of Emerald, the patrol said. The State Patrol said the incident began around 4:15 p.m. Tuesday. The Seward County Sheriffs Office told the State Patrol the man was driving a stolen Chevrolet Suburban toward Lincoln. Emerald is about seven miles west of Lincoln. The trooper found the vehicle traveling east on U.S. Highway 6. The trooper attempted to perform a traffic stop in Emerald, but the man instead drove through a residential yard and a fence, according to the State Patrol. The trooper did not pursue the man through the yard. The man then fled south through a cornfield, the State Patrol said. After about half a mile, the man lost control of the Suburban while crossing a driveway in the field. The State Patrol said the vehicle stopped and the man ran. A K-9 unit tracked the man down in the field and found him hiding among several hay bales, the State Patrol said. The man was taken to a Lincoln hospital for treatment. Once hes released, the State Patrol said he will be taken to the Lancaster County Jail on suspicion of flight to avoid arrest, obstructing a police officer, criminal mischief and theft. More charges are pending in Seward County, the State Patrol said. The investigation remains ongoing. Our best Omaha staff photos & videos of August 2023 Rep. Don Bacon said FBI cyber-analysts have told him his campaign and personal emails were hacked by Chinese spies earlier this summer, part of a larger hacking campaign that also targeted U.S. State Department and Commerce Department accounts. The Nebraska Republican said he was told the Chinese Communist Party had access to his account between May 15 and June 16 due to a vulnerability in Microsofts software. That weakness has since been corrected. They were in my emails for 31 days, Bacon said in an interview. In a July 11 blog post, Microsoft attributed the hack to a China-based threat actor called Storm-0558, which it said focuses on espionage, data theft and credential access typically targeting government agencies in western Europe. At the time, Microsoft said the hackers gained access to email accounts affecting about 25 organizations, including government agencies, as well as to consumer accounts of individuals likely associated with those agencies. They used forged authentication tokens to make it appear that they were actually the customers. Microsoft said in the blog post that it had notified administrators for all the agencies and completed mitigation to all customers. The organizations were not identified at the time. Bacon said he didnt learn of the breach until the FBI contacted him Monday. He said he asked whether he might have done something to expose his accounts to the hackers. They told me it was nothing I did wrong, he said. Bacon said he did remember receiving a notification from Microsoft earlier this summer encouraging him to change his password, which he now realizes probably was connected to the breach. Otherwise, he never saw any clue that his emails were being read or misused. I didnt have any indication. Nothing stuck out, Bacon said. He said he believes he was targeted because of his criticism of Chinas government and its human rights record. The Chinese, I think, see me as an adversary, Bacon said. Ive been very vocal about the Uyghur genocide, and Im a strong supporter of democracy in Hong Kong and Taiwan. He said he is not aware of any other member of Congress who was targeted. The Chinese can expect me to double down on Taiwan, he added. Bacon, a retired Air Force brigadier general, said he served most of his 30-year career in military intelligence and is well aware of Chinese and Russian hacking capabilities. As such, he never discusses sensitive information in unsecured channels. Ive always assumed my email and cellphones were targets, he said. Ive always been suspicious about China and Russia. Our best Omaha staff photos & videos of August 2023 LINCOLN Nebraskas attorney general concluded Wednesday that two watchdog positions created to keep an eye on state government trouble spots violate the State Constitution. Attorney General Mike Hilgers issued a formal opinion concerning the Inspector General of Nebraska Child Welfare and the Inspector General of Corrections in response to a query posed by two executive branch officials. Unlike a court ruling, the Attorney Generals opinion does not change the laws creating the inspector general positions but leaves the future of the positions uncertain. The two officials who sought the opinion were the CEO of the Nebraska Department of Health and Human Services, which handles child welfare cases, and the director of the Department of Correctional Services, which handles prisons. Those two agencies, along with Nebraska judicial branch officials, have questioned the authority of the inspectors general. The watchdog positions were created by the Nebraska Legislature, within the legislative branch, following serious problems within child welfare and corrections. In his opinion, Hilgers said the positions violate the separation of powers laid out in the Nebraska Constitution. That principle divides government functions among the three branches of government legislative, executive and judicial to keep any one branch from becoming too powerful. In contrast, he said, the inspector general laws give the inspectors general breath-taking power over the judicial and executive branches of state government. Inspectors general have unfettered access to computer systems of the Judicial and Executive Branches, the ability to obtain information and access to physical facilities upon demand, and the ability to impede law enforcement investigations while engaging in law enforcement functions, he said. Far from preserving the balance between the three branches of government, the Acts set the Legislature on a collision course with the Judiciary and the Executive, Hilgers wrote. Speaker of the Legislature John Arch of La Vista said lawmakers will need time to analyze the opinion. But he expressed determination to continue the Legislatures responsibility to exercise oversight to ensure that government services are safe and effective for groups at high risk. That responsibility and intent does not change with the opinion issued by the Attorney General, he said. The question is simply what process can the Legislature adopt that both fulfills our responsibility to exercise oversight and is in compliance with the provisions of the Nebraska Constitution. A statement put out jointly by Inspector General of Nebraska Child Welfare Jennifer Carter, Inspector General of Corrections Doug Koebernick and State Ombudsman Julie Rogers said the offices would continue their work under current law. The inspectors general are under the umbrella of the State Ombudsmans Office. Government accountability is a bedrock of our democratic system of government, the three said. The role of the Offices of Inspectors General is to provide that accountability, and to support and promote good government for the vulnerable children and youth that the state serves in the child welfare and juvenile justice systems and those in our correctional system. The OIGs investigations into deaths and serious injuries of children in the states care and deaths of incarcerated individuals and conditions of confinement have led to meaningful improvements to these systems over the last decade, they said. The child welfare position was created in 2012 as one of the recommendations from a legislative committee that investigated issues surrounding HHSs disastrous attempt to privatize the management of child welfare services statewide. Recent reports have looked at suicides of youths involved with the child welfare system, the downward spiral of the Youth Rehabilitation and Treatment Center for female juvenile offenders in Geneva and the problematic contract with St. Francis Ministries of Kansas to manage child welfare cases in the Omaha area. The corrections position followed in 2016, after a legislative probe of problems in state prisons. Those problems included the miscalculation of release dates of hundreds of inmates and the case of Nikko Jenkins, a mentally troubled inmate who killed four people just after being released from prison. Among its recent reports have been analyses of dangerously low staffing levels in prisons, the circumstances surrounding several inmate deaths and the handling of a mentally ill inmate who was shot about 200 times with pepper balls and rubber bullets in an attempt to get him under control. Struggles over the power of the inspectors general are not new. The state judicial branch, which includes the juvenile probation program, have made separation of powers arguments repeatedly in refusing to provide documents and make staff available to the child welfare inspector general. Our best Omaha staff photos & videos of August 2023 LINCOLN Shortly after Steve Corsi was announced as the new head of Nebraskas largest agency, public officials were already criticizing his appointment based on his social media activity. Gov. Jim Pillen announced Tuesday afternoon that Corsi would replace Dannette Smith as CEO of the Nebraska Department of Health and Human Services. Within minutes of the press release going public, State Sen. Megan Hunt of Omaha took to X, the social media platform formerly known as Twitter, to rebuke his appointment and call on lawmakers to reject his official confirmation in 2024. Its not hard to find credible medical experts to lead the Department of Health and Human Services, Hunt wrote. This is just a leadership failure on the part of Gov Pillen. Hunts main concerns focused on controversial views Corsi shared or liked on his own X page, which has since been blocked from public viewing. Before the page was protected, however, multiple screenshots were taken and shared by Hunt and others. Among some of the posts shared were statements casting doubt on COVID-19 treatments, people calling homosexuality a sin and claims that transgender individuals are mentally ill. In the day since Corsis announcement, a few of Hunts allies in the Nebraska Legislature have shared her posts and issued similar statements criticizing his appointment. The leader of DHHS should not be vetted based on partisan values, Sen. John Fredrickson of Omaha wrote in a social media post Wednesday. They should be vetted on their ability to effectively serve the diverse health and human service needs of Nebraskans. As CEO of DHHS, Corsi will oversee the creation of new regulations on puberty blockers and hormone therapy for individuals under 19, although Chief Medical Officer Timothy Tesmer is the main official in charge of drafting them. These regulations were called for in Legislative Bill 574, which passed earlier this year. The new law also will enact a ban on gender-affirming surgeries for the same age group and prohibits abortions beyond 12 weeks based on gestational age. Both Hunt and Fredrickson were vocal opponents of LB 574 during debate over the measure. A DHHS spokesperson said Tesmer is currently working with a group of anonymous experts and expects to have a draft of proposed regulations prepared in October. The regulations do not require Corsis approval, but instead will face at least one public hearing before going to the Nebraska Board of Health, the State Attorney Generals Office and finally Pillens desk for approval. The gender-affirming care restrictions are set to take effect Oct. 1. While the drafted regulations are still being worked through, puberty blockers and hormone therapy will be fully banned for those under 19. Pillen spokeswoman Laura Strimple pushed back against the criticism of Corsi, stating that his experience meets the high expectations that Pillen has for his Cabinet members. Nebraskans elected Governor Pillen because of his strong conservative values, Strimple said. He has now appointed someone who also has a strong conservative track record of competence and experience in state health and social services. Other criticism of Corsi has analyzed his time at previous jobs, including when he worked at the Wyoming Department of Family Services. During that time, a lack of oversight allowed a state correctional facility for boys to use restraining equipment that was also used in the torture and force-feeding of inmates at Guantanamo Bay, according to a Gillette News Record report. Corsi also faced backlash as the director of the Missouri Department of Social Services for getting paid through two different agencies, according to the Missouri Times. In the report, Corsi said he was under the impression that his income was accurately documented in the state budget, although the two sources put him above the maximum annual salary he could receive in that position. Our best Omaha staff photos & videos of August 2023 One more publicly available tool for monitoring the trajectory of COVID-19 in Nebraska has gone dark. The Nebraska Department of Health and Human Services has removed from its website a page that had provided weekly updates on concentrations of COVID-19 in wastewater from more than a dozen sites across the state. With reductions over the past year or more in reporting of COVID-19 metrics, both locally and nationally, wastewater surveillance had been considered one of few remaining tools for public health officials to monitor disease levels. A spokesman for the State Health Department said the states wastewater data was taken down due to President Joe Bidens ending of the national emergency and public health emergency declarations for COVID-19 in May. Data continues to be tracked for that program and is available upon request, the spokesman wrote in an email Monday. He also noted that the agency is consolidating information on its website relative to COVID reporting, as well as reporting for other respiratory illnesses. The agencys respiratory illness dashboard, which last year replaced a COVID-19 dashboard, includes metrics for COVID-19, influenza and respiratory syncytial virus, or RSV. It lists the number of weekly tests for each virus, the number that are positive, the positivity rate and the number of visits to emergency rooms for each viruses, as well as a breakdown of those visits by age. Laura Strimple, a spokeswoman for Gov. Jim Pillen, wrote in an email Tuesday that the page was removed Aug. 4 in consultation with the Governors Office. She also cited the end of the federal public health emergency in response to questions about why the page was taken down. Since then, she wrote in an email, Nebraska has successfully phased out many of the measures put into place during the emergency. However, she noted in an email Tuesday that the Health Department is winding down its COVID-19 wastewater testing program, so that resources can be devoted to monitoring other illnesses including influenza and RSV. Nebraskas wastewater surveillance page also included the results of genomic sequencing of virus particles in wastewater, giving users insight into what variants of COVID-19 were circulating in the state. The state and its partners earlier this year became one of the first groups in the U.S. to tap the wastewater surveillance system, initially established as an early-warning system for virus surges, to also monitor for new variants. That change occurred after people increasingly began using at-home tests for COVID-19, leaving labs short of samples to sequence. The removal of the page comes at a time when cases and hospitalizations due to the virus appear to have ticked up slightly in Nebraska. The latest wastewater report, dated July 25, indicated that concentrations of the virus had increased relatively sharply overall, based on preliminary data through July 19. Seven stations reported increasing levels over the previous 15 days, three counted decreasing concentrations and the rest were stable or had no recent data to report. The latest version of the respiratory illness page, dated Saturday, indicated 379 cases of the virus for the week ending Aug. 12, after a monthslong lull in which weekly cases dipped below 100 cases for much of early July. Visits to emergency rooms for COVID-19 also increased very slightly last week. All measures, however, remain well below those of the past two summers and far below past peaks. Nationally, cases and hospitalizations also have ticked up in some parts of the country, although again nowhere near what has been seen during past waves. COVID-19-related hospitalizations were up more than 40% from June lows, Reuters reported. But they still were more than 90% below peak levels during the January 2022 omicron outbreak. Health officials largely have attributed the lower levels of infection in recent months to several factors, beginning with the immunity conferred by vaccines and past infections. However, they also have cautioned that the virus is not gone and that it continues to cause harm. COVID-19 was Nebraskas third-leading cause of death in 2022. Health officials also have advised the best way for people to protect themselves is to keep up to date on vaccines for COVID-19. Dr. Mandy Cohen, director of the federal Centers for Disease Control and Prevention, said last week that she expects new, updated shots to be rolled out in the third or fourth week of September, Reuters reported. The updated boosters still need to be authorized by the Food and Drug Administration and recommended by the CDC. She suggested Americans should view these shots as an annual measure to protect oneself, in line with the annual flu shot. But Dr. James Lawler, associate director of the University of Nebraska Medical Centers Global Center for Health Security, said health care professionals still need tools to maintain appropriate awareness. In addition, high-risk members of the community, and their families, rely on wastewater testing to gauge their own actions in order to reduce their risk of infection, he said. State government has promoted the idea that Nebraskans should be free to make their own decisions about managing COVID risk. The state should allow people access to the data they need to make those decisions, he said. World-Herald reporter Martha Stoddard contributed to this report. STATE ATTORNEY GENERAL WORKING ON LAHAINA LAND TRANSACTION MORATORIUM Hawaii Gov. Josh Green said Wednesday that he has instructed state Attorney General Anne Lopez to work toward a moratorium on land transactions in the Lahaina area. Green said he has heard of people he described as not even in real estate reaching out to ask about purchasing land owned by people in the disaster area. My intention from start to finish is to make sure that no one is victimized from a land grab, he said. People are right now traumatized. Please do not approach them with an offer to buy their land. Do not approach their families saying theyll be much better off if they make a deal. Because were not going to allow it. He said hed have more details by Friday and added that hed also like to see a long-term moratorium on land bought by people who are not on Maui. GOVERNOR: DEATH TOLL RISES TO 110 Hawaii's governor on Wednesday added four more people to the list of those killed in a fire that decimated the town of Lahaina on Maui last week. Gov. Josh Green said 110 people are confirmed dead and that 38% of the disaster area has been searched. It makes us heartbroken, Green said at a news conference. He also said about 2,000 homes and businesses remained without electricity in the area and that those people would also be eligible for services if they are suffering without power. WHAT TO KNOW What spurred the fires? Right now, its unclear; authorities say the cause is under investigation What is the status of the fires? The county says the fire in centuries-old Lahaina has been 85% contained, while another blaze known as the Upcountry fire has been around 60% contained How does the loss of life confirmed so far compare with other U.S. fires? For now, it is the countrys deadliest fire in more than 100 years, with officials saying more than 100 people are dead, but the governor says scores of more bodies could be found How are search efforts going? The police chief said Monday that crews using cadaver dogs have scoured at least 30% of the search area, with five bodies identified so far Why did the fire cause so much destruction so quickly? The governor says the flames on Maui were fueled by dry grass and propelled by strong winds from a passing hurricane, and raced as fast as a mile (1.6 kilometers) every minute in one area Did emergency notification services work? Officials failed to activate sirens and instead relied on a series of sometimes confusing social media posts; meanwhile, residents faced power and cellular outages An electric utility is facing criticism and a lawsuit for not shutting off the power amid high wind warnings and as dozens of poles began to topple; in what may have been one of multiple ignition sources, a video shows a cable dangling in a charred patch of grass, surrounded by flames TWO MEXICAN CITIZENS DIED FROM FIRES The Mexican foreign ministry said it has confirmed that two people of Mexican nationality died as a result of the wildfires. Personnel from the Mexican Consulate in San Francisco are on Maui and are in contact with the families of the deceased to provide assistance to them, the foreign ministry said in a statement. No details were immediately available, including whether the victims were tourists or among the many foreign workers in Lahaina. The consulate in San Francisco did not immediately respond to a request for details. FEMA OPENS FIRST DISASTER RECOVERY CENTER The Federal Emergency Management Agency has opened its first disaster recovery center on Maui to help victims of the Lahaina wildfires. The agency is also sending in more dogs to help with search and recovery. Deanne Criswell, the FEMA administrator, on Wednesday called the centers opening an important first step. The centers are temporary places where people can get information about assistance from multiple federal agencies, not just FEMA. They also can get their questions answered and get updates on the status of their aid applications. The number of canine search teams will be increased to more than 40 because of the difficulty of the search and recovery operation. The dogs need to rest frequently because of the terrain and the heat. Criswell answered questions from reporters at the White House after she briefed President Joe Biden in the Oval Office. Biden spoke by telephone with Gov. Josh Green during their meeting, she said. Criswell will accompany Biden to Maui on Monday when he travels there to survey the damage. She said Biden will bring hope. People can apply for assistance by going to disasterassistance.gov or calling 1-800-621-FEMA. MAUI SCHOOLS BEGIN TO REOPEN Most public schools on Hawaiis second-largest island have begun to reopen this week, starting with staff reporting for duty, according to the states department of education. But several schools are still being assessed to make sure they are safe for students and teachers, with crews cleaning debris and testing both air and water quality. Hawaii Department of Education superintendent Keith Hayashi visited three campuses in Lahaina on Monday, which remain closed after sustaining wind damage. Officials will determine reopening dates for those schools once they are confirmed safe. Theres still a lot of work to do, but overall, the campuses and classrooms are in good condition structurally, which is encouraging, Hayashi said in a video update. We know the recovery effort is still in the early stages, and we continue to grieve the many lives lost. If they are ready and able, Lahaina students may enroll in nearby schools so they have access to in-person services like meals, socialization and counseling, Hayashi said. Schools in Central, South and Upcountry Maui and a few off-island schools have already begun enrolling displaced students. The Department says it is also offering both in-person and telehealth counseling for students, family and staff. BIDENS TO VISIT MAUI NEXT WEEK President Joe Biden and first lady Jill Biden will travel to Maui next week in the aftermath of the deadliest wildfires in the U.S. in more than a century, the White House announced Wednesday. The Bidens will meet Monday with survivors of the fires, as well as first responders and other government officials. They will see firsthand the impacts of the wildfires and the devastating loss of life and land that has occurred on the island, as well as discuss the next steps in the recovery effort, White House press secretary Karine Jean-Pierre said in a statement Wednesday. Biden and White House officials have signaled for days that a presidential visit was in the works as long as it would not disrupt search and recovery efforts. Hawaii Gov. Josh Green has informed the White House that Bidens visit early next week should be fine. GOVERNOR OPENS MAIN ROAD FOR LIMITED HOURS Gov. Josh Green opened a main road so drivers can travel east to west on Maui during limited hours as of Wednesday. We will have, of course, our National Guard responsible on the side of the road so that no one goes into the impact zone, where teams are still searching for fatalities following last weeks wildfires, Green said on ABCs Good Morning America. What I can tell you is people are holding up, he said, adding, Were just grateful for everyones outpouring of support. And though the workload is extraordinary and our hearts are broken, we will get through it. We just are still kind of in the thick of doing recovery. 2 VICTIMS OF LAHAINA WILDFIRE IDENTIFIED AS THE DEATH TOLL RISES TO 106 Maui County released the names of two people killed in the wildfire that all but incinerated the historic town of Lahaina Tuesday evening, as the death toll rose to 106. A mobile morgue unit arrived Tuesday to help Hawaii officials working painstakingly to identify remains, as teams intensified the search for more dead in neighborhoods reduced to ash. The U.S. Department of Health and Human Services deployed a team of coroners, pathologists and technicians along with exam tables, X-ray units and other equipment to identify victims and process remains, said Jonathan Greene, the agencys deputy assistant secretary for response. Its going to be a very, very difficult mission, Greene said. And patience will be incredibly important because of the number of victims. The county said in a statement Lahaina residents Robert Dyckman, 74, and Buddy Jantoc, 79 were among the dead, the first people so named. A further three victims have been identified, the county wrote, and their names will be released once the county has identified their next of kin. GOVERNOR: DEATH TOLL RISES TO AT LEAST 101 The blaze that burned through the town of Lahaina on Maui last week has killed at least 101 people, Hawaiis governor said Tuesday, as recovery efforts continue. We are heartsick that weve had such loss," Gov. Josh Green said during a news conference Tuesday. Green had said earlier Tuesday during an appearance on Hawaii News Now that children are among the victims of the fires. The fire is the deadliest in the U.S. in the past century. It has surpassed the toll of the 2018 Camp Fire in Northern California, which left 85 dead. A century earlier, the 1918 Cloquet Fire broke out in drought-stricken northern Minnesota and raced through a number of rural communities, killing hundreds and destroying thousands of homes. LAHAINA FIRE CAUSED ABOUT $3.2 BILLION IN INSURED PROPERTY LOSSES, RISK COMPANY SAYS The Lahaina fire caused about $3.2 billion in insured property losses, calculated Karen Clark & Company, a prominent disaster and risk modeling company. That doesnt count damage to property not insured. The risk firm said more than 2,200 buildings were damaged or destroyed by fire with a total of more than 3,000 buildings damaged by fire or smoke or both. Because so many of the buildings were wood frame and older, the damage rates were higher than other fires, the firm said. HAWAII NATIONAL GUARD ACTIVATES HUNDREDS TO HELP RESPOND TO FIRES The Hawaii National Guard has activated about 258 Army National Guard and Air National Guard personnel to help respond to the fires. Guard members will offer support to the Hawaii Emergency Management Agency and local law enforcement agencies and help with command and control efforts, Pentagon spokeswoman Sabrina Singh said Tuesday. The U.S. Army Corps of Engineers is helping with debris removal and temporary power. The Corps has deployed 27 personnel active duty and civilians and 41 contractor personnel. The U.S. Coast Guard has shifted its focus to minimizing maritime environmental impacts but is still ready to help individuals in the water. Coast Guard Maritime Safety and Security Team Honolulu and the Coast Guard National Strike Force have established a safety zone extending one nautical mile seaward from the shoreline. The have also deployed pollution response teams and equipment, including a 100-foot boom at the mouth of Blaina Harbor to contain any potentially hazardous contaminants and material. There are about 140 Coast Guard members aiding the response effort. Singh said she doesnt know how many active-duty troops have responded, but said that active-duty forces will be part of the ongoing effort. BLOOMINGTON Two Decatur men face felony drug charges after Illinois State Police said they sold cocaine. On Monday, Brylen J. Bunch, 29, was arrested after allegedly selling 1-15 grams of a substance containing cocaine to an ISP Task Force Six confidential source. Adrian E. Batts, 33, also was arrested Monday for the same crime, according to court records. Both were charged with unlawful delivery of a controlled substance, a Class 1 felony that is punishable by four to 15 years in prison. However, Bunch is eligible for an extended sentence because of his record. Bunch's bond was set at $100,000, 10% to apply, while Batts' bond was set at $75,000, 10% to apply. Arraignments for both men are set for Sept. 1 at 9 a.m. Updated mug shots from The Pantagraph Bryant Lewis Derek Roesch Justin M. Mata Marcus D. Wesley Phillip Tinch Trisha L. Hanke William B. Givens David L. Oliver Kenneth E. Funk Jordan R. King Holly M. Isaacson Kenneth L. Minton Tony L. Jackson Britley L. Hilger Jasmine L. Smith Jackie S. Claypool Noah R. Demuth Brandon L. Parsano Alexander N. Williams Carlos Sanchez-Solozarzano Jaylin S. Bones Jordan R. King Dominique M. Banks Austin T. Daugherty Sandra M. Lewis Samantha E. Morris Nolan C. Love Nikkita L. Sandefur Katlin M.B. Wilson Eli C. Garozzo Tysean T. Townsend Curtis J. Byrd Noral K. Nelson Charles J. Tankson Davis, Micah S Livingston, Joshua D. Kevin L. Ewen Emmanuel K. Mpay Ahmad S. Manns Dylan R Mann Tony L. Jackson William R. Linden Zadek U. Moen Zachary T. Willis Cecily M. Sexton Tonisha A. Jackson James A. McConnaughay Jessica M. Longberry Barry D. Guyton Keon E. Spiller Melina Aguilar Carlos D. Cregan Wayne M. Damron Terrance L. Ford Stanley M. Miller Darryl R. Vinson Jarvis K. Heads Wesley M. Noonan Brad Carter Brian K. Burnett Kenneth D. Downey Kenyon J. Bones NORMAL Heartland Community College and Southern Illinois University Carbondale have a new transfer agreement for qualified community college students. As part of the Saluki Step Ahead program, students who graduate from Heartland with an associate degree will be able to earn their bachelor's degrees from SIU remotely at a lower cost, according to an announcement from the schools. The program offers bachelor's degrees in accounting, business and administration, criminology and criminal justice, early childhood, elementary education, health care management, history, information technology, industrial management and applied engineering, nursing, psychology and radiologic sciences. We are pleased to collaborate with Heartland Community College to provide another option for place-bound students in this region, said SIU Chancellor Austin A. Lane. If these students cannot come to Carbondale to complete their education, we will bring the experience and resources of a doctoral research university to them. The admissions agreement allows a variety of students to continue their education at SIU with Heartland's associate in arts and sciences, which encompasses twelve fields of study including humanities, business and more. Strong partnerships are key to the mission of serving our students, and working with SIU, we have expanded the options Heartland students have to pursue their academic goals, said Heartland President Keith Cornille. This partnership will expand and strengthen our transfer pathways and gives Heartland students another accessible option to earn a bachelors degree in several high-demand programs. By offering this remote learning option Heartland transfer students can continue their pursuit of a bachelors degree without having to relocate outside our community. Students in the Saluki Step Ahead program pay the community college rate for their first two years. In the third and fourth years, the student will receive an annual $4,000 scholarship as long as they remain a full-time student and in good academic standing. Who was honored? Photos from Heartland's Presidents Medallion Awards ceremony Presidents Medallion Honorees Mary and John Penn Presidents Medallion Honorees Larry and Marlene Dietz Presidents Medallion Honorees Jerry and Carole Ringer Presidents Medallion Honoree Bob Brucker Bob and Julie Dobski, Karen DeAngelis, Cindy Segobiano, visiting Rotarians from India Sukhi and Kirandeep Julie Dobski, Al Bedell, Dee Frautschi Mark and Dianna Johnson, Charlotte and Joe Talkington Merriann and Dave McGee Camille and Ron Springer Sandra and Gordon Bidner Mary Campbell, Julie Dobski Presidents Medallion Roosmarijn and Interim ISU President Andover Tarhule, Julie Dobski Patty McManus, Chris Downing, Steve MacManus Peg Doran, Joan Bullard, Janet Hood Jim and Phyllis White John Penn, Mike Matejka, Hank Campbell Mary and Dennis Feicke Jerry Ringer, Pat Grogg, Carole Ringer Jean-Marie Taylor, Jennifer and Stan OConnor Penn family table Alauna McGee, Kym Ammons Scott Lidia Halder, Wendy West, Raegan Rinchiuso, Larissa McIlvain, Gena Glover Heartland Community College Vice President, External Relations, Kelli Hill Crowd enjoys the beautiful night Heartland Foundation Board Chair Kirk McCullick Heartland Community College President Keith Cornille Heartland Board of Trustees vice-chair Janet Hood Jim White Presidents Medallion Honoree Jerry Ringer President's Medallion honoree Carole Ringer Janet Hood, Presidents Medallion honorees Carole and Jerry Ringer, Jim White, HCC President Keith Cornille Julie Dobski Presidents Medallion Honoree Marlene Dietz Presidents Medallion Honoree Larry Dietz Presidents Medallion Honoree Larry Dietz Janet Hood, Julie Dobski, Presidents Medallion honorees Larry and Marlene Dietz, HCC President Keith Cornille Nancy Evans Janet Hood, Nancy Evans, Bob Brucker, HCC President Keith Cornille Mary Campbell Presidents Medallion Honoree John Penn HCC President Keith Cornille congratulating John Penn NORMAL Heartland's electric vehicle program is getting an extra boost from State Farm. Heartland Community College received a $1 million grant from the Bloomington-based insurer for its new electric vehicle and energy storage (EVES) training facility, which in turn will be named the State Farm Electric Vehicle Lab. The grant was discussed Tuesday at Heartland's Board of Trustees meeting at the Normal campus. "State Farm is delighted to expand our charitable relationship with Heartland Community College through the State Farm Vehicle Lab," said Rasheed Merritt, assistant vice president of corporate responsibility at State Farm, in a prepared statement. "This innovate hands-on learning environment will equip students of all ages for future in-demand job opportunities in Central Illinois and beyond." The EVES program, which launched in the fall 2021 semester, teaches students electric vehicle maintenance skills at a temporary instructional space leased by the college. Heartland offers a two-year electric vehicle technology associate in applied science degree as well as four short-term certificates: electric vehicle maintenance and light repair; electric vehicle energy storage; electric vehicle service adviser; and electric vehicle technology. Construction began in September 2022 for the new facility that will support advanced manufacturing and technological training in a 6,278-square-foot, $17.5 million center. The facility is expected to be completed in December with classes and activities opening there in January 2024. Adjacent spaces also are being remodeled to further support similar programs, including robotics, welding, digital media and heating, ventilation and air conditioning. Although State Farm is the nation's No. 1 automotive insurer, Merritt said the grant is less about the auto industry than about giving back to the college and supporting its endeavors. "This opportunity here promotes education and opportunities to take on the skills of that trade and also promote the longevity of helping people continue to figure out what they want to do next," Merritt said. Gov. J.B. Pritzker, U.S. Transportation Secretary Pete Buttigieg and Illinois Sens. Dick Durbin and Tammy Duckworth have visited the college and expressed their interest in cleaner and renewable energy sources. "State Farm has a history of investing in our community and in Heartland Community College students," said Heartland Community College President Keith Cornille in a prepared statement. "The Heartland students who are developing skills in advanced manufacturing and sustainable energy programs are at the cutting edge of a growing industry that is fueling our economy and strengthening our community as a whole." With this grant, Cornille said State Farm has contributed nearly $5 million in gifts and donations to help students through scholarships and various programs since the Heartland Foundation was formed. "The programs supported by this contribution are all about moving students into the workforce, said Chris Downing, executive director of the Heartland Foundation, in a prepared statement. "State Farm's commitment to Heartland in a investment not only to the college, but also in the most valuable resource any employer needs: skilled people." Who was honored? Photos from Heartland's Presidents Medallion Awards ceremony Presidents Medallion Honorees Mary and John Penn Presidents Medallion Honorees Larry and Marlene Dietz Presidents Medallion Honorees Jerry and Carole Ringer Presidents Medallion Honoree Bob Brucker Bob and Julie Dobski, Karen DeAngelis, Cindy Segobiano, visiting Rotarians from India Sukhi and Kirandeep Julie Dobski, Al Bedell, Dee Frautschi Mark and Dianna Johnson, Charlotte and Joe Talkington Merriann and Dave McGee Camille and Ron Springer Sandra and Gordon Bidner Mary Campbell, Julie Dobski Presidents Medallion Roosmarijn and Interim ISU President Andover Tarhule, Julie Dobski Patty McManus, Chris Downing, Steve MacManus Peg Doran, Joan Bullard, Janet Hood Jim and Phyllis White John Penn, Mike Matejka, Hank Campbell Mary and Dennis Feicke Jerry Ringer, Pat Grogg, Carole Ringer Jean-Marie Taylor, Jennifer and Stan OConnor Penn family table Alauna McGee, Kym Ammons Scott Lidia Halder, Wendy West, Raegan Rinchiuso, Larissa McIlvain, Gena Glover Heartland Community College Vice President, External Relations, Kelli Hill Crowd enjoys the beautiful night Heartland Foundation Board Chair Kirk McCullick Heartland Community College President Keith Cornille Heartland Board of Trustees vice-chair Janet Hood Jim White Presidents Medallion Honoree Jerry Ringer President's Medallion honoree Carole Ringer Janet Hood, Presidents Medallion honorees Carole and Jerry Ringer, Jim White, HCC President Keith Cornille Julie Dobski Presidents Medallion Honoree Marlene Dietz Presidents Medallion Honoree Larry Dietz Presidents Medallion Honoree Larry Dietz Janet Hood, Julie Dobski, Presidents Medallion honorees Larry and Marlene Dietz, HCC President Keith Cornille Nancy Evans Janet Hood, Nancy Evans, Bob Brucker, HCC President Keith Cornille Mary Campbell Presidents Medallion Honoree John Penn HCC President Keith Cornille congratulating John Penn BLOOMINGTON McLean County Unit 5 parent Heather Masley has had to prepare her children for the first of day of school for the last 20 years. Although two of her children have graduated, she has two more kids excited about entering second grade and kindergarten at Northpoint Elementary School. "My youngest is most excited about playing with friends," Masley said Wednesday morning. "Through COVID, he didn't get to play with hardly anybody because everybody was on lockdown and he had some medical issues, so he's excited about making friends and playing and having fun." Several other parents shared their relief about the preparations Northpoint has made to ensure a smooth transition into the start of Unit 5's 2023-24 school year. Wednesday also marked the first day back for a number of other Central Illinois schools, including those in Clinton, Lincoln, Pontiac, Eureka, Roanoke and Tremont. Bloomington District 87 students return to class on Friday. Matt Harr, principal of Northpoint Elementary School for the last 12 years, said his school has an enrollment of about 500 students. This includes about 100 incoming kindergartners and new students from outside the district. To make families feel welcome, Harr said, the school hosted an open house Tuesday so students could see their classrooms and drop off their supplies, which helps to reduce the anxiety of the first day back. "Everybody gets a little nervous and so we have found that that really is helpful," Harr said. Northpoint teaching staff also meet with kindergarten students ahead of the school year, and curriculum nights are scheduled for every grade level, he added. Masley said Northpoint has made it very easy to get her kids ready for the new year. "They gave us a lot of detailed information and had intro nights where we could come in and meet the teachers and figure out supplies and kind of what the school year is going to look like," Masley said. Kelly Leese said two of her children are entering first and fourth grade at Northpoint and her other two children attend Kingsley Junior High School. Although she has been preparing her kids for the first day of school for the last eight years, this was the first year she ordered school supply kits instead going out shopping to help ease the stress of the new year. Leese also praised Northpoint's outdoor classroom areas, which are a new feature this year so long as weather permits. Over the summer, custodian John Puckett said the school's floors were either scrubbed or stripped and then rewaxed; light fixtures and walls were dusted; and ceilings were brushed. Maintenance workers also worked on the heating and cooling systems since there isn't much air that flows through the school all summer. "You just adapt and overcome," Puckett said. Harr commended all of the staff members who make Northpoint's first day of school as seamless as possible. "There's a lot of work that goes into getting the school ready and getting our classroom ready, and they do an amazing job," Harr said. "I'm so proud, but at the same time, we get our energy from the kids." FIRST-DAY PHOTOS We'd love to see your kids' first-day-of-school photos. Visit bit.ly/pantagraphschoolpics to share them with us! 10 plant-based, kid-friendly snacks for back-to-school season An easy way to approach plant-based, kid-friendly snacks Easy chia pudding All-fruit bars Thumbprint cookies Berry pop tart Crispy treats Nut-free, no-bake energy balls Crispy roasted chickpeas Vegan protein mango bread Broccoli-cauliflower veggie tots Sweet and spicy tortilla chips BLOOMINGTON McLean County Board member and former county GOP chairman Chuck Erickson is seeking the Republican nomination for Illinois' 88th House District. The announcement sets the stage for a possible Republican primary in the district currently held by state Rep. Dan Caulkins, who has said he will not seek reelection. Caulkins has already endorsed fellow Decatur Republican Regan Deering, a philanthropist and small business owner who lost a heated race for the 13th Congressional District last year. A four-term member of the county board, Erickson said he hopes to bring a message of fiscal conservatism with a focus on constituent service to Springfield. He plans a series of campaign stops in Forsyth, Monticello, Farmer City and the Bittner Farm outside Bloomington. "I have a proven track record of voting and supporting the very same values shared by the hard working men and women of the 88th District, and I look forward to taking those values and my voting record to Springfield on their behalf," Erickson said in a news release on Monday. The 88th District contains portions of McLean County, including Downs and LeRoy, as well as parts of DeWitt, Macon and Piatt counties. The urban cores of Bloomington-Normal and Decatur are edged out of the district, but it includes outskirts of those communities. Erickson serves as chair of the county board justice committee and a member of the finance and executive committees. He also was elected to serve as the chairman of the McLean County Republican Party from 2014 to 2018. He already has received endorsements from former McLean County Sheriff Jon Sandage, McLean County Sheriff Matt Lane, former McLean County Republican Party Chairman John Parrott, former state Rep. Dan Brady and former state Sen. Bill Brady, both Bloomington Republicans. On Thursday, a group called "Citizens for Bill Brady INC" donated $3,000 to the Friends of Chuck Erickson PAC. Erickson also reported a $2,500 loan to his committee and a $1,000 contribution from John Nottoli III over the last two weeks. In addition to Caulkins, Deering said her endorsements include that of former McLean County GOP chair Connie Beard, among others. "In just two months, we've earned support from every corner of the District, raised tens of thousands of dollars from small donors, and earned endorsements from conservatives like Rep. Dan Caulkins and Connie Beard," Deering said in a news release. "This is not a movement of the establishment; it is a movement of the people." Illinois' 2024 primary election is set for March 19. What top 10 McLean County employees make Erika Reynolds Ronald Lewis Cassy Taylor Matt Lane Jerry Stokes Craig Nelson Raymond Lai Jeffrey Kretlow Hadley Welsch Timothy Wiley How Time Flies is a daily feature looking back at Pantagraph archives to revisit what was happening in our community and region. 100 years ago Aug. 16, 1923: More traffic regulations are yet ahead for Bloomington auto owners. An ordinance will be submitted to the council soon, Mayor Shorthose said, requiring traffic to turn to the right when moving on all four sides of the courthouse square. He believes that traffic congestion will be relieved in traffic is restricted to the right. 75 years ago Aug. 16, 1948: Marilyn Mecherle, 1014 Broadway, Normal, and her mare, Sue Barrymore, captured the Governor's Cup in the 12- to 18-year-old rider class on three gaited horses at the state fair. Copping third place in the harness show pony class was Hoba, owned by Nancy Lewis, 1007 E. Jefferson St., and Charlotte Norris, r.r. 2, Normal, and driven by Miss Norris. 50 years ago Aug. 16, 1973: At the Red Cross bloodmobile at the Scottish Rite Temple, Mrs. Mariannce Hart, 1908 E. Jackson St., received a plaque for giving nine gallons of blood after her most recent donation her 72nd. 25 years ago Aug. 16, 1998: Russian exchange student Olya Mesheryakova spent this spring attending Normal Community West High School and living with Kay Isenburg, program director for the Centrillio Council of Girl Scouts. Mesheryakova first visited the area in 1996 with other students from Vladimir, Russia, as part of a sister city exchange. 101 years ago: See vintage Pantagraph ads from 1922 Gerthart's Union Gas and Electric Co. Hoover Dr. J.A. Moore Dentists Moberly & Klenner W.P. Garretson W.H. Roland Pease's Candy Thor 32 Electric Washing Machine The Kaiser's Story of the War Ike Livingston & Sons Gossard Corsets Cat'n Fiddle 'Stolen Moments' Case Model X The Johnson Transfer & Fuel Co. The Pantagraph want ads Franklin Motor Car Co. 'A Connecticut Yankee in King Arthur's Court' Calumet Baking Powder Mayer Livingston & Co. Newsmarket 'The Emperor Jones' 'California Fig Syrup' PEORIA A Chillicothe man was sentenced Tuesday to 10 years in prison for arson at the Planned Parenthood Peoria Health Center. Tyler W. Massengill, 33, pleaded guilty in February to malicious use of fire and an explosive to damage, and attempt to damage, the health center, where he was accused of using an incendiary device to start a fire on Jan. 15. According to a statement from the U.S. Attorney's Office in Peoria, Massengill "believed his ex-partner had received an abortion there, and he was angry about it. "Massengill believed that, if his actions caused a little delay in a person receiving services at the Peoria Health Center, his conduct may have been worth it." Massengill set fire to the building days after a bill was signed into law in Illinois that bolstered legal protections for abortion procedures and was intended to expand access to reproductive healthcare. The bill was largely in response to the U.S. Supreme Court overturning Roe v. Wade last June. In a statement issued Tuesday, Jennifer Welch, president and CEO of Planned Parenthood of Illinois, said: Today, justice has been served and a powerful message has been sent that acts of violence against Planned Parenthood of Illinois will not be tolerated. When someone attacks one of our health centers they do more than damage a building. They rob the community of essential sexual and reproductive health care like birth control, STI testing and treatment, cancer screenings and gender-affirming care. We stand in solidarity with the community in Peoria that continues to heal from this traumatic event. Several state and federal agencies contributed to the investigation into Massengill, including the U.S. Marshals, the Peoria Police Department and the FBI. "Massengills actions represent the very real threat posed by extremists in our communities," said David Nanz, special agent in charge for the Springfield FBI office. According to the Associated Press, Massengill told the court Tuesday: I feel for the people who have lost their jobs. Im not trying to play like I am victim at this. I was sincerely hurt," apparently a reference to his belief that a former girlfriend had an abortion a few years ago. U.S. District Judge James Shadid said Massengill's actions forced patients at the clinic to seek help elsewhere. "And to add to your accomplishments, theres the striking of fear, stress and inconvenience to thousands of patients and employees from the many other Planned Parenthood facilities who wonder if they are next on the list of misguided people like you," he said. Massengill was sentenced to 10 years in prison to be followed by three years of mandatory supervised release. He was ordered to pay $1.45 million in damages. The Peoria clinic plans to reopen in early 2024. The Associated Press contributed to this report. Photos: Planned Parenthood support Rally 021217-blm-loc-1planned 021217-blm-loc-2planned 021217-blm-loc-3planned 021217-blm-loc-4planned 021217-blm-loc-5planned 021217-blm-loc-6planned 021217-blm-loc-7planned 021217-blm-loc-8planned 021217-blm-loc-9planned 021217-blm-loc-10planned SPRINGFIELD Illinois Attorney General Kwame Raoul and a coalition of 19 other attorneys general are joining efforts to challenge Kentucky and Tennessee laws limiting treatments available to transgender minors. An amicus brief by the coalition contends the laws would endanger transgender youths by limiting "access to critical and lifesaving health care." Both states are facing court challenges over legislation that bans puberty-blocking or hormone therapy for minors. They are among almost two dozen states that have passed laws to restrict or ban gender-affirming medical care for transgender minors. The coalition maintains the bills are discriminatory and violate the Equal Protection Clause of the U.S. Constitution by singling out medical care for transgender youths. "Restricting gender-affirming care jeopardizes the physical and mental health of transgender youth," Raoul said. "Transgender youth deserve access to gender-affirming care, and I am committed to ensuring they are not denied those rights." Other attorneys general joining the challenge are those from California, Colorado, Connecticut, Delaware, the District of Columbia, Hawaii, Maine, Maryland, Massachusetts, Michigan, Minnesota, Nevada, New Jersey, New York, Oregon, Pennsylvania, Rhode Island, Vermont and Washington. Photos: Pritzker sworn in for second term Is this the kind of Congress you want to represent you? At an Oversight Congressional Committee meeting for the House of Representatives, a member of Congress held up pornographic pictures. Remember this meeting is a public congressional meeting and also a meeting held on CSPAN, which is the network that carries congress live. Everyone who was watching saw these pornographic pictures displayed by a member of the committee. Ask yourself this question: Is this the kind of congress you want representing you? We reap what we sow. This is a Biblical concept. The Bible speaks many times about sowing. Jesus' famous parable was about sowing. This holds true not only as individuals but as a nation. When lies are believed over truth, wrong happens over right and immoral trumps moral, our nation will reap what we sow. The seed in the parable of the sower is the word of God. When a nation shakes its fists at God and listens to its own feelings and emotions, it will reap what it sows. This is only the second time in American history of our elections that there was no peaceful transfer of power. In the election of 1860, Abraham Lincoln won and the southern states seceded from the union. In the election of 2020 where candidate Joe Biden won the election and former President Donald J. Trump refused to concede his loss. There was no peaceful transfer of power. I realize over 74 million voters are disappointed and frustrated that their candidate lost. This is a natural response. But all the elections except the election 1860 America came together and moved on. There is now a division in our country because there was no peaceful transfer of power in 2020. Earl Rients, Pontiac Managing Editor of the Insight newspaper, Kwesi Pratt Jnr. has shared his thoughts on the Bank of Ghana's GH60 billion loss. The Minoirty in Parliament has accused the Governors of mismanaging the bank and also blamed government for rendering the bank insolvent. "The Bank of Ghana recorded a staggering loss of GHS60.8 billion, which is equivalent to $6 billion. This is twice the amount we are to receive from our recent IMF bailout, the Minority quoted during their "Moment of Truth" engagement with the media. They added, the bank has also recorded a negative equity of over GHS55.1 billion. What this simply means is that the Bank of Ghana is insolvent" and blamed the incumbent government stating "the once prestigious Bank of Ghana, the mother of all banks in Ghana, has been bankrupted and collapsed by this NPP economic management team led by Dr Mahamudu Bawumia with the complicity of the Governor of the Central Bank. "We in the National Democratic Congress (NDC) saw the red flags and have consistently warned of the mismanagement of the financial sector by the Governor of the Central Bank and his team of incompetent deputies," the Minority warned. Reacting to the issue during Peace FM's "Kokrokoo" programme, Kwesi Pratt, in a brief comment, said; "Any person who will look at how the nation is going and the state of our finances and will applaud the Bank of Ghana, it's up to them." Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Chief Executive Officer of the InterCity State Transport Corporation (STC), Nana Akomea has called on the Finance Committee of Parliament to probe the management of the Bank of Ghana on the bank's GH60 billion loss. "The Bank of Ghana recorded a staggering loss of GHS60.8 billion, which is equivalent to $6 billion. This is twice the amount we are to receive from our recent IMF bailout, Minority Leader, Ato Forson, said during their "Moment of Truth" engagement with the media. The Minority added; The bank has also recorded a negative equity of over GHS55.1 billion. What this simply means is that the Bank of Ghana is insolvent" and blamed the incumbent government stating "the once prestigious Bank of Ghana, the mother of all banks in Ghana, has been bankrupted and collapsed by this NPP economic management team led by Dr Mahamudu Bawumia with the complicity of the Governor of the Central Bank. They have issued a 21-day ultimatum to the Governor of the Bank of Ghana, Dr. Ernest Addison and his deputies to resign and threatened to picket the bank if the Governors fail to comply with the order. "We in the National Democratic Congress (NDC) saw the red flags and have consistently warned of the mismanagement of the financial sector by the Governor of the Central Bank and his team of incompetent deputies," the Minority warned. Speaking on Peace FM's "Kokrokoo" show, Nana Akomea requested investigations into the matter stressing "for transparency and accountability, Finance Committee of Parliament should summon the management of the Bank of Ghana to give accounts to the Finance Committee". He added; "It is good for the Bank of Ghana itself and for our democracy . . . It is even good for the government that a clear investigation is done or a clear justification is offered if it can be justified. If it is not justified, it is good for transparency and accountability." Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Cousin of the president, Gabby Asare Otchere-Darko has finally reacted to his involvement in the controversial Agyapa Deal which the government abandoned in 2020 on the back of political opposition and outcry by Civil Society Organizations (CSOs). In a tweet dated August 15, 2023; Gabby called out CSOs who took the deal to a regional court in Abuja which dismissed it, and yet they have been quiet over the ruling since last year. He insisted that the deal was going to be in the interest of Ghana ultimately and yet it was scuttled for political reasons. "I wonder why Transparency International, Ghana Integrity Initiative and the CSOs who went to an international court over Agyapa have been quiet since July after their case was dismissed on all fronts. "But, I thank them for that move. It is good for our democracy. And, I will urge you all to read the decision of the ECOWAS court. Agyapa, a very legitimate, even if controversial, move by govt to expand the use of its gold revenues, had no corruption about it. Zero!" he claimed. The Agyapa Royalties deal In 2020, the government of Ghana proposed a deal which was meant to raise money by floating shares in a company called Agyapa Royalties Limited on the London Stock Exchange. This deal was met with wide criticism from civil society groups and the opposition, who claimed that it was a secretive and corrupt deal that would allow politicians to enrich themselves at the expense of the country. Later that year, it was confirmed by veteran journalist Kweku Baako that Gabbys firm had been transaction advisors to the government in the failed deal. He clarified that a UK-based law firm was the principal advisors on the deal and Africa Legal Associates worked for the firm. It is not true that Gabbys firm got US$2 million from the deal. It is not true that his firm is a beneficiary of US$2 million. Its not even up to US$105,000. It is the main transaction advisor that paid Gabby. It is about US$103,000. It is not US$2 million. One major issue that has emanated from the brouhaha surrounding the deal is the role of Osafo-Maafos son and Gabby Otchere-Darko. Read Gabby's post on the Agyapa Deal I wonder why Transparency International, Ghana Integrity Initiative and the CSOs who went to an international court over Agyapa have been quiet since July after their case was dismissed on all fronts. But, I thank them for that move. It is good for our democracy. And, I will urge you all to read the decision of the ECOWAS court. Agyapa, a very legitimate, even if controversial, move by govt to expand the use of its gold revenues, had no corruption about it. Zero! You may not like it but to say, as they did, that a group of related persons were stealing Ghanas gold was really sad and low. We the lawyers who worked on it were excited about the innovativeness of the whole MIIF institution which Parliament created and the things it was set up to do, including Agyapa. We were never motivated by even our fees. How floating on the London Stock Exchange 49% of an entity 100% owned by Ghana could be described as stealing is indeed sad and baffling. I have not loved Ghana less since my cousin became president. What some of us try to do is to go out of our way to help. Assist when we can. Occasionally it may get one into controversy and those who want to spin and blame one when they rather screw up may easier be believed than one. But that is the price Soon, Jan 7, 2025, Nanas term will be over, and another Gabby may emerge. I cant wait, frankly! I can only wish him or her (the next Gabby) the best of luck! Whoever that may be, please just let your integrity guide you. Stay firm and do what you see to be right for God and country. Dont let the propaganda get you down. Source: ghanaweb.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Managing Editor of the Insight newspaper, Kwesi Pratt Jnr has jabbed the President of Nigeria, Bola Tinubu over Military plans in Niger. After the coup in Niger, West African leaders directed the deployment of a 'standby force' to restore democracy. However, there are concerns that military operations in Niger may escalate into a broader conflict. Russia has warned that military intervention in Niger would lead to a "protracted confrontation". Kwesi Pratt adding his voice to the debate, questioned why the Nigeria President who is the head of ECOWAS wants to send forces to Niger to fight when he can't defeat Boko Haram in his country. "If you know how to fight, try defeating Boko Haram. You can't even fight Boko Haram, you want to go to war in Niger and fight," he said on Peace FM's morning show 'Kokrokoo'. Listen to him in the video below Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The chief of Mamponteng, Nana Asaasi Ayeboafo has reiterated Otumfuo Osei Tutu II, the Asantehene's position that Asanteman and Sikadwa (Golden Stool) are in full support of Mr. Alan Kwadwo Kyerematen's candidature for the NPP flagbearership. He said Alan Kyerematen is our (Asanteman's) own and since Otumfuo has declared the support of Sikadwa, there is no way we would not support him. Nana Ayeboafo who received the former Trade Minister and his campaign team at his Palace as part of his bid to meet with delegates in the Kwabre East constituency, stressed and that since the whole Asanteman and the Golden Stool supports he, Kyerematen, he would standby by the Otumfuo to rally support for him. The Mampontenghene, advised the flagbearer hopeful to tell delegates and Ghanaians what he will do to restore the ailing economy to its glory days with opportunities for the youth to utilise their potential. The chief also advised delegates to elect a visionary leader with the track record of growing the economy and not a visionless one who will bribe their way to power. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video As a basic term of reference for his job as a Member of Parliament, they may not include investigating big cases of financial misappropriations, or those believed to be linked to corruption; at least not unilaterally. But Samuel Okudzeto Ablakwa, the MP for North Tongu, has earned for himself a national admiration for being someone who has established as an efficient investigating skill, keeping the government of the day on their toes. For the greater part of 2022 and 2023, the National Democratic Congress (NDC) MP has been on the heels of the government, including President Nana Addo Dankwa Akufo-Addo, ensuring that they are as accountable to the citizenry as possible. Luxurious private jet scandals of Akufo-Addo: Known as the Akufo-Addo luxurious private jet scandals, Samuel Okudzeto Ablakwa started publishing details of what were the private jet travels of President Nana Akufo-Addo to other parts of the world. The serial posts by the MP usually detailed the supposed costs of each of the travels of the president, on those hired private jets, including the names of the jets and for how long he travelled on them. For instance, in a Monday, April 4, 2022, report put together by GhanaWeb, the North Tongu MP alleged that the total cost of President Nana Addo Dankwa Akufo-Addo's international trips with the use of a private jet cost the Ghanaian taxpayer GH28.5million. "It is imperative to note that so far, the Ghanaian taxpayer must cough up an astronomical US$465,000 in luxury rental charges. At [the] current exchange, that is a scary GH3,505,515.49. "This will mean that since May last year, the Ghanaian taxpayer has spent at least GH28.5million on President Akufo-Addos ostentatious travels," he said. In another report on Friday, June 24, 2022, the MP again shared details on how the president had used a private jet for two trips, to Belgium and Rwanda, costing the Ghanaian taxpayer 480,000, equivalent to GH4.1 million Ghana cedis. He had also earlier posted on his social media handle that the president had switched from his preferred Luxemburg-based flier to a German-based operator. "Probably thinking he will escape our unimpeachable surveillance if he switched companies, President Akufo-Addo on this occassion decided to abandon his favourite LX-DIO operated by Global Jet Luxembourg and opted for German-based private jet operator K5-Aviation. "As usual, President Akufo-Addo ordered their top-of-the-range and most expensive aircraft in their collection the Airbus ACJ319. It is registered D-Alex," his post read in part. In terms of the cost of those trips to Belgium and Rwanda, Samuel Okudzeto Ablakwa said that the taxpayer was paying 20,000 per hour for the service. The total flight time of some 21 hours, he added, came up to 480,000. "This luxury monster is costing the Ghanaian taxpayer 20,000 an hour. "For President Akufo-Addos current trip to Belgium and Rwanda beginning Sunday the 19th of June, 2022 to attend the 15th Edition of the European Development Days Forum in Brussels, Belgium, and the 26th Meeting of the Heads of Government of the Commonwealth of Nations in Kigali, Rwanda; we can confirm a conservative bill of 480,000.00. "The 480,000 Euros which is derived from 21 hours of total flight time plus other industry charges works out to some 4.1million Ghana Cedis at the current exchange rate," his post stressed. Overwhelming outrage of Ghanaians forces Akufo-Addo to fly commercial - Okudzeto Ablakwa A report by GhanaWeb on Friday, May 6, 2022, showed that President Nana Addo Dankwa Akufo-Addo had decided to use a commercial flight for one of his travels, following mounting public pressure on him. In a post on his social media handles, the National Democratic Congress (NDC) MP expressed his happiness over the fact that President Akufo-Addo decided to go with a commercial flight for his trip to the United States of America. Giving credit to what he described as the overwhelming outrage of the Ghanaian people, he said the taxpayer has been spared millions ($442,000) in expenses from the trip. I can confirm that President Akufo-Addo appears to have listened to good counsel from an overwhelming number of outraged Ghanaians and so flew commercial aboard United Airlines on his current trip to the United States. At generous conservative estimates, the Ghanaian taxpayer has been spared some US$442,000.00 which translates to savings of 3.3million Ghana Cedis, he wrote. Samuel Okudzeto Ablakwa also indicated his joy at the revelation that on this trip, the president did not go via a commercial plane and then joined another private jet to his final destination like he allegedly did in previous instances. Further tracking and our usually unimpeachable surveillance also reveals that he hasnt done a meet me there on this particular travel as he has completely avoided his favourite ultra-luxury toys which he seems addicted to in recent years. Considering the Presidents profligate and obstinate track record, we should probably consider his latest conduct as a Damascus experience, especially as he was on his way to speak at the Museum of the Bible, where he declared himself a Christian in politics, he added. The GH187 million Kitchen Scandal: The North Tongu Member of Parliament, Samuel Okudzeto Ablakwa, has also saved Ghana the payment of GH187 million in judgement debts through his publication on a transaction between the government and a private company, Blue Skye, which he describes as the 'kitchen scandal'. In a social media publication, Ablakwa alleged that Gabby Asare Otchere-Darko, cousin of President Nana Addo Dankwa Akufo-Addo, was seeking to enforce payment of GH187.3 million judgment debt to his client, West Blue, even though the current government has varied the contract. He said that the Nana Addo Dankwa Akufo-Addo government did a value-for-money audit on the contract in question and conclude that West Blue rather owes the government of Ghana and not the other way round. "The Kitchen Scandal is a tale of betrayal, bravado, double standards, influence peddling, dishonesty, greed, collusion, arm-twisting, naked nepotism and blatant rape of the public purse. "The Kitchen Scandal will afford us a rare insight into how President Akufo-Addos 'Kitchen Cabinet' operates and how destructive they have been to national progress," the MPs introductory paragraphs in the expose read. Ablakwa also alleged that the Attorney General, Godfred Dame, has, so far, issued three legal opinions in favour of Gabby Asare Otchere-Darko. The advice is in support of claims being made by Gabby's law firm, Africa Legal Associates (ALA) as it seeks to exact an amount of GH187m from the government for West Blue, a company previously contracted by the John Dramani Mahama government for port services. He cited how Gabby who had in 2015 sternly opposed the West Blue deal, had years on become lawyer for the company and was seeking damages for the company in respect of a contract that the current government varied after coming into office. He accused Dame of issuing these opinions irregularly stating that the December 16, 2022, advice, in particular, was without recourse to "inputs from the Ministry of Finance and the Ghana Revenue Authority as both institutions had not submitted their written arguments." The MP in an interview on GHOne TV on Monday, August 5, 2023, disclosed that the process to pay the over GH187 million judgment death has halted due to his publication. the GH187.3 million that I have just protected by exposing the 'Kitchen scandal. I mean when I check with my sources in the system, government has now backed off the GRA is no more been given pressure to pay the money. And the GRA folks are so grateful for this expose that is GH187.3 million that can now be used for example, to pay road contractors who are owed, school feeding suppliers who owed, all these abandoned projects all over the place. Ghanas leading digital news platform, GhanaWeb, in conjunction with the Korle-Bu Teaching Hospital, is embarking on an aggressive campaign which is geared towards ensuring that parliament passes comprehensive legislation to guide organ harvesting, organ donation, and organ transplantation in the country. Source: ghanaweb.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The National Youth Organizer of the National Democratic Congress (NDC), George Opare Addo, has urged the government to immediately abolish the recently imposed 10% withholding tax on all gross gaming winnings. In a press statement issued, the NDC Youth Wing has threatened a series of protests if the government does not repeal the tax. Read full statement below ABOLISH THE TEN (10%) BET TAX NOW! In the face of the unjust TEN (10%) taxation on bet Wins, we, the young people of Ghana and the NDC Youth, declare a resolute series of actions to resist this burdensome and insensitive policy. Our unity is unwavering, and our determination to protect the funds young people secure through betting is unyielding. Through agitations, protests, advocacy, and civic engagements, we will ensure our voices are heard and demand that this CORRUPT Akufo-Addo/Bawumia Government rescind its decision. Young people shall not be burdened to compensate for the following; The monies stolen and stashed by appointees of this NPP government and saved in their homes and bank accounts. The massive corruption happening at the bank of Ghana under the watch of Dr.Ernest Addison. The failed vision of President Akufo-Addo's government. The Lies of Vice-President, Dr. Mahamudu Bawumia, seen now to be a public ridicule amongst young people. The arrogance of appointees of the government who have taken the pleasure of stealing public funds, talking down on citizens, and robbing young people of the meager sums they secure through betting in an economy that has impoverished them. We INTEND to do the following; Picket at the Ministry of Finance and occupy government offices across the country. Start and scale up a nationwide conventional and unconventional lawful agitations as a measure of the resistance. Instigate young people to confront officials of this government and demand "AN END to the CORRUPTION and Insensitivity of the Akufo-Addo-Bawumia-failed brigade." Occupy Parliament and other government agencies. We are asking you as young people to mobilize and join in this struggle. Further Instructions shall be communicated to all our NDC constituency youth wing departments across the country, and the public is encouraged to contact our officers across the country to synergize the delivery of our executed resistance and protest against this failed Government! George Opare Addo National Youth Organizer Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Member of Parliament (MP) for North Tongu, Samuel Okudzeto Ablakwa, has taken a swipe at President Nana Addo Dankwa Akufo-Addo over his suggestion to rename the University of Ghana after one of the Big Six, J. B. Danquah. Speaking in an interview on GHOne TV on Monday, August 16, 2023, the MP said that it is unfortunate that the president is content with unnecessarily renaming facilities built by other presidents. He added that President Akufo-Addo would go down in history as the only president who added nothing to Ghanas university infrastructure, which he should be worried about. we have had enough of President Akufo-Addo just renaming. He should try and build something. Look, in this 4th Republic, he's going down in history as the only president who cannot lay claim to the public university that he has built. Isn't he worried about that? President Rawlings won a hunger prize, and dedicated all of it to the establishment of UDS. President Kufour elevated the Tarkwa campus to a full-fleshed university... Professor Mills said that look, it is not right that we have some regions in this country without public universities. Let's give every region that doesn't have a public university, one. And the University of Energy and Natural Resources was born; the University of Health and Allied Sciences was born. President Mahama, University of Environmental and Sustainable Development, I was with him when he secured the funding and cut the sword for what is now called the Somanya University. So, President Akufo-Addo where is yours? You are not ashamed that all you do is go about renaming other people's universities, the MP said. Background: President Nana Addo Dankwa Akufo-Addo suggested that the University of Ghana might one day be named after one of the Big Six Joseph Boakye Danquah (JB Danquah). In his address at an event to mark the 75th Anniversary of the University of Ghana, President Akufo-Addo highlighted how JB Danquah's commitment to ensuring Ghana had its own university led to the founding of the University of Ghana. He noted that his visionary work extended to challenging the British recommendation for a single university in West Africa, which was intended for Nigeria. Instead, he rallied Ghanaians to support the establishment of their own university. President Akufo-Addo further remarked that the legacy of Joseph Boakye Danquah might even warrant consideration for naming the University of Ghana after him, given the historical significance of his contributions. He mentioned, "Indeed, in many other jurisdictions where there is less heat in their politics and more attachment to the fact of historical record, it would not have been out of place to have this university named after him. Who knows, one day it may well happen." Source: ghanaweb.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Mechanisms of ADP-ribosylation and proposed RNAylation. a, The mechanism of ADP-ribosylation for Arg. Initially, the N-glycosidic bond between the ribose and nicotinamide is destabilized by a Glu residue of an ART. This leads to the formation of an oxocarbenium ion of ADPr, with nicotinamide as the leaving group. This electrophilic ion is attacked by a nucleophilic Arg residue of the acceptor protein after Glu-mediated proton abstraction, leading to the formation of an N-glycosidic bond50. b, Our proposed RNAylation-reaction mechanism. In a similar way to ADP-ribosylation in the presence of NAD, we propose that ARTs might use NADRNA to catalyze an RNAylation reaction, thereby covalently attaching an RNA to an acceptor protein. Red, nicotinamide riboside of NAD and NAD-RNA; blue, catalytic residues of the ART; purple, nucleophilic Arg residue of the acceptor protein. Credit: Nature (2023). DOI: 10.1038/s41586-023-06429-2 Until now, RNA and proteins were thought to interact only briefly during cellular processes. Researchers at the Max Planck Institute for Terrestrial Microbiology in Marburg, Germany, have discovered that this is not the case. During their developmental cycle, bacterial viruses "glue" specific RNAs to host proteins. As the authors describe in their publication in the journal Nature, "RNAylation" could open up new avenues for phage therapy or drug development. "Life is a relationship between molecules," wrote the famous biologist Linus Pauling. Interactions between proteins and RNA (ribonucleic acids) affect translation, repair of genetic information, and transport of cellular building blocks. These interactions are transient contacts between RNA and RNA-binding proteins based on specific RNA structures or sequences. Now, a team of researchers at the Max Planck Institute in Marburg, Germany, has discovered that protein and RNA can also be tightly bound to each other in a so-called covalent bond. Bacteriophages as 'fast killers' In their study, published in the current issue of the journal Nature, the research group led by Dr. Katharina Hofer examined a system of bacteria and bacterial viruses (bacteriophages). The latter attack very specific bacteria, such as the T4 phage that infects the bacterium E. coli. T4 is a "fast killer": the bacterial cell is destroyed 20 to 30 minutes after infection begins. This is faster than an antibiotic works. With antibiotic resistance on the rise, phage therapy is being explored as a potential alternative for treating bacterial infections. To infect the bacterium, bacteriophage T4 has evolved fascinating strategies. After invasion, it uses three different ADP-ribosyltransferases (ARTs) as biocatalysts. By attaching a part of the coenzyme nicotinamide adenine dinucleotide (NAD) to proteins, these ARTs modify more than 30 host proteins. This enables the phage to reprogram and kill the bacterium. NAD-RNA connects RNA- and phage research Katharina Hofer has been studying the function of RNA for some time. She is particularly interested in NAD-RNAs, which are RNAs that carry an NAD attachment. Eight years ago, she and her colleagues at the University of Heidelberg discovered that this type of RNA occurs in bacteria. Since then, NAD-capped RNAs have been found in many different forms and sizes and in different groups of organisms, but their biological significance remained unclear. Katharina Hofer wondered whether an ADP-ribosyltransferase such as that used by the T4 phage could attach not only NAD but also NAD-RNA to proteins. To answer this question, the researchers had to develop many methods themselves. But then it became clear: The ART ModB of the T4 phage accepts not only NAD, but also NAD-RNA as a substrateboth in the test tube and in vivo, in the living system. The researchers called this novel reactionthe binding of a whole RNA to a proteinRNAylation. It is a completely new concept of natural RNA-protein interaction. RNAylation may be a mechanism for control of cellular resources But why does the T4 phage use RNAylation? Apparently, this process is essential for efficient phage infection, because mutants of the T4 phage that lack ModB kill bacteria much more slowly. The research group was able to show that in living cells, ModB specifically binds different RNAs to bacterial proteins involved in translation. Maik Wolfram-Schauerte, first author of the study, suggests, "RNAylation may be part of the phage's strategy. The attachment of bacterial RNA to ribosomes may stop the translation of bacterial proteins, which enables the phage to regulate the biosynthesis of its own proteins." RNAylation as a potential new tool for synthetic biology To investigate the molecular mechanisms of RNAylation, Katharina Hofer started a collaboration with researchers at the University of Heidelberg and the Max Planck Institute for Multidisciplinary Sciences in Gottingen. Katharina Hofer explains, "Our results not only extend the previous picture of the developmental cycle of phages. They point to a completely new biological role for NAD-modified RNA, namely the activation of RNA for enzymatic transfer to a protein. This also opens up new avenues of research." For example, RNAylation could become a tool for synthetic biology in the future. As a "molecular glue," it could be used to form specific RNA-protein conjugates to exploit the properties of proteins and nucleic acids in combination. But, there are still many unanswered questions. "Some ARTs accept NAD-RNA, others do notthis raises the question of the exact mechanism," explains Katharina Hofer. "The difficulty is that the modification is quite large and complex. In the test tube, RNAylation is relatively easy to detect, but in vivo, the diversity of target proteins and RNAs makes it challenging to study. To elucidate the function of RNAylation, we need to develop new methods to study our specific questions in the living system." More information: Katharina Hofer, A viral ADP-ribosyltransferase attaches RNA chains to host proteins, Nature (2023). DOI: 10.1038/s41586-023-06429-2. www.nature.com/articles/s41586-023-06429-2 Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Enzymatic digestion of pullulan by vaginal bacterial glycogen-degrading enzymes and resolution of maltotriose isomers by thin layer chromatography (TLC). Credit: Nature Microbiology (2023). DOI: 10.1038/s41564-023-01447-2 Like the human gut, the female genital tract is its own complex microbial ecosystem, where billions of beneficial bacteria make their home. The way Harvard chemist Emily Balskus sees it, the vaginal microbiome is an underappreciated, understudied part of the body where critically important chemistry takes place. The Thomas Dudley Cabot Professor of Chemistry and a Howard Hughes Medical Institute Investigator, Balskus is fascinated by how microbial chemistry influences health outcomes and has particular expertise in the human gut microbiome. For new research published in Nature Microbiology, Balskus pivoted to a less-studied realmthe vaginal microbiometo provide insight into fundamental metabolic activities that shape a healthy vaginal environment. Specifically, Balskus and her team, working with Seth Rakoff-Nahoum of Boston Children's Hospital and Harvard Medical School, examined how vaginal bacteria access glycogen, which is thought to be their key source of nutrition. "This is biologically important chemistry that might explain why members of the vaginal microbiome community can survive and grow in their unique environment," Balskus said. It's long been known that a healthy vaginal microbiome is usually dominated by a single species of bacteria, often Lactobacillus crispatus, and that overgrowth of other, harmful bacteria can cause complications such as bacterial vaginosis. It's assumed that lactobacilli rely on glycogen released from vaginal epithelial cells as a carbohydrate source. But what hasn't been clear to scientists is how these bacteria access and break down the carbohydrates stored in glycogen. They cannot do so without the help of enzymes, which are proteins with catalytic activity that obliterate large glycogen molecules into pieces small enough for the bacteria to eat. Open questions about this all-important process include: What enzymes are involved and where do they come fromthe human host or the bacteria themselves? How do they behave? What substrates do they prefer? Glycogen metabolism is likely key to vaginal symbiosis, and the study of it could provide insights into factors that make bacterial strains in the genital tract happy and healthy. In their research, Balskus and colleagues studied six candidate glycogen-degrading enzymes encoded in vaginal bacterial genomes and present in the bacterial communities of female genital tracts. None had been investigated in a lab setting before. The analysis revealed that these enzymes can indeed break down glycogen, but also uncovered differences between enzymes from different types of vaginal bacteria. Some of the enzymes, including all the ones from lactobacillus, were active in an acidic environment, or a low pH, which is a parameter also associated with improved vaginal health; others were more susceptible to small-molecule inhibitors, which provided hints to how scientists might develop drugs that block their activity. The findings show that multiple types of vaginal bacteria produce glycogen-degrading enzymes and can metabolize glycogen as a result. With the medical field's growing interest in using probiotic strains as supplements to support beneficial bacterial, Balskus' work further suggests that glycogen metabolism, as indicated by the presence of these enzymes, may be an important consideration for selecting more targeted vaginal probiotic strains. It may also be that acidic conditions help lactobacilli out-compete other, harmful bacteria. Balskus said the study underscores how chemists are important contributors to fully understanding the human microbiome. While much of microbiome science comes from the power of DNA sequencing, just knowing what genes are associated with a certain microbiome can predict only so much about function and health. In this study, the researchers characterized not only which enzymes help vaginal bacteria break down glycogen, but also subtle differences among those enzymes. "Breaking down a big polysaccharide into smaller pieces is chemistry we understand very well," Balskus said. "But what I found really interesting about this project was the differences in activity across the different enzymes. The fact that some of them were very acid tolerant, and some of them were notthat's something we could never have predicted from genetic sequences of the enzymes alone." More information: Dominick J. Jenkins et al, Bacterial amylases enable glycogen degradation by the vaginal microbiome, Nature Microbiology (2023). DOI: 10.1038/s41564-023-01447-2 Journal information: Nature Microbiology Provided by Harvard Gazette This story is published courtesy of the Harvard Gazette, Harvard University's official newspaper. For additional university news, visit Harvard.edu. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain A wet year may have provided a mental reprieve, but Colorado continues to have more homes at risk from wildfires than any state besides California, according to the Wildfire Risk Report from CoreLogic. And within Colorado, metro Denver and Colorado Springs, not communities high up in the mountains, are where the largest property losses are most likely to occur. CoreLogic, which provides risk estimates to insurers, utilities and local governments, estimates that 332,716 homes in the state have a moderate, high or very high risk of damage from wildfires. Rebuilding those homes, if they were destroyed, would cost an estimated $140.9 billion. The only state with a higher wildfire exposure to its housing stock is California, with nearly 1.28 million homes at risk and an estimated replacement value of $760.8 billion. Texas has the third-highest wildfire risk ranking with 233,434 homes at risk and a reconstruction cost of $85.5 billion. Although rural mountain communities have long dealt with forest fires, nearby urban areas are increasingly where the most severe losses are occurring. The fire that consumed Lahaina last week was the deadliest in recent U.S. history, costing 101 lives as of Tuesday and destroying $3.2 billion in property. "There has been a lot of growth, especially in those Wildland Urban Interface areas. As we see the increase of population and development we will see that increase in total risk," said Jamie Knippen, senior product manager with CoreLogic. In 2014, CoreLogic estimated Colorado had closer to 200,000 homes in the path of potential wildfires. But the number has increased substantially, with nearly half of the homes now located in five more heavily-populated Front Range metropolitan areas. Metro Denver has 69,284 homes at risk with an estimated replacement value of $32.6 billion. Colorado Springs has 51,321 homes at risk of wildfire damage with an estimated replacement value of $22.1 billion. Fort Collins has 14,352 homes at risk worth an estimated replacement value of $4.6 billion. Boulder has 9,754 homes at risk with an estimated replacement cost of $4.2 billion. Pueblo has 3,242 homes worth $1 billion at risk. Metro Denver consists of six counties, while those other Colorado metro areas cover their entire counties. Homes on the western side of those counties are most at risk. But having forests and grasslands adjacent to densely populated areas can set the stage for "urban conflagrations." The Waldo Canyon fire, after starting higher up in the mountains, reached into the Mountain Shadow neighborhood in Colorado Springs in 2012, destroying 347 homes. On Dec. 30, 2021, the Marshall fire, whipped by winds exceeding 100 mph, raced through Boulder County, destroying 1,084 homes and damaging another 149. Two people died in each fire. Heavier moisture has meant fewer and less severe wildfires this year in Colorado and surrounding states, but wetter conditions are also creating more fuel to burn whenever drought conditions return, which climate scientists expect to happen due to higher levels of carbon dioxide in the atmosphere. If CoreLogic is correct in its estimates, about 13% of the state's housing stock is vulnerable to wildfire damage, based on an estimated 2.45 million housing units counted in the state during the 2020 Census. 2023 MediaNews Group, Inc. Distributed by Tribune Content Agency, LLC. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain Jean Galbraith, Professor of Law at the University of Pennsylvania Carey Law School, and co-authors have published "Poverty Penalties as Human Rights Problems" in the American Journal of International Law. Their research focuses on financial sanctions imposed by criminal justice systems around the world. It uplifts "poverty penalties"monetary sanctions and related consequences that disproportionately burden low-income peopleas an urgent yet understudied international human rights issue. Over the last decade, financial sanctions like fines and fees have received increased attention in the United States. The authors study these issues as a global phenomenon that disproportionately affects poor individuals and people in vulnerable groups. These pervasive practices often have devastating consequences for those living in poverty. "In many countries, if you can't afford to pay your fine, then the consequence is that you go to jail," Galbraith said. "This is an incredibly harsh ruleand unless a fine is perfectly scaled to a person's finances, it means that low-income people are penalized far more in practice than are high-income people. But somehow this issue has flown under the radar of international human rights law." The article demonstrates the global prevalence of poverty penalties, as well as variation in both their imposition and downstream consequences of nonpayment. As the authors show, countries vary in their ability to effectively scale financial penalties to financial circumstances. Countries also vary in how they respond to failure to pay fines, with some turning immediately to imprisonment and others using mechanisms like late fees, surcharges, forfeiture of property, or the loss of other rights or privileges. The trend, however, is one in which countries deploy poverty penalties with cascading consequences that place disparate financial burdens on lower-income people. The authors show how poverty penalties also frequently cause disproportionate harm to populations that are already vulnerable for reasons of race, religion, gender, and disability. "This paper highlights an important topic seldom explored from an international law perspective," co-author Latifa AlMarri said. "I aspire for our work to inspire further research and exploration." A gap in international human rights law Despite the prevalence of poverty penalties, the past century of international human rights law discourse has largely ignored the issue. The Universal Declaration of Human Rights (UDHR) has no specific references to excessive fines or the intersection of financial penalties and poverty. This omission, the authors argue, reduced the likelihood that future national constitutions would also include such clauses, foreshadowed the absence of poverty penalties in future UN human rights instruments and agencies, and created a UN structure that contributes to the invisibility of poverty penalties and their impact. "The disproportionately severe impact of criminal fines and fees on people without means raises human rights concerns," noted co-author Rheem Brooks. "Our research revealed that these harmful fining practices exist in many countries around the world, and this article presents an opportunity to not only uncover how poverty penalties can belie notions of justice but also how we can begin to redress this harm." As the authors show, poverty penalties have received a modest increase in international attention in the last decade. The authors advocate for a more expansive approach as a matter of international human rights law, with important implications for global poverty reduction and criminal justice reform efforts. To achieve this, the scholars articulate several human rights concerns that flow from poverty penalties, suggest practical efforts for international actors to raise the issue in various settings, and define potential best practices. The article also makes an urgent call for more data collection about on-the-ground practices and caution against pitfalls that advocates should consider when pursuing substantive reforms. Collaborations supporting academic excellence An example of the impact of scholarly collaborations between faculty and students, this research is a product of the "Global Legal Change" seminar taught by Galbraith in the 20222023 academic year. "The students leapt in and took ownership of this project," said Galbraith. "They began with a deep dive into practices in countries around the world. Their preliminary research revealed that poverty penalties are widespreadand that while this issue has received attention in many domestic jurisdictions, it has gotten surprisingly little attention as a topic of international concern. They decided that we should turn this into a law review articleand so we did." The authors chose to pursue publication to maximize the potential impact of their research, which includes making practical recommendations for international actors to address poverty penalties in a variety of human rights settings. The students split into three pairs, each responsible for drafting different segments of the paper, and engaged in a highly collaborative research and drafting process. The authors note that the groundbreaking research "only begins to scratch the surface of understanding this problem, its full impact, and how countries or international bodies may start addressing it." The researchers hope their work "sparks further research on the issue through both anti-poverty and global human rights lenses." More information: Jean Galbraith et al, Poverty Penalties as Human Rights Problems, American Journal of International Law (2023). DOI: 10.1017/ajil.2023.25 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Hala Sultan Tekke mosque on the salt lake in the coastal Cypriot city of Larnaca. A Swedish-led excavation on Cyprus has unearthed a wealth of artifacts suggesting an ancient port city in Larnaca on the south coast was the region's key Bronze Age trading post, an official said Wednesday. "The city's wealth seems to have been based on the production of copper and trade with near and distant cultures," said Giorgos Georgiou, acting director of Cyprus' Antiquities Department. "Judging by the rich burial gifts, the tombs belonged to families of the city's ruling class, which must have been involved in the export of copper and intercultural trade," he added in a written response to AFP. He said the excavation site at Dromolaxia-Vyzakia was a Late Bronze Age harbor settlement, estimated by some authorities to be at least 25 hectares (60 acres) in size. The settlement, which flourished from 1600 to 1100 BC, lies along the shores of the Larnaca salt lake at Hala Sultan Tekke. Last month, the University of Gothenburg said it recently discovered tombs outside the Bronze Age trading metropolis. It said they rank among the richest ever found in the Mediterranean region, and the precious tomb artifacts indicate their occupants ruled the city, which was a center for the copper trade in 15001300 BC. "Considering the richness of the grave goods, it is a reasonable assumption that these were royal tombs,"said Peter Fischer, an archaeology professor and leader of the expedition. The tombs consist of underground chambers accessed via a narrow passage from the surface. The chambers vary in size, measuring up to 4 x 5 meters (yards). The Swedish expedition, excavating around Hala Sultan Tekke since 2010, has previously found chamber tombs. "We found more than 500 complete artifacts distributed among two tombs," it said. A handout picture shows an archaeological expedition team from the University of Gothenburg working at a site in Cyprus, on the shore of the Larnaca salt lake at Hala Sultan Tekke. "Many of the artifacts consist of precious metals, gems, ivory and high-quality ceramics," said Fischer on the university's website. He said about half of the artifacts were imported from neighboring cultures. Gold and ivory came from Egypt. Precious stones, such as blue lapis lazuli, dark red carnelian and blue-green turquoise, were imported from Afghanistan, India, and the Sinai, respectively. The tombs also contain amber objects from the Baltic region. 'From cultures east and north' The tombs were discovered using magnetometers, an instrument that can detect objects and structures up to two meters beneath the surface. Georgiou said three chamber tombs, preliminarily dated to the 14th century BC, were exposed during the most recent excavation season. "One had been looted, most likely in the 19th century AD. "Two nearby tombs were found undisturbed." The tombs contained locally produced pottery and ornaments, "as well as numerous items of jewelry and other imported objects from the Aegean, Anatolia, Egypt, the Levant and cultures further east and north". He said other metal objects included jewelry of precious metals, diadems, daggers, knives, spearheads, and a mirror of bronze. 2023 AFP This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Antiquity (2023). DOI: 10.15184/aqy.2023.95 Archaeologists have used high-resolution LiDAR equipment mounted on a drone to investigate a section of the battlefield of the Battle of the Bulge (December 1944 to January 1945), revealing almost 1,000 previously unknown features. The battle was Germany's final major offensive on the Western Front, and one of the largest and bloodiest of the Second World War. However, dense forest cover in the area meant that most traces of the battle in the landscape remained hidden. Aerial photographs cannot see through the tree canopy, and the battlefield is too large to survey entirely on-foot. "Although this is a 'high-profile' battlefield, studied intensively by military historians and the subject of significant attention in museums and the popular media, little has been published on its material remains," says lead author of the research, Dr. Birger Stichelbaut from Ghent University. To tackle this gap in our knowledge, Dr. Stichelbaut and a team of researchers from Ghent University, the University of Toronto and Argus Vision carried out a drone-mounted LiDAR survey of the battlefield. Their results are published in the journal Antiquity. LiDAR uses laser imaging to form maps of landscapes by "seeing through" forest cover. In this case, the team used SLAM-LiDAR to create high-resolution maps of the study area and then extrapolated them over the wider battlefield to better understand features visible on a low-resolution national LiDAR dataset. Credit: Antiquity (2023). DOI: 10.15184/aqy.2023.95 "This allowed for traces of the battle to be observed on a scale not known until now," says Dr. Stichelbaut. This is the first application of SLAM in conflict archaeology. The method uncovered a wide variety of features such as dugouts, bomb craters and even artillery emplacements. This demonstrates how SLAM can make large-scale landscape mapping of military heritage sites more possible than ever before. By visiting the newly identified features on the ground, the researchers were then able to tie them to specific events. For example, through the discovery of German objects at American artillery embankments, the team determined that German forces made use of abandoned American fortifications. Importantly, this provides an understanding of the extent and significance of the battle for the first time, revealing the three phases of the battle in the landscape itself. Credit: Antiquity (2023). DOI: 10.15184/aqy.2023.95 The technique can be applied to other wooded areas in Europe, so could have dramatic implications for our understanding of Second World War battlefields. It also opens new possibilities for the protection of valuable heritage sites. "Our case study makes clear that there is potential for enhancing public awareness of and access to some sites in the Ardennes," state the authors. "The recognition and designation of these traces of war as heritage sites could help guarantee their long-term protection from destructive practices, including the mechanized clearfelling of forest." More information: Birger Stichelbaut et al, LiDAR and conflict archaeology: the Battle of the Bulge (19441945), Antiquity (2023). DOI: 10.15184/aqy.2023.95 Journal information: Antiquity Provided by Antiquity This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: The global distribution of grammatical complexity (fusion). Closely related languages resemble each other's scores. Credit: Science Advances (2023). DOI: 10.1126/sciadv.adf7704 Languages around the world differ greatly in how many grammatical distinctions they make. This variation is observable even between closely related languages. The speakers of Swedish, Danish, and Norwegian, for example, use the same word hunden, meaning "the dog," to communicate that the dog is in the house or that someone found the dog or gave food to the dog. In Icelandic, on the other hand, three different word forms would be used in these situations, corresponding to the nominative, accusative, and dative case respectively: hundurinn, hundinn, and hundinum. This grammatical distinction in the case system, along with many others, sets Icelandic apart from its closely related sister languages. "One prominent hypothesis about why some languages show more complex grammar than others links grammatical complexity to the social environments in which these languages are used," says first author Olena Shcherbakova from the Max Planck Institute for Evolutionary Anthropology. For example, Icelandic is primarily learned and used by the local population of over 350,000 people. Such relatively small isolated communities are also called "societies of intimates." In contrast, the other Scandinavian countries, located in close proximity to their neighbors, have larger populations with substantial proportions of non-native speakers. Such communities are known as "societies of strangers." Many linguists have claimed that languages with more non-native speakers tend to simplify their grammars, as unlike children, adult learners struggle to acquire complex grammatical rules to master the intricacies of their new language. But is this Icelandic example representative of the striking linguistic diversity worldwide? Researchers at the Max Planck Institute of Evolutionary Anthropology wanted to find out if the grammars of languages tend to evolve simpler when spoken by larger societies of strangers with many non-native speakers. For their study published in Science Advances, they measured the grammatical complexity of 1,314 languages using data from Grambanka newly released global database of grammatical features. These complexity scores were compared to variables detailing the number of non-native speakers in these languages. Defining complexity Language complexity is a hotly debated topic in linguistics, with many different opposing views. "Many of the disagreements are down to differences in how 'complexity' is defined," says Hedvig Skirgard from the Max Planck Institute of Evolutionary Anthropology. "In this study, we improved the methodology by teasing out two distinct measures: fusion (how many affixes verbs and nouns have) and informativity (how many distinctions are made)." The results show that societies of strangers do not speak less complex languages. "Instead, our study reveals that the variation in grammatical complexity generally accumulates too slowly to adapt to the immediate environment," states Shcherbakova. The well-known counterexample to the claim about social environment shaping grammatical complexity is German. German is learned and spoken by a large number of non-native speakers, and yet, it has retained its case system and many other grammatical distinctions. The study tests the influence of social environment on grammatical complexity, while accounting for the expected similarities arising from both genealogical inheritance and contact. "Our study highlights the significance of using large-scale data and accounting for the influence of inheritance and contact when addressing long-standing questions about the evolution of languages. It shows how received linguistic wisdom can be rigorously tested with the global datasets that are increasingly becoming available," concludes Simon Greenhill from the University of Auckland. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: The genomic history of the indigenous people of the Canary Islands. Credit: Nature Communications (2023). DOI: 10.1038/s41467-023-40198-w A team of paleo-geneticists at Universidad de La Laguna on Santa Cruz de Tenerife, working with colleagues from other Canary Islands and European institutes, has found that studying the genes of people living in the Canary Islands from the 3rd to the 16th century sheds light on the history of people living in North Africa around the same time. In their study, reported in the journal Nature Communications, the group obtained bone samples from other research efforts and conducted genetic studies. As archaeologists, historians and other researchers attempt to piece together the details of human history, going back as far as possible, they sometimes encounter blank spaces in the record. That has been the case with many parts of North Africa over the centuries from approximately the 200s to the 1500s. This is due, the researchers note, to the hot and dry climate. The bones of those who lived there during that time have not been preserved well enough to extract DNA. To fill in some of the blanks, the team on this new effort looked instead at the bones of people living on the Canary Islands during that time. Prior research has shown that the people living in the Canary Islands during this period came from North Africa. The work involved sequencing samples collected from bones and teeth unearthed on the islands during past digs by other research teams. They focused their attention only on bones and teeth of people known to have lived on at least one of the Canary Islands during the 3rd to 16th centuries. The team found genetic ties between people living on the islands and those living in North Africa, mainly in what is now Morocco, dating back 5,000 years. They also found evidence of genetic influences from people living along the Mediterranean Sea, both in Africa and Europelikely due, the researchers note, to migration across the Sahara. The research team also found differences in the genes of people based on locationislands closer to Africa experienced more ancestral influence from European people, while those farther away were more African. More information: Javier G. Serrano et al, The genomic history of the indigenous people of the Canary Islands, Nature Communications (2023). DOI: 10.1038/s41467-023-40198-w Journal information: Nature Communications 2023 Science X Network This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain Over the past 30 years, efforts to recover gray wolf populations in the United States have been broadly successful, with many regions now sporting robust populations of the carnivore. Writing in BioScience, wolf experts David E. Ausband and L. David Mech describe the conservation landscape and also the obstacles that wolves face as their populations expand into their historical ranges. "Remarkable wolf conservation success yields remarkable challenges," say the authors, as 6,000 wolves now occupy habitat across 11 states. These growing populations now face significant threats as they attempt to colonize human-dominated areas, among them "fragmented habitats and barriers to dispersal, as well as increased encounters with humans, pets, and livestock." In response to those concerned about wolves' potential impacts to prey populations and domestic livestock production, many jurisdictions have ramped up wolf efforts. For instance, in Wisconsin, "the legislature requires a public hunting or trapping season whenever wolves are delisted from the US Fish and Wildlife Service's (USFWS) list of Endangered species." In contrast, wolves are seen as desirable in other areas, such as Colorado, where voters recently passed a ballot initiative to reintroduce them in the state. The authors caution that such pro-reintroduction initiatives, which may seem initially promising for wolves, could have the unintended consequence of setting precedent for laws barring reintroduction and thus complicate management. An uncertain regulatory regime, say Ausband and Mech, could cause major fluctuations in wolf populations, with dire consequences for conservation efforts. The answer to this quandary, the authors suggest, is thoughtful management that carefully considers the needs of diverse stakeholders. "Future wolf conservation in the United States will be affected by the ability of managers to predict colonization and dispersal dynamics, to reduce hybridization and disease transmission, to mitigate and deter wolflivestock conflicts, to harvest wolves sustainably while satisfying diverse stakeholders, to avert a reduction in tolerance for wolves due to a disinterest in nature, and to engage diverse stakeholders in wolf conservation to avoid management by ballot initiative or legislative and judicial decrees." Only through such science-informed management, argue Ausband and Mech, can the present success of wolf conservation be built on in the future. More information: David E Ausband et al, The challenges of success: Future wolf conservation and management in the United States, BioScience (2023). DOI: 10.1093/biosci/biad053. academic.oup.com/bioscience/ar .1093/biosci/biad053 Journal information: BioScience This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Neil Lynch started his Saturday morning like any other: He stopped his car at Belleair Beach, griped about the hourly parking fee and set off on a 5-mile walk. He usually brings his polarized sunglasses and walks with his neck craned toward the water, watching fish swim along the shallow shoreline. But this weekend, most of the fish he spotted weren't in the water. They were dead on the beach. Lynch said he counted about 200 filefish washed up during his walk. Ocean scientists say scorching Gulf of Mexico water temperatures are likely to blame. "They never stopped," Lynch said. "The whole walk I just kept seeing them." The Gulf of Mexico is experiencing record-hot average water temperatures this summer, bringing coral bleaching and fish kills to the Florida Keys. As the heat has crept to Tampa Bay, residents are starting to see and feel the effects. During July, average water surface temperatures in the Gulf of Mexico ran nearly 2 degrees Fahrenheit above normal. That's the highest on record, according to an analysis provided by Matthew Rosencrans, the lead hurricane season outlook forecaster at the National Oceanic and Atmospheric Administration. Some parts of the gulf are running hotter than that. Offshore of Tampa Bay and the Louisiana coast, for instance, sea surface temperatures are more than 5 degrees hotter than normal. (Inside Tampa Bay itself, water south of the Gandy Bridge topped out over 100 degrees on July 26.) A steamy gulf is not only taking a toll on marine life, it also means there's more fuel available for hurricanes as the storm season enters high gear. "You always think of the Gulf of Mexico as being warm this time of year during the peak of hurricane season," said Brian McNoldy, a senior research associate at the University of Miami's Rosenstiel School of Marine and Atmospheric Science. "But it's never been this warm." 'A concern for hurricane season' In the world of hurricanes, every degree counts. So when water is super-heated, forecasters pay attentionespecially when we're nearing the height of storm season. Last week, federal ocean scientists increased their hurricane season prediction to include more storms. One of the main reasons: Record-breaking Atlantic ocean temperatures are counterbalancing the ongoing El Nino, which typically weakens hurricanes. In the Gulf of Mexico, higher-than-normal temperature averages could be a boost for a storm to form on its own, or they could be a green light for a storm that enters into the gulf to maintain or grow its intensity. "If conditions were iffy for something to develop, this could be the nudge it needs," McNoldy said. There's a general understanding in hurricane science that wind speeds are expected to increase about 5% for every 1 degree Celsius increase in tropical ocean temperature, according to Jeff Masters, a hurricane scientist formerly with the National Oceanic and Atmospheric Administration. Each degree increase equates to about 50% more storm damage from heightened storm surge, higher wind and rain destruction, Masters said. "If we do happen to get something loose in the gulf, we've got a whole lot more energy there available for it to cause destruction," Masters said. "When waters are hot enough to feel like a hot tub, it's a concern for hurricane seasonbecause hurricanes feed off of that heat." Hurricanes get their energy when winds blow over the top of the ocean's surface and pull moisture and heat from the ocean. The warmer the sea surface, the more moisture and energy a storm can pull out of the ocean initially, according to Rosencrans. Conditions are most ripe for a storm to intensify when deeper ocean water is also warm, not just at the sea surface. But overall, surface temperatures are a good predictor for the entire hurricane season because they're tied to the winds above. That offers a glimpse into the broader ocean-atmosphere system at play, Rosencrans said. With global climate change, fueled by human-caused greenhouse gas emissions, ocean temperatures in the main region where hurricanes form are running about a half-degree hotter now than they were 20 years ago, Rosencrans said. Water temperatures, of course, can go up and down from one year to the next, depending on weather patterns, wind speed and direction, and whether the atmosphere is trapping hot ocean air. But what matters is the trend, McNoldy said. "These ups and downs are occurring on an upward trend," he said. With climate change, "it makes these super warm, anomaly events more likely to happen." Hot temps throw wildlife out of balance The current gulf waters are the hottest Capt. Joe Genovese has ever experienced. Genovese has run his fishing guide business, Angler's Dream Fishing, in St. Pete Beach for roughly 40 years. These days, he's catching about 100 fewer fish on his charters each day. "It's like a big fish stew out there right now," Genovese said. "They're all cooking." While he hasn't seen any dead fish floating atop the water lately, he said fish are dying more easily during catch and release, a normally harmless practice. "If you don't dehook them and get them back in the water right away, they're gonna be floaters," he said. "You gotta get them off the hook within 30 seconds, or that's it." It's a signal that the fish he's catching are more stressed than usual, Genovese said. And it's not just the fish that are overheated: Boats with inboard motors that use seawater to cool the engines are running hotter than normal, too. That can add extra wear and tear to fishing guides' most expensive piece of machinery. Chris Kelble, director of NOAA's Ocean Chemistry and Ecosystem division, said this year's water temperatures are so extreme, scientists are rethinking how they measure heat waves. "We're seeing temperatures that are causing unprecedented damage to our marine ecosystem that's almost certain to have an effect on humans, on everyday life," he said. Historically, researchers have calculated heat waves by comparing unusually high surface water temperatures to normal average temperatures. But Kelble said scientists are considering raising the baseline used, as average water temperatures have increased due to climate change. High water temperatures also mean less oxygen is in gulf waters, Kelble said. And this could explain why bottom feeders like filefish, stingrays and seahorses are washing up on Pinellas County beaches. Seagrass and phytoplankton photosynthesize in the daytime, providing oxygen for fish and other marine life. At night, animals deplete these oxygen stores. Early morning hot water temperatures, combined with low oxygen levels, can create a lethal combination for fish, Kelble said. After Lynch came home from his morning walk, he said he reported the fish kills to the Florida Fish and Wildlife Conservation Commission. The commission has logged more than 30 fish kills reported by the public in Pinellas County since July. The wildlife agency sent a team to collect water samples and photos of the dead fish on Monday, according to spokesperson Kelly Richmond. In the meantime, Lynch said he'll likely be out on the beach next weekend to check for washed-up fish. "It won't stop me from going," he said. 2023 Tampa Bay Times. Distributed by Tribune Content Agency, LLC. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain A lightning-sparked fire southwest of Delta was burning on 3,378 acres Tuesday morning after firefighters deployed a helicopter and drones to drop hundreds of ping-pong balls filled with glycol chemicals that ignite on impact to create backfires on mesas and clear unburned dead trees. This Little Mesa fire, discovered on July 31, has been burning in pinon, juniper, and sage forest about 15 miles southwest of Delta in the Dominguez Escalante National Conservation Area. The aerial ignition of backfires fits into a "confine and contain" strategy that federal Bureau of Land Management officials have adopted in trying to control the fireone of multiple fires burning on more than 6,700 acres around western Colorado. A bit of rain Monday helped control flames on the south side of the fire. Firefighters on Tuesday were focused on the north side area from Black Point to Dry Mesa. "There's just a lot of dead and downed trees. They are trying to burn those out," BLM spokeswoman Deana Harms said. "They are working at the natural barriers." The fire also was burning on Uncompaghre National Forest terrain. Smoke from the fire spread eastward Monday evening into the North Fork Valley and around Delta and Hotchkiss. Federal officials advised precautions for residents in the area with respiratory sensitivity to stay indoors and use air filters. The ping pong balls dropped from a helicopter and drone aircraft, which ignite, kicking out smoke as they hit, create deliberate backfires across wide areas as part of efforts to deny fuel for fires. "It is safer. You don't have to put as many firefighters into dangerous situations," BLM spokeswoman Niki Carpenter said. "We also use the drones for recond on the fire." 2023 MediaNews Group, Inc. Distributed by Tribune Content Agency, LLC. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: The holotype (representative specimen) of Uroplatus garamaso shows off the striking tail and body coloration of the new species. Credit: Dr. Mark D. Scherz, Natural History Museum of Denmark Leaf-tailed geckos are masters of camouflage. Some species have skin flaps around the whole body and head, as well as flattened tails. During the day, they rest head-down on tree trunks with these skin flaps spread out, and blend seamlessly into their surroundings, making them nearly impossible to spot. At night, they awaken to prowl the fine branches of the understory looking for invertebrate prey. "When we first discovered this species in 2000, we already suspected it might be new to science," says Dr. Frank Glaw, curator of herpetology at the Bavarian State Collection of Zoology, lead author on the study. "But it has taken us many years to amass enough information to confidently describe it as a new species." The team collected data on the genetics, morphology, and the distribution of the species. Several expeditions to northern Madagascar were undertaken that expanded knowledge of this new species. The discovery was announced on August 15 in Salamandra. Leaf-tailed geckos are good jumpers, and often bring their hands and feet together before leaping. Credit: Dr. Jorn Kohler, Hessisches Landesmuseum Darmstadt, Germany The unusual eyes of Uroplatus garamaso help to distinguish it from closely related species of leaf-tailed geckos. Credit: Dr. Jorn Kohler, Hessisches Landesmuseum Darmstadt, Germany One challenge was that Uroplatus garamaso is remarkably similar to another species, Uroplatus henkeli, and has been confused with it in the past. "This is quite common for reptiles from Madagascar," explains Dr. Jorn Kohler of the Hessisches Landesmuseum Darmstadt. "There are a lot of these so-called 'cryptic species,' which are waiting for taxonomic treatment." By careful analysis, the authors were able to find some features that differentiate the two species. "The real key was the discovery that the tip of the tongue is blackish in U. henkeli, whereas it is pink in U. garamaso," says Dr. Philip-Sebastian Gehring of the University of Bielefeld, Germany. At 20 cm long, the new species is also a little smaller than U. henkeli, and has a narrower tail. "The new species is the latest in a series of new Uroplatus geckos described from Madagascar over the last few years," says Dr. Fanomezana Ratsoavina of the University of Antananarivo, Madagascar, who did her Ph.D. on leaf-tailed geckos. Leaf-tailed geckos are masters of disguise, and Uroplatus garamaso is one of the best. Here, the gecko is hiding on the right hand side of the tree trunk. Credit: Dr. Mark D. Scherz, Natural History Museum of Denmark Leaf-tailed geckos are masters of disguise, and Uroplatus garamaso is one of the best. Here, we reveal the gecko in its hidden position. Credit: Dr. Mark D. Scherz, Natural History Museum of Denmark During the day, Uroplatus garamaso rest hidden, head-down on tree trunks, with their hindlimbs outstretched and fringes pressed against the bark, making them almost impossible to spot. Here, the gecko is viewed straight on, as one might (not) find them in the forest. Credit: Dr. Mark D. Scherz, Natural History Museum of Denmark "We are close to completing the taxonomic inventory of the genus, but this is just the start of our understanding of their evolution and ecology," says Dr. Mark Scherz, curator of herpetology at the Natural History Museum of Denmark. "The mouth color, which has been so useful to identify different species, has a totally unknown function. There is a lot we still do not know about these geckos, from their broader evolutionary relationships to their behavior." More information: Frank Glaw et al, A new large-sized species of leaf-tailed gecko (Uroplatus) from northern Madagascar, Salamandra (2023). This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain Racial stereotypes prompted by the Reconstruction-era Freedmen's Bureau following the Civil War endure in America's present-day social safety net, according to new research co-authored by a University of Massachusetts Amherst public policy researcher. The full paper, "Institutional Legacies and Temporary Assistance for Needy Families Spending Decisions: The Case of the Freedmen's Bureau," appears in the Journal of Public Policy. Kelsey Shoub, assistant professor of public policy, is part of a team that has established a link between the prevalence of Freedmen's Bureau field officescreated to assist freed slaves in the South in the aftermath of the Civil Warand more coercive policies imposed by some states today under the federal government's Temporary Assistance for Needy Families (TANF) program. States that historically had more field offices during Reconstruction are more likely, on average, to spend TANF funds on programs aiming to correct perceived societal deficiencies, such as having children out-of-wedlock, single-parent households and non-participation in the labor force, as opposed to direct cash assistance to recipients. Though the Freedmen's Bureau provided important to relief services to formerly enslaved people and displaced white people alike, it was inaccurately perceived by many to serve freed Black people at the expense of white communities. This served as the foundation for future welfare programs and false narratives that still exist more than 150 years later. "Racialization and 'otherizing' of policiesspecifically the white fear that Black people are taking advantage of the systemisn't a new thing," Shoub says. "It started with the first wave of social welfare in the U.S. with the Freedmen's Bureau and persists today with tropes of the mythical 'welfare queen.'" TANF, part of a welfare overhaul passed by Congress and signed by President Bill Clinton in 1996, gives states wide latitude to spend a fixed, $16.5 billion federal block grant largely as they choose, provided it fits into 20 broad categories. The stated goals of the legislation are to "provide assistance to needy families so that children may remain in their homes; end the dependence of needy parents on government benefits through work, job preparation and marriage; reduce out-of-wedlock pregnancies; and promote the formation and maintenance of two-parent families." The research highlights disparities in state-level TANF administrative choices and how states with a stronger legacy of Freedmen's Bureau relief often wield government assistance today as a mechanism for social control of the poor. However, the authors do not find a relationship between the prevalence of Freedmen's Bureau field offices and spending on basic assistance programs. "Without looking back at the origins of the institutions we're trying to reform, we'll replicate what we already have to some degree," Shoub adds. Shoub collaborated on the research with lead author Morgan Lowder and Anthony Hobert Jr., who are both doctoral candidates in the Department of Political Science at the University of South Carolina. More information: Morgan A. Lowder et al, Institutional legacies and temporary assistance for needy families spending decisions: the case of the Freedmen's Bureau, Journal of Public Policy (2023). DOI: 10.1017/S0143814X23000168 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Fort Smith, Canada is seen during wildfires on August 13, 2023 in a handout photo. Jordan Evoy's escape from wildfires raging in Canada's far north was the "scariest moment" of his life as flare-ups closed roads, forcing him to backtrack to catch a military flight as part of the Northwest Territories' largest ever evacuation. Hundreds of people were airlifted to safety from remote villages overnight into Tuesday, after Yellowknife, the largest city in the region, declared an emergency. For many in smaller communities, it was the second time in recent months that residents were forced to leave their homes. With several roads to the south closed after being engulfed by flames, a ride aboard military aircraftsdeployed along with 120 soldiers to beat back the blazeswas the only means of escape. On Tuesday evening Northwest Territories authorities declared a state of emergency, citing a rapidly changing situation and shifting needs on the ground. "We find ourselves in a crisis situation and our government is using every tool available to assist," said Shane Thompson, territorial environment minister. Evoy, a resident of Fort Smith, told AFP he had tried to drive south to Alberta province, but had to turn back and heed officials' pleas to immediately go to the airport, warning that the "safest way out is on a plane." "The highway was engulfed in flames and smoked out," the 28-year-old said, describing his panicked bolt to safety over land as "the scariest moment of my life." "The forest fire crossed the highway, I couldn't see anything in front of me," he said. A military aircraft used to airlift residents of Fort Smith to Fort McMurray is seen in a handout photo provided by the Canadian Armed Forces. "Flames were jumping over my truck" and he said he worried its tires would melt in the heat. "The asphalt was on fire." All along the route there were many abandoned and charred vehicles. "There was no cell service, so I had no way of knowing where I was," said Evoy. Eventually he made his way back to Hay River and caught a military flight to Fort McMurray, Alberta. "My heart breaks for the people of the Northwest Territories, who are dealing with devastating wildfires," Canadian Prime Minister Justin Trudeau wrote on X, formerly known as Twitter. Several towns and Indigenous communities were under evacuation ordersdisplacing 15 percent of the territory's population or about 6,000 peoplewhile firefighters in some areas were forced to pull back as strong winds stoked the flames. Region 'especially challenging' The tiny hamlet of Enterprise at the junction of two major highways has been almost completely destroyed. Images shared on social media and on Canadian television showed an orange smokey haze over the region, large swaths of blackened forests, and melted headlights and peeled paint from the heat on those cars and trucks that made it through to safety before roads became completely undrivable. This handout photo provided by the Canadian Armed Forces shows residents of the Northwest Territories being airlifted to safety aboard a military aircraft as wildfires swept the Northwest Territories. The Northwest Territories fire department said Yellowknife, with a population of 20,000, was not facing an imminent threat despite fast-moving fires coming within 20 kilometers (12 miles) of the regional capital. Wildfire official Mike Westwick told a news conference that fighting fires in the near Arctic is "especially challenging" due to the vast size of the region and its sparse population, with few access roads. "It's difficult to get crews and equipment in," he explained. This season, megafires have spread across Canada with remarkable intensity, forcing 168,000 to flee their homes and scorching 13.5 million hectares (33.4 million acres)almost twice the area of the last record of 7.3 million hectares, according to the Canadian Interagency Forest Fire Centre. Four people have died so far in this year's wildfires. As of Tuesday, there were nearly 1,100 fires still burning, including more than 230 in the Northwest Territories. In westernmost British Columbia province, meanwhile, a heat wave has sent temperatures soaring to over 40 degrees Celsius (104 degrees Fahrenheit), hampering efforts to bring wildfires under control. Temperatures, however, are not expected to top a record set in June 2021, when the mercury in Lytton hit 49.6C before the village was destroyed days later by a fire that killed two residents. 2023 AFP This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: NASAs Lunar Trailblazer is shown here during thermal vacuum chamber (TVAC) testing at Lockheed Martin Space in Littleton, Colorado, in June 2023. Credit: Lockheed Martin Space NASA's Lunar Trailblazer is nearing completion now that its second and final cutting-edge science instrument has been added to the small spacecraft. Built by the University of Oxford in England and contributed by the UK Space Agency, the Lunar Thermal Mapper (LTM) joins the High-resolution Volatiles and Minerals Moon Mapper (HVM3), which was integrated with the spacecraft late last year. Together, the instruments will enable scientists to determine the abundance, location, and form of the moon's water. Led by Caltech in Pasadena, California, Lunar Trailblazer has a mass of about 440 pounds (200 kilograms) and measures only 11.5 feet (3.5 meters) wide with its solar panels fully deployed. The small satellite will rely on the LTM instrument to gather temperature data that will reveal the thermal properties of the lunar surface and the composition of silicate rocks and soils. The HVM3 imaging spectrometer, which was built by NASA's Jet Propulsion Laboratory in Southern California, will detect and map the form, abundance, and locations of water in the same regions as the LTM instrument. "Lunar exploration is an international endeavor, and Lunar Trailblazer embodies that spirit with the University of Oxford's and UK Space Agency's contribution to the mission," said Bethany Ehlmann, the mission's principal investigator at Caltech. "With the combined power of both of these sophisticated instruments, we can better understand where and why water is on the moon and support the next era of moon exploration." Launching before the Artemis program's human landings, Lunar Trailblazer will return information about the moon's water, providing maps to guide future robotic and human explorers. Lunar water could be used in a variety of ways, from purifying it as drinking water to processing it for fuel and breathable oxygen. "The Lunar Trailblazer mission will improve our understanding of our natural satellite and how we could harness its resources to support exploration in the future," said Libby Jackson, Head of Space Exploration at the UK Space Agency. "Backing missions and capabilities that will drive opportunities for humanity to venture deeper into space is one of our priorities, so it's exciting to see the LTM instrument ready for launch." Lunar Trailblazer was selected by NASA's SIMPLEx (Small Innovative Missions for Planetary Exploration) program in 2019, and the spacecraft will launch as a secondary payload on the second Intuitive Machines robotic lunar lander mission, called IM-2. That launch, which will also carry NASA's Polar Resources Ice Mining Experiment-1 subsurface ice drill, is expected no earlier than early 2024. Lunar water cycle When Lunar Trailblazer arrives in orbit around the moon, it will use HVM3 to map the spectral fingerprintsor wavelengths of reflected sunlightof the different forms of water over the lunar landscape. LTM will scan those mapped regions at the same time to form an image that can be used to characterize the temperature of the surface. By measuring the same locations at different times of day, Lunar Trailblazer will determine if the amount of water changes on this airless body. It is thought that some water molecules might be locked inside lunar rock and regolith (broken rock and dust), particularly those containing silicates, which are the most abundant mineral on the moon. Other water molecules may move and settle for short periods as frost in cold shadows. As the sun changes position in the sky during the lunar day, the shadows move. This causes the ice to sublimate, transforming into vapor without passing through a liquid phase. As the water molecules move in the moon's extremely thin atmosphere to other cold places, they can settle once more as a frost. The most likely locations to hold water ice in significant quantities are the always-cold permanently shadowed craters at the lunar poles, which are key targets for science and exploration. "LTM precisely maps the surface temperature of the moon while the HVM3 instrument looks for the spectral signature of water molecules," said Neil Bowles, instrument scientist for LTM at the University of Oxford. "Combining the measurements from both instruments allows us to understand how surface temperature affects water, improving our knowledge of the presence and distribution of these molecules on the moon." LTM will provide maps of lunar surface temperature from about minus 265 degrees to 266 Fahrenheit (minus 165 degrees to 130 Celsius) using four broadband infrared channels. The instrument will scan the lunar surface to form a multispectral image as the spacecraft orbits above. At the same time, 11 narrow infrared channels also map small variations in the composition of silicate minerals that make up the rocks and regolith of the moon's surface, providing more information about what the lunar surface is made of and how this may influence the amount of water present. Lunar Trailblazer is undergoing final assembly and testing at Lockheed Martin Space in Littleton, Colorado, and the spacecraft recently completed thermal vacuum chamber testing that simulates the harsh environment of space. Now, with both instruments integrated with the spacecraft and undergoing final system-level testing, Lunar Trailblazer is approaching readiness to ship to Florida for final launch preparations. Provided by NASA This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Artistic imagination of autonomous, long-duration space missions. Credit: E|A|S (Evolving Asteroid Starships)/Joris Putteneers Dr. Angelo Vermeulen is a space systems researcher at Delft University of Technology in the Netherlands, where he explores advanced concepts for interstellar exploration. Over the past decade, he has collaborated closely with the European Space Agency's (ESA) MELiSSA program, developing concepts for bioregenerative life support systems for space. In such systems, a variety of microorganisms progressively break down human waste and the resulting compounds are harnessed by plants to produce oxygen and food for the crew. Beyond his scientific pursuits, Dr Vermeulen is also an accomplished artist and a co-founder of the SEADS (Space Ecologies Art and Design) collective. SEADS creates artworks that seamlessly integrate concepts and technologies from a diverse array of scientific disciplines, including biology, neuroscience, computer science, and astrophysics. He is the author of a recently published Frontiers in Astronomy and Space Sciences article in which he and his co-authors describe a new model that theoretically produces all required food and oxygen during long-duration and remote space missions, removing the necessity for resupply from Earth. In this latest entry to the Frontier Scientists series, he has caught up with us on his current research. What inspired you to become a researcher? I've always been interested in science and exploration, for as long as I can remember. When I was eight, I knew I was going to become a biologist and I started building my own miniature home lab. I bought my own microscope (which is still sitting in my office) and that opened up a whole new world. By age twelve, a friend and I initiated our science magazine, 'Know,' selling copies at school. I predominantly covered biology and space exploration. Both fields were hugely fascinating for me, and it's essentially what I am currently doing as a researcher at TU Delft. In my formative years, I also developed a profound interest in the arts, immersing myself in photography, cinema, and literature. During my biology Ph.D., I attended art school, completing a four-year photography program that naturally evolved into video and installation art. Currently I am combining practices, uniting scientific research with artistic creation. Artistic imagination of bioregenerative systems and bio-inspired engineering for multigenerational deep space exploration. Credit: E|A|S (Evolving Asteroid Starships)/Arise Wan Can you tell us about the research you're currently working on? Together with my collaborators, I am developing computer models for systems for interstellar human exploration. Can we conceive a crewed spacecraft traveling in deep space for multiple decades without resupply possibilities? What would such systems need to be resilient enough to cope with the high degree of uncertainty experienced during a mission through unknown territories? What would the architecture of such systems look like? One of the prerequisites will be to integrate a bioregenerative life support system (BLSS). This is an idea that can be traced back to Konstantin Tsiolkovsky who imagined plants growing inside a rocket-shaped spacecraft to sustain the astronauts. In our current research we are developing an agent-based model (ABM) of the MELiSSA loop, ESA's version of a BLSS. This modeling approach is used to simulate the interactions of individual agents within a system to understand how they lead to emergent patterns at the collective level. In our ABM, the agents represent crew, bioreactors, and plant plots, each with their own set of rules and behaviors. The foundation of the ABM is a detailed description of the major chemical pathways throughout the BLSS. Because we're interested in fully autonomous systems, the challenge consists in closing the loop and making sure that all oxygen and food needs for the crew are met. This research is part of the E|A|S (Evolving Asteroid Starships) project that I initiated a few years ago. In this project we explore the usefulness of bioregenerative systems and bio-inspired engineering for multigenerational deep space exploration. In your opinion, why is your research important? Thinking about closed-loop systems is also extremely valuable for life on Earth. It is an approach that could radically reduce or even eliminate waste and fits entirely into the concept of the circular economy. I call this approach 'molecular sustainability.' It's a lens to look at sustainability at the smallest level: molecules and atoms. It creates a different sensitivity and enables us to look at the world and its material flow in a much more interconnected way. MELiSSA Pilot Plant, Autonomous University of Barcelona. Credit: Dr Angelo Vermeulen Are there any common misconceptions about this area of research? How would you address them? One of the main misconceptions I often have to address is the perceived opposition between space exploration and climate change. Isn't it a waste to go to space while we have so many problems with our climate here on Earth? However, this is not a zero-sum game. On the contrary, it's actually through space exploration that we discovered climate changeusing Earth observation satellites. And we'll need space technology in order to adequately manage Earth and safeguard its future. Our life on Earth and life in space are inextricably intertwined. Moreover, by going into space, the sheer beauty and uniqueness of our planet becomes even more apparent. It's often said that the famous 'Earthrise' photo from the Apollo era kickstarted the environmental movement. What are some of the areas of research you'd like to see tackled in the years ahead? The next step in our research is multimodeling: linking different types of models to gain a more holistic understanding of the questions we're investigating. Alongside the ABM, we also developed a model that describes the behavior of self-replicating space architecture for interstellar exploration. It would be wonderful to merge all our work from these past years into a single virtual workbench. I think we also need to focus more on the application of closed-loop and bioregenerative systems right here on Earth and put more effort into addressing the significant issue of food waste. According to the Food and Agriculture Organization of the UN, a staggering 1.3 billion tons of food is lost or wasted annually. This issue is especially alarming considering the rising global population and the simultaneous challenges of food insecurity and environmental degradation. Adopting a mindset focused on 'molecular sustainability' seems crucial to solve this problem. Additionally, I think we require a more ambitious and equitable approach to advance humanity into space, not driven by political motivations or competition, but as a unified collaborative endeavor. How has open science benefited the reach and impact of your research? Open science is absolutely key for developing a more globally informed society. Since I collaborate with people from very different fields, both inside and outside academia, it's important that my work can be accessed by everyone. This commitment to openness not only accelerates the advancement of knowledge but also fosters a collaborative spirit that transcends boundaries and enriches collective imagination. More information: Angelo C. J. Vermeulen et al, Stoichiometric model of a fully closed bioregenerative life support system for autonomous long-duration space missions, Frontiers in Astronomy and Space Sciences (2023). DOI: 10.3389/fspas.2023.1198689 Provided by Frontiers This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain In the midst of Indonesia's burgeoning coffee shop scene, a formidable challenge has emerged for independent establishments, according to research in the International Journal of Productivity and Quality Management. Many of the problems and their solutions percolating through could well provide lessons for independent businesses in other sectors across the region as well as elsewhere. Mukhamad Najib and Farah Fahma of the IPB University in Indonesia suggest that the market is becoming increasingly fierce. Survival for small, independent coffee shops must evolve to establish a solid and sustainable footing and simultaneously focus on a quality-based ethos, offering excellent service, and ensuring business longevity. The researchers add that strong leadership is emerging as a critical factor in this world. The researchers surveyed 110 coffee shop proprietors and managers in Bogor, Indonesia, and then used a sophisticated analytical approach, structural equation modeling with partial least squares (SEM-PLS) to analyze the resulting data. Through this technique, they were able to gain valuable insights into the interplay between the different factors affecting success and failure. The central finding that emerges from their data analysis, as one might expect, is that leadership plays a pivotal role in shaping the culture and quality of such small businesses and elevating service standards. They add that leadership does not, however, seem to have a direct impact on business sustainability. That said, its indirect influence in fostering a culture of excellence proves to be critical and that encompasses sustainability values too. The team suggests that their findings underscore the significance of both a quality-orientated culture and consistently superior service standards in sustaining small enterprises such as independent coffee shops in a packed and unforgiving market. Of course, by focusing on the smaller businesses, the team concedes that there is now a need to carry out further research to ascertain whether the same findings would emerge in an investigation of larger establishments. There is also a need to incorporate cultural and geographic disparities across the region to reveal whether factors beyond the coffee counter might also affect quality, service dynamics, and success. More information: Mukhamad Najib et al, Business sustainability for small coffee shops: the role of leader and quality, International Journal of Productivity and Quality Management (2023). DOI: 10.1504/IJPQM.2023.132832 Provided by Inderscience This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: The lander was carried into space by a Soyuz rocket launched Friday in Russia's Far East. Moscow's Luna-25 lander was successfully placed in the Moon's orbit Wednesday, the first such Russian mission in almost 50 years, space agency Roscosmos announced. With the lunar launch, Moscow's first since 1976, Russia is seeking to restart and rebuild on the Soviet Union's pioneering space program. "For the first time in Russia's contemporary history, an automatic station was placed in lunar orbit at 12:03 pm Moscow time (0903 GMT)," a Roscosmos spokesperson told AFP. The probe will orbit 100 kilometers (62 miles) above the Moon's surface, before a planned landing Monday north of the Boguslawsky crater on the lunar south pole. "All the 'Luna-25' systems are operating normally, and communication with it is stable," the spokesperson said. Cameras installed on the lander have already taken distant shots of the Earth and Moon from space. The lander, weighing around 800 kilograms (1,764 pounds), was carried into space by a Soyuz rocket launched Friday from the Vostochny cosmodrome in Russia's Far East. Russia's first Moon lander since 1976. It is expected to stay on the Moon for a year, where it is tasked with collecting samples and analyzing soil. The mission comes as the future of Russia's long-running cooperation with the West in space looks in doubt, as Moscow presses ahead with its offensive in Ukraine. Russia said it would go ahead with its own lunar plans, despite the European Space Agency announcing it would not cooperate with Moscow on future missions over its actions in Ukraine. 2023 AFP This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Human iPS cells. Credit: Jia Tan, Polo laboratory In a study published in Nature, Australian scientists have resolved a long-standing problem in regenerative medicine. Led by Professor Ryan Lister from the Harry Perkins Institute of Medical Research and The University of Western Australia and Professor Jose M Polo from Monash University and the University of Adelaide, the team developed a new method to reprogram human cells to better mimic embryonic stem cells, with significant implications for biomedical and therapeutic uses. In a revolutionary advance in the mid-2000s, it was discovered that the non-reproductive adult cells of the body, called somatic cells, could be artificially reprogrammed into a state that resembles embryonic stem (ES) cells which have the capacity to then generate any cell of the body. The ability to artificially reprogram human somatic cells, such as skin cells, into these so-called induced pluripotent stem (iPS) cells provided a way to make an essentially unlimited supply of ES-like cells, with widespread applications in disease modeling, drug screening and cell-based therapies. "However, a persistent problem with the conventional reprograming process is that iPS cells can retain an epigenetic memory of their original somatic state, as well as other epigenetic abnormalities," Professor Lister said. "This can create functional differences between the iPS cells and the ES cells they're supposed to imitate, and specialized cells subsequently derived from them, which limits their use." Professor Jose Polo, who is also with the Monash Biomedicine Discovery Institute, explained that they have now developed a new method, called transient-naive-treatment (TNT) reprogramming, that mimics the reset of a cell's epigenome that happens in very early embryonic development. "This significantly reduces the differences between iPS cells and ES cells and maximizes the effectiveness of how human iPS cells can be applied," he said. Dr. Sam Buckberry, a computational scientist from the Harry Perkins Institute, UWA, and Telethon Kids Institute, and co-first author of the study, said by studying how the somatic cell epigenome changed throughout the reprogramming process, they pinpointed when epigenetic aberrations emerged, and introduced a new epigenome reset step to avoid them and erase the memory. Dr. Xiaodong Liu, a stem cell scientist who also spearheaded the research said the new human TNT-iPS cells much more closely resembled human ES cellsboth molecularly and functionallythan those produced using conventional reprograming. Dr. Daniel Poppe, a cell biologist from UWA, the Harry Perkins Institute and co-first author, said the iPS cells generated using the TNT method differentiated into many other cells, such as neuron progenitors, better than the iPS cells generated with the standard method. Monash University student and co-first author Jia Tan, said the team's TNT method was dynamite. "It solves problems associated with conventionally generated iPS cells that if not addressed could have severely detrimental consequences for cell therapies in the long run," he said. Professor Polo said the precise molecular mechanisms underlying the iPS epigenome aberrations and their correction were not fully known, and further research was needed to understand them. "We predict that TNT reprogramming will establish a new benchmark for cell therapies and biomedical research, and substantially advance their progress," Professor Lister said. The collaborative research project also included researchers from the Australian National University, Westlake University, Queen Mary University of London, Mater Research Institute, University of Queensland, Queensland Brain Institute, South Australian Health & Medical Research Institute, Duke-NUS Medical School and CSIRO. More information: Ryan Lister, Transient naive reprogramming corrects hiPS cells functionally and epigenetically, Nature (2023). DOI: 10.1038/s41586-023-06424-7. www.nature.com/articles/s41586-023-06424-7 Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Light trapped inside a magnetic crystal can strongly enhance its magneto-optical interactions. Credit: Rezlind Bushati. A new study led by Vinod M. Menon and his group at the City College of New York shows that trapping light inside magnetic materials may dramatically enhance their intrinsic properties. Strong optical responses of magnets are important for the development of magnetic lasers and magneto-optical memory devices, as well as for emerging quantum transduction applications. In their new article in Nature, Menon and his team report the properties of a layered magnet that hosts strongly bound excitonsquasiparticles with particularly strong optical interactions. Because of that, the material is capable of trapping lightall by itself. As their experiments show, the optical responses of this material to magnetic phenomena are orders of magnitude stronger than those in typical magnets. "Since the light bounces back and forth inside the magnet, interactions are genuinely enhanced," said Dr. Florian Dirnberger, the lead-author of the study. "To give an example, when we apply an external magnetic field the near-infrared reflection of light is altered so much, the material basically changes its color. That's a pretty strong magneto-optic response." "Ordinarily, light does not respond so strongly to magnetism," said Menon. "This is why technological applications based on magneto-optic effects often require the implementation of sensitive optical detection schemes." On how the advances can benefit ordinary people, study co-author Jiamin Quan said, "Technological applications of magnetic materials today are mostly related to magneto-electric phenomena. Given such strong interactions between magnetism and light, we can now hope to one day create magnetic lasers and may reconsider old concepts of optically controlled magnetic memory." Rezlind Bushati, a graduate student in the Menon group, also contributed to the experimental work. More information: Florian Dirnberger et al, Magneto-optics in a van der Waals magnet tuned by self-hybridized polaritons, Nature (2023). DOI: 10.1038/s41586-023-06275-2 Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain New research from the University of Illinois at Urbana-Champaign and ESMT Berlin uncovers the factors influencing the job choices of talented STEM Ph.D.s, showing how and why startups can hire high ability scientists and engineers. Technology startups are often encouraged to hire the best scientists and engineers to help them succeed in commercializing cutting-edge technologies. But why would talented workers turn down jobs in big companies like Amazon and Google to instead work in riskier startups for lower pay? Indeed, a growing body of entrepreneurship research shows that startup employees earn much less than their peers in large established firms, a fact that has been interpreted as evidence that startups are unable to hire high-ability workers. However, in a forthcoming study in Management Science, Michael Roach, associate professor at the Gies College of Business, University of Illinois at Urbana-Champaign, and Henry Sauermann, professor of strategy and academic director of the Vali Entrepreneurship Hub at ESMT Berlin, show that some high-ability workers prefer to join startups for non-monetary benefits despite earning lower pay. "A key insight from our research is that many high-ability scientists and engineers choose jobs in early-stage startups over large tech companies due to specific career preferences that align better with the unique work setting offered in startups," says Michael Roach. "Many of these individuals are interested in being startup employees rather than founders, which differs from the popular notion that it is primarily aspiring entrepreneurs who choose to work in startups." At the same time, Roach notes that aspiring founders are also more likely to work in startups, often to gain experience that will help them to become better entrepreneurs in the future. Using survey data that followed a cohort of more than 2,000 science and engineering Ph.D.s for nearly a decade, the researchers measured respondents' career preferences while in graduate school and related them to the Ph.D. graduates' first-time industry jobs in startups or established firms. This comprehensive approach allowed the researchers to capture a nuanced picture of the factors influencing job choice among high-ability scientists and engineers. "High-ability graduates in startups earn roughly 20% lower pay than their peers in established firms," adds Henry Sauermann. "This suggests that for these individuals, the non-financial benefits of startup employment outweigh the lower levels of pay and resources compared to established firm employment." More detailed data on the underlying reasons suggests that startup joiners highly value factors such as autonomy and opportunities to work on cutting-edge technologies. By analyzing both job applications and job offers, the researchers also found that a large pool of individuals attracted to working at startups enables startups to "cherry-pick" the most talented applicants. As a result, startup employees are on average of higher ability than established firm employees, as measured using the Ph.D. program ranking. Roach and Sauermann's research provides valuable insights for founders, managers, and policymakers. It suggests that early-stage technology startups can overcome the challenges of attracting and retaining human capital by appealing to individuals who have a strong preference for working in an entrepreneurial environment. "Although these individuals appear willing to 'pay' to work in startups, this does not necessarily come 'free' to their employers. Rather, some of the features that attract workers to startupssuch as autonomymay need to be managed carefully and may involve costs of their own," the authors caution. For Ph.D. scientists considering their career paths, the study offers a fresh perspective. It suggests that those who are attracted to the dynamic and innovative environment of startups may have to accept lower pay and higher risks but may end up having a more satisfying job. Still, the authors advise job seekers to consider carefully what each type of job entails, rather than relying on assumptions and stereotypes. They recommend not only using comparison data on things such as pay, but also qualitative insights from current employees and those who left the company to go elsewhere. The study also has implications for the broader technology sector and the economy. By demonstrating that startups can attract high-ability human capital, it underscores the potential of startups to drive innovation and economic growth. The findings also highlight the benefits of fostering an entrepreneurial culture and environment in established firms to attract and retain top talent. More information: Michael Roach et al, Can Technology Startups Hire Talented Early Employees? Ability, Preferences, and Employee First Job Choice, Management Science (2023). DOI: 10.1287/mnsc.2023.4868 Journal information: Management Science Provided by European School of Management and Technology This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Schematic of the frequency-modulated Faraday rotational spectrometer setup. Credit: Cheng Feihu Researchers led by Prof. Zhang Weijun from the Hefei Institutes of Physical Science of the Chinese Academy of Sciences have proposed a feasible approach for the rapid detection of hydroxyl radicals. Based on this technology, a new device called Frequency-modulated Faraday Rotation (FM-FRS) spectrometer has been developed, which can detect OH radicals with high precision. The study was published in Optics Express. Hydroxyl (OH) radicals are important active oxidants in the atmosphere and are important reaction chain initiators in the oxidation of most compounds. In order to study their reaction processes and mechanisms in detail, they must be measured directly in "real time," i.e., to study them on the time scale of their actual existence. Therefore, detection techniques must be able to respond to rapid changes in the concentration and lifetime of OH radicals. To address this problem, the researchers developed the FM-FRS spectrometer for highly sensitive and rapid measurement of OH radicals, which was characterized by wide measurement bandwidth, high sensitivity, and good selectivity, and is particularly suitable for kinetic studies of short-lived intermediate radicals. "We used a special laser beam that is modulated at a very high frequency, up to 150 million times per second," said Cheng Feihu, a member of the team. Concentration-time profile of OH radical obtained after averaging three pulses at a 200 s sampling interval. Credit: Cheng Feihu The researchers used the spectroscopic technique to measure the time-resolved spectral signal of the OH radical near 2.8 m. The detection limit for OH reached 6.8108 molecules/cm3 (1, 0.2 ms) after three pulse averaging, and decreased to 8.0107 molecules/cm3 after 100 event integrations, which was in general agreement with the trend of the system performance in the white noise limit. This work provides a new and alternative tool for studying radical dynamics, not only for OH radicals, but also for other paramagnetic transient molecules. More information: Feihu Cheng et al, High band-width mid-infrared frequency-modulated Faraday rotation spectrometer for time resolved measurement of the OH radical, Optics Express (2023). DOI: 10.1364/OE.493270 Journal information: Optics Express This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain The famous pilgrimage site of Kedarnath, located in the central Himalayas of India, is believed to be a sacred land. It has been referred to as "deva bhumi," or the "land of the gods," for centuries. Millions of people visit this region each year in search of divine blessings and other religious benefits as part of what is known as the Char Dham Yatra, or the pilgrimage to four sacred mountainous abodes devoted to different gods and goddesses. Situated at the base of 20,000-foot snowy peaks, Kedarnath is one of these four major destinations. The mighty Hindu god Shiva is believed to have manifested in the middle of a meadow in Kedarnath as a conical rock formation that has long been worshiped as a lingam, an embodied form of the deity. A stone temple has stood over the lingam for at least a thousand years, at an altitude of about 12,000 feet. I visited this area in 2000, 2014 and 2019 as part of research I've been conducting for decades on religion, nature and ecology; I have spent numerous summers in the Himalayas. Many in the vast crowds of people on the Char Dham Yatra told me that they believe it is important to undertake this pilgrimage at least once in their lifetime, often identifying it as the most significant journey they will ever perform. But climate change now threatens the sacred sites of this region. As global temperatures rise, glaciers on the 20,000-foot peaks above Kedarnath that are key sources of the Mandakini River, a major tributary of the Ganges, are melting and retreating at alarming rates. In turn, as I argue in my book, "Understanding Climate Change through Religious Lifeworlds," climate change disasters are acting as powerful drivers of religious transformations, reshaping religious ideas and practices. Threats to the Himalayan region Glacial deterioration is happening worldwide, but subtropical glaciers in high mountainous areas such as the Indian Himalayas are more vulnerable because of their low latitudes. Many climate scientists believe that climate change is affecting the Himalayas more than almost any other region of the world. Melting glaciers leave massive amounts of water in lakes held in place by unstable natural dams formed of rubble heaped up when the glaciers were healthy and pushing down a slope. The expanding lakes left behind by shrinking glaciers are increasingly prone to glacial lake outburst floods. Another serious danger threatening high mountainous areas as a result of global warming is the shift from snow to extreme rain at increasingly higher altitudes. Snow clings to hillsides and melts gradually, while rain rushes down slopes immediately, causing destructive erosion, landslides and deluges. The combination of extreme rain and glacial lake outburst floods can lead to deadly flooding, as demonstrated by a catastrophe in Kedarnath in 2013. Kedarnath disaster Himalayan researchers determined that in June 2013, more than a foot of rain fell within 24 hours near Kedarnath at elevations never previously recorded. The entire watershed above Kedarnath was filled with raging water. Additionally, the Mandakini River burst out of its banks, causing landslides and devastating flooding. To make matters worse, the rubble dam that had held back the glacial lake formed by the melting Chorabari Glacier above Kedarnath suddenly breached, releasing a high wall of crashing water. In a matter of 15 minutes, the entire content of the lake was emptied, cresting over three-story buildings with a pounding flow that University of Calcutta scientists estimated was half the volume of Niagara Falls. Fortunatelyor, according to pilgrims, miraculouslya 30-foot oblong boulder rolled down the mountain and stopped just before the ancient temple, parting the powerful waters and protecting the temple so that it remained standing without major damage. Every other building in the town of Kedarnath was demolished. Government figures claim over 6,000 people died, but those involved in the rescue operations set the figure much higher. Most of the dead were pilgrims. 'The Gods are angry' The destructive flooding is changing people's beliefs. The gods of this region are closely associated with the land itself; and these gods, nature and humans are intimately connected. People living in this region understand the dramatic changes taking place here in terms of this triad. A resident of Gangotri explained, "The gods are angry with us because of how we are now acting." When I said to him that I thought this area is where people have been coming for a long time to receive the blessing from the gods, he responded, "Yes, but now they are angry with us. That is why this (Kedarnath disaster) has happened. And more will come if we do not change our ways." I found this to be a common viewweather-related disasters were being understood as a result of the immoral actions of human beings, particularly the disregard for the environment. One significant theological change that appeared to be underway within Himalayan Hinduism as a result of climate change was the transformation of the primary conception of the gods from those who bless to those who punish. "There is so much sin in the world today," a resident of Uttarkashi told me. "People are making a lot of pollution. Because of this, the climate is changing and the gods are beginning to punish us." In some ways there is nothing new in the assertion that human morality and the environment are intimately linked, but the degree of change that is now happening has introduced a new level of concern. Wandering holy men in this region are witnessing firsthand the dramatic changes in the Himalayas during their years of travel. One holy man living in this area explained, "The gods are nature. When we disrespect nature, we disrespect the gods. They are now angry because of what we are doing to nature. This is why the destructive storms are increasing." Conditional hope All is not lost, however, and there remains some hope for a better outcome. There is a sense that things can still be turned around and the worst avoided if humans are willing to change their ways. Specifically, many articulated this as a return to a more respectful relationship with the gods of the land. When asked how to please the gods and turn things around, a man in Kedarnath put it simply: "To once again respect the land and nature." There is no great difference between treating the gods with respect and nature well. A woman I spoke to in Uttarkashi elaborated on this: "The gods and the land are the same. And we are mistreating both. The floods are like a warning slap to a child. They are a wake-up call telling us to change our ways. If not, we will be finished." Human behavior remains a major factor in the holistic worldview that connects humans, gods and environment, and a return to respectful relationships is the key to a sustainable future. Many Himalayan residents say that humans have the choice to return to a more mutually beneficial relationship with the natural world, but if the gods' stormy warnings are not heeded, then massive destruction and a gruesome end is near. Uncertain future Destructive floods continue to happen in the central Himalayas with increasing force and frequency. Since the 2013 disaster at Kedarnath, more than 800 people have been killed in flash floods in the Char Dham region. The Kedarnath pilgrimage was suspended in 2022 because of deadly landslides and flooding, but the Indian government has also heavily promoted religious tourism in this area. The year 2022 saw a record number of pilgrims visiting Kedarnath and the three other Char Dham sites in the central Himalayas, which only puts more stress on the land, with additional buildings, crowded roads and polluting vehicles. With vehicles, factories and other human activities continuing to pump excessive amounts of greenhouse gases into the atmosphere, warming the planet, experts fear disasters like Kedarnath saw in 2013 will become only more common. This article is republished from The Conversation under a Creative Commons license. Read the original article. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: A photograph of the ancient human remains found at the Hirota ruins. A notable characteristic of the remains is the wearing of many shell accessories, indicative of the culture and trade of the region at the time. Credit: The Kyushu University Museum A team of biological anthropologists and archaeologists from Kyushu University and the University of Montana have broken new ground in our understanding on the practice of intentional cranial modification, a practice found in numerous ancient civilizations around the world. Publishing in PLOS ONE, the team reports that the Hirota people, who lived on the southern Japanese island of Tanegashima around the 3rd century to 7th century CE, also partook in the practice. Moreover, the study found no significant differences in cranial modification between sexes, indicating that both males and females practiced intentional cranial modification. Cranial modification is a form of body alteration where the head of a person is pressed or bound, usually at an early age, to permanently deform the skull. The practice predates written history, and researchers theorize that it was performed to signify group affiliation or demonstrate social status. "One location in Japan that has long been associated with cranial deformation is the Hirota site on the Japanese island of Tanegashima, in Kagoshima Prefecture. This is a large-scale burial site of the Hirota people who lived there during the end of the Yayoi Period, around the 3rd century CE, to the Kofun Period, between the 5th and 7th century CE," explains Noriko Seguchi of Kyushu University's Faculty of Social and Cultural Studies who led the study. "This site was excavated from 1957 to 1959 and again from 2005 to 2006. From the initial excavation, we found remains with cranial deformations characterized by a short head and a flattened back of the skull, specifically the occipital bone and posterior parts of the parietal bones." Photograph of the Hirota site today in Tanegashima, Japan. Each marker indicates where burials were found along with the notes on their sex and approximate age group. Credit: The Kyushu University Museum However, while the site provided an ideal opportunity to study the phenomenon, it had remained unclear whether these cranial modifications had been intentional, or were simply the unintended result of other habits. To conduct the study, the research group employed a hybrid approach, utilizing 2D images to analyze the shape of the skulls' outline, as well as 3D scans of their surface. The group also compared crania data from other archaeological sites in Japan, such as the Doigahama Yayoi people in Western Yamaguchi, and the Kyushu Island Jomon people, who were the hunter-gatherer predecessors to the Yayoi people. Along with visually assessing skull morphology, the team gathered all this data and statically analyzed the contours and shapes between the skulls. "Our results revealed distinct cranial morphology and significant statistical variability between the Hirota individuals with the Kyushu Island Jomon and Doigahama Yayoi samples," continues Seguchi. "The presence of a flattened back of the skull characterized by changes in the occipital bone, along with depressions in parts of the skull that connects the bones together, specifically the sagittal and lambdoidal sutures, strongly suggested intentional cranial modification." 3D images of skulls excavated from the Hirota site and the Doigahama site that the researchers used to compare skull morphology between the two groups. Notice that the skull from the Hirota site (right) has a more flattened back of the head compared to the skulls from the Doigahama site (left) indicating intentional cranial modification. Credit: Seguchi Lab/Kyushu University The motivations behind this practice remain unclear, but the researchers hypothesize that the Hirota people deformed their crania to preserve group identity and potentially facilitate long-distance trade of shellfish, as supported by archaeological evidence found at the site. "Our findings significantly contribute to our understanding of the practice of intentional cranial modification in ancient societies," concludes Seguchi. "We hope that further investigations in the region will offer additional insights into the social and cultural significance of this practice in East Asia and the world." More information: Investigating intentional cranial modification: A hybridized two-dimensional/three-dimensional study of the Hirota site, Tanegashima, Japan, PLoS ONE (2023). DOI: 10.1371/journal.pone.0289219 Journal information: PLoS ONE Provided by Kyushu University This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Scanning tunneling microscopy images of twisted bilayer graphene, which show the graphene atomic lattice (left panel) and the magic-angle graphene moire superlattice (right panel). Credit: Kevin Nuckolls, Yazdani Group, Princeton University A Princeton University-led team of scientists has imaged the precise microscopic underpinnings responsible for many quantum phases observed in a material known as magic-angle twisted bilayer graphene (MATBG). This remarkable material, which consists of twisted layers of carbon atoms arranged in a two-dimensional hexagonal pattern, has in recent years been at the forefront of research in physics, especially in condensed matter physics. For the first time, the researchers were able to specifically capture unprecedentedly precise visualizations of the microscopic behavior of interacting electrons that give rise to the insulating quantum phase of MATBG. Additionally, through the use of novel and innovative theoretical techniques, they were able to interpret and understand these behaviors. Their study is published in the journal Nature. The amazing properties of twisted bilayer graphene were first discovered in 2018 by Pablo Jarillo-Herrero and his team at the Massachusetts Institute of Technology (MIT). They showed that this material can be superconducting, a state in which electrons flow freely without any resistance. This state is vital to many of our everyday electronics, including magnets for MRIs and particle accelerators as well as in the making of quantum bits (called qubits) that are being used to build quantum computers. Since that discovery, twisted bilayer graphene has demonstrated many novel quantum physical states, such as insulating, magnetic, and superconducting states, all of which are created by complex interactions of electrons. How and why electrons form insulating states in MATBG has been one of the key unsolved puzzles in the field. The solution to this puzzle would not only unlock our understanding of both the insulator and the proximate superconductor, but also such behavior shared by many unusual superconductors that scientists seek to understand, including the high-temperature cuprate superconductors. "MATBG shows a lot of interesting physics in a single material platformmuch of which remains to be understood," said Kevin Nuckolls, the co-lead author of the paper, who earned his Ph.D. in 2023 in Princeton's physics department and is now a postdoctoral fellow at MIT. "This insulating phase, in which electrons are completely blocked from flowing, has been a real mystery." To create the desired quantum effects, researchers place two sheets of graphene on top of each other with the top layer angled slightly. This off-kilter position creates a moire pattern, which resembles and is named after a common French textile design. Importantly, however, the angle at which the top layer of graphene must be positioned is precisely 1.1 degrees. This is the "magic" angle that produces the quantum effect; that is, this angle induces strange, strongly correlated interactions between the electrons in the graphene sheets. While physicists have been able to demonstrate different quantum phases in this material, such as the zero-resistance superconducting phase and the insulating phase, there has been very little understanding of why these phases occur in MATBG. Indeed, all previous experiments involving MATBG give good demonstrations of what the system is capable of producing, but not why the system is producing these states. And that "why" became the basis for the current experiment. "The general idea of this experiment is that we wanted to ask questions about the origins of these quantum phasesto really understand what exactly are the electrons doing on the graphene atomic scale," said Nuckolls. "Being able to probe the material microscopically, and to take images of its correlated statesto fingerprint them, effectivelygives us the ability to discern very distinctly and precisely the microscopic origins of some of these phases. Our experiment also helps guide theorists in the search for phases that were not predicted." The study is the culmination of two years of work and was achieved by a team from Princeton University and the University of California, Berkeley. The scientists harnessed the power of the scanning tunneling microscope (STM) to probe this very minute realm. This tool relies on a technique called "quantum tunneling," where electrons are funneled between the sharp metallic tip of the microscope and the sample. The microscope uses this tunneling current rather than light to view the world of electrons on the atomic scale. Measurements of these quantum tunneling events are then translated into high resolution, highly sensitive images of materials. However, the first stepand perhaps the most crucial step in the experiment's successwas the creation of what the researchers refer to as a "pristine" sample. The surface of carbon atoms that constituted the twisted bilayer graphene sample had to have no flaws or imperfections. High-resolution images measured using the scanning tunneling microscope show quantum interference patterns in magic-angle graphene. The ways that these patterns change across the material tells researchers about the microscopic origins of its quantum states. Credit: Kevin Nuckolls, Yazdani Group, Princeton University "The technical breakthrough that made this paper happen was our group's ability to make the samples so pristine in terms of their cleanliness such that these high-resolution images that you see in the paper were possible," said Ali Yazdani, the Class of 1909 Professor of Physics and Director of the Center for Complex Materials at Princeton University. "In other words, you have to make one hundred thousand atoms without a single flaw or disorder." The actual experiment involved placing the graphene sheets in the correct "magic angle," at 1.1 degrees. The researchers then positioned the sharp, metallic tip of the STM over the graphene sample and measured the quantum mechanical tunneling current as they moved the tip across the sample. "Electrons at this quantum scale are not only particles, but they are also waves," said Ryan Lee, a graduate student in the Department of Physics at Princeton and one of the paper's co-lead authors. "And essentially, we're imaging wave-like patterns of electrons, where the exact way that they interfere (with each other) is telling us some very specific information about what is giving rise to the underlying electronic states." This information allowed the researchers to make some very incisive interpretations about the quantum phases that were produced by the twisted bilayer graphene. Importantly, the researchers used this information to focus on and solve the long-standing puzzle that for many years has challenged researchers working in this field, namely, the quantum insulating phase that occurs when graphene is tuned to its magic angle. To help understand this from a theoretical viewpoint, the Princeton researchers collaborated with a team from the University of California-Berkeley, led by physicists B. Andrei Bernevig at Princeton and Michael Zaletel at Berkeley. This team developed a novel and innovative theoretical framework called "local order parameter" analysis to interpret the STM images and understand what the electrons were doingin other words, how they were interactingin the insulating phase. What they discovered was that the insulating state occurs because of the strong repulsion between the electrons, on the microscopic level. "In magic-angle twisted bilayer graphene, the challenge was to model the system," said Tomohiro Soejima, a graduate student and theorist at U.C. Berkeley and one of the paper's co-lead authors. "There were many competing theories, and no one knew which one was correct. Our experiment of 'finger-printing' was really crucial because that way we could pinpoint the actual electronic interactions that give rise to the insulating phase." By using this theoretical framework, the researchers were able, for the first time, to make a measurement of the observed wave functions of the electrons. "The experiment introduces a new way of analyzing quantum microscopy," said Yazdani. The researchers suggest the technologyboth the imagery and the theoretical frameworkcan be used in the future to analyze and understand many other quantum phases in MATBG, and ultimately, to help comprehend new and unusual material properties that may be useful for next-generation quantum technological applications. "Our experiment was a wonderful example of how Mother Nature can be so complicatedcan be really confusinguntil you have the right framework to look at it, and then you say, 'oh, that's what's happening,'" said Yazdani. More information: Kevin P. Nuckolls et al, Quantum textures of the many-body wavefunctions in magic-angle graphene, Nature (2023). DOI: 10.1038/s41586-023-06226-x Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Volcanic ashes. Ash (tephra) deposition during the recent eruption of the Tajogaite volcano in 2021 on La Palma, including the depth of the newly formed ash layer, the location of the new craters, and the lava flow (own records for ash thickness). Credit: npj Biodiversity (2023). DOI: 10.1038/s44185-023-00018-2 Woody plants are unusually common on oceanic islands. Scientists at the University of Bayreuth, together with research partners in Italy, Great Britain, Norway and Spain, have now discovered a new explanation for this phenomenon: With the lignification of biomass, many plant species native to oceanic islands have protected themselves from the impact of frequent volcanic eruptions, during which large areas are covered with huge amounts of ash. Woody plants can survive even in ash layers of up to half a meter. Using the example of the Canary Island of La Palma, the European team presents its explanatory approach in the journal npj Biodiversity. The large number of woody plants on oceanic islands is particularly surprising when compared with biologically related species on the mainland. Many of the insular, highly woody species belong to families and genera represented by herbaceous plant species on the mainland. Charles Darwin sought to explain the conspicuous woodiness on the islands by a competition among plant species for sunlight. Studies from recent years, on the other hand, have pointed to drought, to which the plants would have adapted by becoming more woody. The new consideration that there could be an evolutionary connection with volcanism, which is frequent on the islands, was triggered by the impact of the heavy volcanic eruptions that occurred on La Palma during several months in 2021. In the course of Earth's history, such eruptions have been repeated many thousands of times on oceanic islands. "The eruptions of the Tajogaite volcano on La Palma provided a unique opportunity for biogeographical studies. For many years, geological and climatic changes on the Canary Islands have been recorded with scientific precision, so that new observations regarding insular vegetation can be easily integrated into larger explanatory contexts," says Prof. Dr. Carl Beierkuhnlein, the first author of the new study, who is chair of biogeography at the University of Bayreuth. In April 2022, four months after the end of the volcanic eruptions, he and a Bayreuth research team examined the vegetation on La Palma. Almost the entire surface of the island was covered by a layer of pyroclastic ash that had been ejected from the crater. In total, more than 20 million cubic meters of ash were deposited on the island. In the vicinity of the craters, the ash layer was more than 20 centimeters high over large areas, and more than two meters high in the immediate vicinity. Surprisingly, most woody plants had survived this event. Even in regions where a layer of ash between ten centimeters and half a meter high had been deposited, they were already in full bloom after a few weeks. In contrast, numerous herbs and grasses remained buried under the ash. Almost all the woody plants that managed to survive are endemic. They only grow on La Palma. Taken together, the findings form an example of biological selection: woodiness proves to be a characteristic that ensures survival and further development on oceanic islands. Oceanic islands, which have been frequently affected by volcanic eruptions in the course of Earth's history and some of which still are today, have a particularly high proportion of endemic species in their vegetation. In view of the current observations on La Palma, the authors of the new study consider it very likely that the selection of woodiness promoted a survival strategy which enabled plants on volcanic islands to protect themselves from the impact of ash deposits. Populations of herbaceous plant species that originally had no stabilizing structures developed into endemic woody plants over many generations as the proportion of wood in their biomass increased. "With our new study, we do not want to deny that other factors such as climatic conditions could have favored and increased the growth of woody plants on oceanic islands. However, our latest observations and measurements on La Palma support the assumption that volcanism is a previously underestimated driver of evolution on oceanic islands. The biogeographical and ecological consequences of volcanic eruptions should therefore be studied more precisely and regularly in the future," says Beierkuhnlein. More information: Carl Beierkuhnlein et al, Volcanic ash deposition as a selection mechanism towards woodiness, npj Biodiversity (2023). DOI: 10.1038/s44185-023-00018-2 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: This artist's concept shows a face-on view of the XRISM (X-ray Imaging and Spectroscopy Mission) spacecraft. Credit: NASA's Goddard Space Flight Center Conceptual Image Lab The upcoming XRISM (X-ray Imaging and Spectroscopy Mission, pronounced "crism") spacecraft will study the universe's hottest regions, largest structures, and objects with the strongest gravity. Led by JAXA (Japan Aerospace Exploration Agency), XRISM will peer into these cosmic extremes using spectroscopy, the study of how light and matter interact. In this explainer, video producer Sophia Roberts from NASA's Goddard Space Flight Center walks us through how understanding spectroscopy deepens our knowledge of the universe. "I think we all get excited for the beautiful images we get from missions like NASA's James Webb Space Telescope," Roberts said. "But after taking a deep dive into spectroscopy, I really appreciate the critical context it gives scientists about the story behind those pictures." XRISM's microcalorimeter spectrometer, named Resolve, is a collaboration between JAXA and NASA. It will create spectra, measurements of light's intensity over a range of energies, for X-rays from 400 to 12,000 electron volts. (For comparison, visible light energies range from about 2 to 3 electron volts.) To do this, Resolve measures tiny temperature changes created when an X-ray hits its 6-by-6-pixel detector. To measure that minuscule increase and determine the X-ray's energy, the detector needs to cool down to around minus 460 Fahrenheit (around minus 270 Celsius), just a fraction of a degree above absolute zero. The instrument reaches its operating temperature after a multistage mechanical cooling process inside a refrigerator-sized container of liquid helium. Watch to learn about spectroscopy, the dance between matter and light, and how NASA missions using it help scientists answer big questions about our universe. Credit: NASAs Goddard Space Flight Center Resolve will help astronomers learn more about the composition and motion of extremely hot gas within clusters of galaxies, near-light-speed particle jets powered by black holes in active galaxies, and other cosmic mysteries. The Webb telescope captures similar spectra, but for infrared light. Webb's spectra have revealed the makeup of gas near active black holes and mapped the movement of this material toward or away from the viewer. Data from XRISM's Resolve instrument will do the same at higher energies, helping paint a fuller picture of these objects. Scientists studied NGC 7319, part of the visual grouping of galaxies called Stephans Quintet, using the Medium-Resolution Spectrometer (MRS) in the Mid-Infrared Instrument (MIRI) on NASAs James Webb Space Telescope. The galaxy contains a supermassive black hole that is actively accreting material. The spectrometer features integral field units (IFUs) each containing a camera and spectrograph. IFUs provided the Webb team with a collection of images of the galactic cores spectral features, shown here. Blue-colored regions indicate movement toward the viewer and orange-colored regions represent movement away from the viewer. Powerful radiation and winds from the black hole ionize hot spots of super-heated gas, creating the argon and neon lines. The hydrogen line is from colder dense gas in the central regions of the galaxy and entrained in the outflowing wind. The velocities are measured by shifts in the wavelengths of a given emission line feature. Credit: NASA, ESA, CSA, STScI XRISM is a collaborative mission between JAXA and NASA, with participation by ESA (European Space Agency). NASA's contribution includes science participation from the Canadian Space Agency. Provided by NASA By Saio Marrah Dr. Kandeh Kolleh Yumkella, Member of Parliament for Constituency 062, has questioned governments' continued hiring and increasing spending on an economy that has been projected to decline. Yumkella raised this concern to the Deputy Minister of Finance I, Dr. Patricia Nyanga Laverley, during the final day of debate for the Appropriation Bill 2021 at the well of parliament on Wednesday, 25th November. This bill will authorize the government of Sierra Leone, through the ministry of Finance, to spend from the consolidated fund for the service of Sierra Leone for the year 2021 and for other related matters. The opposition National Grand Coalition (NGC) lawmaker argued that it is stated in the bill that the country is expecting a contraction of the economy by 2.8 percent. He noted that reports in the international media suggested that the Covid-19 pandemic continues to rise in Europe and North America, which posed a threat to the global economy. Yumkella therefore wondered why the Sierra Leone government continued to hire and spend more amidst the uncertainty. The government has said the economy will contract by 2.8%. We also know that mining is not picking up yet, so I asked, where will you get the money to do all these things you are promising people. You know already we have problem with the university, Dr. Yumkella later told Politico in an interview after the proceeding. We also have a problem wherein we approve budgets with ministries [and] they dont receive their money, sometimes until after second quarters. If youre delaying giving them their money they cant perform and that is happening because we dont have enough money to be able to finance government operations. This is why I tell them dont spend more than you have, otherwise it will be what I called cut ya put ya. You pay some people, you delay [paying] the others for three months, then you pay them. You will be doing musical chair. Like now, you cant even meet the commitment to the universities. According to Yumkella, the government should rather take care of those it has on the payroll, by making sure it pays the teachers on time, preventing the strikes in the universities and to stick to the commitments, instead of hiring more and spending more. It also means you cannot have longer term prosperity. The hiring of more seven thousand teachers within the last two years and the hiring of one thousand health workers means more burden on the economy, he said. Copyright 2020 Politico Online The outrageous law enforcement assault on the Marion County Record newspaper raises a veritable forest of red flags. Why would a judge sign off on an apparently illegal search? What type of officials would willingly execute such an abuse of power? Could any convoluted sequence of liquor permit infighting possibly justify such drastic measures? Are we still living in a state and nation where the First Amendment of the U.S. Constitution applies? We dont know definitive answers to any of these questions yet, and the story may well still surprise us. In the meantime, the Record itself and Kansas Reflectors story offer starting points. What do we know? We know that law enforcement raided a newspaper, a destructive precedent This morning, though, Id like to write about a part of the story that we do know. We know that law enforcement officials raided the office of a news outlet and carted away computers and cellphones. On its own, with no other background or context, this sets an incredibly destructive precedent. Not just in Marion. [This opinion first appeared in the Kansas Reflector. Read the original here.] Newsroom raids in this country receded into history 50 years ago, said John Galer, chair of the National Newspaper Association and publisher of the Journal-News of Hillsboro, Illinois. Today, law enforcement agencies by and large understand that gathering information from newsrooms is a last resort and then done only with subpoenas that protect the rights of all involved. For a newspaper to be intimidated by an unannounced search and seizure is unthinkable in an America that respects its First Amendment rights. NNA stands by its community newspapers and calls upon top officials in Kansas to immediately return any property seized by law enforcement so the newspaper can proceed with its work. An attack on a newspaper office through an illegal search is not just an infringement on the rights of journalists but an assault on the very foundation of democracy and the publics right to know. This cannot be allowed to stand. Emily Bradbury, executive director of the Kansas Press Association, added strong words on behalf of local outlets: An attack on a newspaper office through an illegal search is not just an infringement on the rights of journalists but an assault on the very foundation of democracy and the publics right to know. This cannot be allowed to stand. Imagine for a moment that youre the editor and publisher of a small weekly newspaper somewhere else in Kansas. Imagine too that youve been speaking with a source about potential wrongdoing by a prominent resident. That resident happens to have a friendly relationship with the local police department. You know that publishing the story, even in the best of times, will create a firestorm in your little community. Now imagine that you read the coverage coming out of Marion County. You see that printing such a story or even reporting it might put you at risk of being raided. It might put your employees at risk. It might threaten the entire financial stability of your business. So do you publish the story? Or do you think twice? Do you potentially delay the piece for a couple of weeks until this all blows over? Well, do you? Thats the damage already done in Marion. Thats the damage already done to Kansas journalism. No matter how the story shakes out if officials return all the seized computers and cellphones this afternoon a message has been sent. That message conflicts with the tenets of an open society. It conflicts with free expression. It shuts down the ability of democracys defenders to do their jobs, informing and educating the public. Or as Record publisher and editor Eric Meyer told us yesterday: Its going to have a chilling effect on us even tackling issues. Whats more, it will have a chilling effect on people giving us information. A toothpaste tube has been squeezed, hard, and theres no getting all that minty fresh goo back inside its container. No matter the size of the outlet, no matter the reporter, the memory of this raid will linger. Stories will be slowed or go unwritten. Towns, cities, counties and entire states will lose out on vital knowledge about the misdeeds of powerful people. Thats why I care, and thats why the Reflector cares. Thats why journalists across this country, when they learn about what happened in Marion County, will care too. Look, I understand. Journalists and journalism can be pretty annoying at times. But no one should doubt our commitment to doing our best for both readers and our communities. Folks who stand in the way of us doing that job dont just pick a fight with us. They pick a fight with the people we serve. One more point. If you revere the Constitution as so many conservatives and liberals claim to do these days dont just sit back and watch. Step up to defend our shared freedoms. Because if the Marion County Record cant report and print freely, neither can the rest of us. And neither can you. Clay Wirestone is Kansas Reflector opinion editor. Through its opinion section, Kansas Reflector works to amplify the voices of people who are affected by public policies or excluded from public debate. Follow along for LIVE coverage of the Atlantic City Airshow. Check back for updates. 5:11 p.m. The 2023 Visit Atlantic City Airshow has concluded with the USAF Thunderbirds. Thanks for following along. Look to our website for an updated story and photo galleries from today's performance. 4:30 p.m. Thunderbird performers roared into Atlantic City just after 4:30 p.m., thrilling a crowd that waited two hours through a weather delay. Hailed as the U.S. Air Force's premier air demonstration team, the Thunderbirds were the headlining act and closed the show with a modified performance that took into account some lingering clouds still offshore. Once the pilots finished surveying their flight area and mapping out their plans, they immediately showed the crowd some love by creating a heat with their exhaust. Crowd cheers as the thunderbirds draw a heart in the sky! pic.twitter.com/sVOlHkTqbe Emma Desiderio (@EmmaDesiderio) August 16, 2023 3:48 p.m. Latest estimated time of flight for the Thunderbirds now pushed back to 4:25 p.m., said Capt. Kaitlin Toner, public affairs officer for the Thunderbirds. 3:27 p.m. The airshow's final performance, featuring a routine by the USAF Thunderbirds, won't proceed until 4 p.m. according to Capt. Kaitlin Toner, public affairs officer for the Thunderbirds. Toner, known officially as Thunderbird 12, cited some clouds and fog, but said the performance team would go on and that weather was gradually improving. When the show does go on, it will last 37 minutes, with an expected maneuver every seven to 10 seconds, Toner told WPG Radio Host Harry Hurley. 2:23 p.m. A short delay was just announced by airboss David Schultz, who said performances would be delayed about 25 minutes before resuming. The performances had been running about 15 minutes early. "We got sea fog coming in, give it five minutes," Schultz said in an interview on WPG Talk Radio 95.5 FM. 2:15 p.m. Daredevil pilot Scott Francis from Montclair, Virginia, shook things up with some aerobatics that will leave him bruised and sore later. Francis put his 355 horsepower, all-carbon fiber MXS aerobatic plane through a series of physics-defying turns, rolls and spins. A rock soundtrack with songs from Motley Crue, U2 and Foo Fighters matched the energy of Francis' performance. 2:00 p.m. Two vintage World War II P-51D fighters performed to patriotic music. 1:40 p.m. The U.S. Army Golden Knights, who opened the show when they brought the U.S. flag to the ground as the national anthem played, returned with a precision free-fall demonstration. The orchestrated jump is a crowd favorite. Here's a view from the air, provided during yesterday's practice run by the Golden Knights. 1:35 p.m. The arrival of the U.S. Marine Corps AV-8B Harrier II jet signaled a return to action. The vertical takeoff jet awed the crowd with its capabilities, while its loud performance engine kept the crowd's attention while it hovered. 1:13 p.m. A brief lull in the airshow, but that won't last. Skydivers are up next and the crowd is taking it all in, trying to stay cool. Jim Swomley from Brick Township has been coming to the Atlantic City air show for 15 years. We like it all, he said. Theyve always been good shows. @ACPressConklin pic.twitter.com/JKyEotoAsc Emma Desiderio (@EmmaDesiderio) August 16, 2023 1:05 p.m.: Pilot Patrick McAlee offered the crowds not just some acrobatics in his modified Pitts S1 biplane but also some narration from the cockpit. McAlee thanked the audience through some air-to-announcer communcations. He also thanked his sponsors as he flew his "Blue Demon aircraft and exhorted the crowd to try a "wave" on his final passes. 12:59 p.m.: A German Luftwaffe A400M Atlas held the crowd's interest for its unique size, sounds and maneuverability. 12:49 p.m.: A WWII-era B-25J Mitchell bomber, a replica of the medium-sized bombers used in a U.S. attack on Japan in response to Pearl Harbor, reenacted its bombing capabilities over the ocean, using watermelons instead of weapons. 12:34 p.m.: A demonstration by a U.S. Coast Guard MH-65E helicopter included the Coast Guard's well-known rescue swimmers, who entered the water offshore and then, in a twist, swam to the beach where the airshow crowds awaited them. An announcer urged the crowd to thank the arriving swimmers for their service. 11:24 a.m.: The U.S. Army Golden Knights kicked off the Atlantic City Airshow on Wednesday morning, jumping from passing planes and landing on the city's beach shortly before noon. The crowd cheered as the parachuters fell to the sand below. A flyby of the 177th Fighter Wing of the New Jersey Air National Guard was next on the list of acts set to entertain the crowd in Atlantic City Wednesday morning. Lots of excitement & cheering on the beach as the show begins with parachuters! @ACPressConklin @ThePressofAC pic.twitter.com/n3xG9pAH3i Emma Desiderio (@EmmaDesiderio) August 16, 2023 Shortly after the airshow's beginning, a fresh set of stunt planes took to the sky, flying low above the ocean and leaving the crowd in awe. 11:16 a.m. A strong crowd despite overcast conditions was in place along the beach about 15 minutes before the first airplanes were set to arrive. "We like it all, said Jim Swomley, of Brick Township, who has enjoyed the show for the past 15 years. Theyve always been good shows. Off the beach, others formed a crowd on the Boardwalk, awaiting the first performers. Corinne Wahlstrom, of Hudson Valley, New York, said Wednesday's airshow was her first in Atlantic City, extending her stay in the resort to witness the event. Wahlstrom often visits other airshows around the country, she said. 10 a.m. Crowds began forming on Atlantic City's beach in anticipation of the first round of airshow performers at 11:30. A lineup including the U.S. Air Force Thunderbirds and the 177th Fighter Wing of the New Jersey Air National Guard is set to entertain the Jersey Shore resort for the annual airshow. Today we are all here because the facts are clear, said Atlantic Count Councilwoman Caren Fitzpatrick. Supporting renewable energy will propel economic progress, create 1000s of union jobs, help our communities prosper, will improve public health and protect our coastlines. pic.twitter.com/ZXWPTGUJOr Selena Vazquez (@acpressvazquez) August 16, 2023 Meanwhile, representatives from local labor, environmental and community groups were holding a rally at the Carpenters Union Hall on South New York Avenue in support of offshore wind development before planes began filling the sky. Today, we are all here because the facts are clear, Atlantic County Commissioner Caren Fitzpatrick told about 30 supporters at the rally. Supporting renewable energy will propel economic progress, create thousands of union jobs, help our communities prosper, will improve public health and protect our coastlines. Protect our Coast, a group of offshore wind opponents, was on the boardwalk today. They believe rsted brought people in for the pro-offshore wind rally. Theres a chance this could be the last air show due to offshore wind plans, said Rose Willis, one of the group's members. GALLERY: 2023 Atlantic City Airshow CAPE MAY COURT HOUSE Lawyers for Cape May County and the company building wind turbines off the coast have settled a portion of a lawsuit pertaining to permits for environmental and utility investigations. Meanwhile, the county intends to contest a decision by a local judge ordering its clerk to log easements, as instructed by state energy regulators. Cape May County agreed to grant open-road permits to Ocean Wind LLC, a subdivision of rsted, the Danish company building offshore wind energy equipment off the Jersey Shore, according to a consent order signed by Superior Court Judge Michael Blee on Tuesday. Ocean Wind sued county officials last month, asking a judge to order that they recognize easements granted by the New Jersey Board of Public Utilities and grant open-road permits to study work related to the project. The courts ruling on Tuesday allows Ocean Wind 1, which continues to comply with stringent state and federal environmental requirements, to advance towards construction and operations as the states first offshore wind project, an rsted spokesperson said Wednesday in a statement. Ocean Wind 1 remains, as always, willing to engage in meaningful conversation with Cape May County as the project delivers on its commitments to New Jersey, bringing clean energy, good-paying jobs and local investment to the region. The lawsuit is one of several tied to offshore wind development. rsted, in suing the county, said officials not following state approvals has already delayed construction. According to Tuesdays consent order, the county agreed to approve open-road permitting requests for Ocean Wind. Those permits are for studies at 35th Street in Ocean City, which the company has eyed as a spot for running cables from the wind turbines onshore to connect to the regional energy grid. The scope of that work consists of 23 soil borings, two long-term monitoring wells and 40 test pits from 35th Street and West Avenue in Ocean City to Roosevelt Boulevard and Route 9 in Upper Township, the lawsuit states, adding it would take about three weeks to complete the work. Cape May County seeks dismissal of offshore wind lawsuit Cape May County is moving to have portions of a lawsuit dismissed over its withholding of easement and road permits pertaining to the construction of an offshore wind farm. Under Tuesdays order, the county agreed to issue the permits to offshore wind builders within two business days of the company submitting revised working hours, according to the document. After receiving the permits, Ocean Wind is to notify the court in writing, after which the lawsuits open-road portion will be dismissed, the order states. A hearing would be scheduled before Blee if the county fails to follow Tuesdays agreement, the order states. The work involved with the permitting wont be done until on or after Sept. 11, the order states. To limit interference with the summer tourism season, Ocean Wind 1 requested April 13 that the road application be issued within 30 days, allowing the work to be finished no later than the end of May, according to Julys lawsuit. The turbines in question are one of two sets rsted is building off the Jersey Shore. Gov. Phil Murphy has touted wind power as a way to wean New Jersey off fossil fuels and combat climate change. With one portion of the lawsuit resolved, Michael J. Donohue, the attorney representing Cape May County in the matter, said the defense plans to appeal Blees denial of a dismissal motion in the litigation involving easements. Blee, in Tuesdays hearing, ruled that County Clerk Rita Rothberg must abide by the BPU and record easements for the cables. A document regarding that decision was not available in online state court records Wednesday. We believe this easement was taken from the people of Cape May County by the Board of Public Utilities in an unconstitutional fashion and without required due process, Donohue said in a statement. Opponents sue to stop NJ wind farm tax break A group of offshore wind opponents argue a state tax break given to a company developing turbines off the coast is unconstitutional, according to a lawsuit filed Thursday. Donohue criticized a state law that allowed the BPU to claim easements for the project, saying the law wrongfully strips local officials of their say in the matter. While a handful of Trenton Democrats, including Senate President Nicholas Scutari and Assembly Speaker Craig Coughlin, have recently questioned offshore wind development, most pushback on the project has come from Republicans. Conservatives have argued offshore wind development may harm the areas tourism-dependent economy and the local environment. Cape May County, which has an all-Republican commissioner board, has openly criticized offshore wind development. Commissioners earlier this year passed a resolution opposing the project, directing all available resources of the county toward the goal of stopping rsteds Ocean Wind 1 and Ocean Wind 2 offshore wind development for the protection of our local environment and economy. Donohue said the county plans to oppose the project unless environmental impacts and a construction plan that eliminates concerns are shared publicly. Ocean Wind 1 plans call for up to 98 wind turbines about 15 miles out from the Jersey Shore, with the first power to be generated by 2024. rsted also is planning an Ocean Wind 2 farm, and another company, Atlantic Shores, is in the approval phase for its wind project. Additionally, wind power developers proposed four new projects off the Jersey Shore earlier this month. BRIDGETON The Cumberland County Office on Aging said Wednesday it will offer free produce to senior citizens. The program is through a grant with the state Department of Health, officials said in a news release. The county is very pleased to be able to again offer this program to our seniors," said county Commissioner and Office on Aging liaison Carol Muss. "This benefit may be used to purchase locally grown fresh fruits, vegetables and herbs. Deputy Commissioner and co-liaison Antonio Romero called today "tough times we are living in" and said "any assistance we can offer to our citizens to aid in better nutrition I fully support." Eligible seniors 60 and older must apply to the Farmers Market Coupons for the county in which they live. They will receive $50 worth of benefits to purchase Jersey Fresh items at eligible farmers markets. Income guidelines have been established at 185% of the federal poverty level. A household of one person can have a monthly gross income of up to $2,248, and a household of two can have a monthly gross income of up to $3,041 to be eligible. There are also guidelines in place for families of three or more. To apply, people must bring proof of age, proof of residence and proof of their 2023 income (or a Medicaid or SNAP card). The program is first-come, first-served, and the deadline to apply is Sept. 30. For more information, call the Office on Aging at 856-453-2220 from 8:30 a.m. to 4:30 p.m. Monday through Friday. Copyright 1995 - . All rights reserved. The content (including but not limited to text, photo, multimedia information, etc) published in this site belongs to China Daily Information Co (CDIC). Without written authorization from CDIC, such content shall not be republished or used in any form. Note: Browsers with 1024*768 or higher resolution are suggested for this site. 0108263 License for publishing multimedia online Registration Number: 130349 Registration Number: 130349 ATLANTIC CITY After nine months of effort and delays, demolition has started on a property reportedly used as a staging area by drug users and thieves who broke into Community Baptist Church nearby. Resident Andra Williams has been asking the city for action on the structure at 226 N. New Jersey Ave. since the second CitiStat meeting Nov. 30, saying illegal activities were happening inside the building, which was not maintained by its owner or properly secured. I was out there Monday, and stopped by and saw the guys yesterday, they are out there today still working, Williams said Wednesday. Its looking pretty good. Williams lives nearby, attends Community Baptist and comes to every CitiStat meeting to encourage directors to improve her neighborhood. My church members are really excited to see it coming down, Williams said. Some of it next to the store (Mousas Market) they had to take down by hand. Its so close to the store they couldnt hit it with the machine. Williams also said the workers doing the job were being careful and respectful of the neighborhood, clearing out debris before taking down another section. The smell was horrific. I asked them where their masks were, the smell was so bad, from the urine bottles and stuff. It was ridiculous, Williams said. They are to be commended. The building was filled with trash and human waste, which was visible as it came down. Long and winding road to demolition in Atlantic City ATLANTIC CITY The saga surrounding a single blighted building that has played out at city City Director of Licensing and Inspection Dale Finch reported on the demolition process at CitiStat meetings over the past few months, often optimistic it would soon happen, then reporting on complications holding up the process. Said complications included the need for an asbestos inspection, which took months, and most recently the need for an electrical box for a nearby store that was on the abandoned building to be examined by Atlantic City Electric and then moved by the store owner. They have mobilized, Finch said of Atlantic City Electric at the last CitiStat meeting Aug. 9. Its been a battle, but we are getting there. CitiStat is a system implemented by the local government and the state to address residents complaints. Meetings are held every two weeks and include equal parts resident complaints and city responses. Williams has said the property has been vacant since being purchased for $60,000 from the Special Improvement District of the Casino Reinvestment Development Authority in 1993. Local tax records list Jasper S. Reaves as a property owner. Those records also state the taxes due Aug. 1 were still open, and there was a lien on the property. Community Baptists Rev. Elias Thomas Jr. has said the property has attracted drug users and the mentally ill. Thousands of dollars of musical equipment and computers were stolen from his church by people who climbed out windows in the vacant building, walked across a nearby roof and broke into the church through windows, he has said. In 2018, the city placed a lien against the property for nonpayment of taxes, Williams said, but took no more action until she brought it to their attention at the CitiStat meetings. Staff Writer Eric Conklin contributed to this report. GALLOWAY TOWNSHIP Efforts to preserve the history of a 19th-century Jewish farming colony in South Jersey will be helped with a $100,000 grant, Stockton University said Wednesday. The grant from the Mellon Foundation will help the university's Alliance Heritage Center provide for the Alliance Colony, said to be the nation's first successful Jewish farming village, Stockton said in a news release. The colony in Pittsgrove Township, Salem County, was founded in 1882 by 43 Jewish families that escape persecution in Russia and Eastern Europe, Stockton said. Stockon has been developing a digital museum of the colony through the Alliance Heritage Center. The grant announced Wednesday will get Stockton students involved in creating and installing both permanent and traveling exhibits on the colony's history. "We want to take the next step beyond the digital museum, said Tom Kinsella, director of the Alliance Heritage Center. What the Mellon Foundation grant is going to allow us to do is bring a lot more students into the process of researching Alliances history. They are going to gain research, writing, editing and exhibition skills as they work with this material." Through the Alliance Heritage Center, Stockton students will create visuals for an interactive experience for in-person visitors to a chapel at the colony's site. That will tie into the digital archive launched in the past year, Stockton said. Students also will add to a traveling exhibit intended for various educational institutions and Jewish community centers in South Jersey and the greater Philadelphia region, Stockton said. This is taking history out of the textbook and presenting it in a way thats dynamic and relevant to the public, said Patricia Chappine, an adjunct history professor at the university. History of South Jersey Jewish farming colony brought to life at Stockton screening GALLOWAY TOWNSHIP A Jewish farming colony hidden away in the Pine Barrens and obscured by Pune Metro achieved a significant milestone on Independence Day as it recorded its highest-ever ridership in a single day, with an impressive 1.5 lakh passengers utilizing the service. This feat underscores the growing popularity and utility of the Pune Metro system among the city's residents and visitors. The remarkable achievement coincided with the inauguration of the extended lines of the Pune Metro by Prime Minister Narendra Modi during his visit to the city on August 1. The event marked a historic moment as the Prime Minister unveiled the Vanaz to Ruby Hall Metro Station route and the Civil Court Metro station to Pune route, expanding the reach and accessibility of the metro network. The introduction of these new metro lines has evidently resonated with the people of Pune, as demonstrated by the surge in ridership on Independence Day. This accomplishment not only reflects the city's enthusiasm for efficient and sustainable modes of public transportation but also showcases the significant role that the Pune Metro is playing in transforming the urban commuting experience. With the extension of the metro network, residents and commuters now have enhanced connectivity options, contributing to reduced traffic congestion and environmental benefits. The surge in ridership on the day of Independence serves as a testament to the city's embrace of modern transportation solutions and signifies a step forward in Pune's journey towards an efficient and interconnected public transportation system. The record-breaking ridership on this auspicious day adds to the momentum of Pune Metro's progress and its ongoing commitment to providing a convenient and accessible mode of transit for the city's populace. On the occasion of Independence Day, Kashish Social Foundation gave sanitary napkins to women in around 350 homes in front of Mahatma Gandhi Colony, Patil Estate, in the Shivajinagar neighbourhood of Pune. On behalf of the Kashish Social Foundation, sanitary napkins were distributed in distant and slum regions under the presidency of Yogesh Pawar, also known as the "Pad Man of Pune." Under this program, sanitary napkins were provided in Pune. On this occasion, Pune's pad man Yogesh Pawar, veteran actor Sunil Godbole, Pooja Wagh, Priyanka Misal, Anjali Raghunath Wagh, Archana Maghade, Rohit Godbole, Shweta Oval, Tejas Raibhar, Dr. Manoj Deshpande, Ad Swapnil Joshi, Avinash Bhekere, Sunny Karose, Hira Shivangi, Mohan Koli, Silo Ghadge, Jagdish Pardeshi, Nitin Gaikwad, Mahatma Gandhi Public Ganeshotsav Mandal etc. dignitaries distributed from house. Yogesh Pawar discussed this activity and mentioned that Kashish Social Foundation is working to raise public understanding of the menstrual cycle, a highly sensitive and vital topic. They held a charity fashion show for doctors as a part of this program, as part of which 1 lakh sanitary napkins will be distributed. Out of which, 40,000 sanitary napkins have already been given in rural parts of the Satara and Pune districts. Even in urban and rural areas, according to veteran actor Sunil Godbole, most families avoid discussing menstruation out loud. The physical and mental state of women in this age, therefore, appears to be little understood. In light of this, Kashish Social Foundation's initiative to raise public knowledge about menstruation hygiene is praiseworthy. Fair Oaks Foods is not ready to bring home the bacon just yet. The company has broken its silence on the progress of a $132 million facility, originally expected to open next year. Fair Oaks officials late Monday said they are continuing to work on the "opportunity to build a facility in Davenport." "We have taken a pause due to higher projected costs, resulting from the pandemic. We are diligently working through these challenges and will share updates as we have them." As of Monday, there was no work done on the site. Construction costs have risen 82.5% since 2020 according to Construction Dive. For 2023, the price increase of steel was 22%, wood 16% and concrete 15%. The news comes after months of silence from the bacon company, which announced its arrival to the Quad-Cities last summer. Fair Oaks is based in Pleasant Prairie, Wisconsin, where it has active listings for jobs. Located at 2951 Enterprise Way, the building is expected to be 150,000 square feet and sit on 31.5 acres within the Eastern Iowa Industrial Center. Last year, Fair Oaks COO Joe Freda told a reporter that Davenport beat out 176 other location options. He also said the goal was to have all employees hired and ready to work by the end of 2023 with a goal of opening the facility in early 2024. As of last week, Freda is no longer with the company. According to previous reporting, two site visits were conducted in 2021, and the project came to fruition in about a year. With the green light given, a $180 million economic impact was expected for the area. It was expected to employ 247 people with an average wage of $23.95. Cities with the biggest increase in multi-family home construction Cities With the Biggest Increase in Multi-Family Home Construction Multi family home construction slowed during COVID before rebounding last year Multi family housing is most common in the Northeast Pennsylvania and New Mexico reported the largest increase in multi family home construction since COVID Small and midsize cities with the biggest increase in multi family home construction 15. Oakland, CA 14. Arlington, TX 13. Miami, FL 12. Fort Worth, TX 11. Wichita, KS 10. Portland, OR 9. Seattle, WA 8. Denver, CO 7. Raleigh, NC 6. Milwaukee, WI 5. Anaheim, CA 4. Aurora, CO 3. Dallas, TX 2. Philadelphia, PA 1. Louisville, KY A police officers gut instinct and a detectives enhanced sense of smell took center stage Wednesday during the trial of the Davenport man accused in the 2020 murder of Breasia Terrell. Henry Dinkins faces charges of first-degree kidnapping and murder. His trial was in its fifth day. Breasia, 10, and her younger brother, who was 8 years old and is identified in court by the initials D.L., spent the night at the apartment Dinkins shared with his girlfriend, Andrea Culberson, on the night she last was seen. D.L. is Dinkins son. Five witnesses were called by Scott County Attorney Kelly Cunningham and assistant prosecutor Liz ODonnell, including Davenport police officer Craig Burkle and Davenport police Det. Elizabeth Tharp. A disturbing scene Burkle took the witness stand Wednesday and testified that Things were just not sitting right after he responded to the report of a missing child on the morning of July 10, 2020. Burkle shared his unease with a supervisor and a large-scale search for the missing girl was launched. Answering direct questions from Scott County Attorney Kelly Cunningham, Burkle said he arrived at the Jersey Meadows apartment complex after 8:30 a.m. Burkle testified that Breasias mother, Aishia Lankford, was in the apartments parking lot when he arrived and was soon joined by Dinkins. He told the court Lankford and Dinkins began to argue after Lankford repeatedly said You lost my child and Dinkins repeated I woke up and she was missing. Cunningham showed Burkles body camera footage of the officers initial contact with Lankford, Dinkins and, later, Culberson. Lankford left the apartment complex and later returned with pictures of Breasia. Police officers used those pictures as they canvased the area, including more than 100 apartments at the Jersey Meadows complex. After speaking to Lankford and Dinkins, Burkle searched apartment No. 8, where the children had stayed with Dinkins and Culberson. Burkle found no trace of Breasia and when he left the apartment, he testified that Dinkins was gone. I called him. I called the number he gave me, Burkle testified. I got no answer. Burkle told the court he called Dinkins six more times before texting him at 10:43 a.m., roughly two hours after he first called Dinkins. He did not return the text, Burkle testified. Burkle then told the court: (Dinkins) called me at 12:04 (p.m.) and said he was walking into the police station. During Cunningham's redirect, Burkle said he found it disturbing that Dinkins left the scene. When Cunningham asked if was unusual that Dinkins left, Burkle answered Yes. Burkle testified that after the search and Dinkins' departure, he made the decision to call his supervisor. During cross-examination defense attorney Chad Frese established that D.L. left with Lankford and when she returned, the boy told Burkle that Dinkins woke Breasia up in the middle of night and said, Lets go. While questioning Burkle, Frese established that D.L. never mentioned seeing a bloody knife or being in the car when Breasia was shot. The boy testified Monday that he saw Dinkins shoot Breasia. An "enhanced" sense of smell? Tharp testified Wednesday that she was seven, almost eight months pregnant and was working a light-duty desk shift on July 10, 2020 when Dinkins parked his 2007 maroon Chevy Impala outside the Davenport Police Department at 12:04 p.m. While Dinkins spoke with police officers, Tharp and crime scene technician Jill Foster were tasked with checking the Impala when it was taken in to be searched. Tharp explained she checked the cars trunk to see if Breasia was there. Cunningham asked Tharp if she had an enhanced sense of smell because of her pregnancy. Tharp said she did. When asked if she smelled anything when the trunk was opened, Tharp replied, A strong odor; a chemical bleach smell. Cunningham asked if the smell of bleach was part of the information used to establish probable cause for later search warrants executed on the Impala. Tharp said it was. During cross-examination, defense attorney Chad Frese asked Tharp, Did the crime scene technician, Jill Foster, say she smelled bleach when the trunk was searched? No, Tharp answered. Frese asked if Tharp was attributing the ability to smell bleach to your pregnancy? My sense of smell was significantly heightened, Tharp replied. Frese pressed Tharp about the smell, and she testified, I smelled bleach very definitely. After questioning Tharp, Frese established that Tharp never saw any evidence that bleach had faded the colors of any surface in any portion of the Impala. Testimony is expected to resume Thursday. Photos: Breasia Terrells Garden at Monroe Elementary School Davenport police thought Breasia Terrell may be dead after just a day of investigating the 10-year-old's disappearance. Testimony from Tuesday, the fourth day of Henry Dinkins' trial, revealed investigators from the Davenport Police Department called in cadaver dogs to search two areas around Credit Island. Breasia and her younger brother, who was 8 at the time, spent the night with Dinkins before she was reported missing on July 10, 2020. Dinkins is charged with first-degree kidnapping and murder. Her remains were found by three fishermen on March 22, 2021 near a farm pond roughly two miles north of DeWitt in Clinton County. Somber revelation On July 11, 2020, a pair of human-remains-detection dogs alerted on two sites around Credit Island during the early stages of the search for Breasia. The first location was in a wooded area not far from the entrance to the island. The second was soil under a camper trailer near Credit Island that investigators think Dinkins may have used. The dogs, a German Shephard named Rocky and a Blue Heeler called JoJo, also alerted on the back portion of a recreational vehicle that had been transported from the site near Credit Island. The RV sat just 50 feet west of the camper trailer. Jim Peters testified his human-remains-detection dogs reacted to the odor of decomposition or blood during a search of a wooded area near the entrance to Credit Island. The dogs then alerted on the ground below a camper trailer believed to be used by Dinkins that was located near the island. Peters then explained that because of prevailing winds, he wanted the dogs to search other areas and items west of the camper trailer. That led investigators to look at the recreational vehicle, which had been positioned near the camper trailer. The dogs alerted on the RV. Answering direct questions from Scott County Attorney Kelly Cunningham, Peters told the court that the dogs also alerted on the maroon Chevy Impala that investigators say Dinkins drove the night Breasia disappeared. Peters explained that his dogs detect human remains through the scent of fluids and tissue. Peters' testimony made it clear that evidence suggested Breasia may have been dead by the time she was reported missing at 8:29 a.m. July 10, 2020. But the dogs have no way of determining if the odors of human remains were those of Breasia. Peters could only say there had been human remains in two of the areas investigators were searching. Dinkins defense attorney Joel Waters pointed out Peters also testified human-remains-detection dogs can smell remains from 1,000 years ago and raised the possibility that Peters' dogs hit on the remains of some other person at Credit Island. Now retired, Peters owned and operated Samaritan Detection Dogs at the time Breasia disappeared and was asked by the Davenport Police Department to aid in the search. His testimony may have lent some support to stories told by earlier witnesses. During testimony Friday and Monday, Breasias brother identified in court by the initials D.L. said Dinkins took him to Credit Island on the morning of July 10, 2020 and cleaned a large bloody knife. Andrea Culberson, who lived with Dinkins in an apartment at the Jersey Meadow complex, testified Monday that the last time she saw Breasia, the girl was standing beside Dinkins' maroon Impala at around 3:30 a.m. on July 10, 2020. That testimony places Breasia in the car before she disappeared. Waters asked Peters if the records of who owned the Impala before Dinkins were searched and if someone other than Breasia could account for the scent of human remains in the car. Peters said it was possible. Another revelation Davenport police Lt. Jason Ellerbach was the last of the state's five witnesses who testified Tuesday. Cunningham questioned him about organizing the search for Breasia and how video surveillance of Dinkins at a Walmart in Clinton during the early morning hours of July 10, 2020, led to the large-scale searches of the rural areas around Camanche and Low Moor. But the crucial moment of Ellerbach's testimony came when he was cross-examined by Dinkins' attorney, Chad Frese. Frese first asked if it was true "that Henry Dinkins was the focus of the Davenport Police Department's investigation from the time Breasia was reported missing?" Ellerbach responded: "I would say he was a person of interest." Frese then asked if the Davenport police department's "theory" was that Dinkins killed Breasia. Ellerbach said the department believed that "it was a strong possibility" that Dinkins murdered the girl. Finally, Frese asked: "Isn't it true that the Davenport Police Department wouldn't have brought in cadaver dogs unless it was thought that the little girl was dead?" Replied Ellerbach: "I would agree with that statement." A Clinton man who pleaded guilty to voluntary manslaughter, first-degree burglary and abuse of a corpse in connection with the Feb. 23, 2021, shooting death of 29-year-old Khalil Said Pugh and then dumping his body in a dumpster has been sentenced to a total of 40 years in prison. During a hearing Aug. 10 in Clinton County District Court, District Judge Meghan Corbin sentenced Lewis Vaughn Sr. 45, to consecutive sentences of 10 years on the Class C felony charge of voluntary manslaughter, 5 years on the Class D felony charge of abuse of a corpse, and 25 years on the Class B felony charge of first-degree burglary. While the sentences are running consecutively, or back-to-back, there is no mandatory minimum sentence Vaughn is required to serve before he is eligible for parole. Corbin also ordered Vaughn to pay $150,000 to Pughs heir, Kharter Pugh, who is a minor. Vaughns trial in April on a charge of first-degree murder ended in mistrial. On July 10, Vaughn pleaded guilty to the charges for which he was sentenced. Vaughns wife, Jessica, 36, is charged with one count of abuse of a corpse. A pretrial hearing in her is scheduled for Aug. 17 in District Court. She remains free on bond. The Vaughns were arrested Aug. 31, 2022, in connection with the case. According to the arrest affidavits filed by Clinton Police Detective Joshua Winter, and the search warrants filed by Clinton Police, at 10:26 a.m. on Feb. 24, 2021, Clinton Police were sent to the Hawthorne Woods Apartments, 847 Gateway Ave., Apt. 12, for a welfare check after the property manager received a note that said a shooting had occurred in apartment 12 and not to notify the police. The manager told police the apartment belonged to JaKwane Polidore, 28. Pugh also lived at the apartment. Officers went to the door but got no answer. There was a strong smell of marijuana. Police were granted a search warrant for the apartment, and while searching, officers located a spent 9mm shell casing in the hallway and a large amount of blood in the living room. Officers also located a pillow with a hole going through it and a large amount of blood and tissue on the backside. There also were skull or bone fragments on the mattress in the living room as well as a large pool of blood. More blood was located on the apartments balcony, and a bullet hole was located in a back bedroom wall. The investigation revealed the Pugh was with Vaughn on Feb. 23, 2021, before going to the apartment he shared with Polidore. Investigators located Pughs vehicle outside the apartment building. Pughs cell phone was located in the vehicle. Pughs family had not heard from him since Feb. 23, 2021, and he had not reported to work. There also was no financial activity related to Pugh since that date. During a canvass of the neighborhood around the apartment, neighbors reported three people being at the apartment and heard sounds of an altercation. Neighbors also told police they heard gunshots. Following the arguments and gunshots, neighbors told police they saw the maintenance mans truck, a Chevrolet K1500, back into the parking lot outside of 847 Gateway Avenue. Vaughns cell phone records showed that he left the area of 847 Gateway Avenue and went to the area of 700 S. 32nd Street in Clinton where he knew some people. Police learned in an interview with those residents that Vaughn had reached out to them about having a dead animal that he needed to dispose of in the dumpster. The residents then saw Vaughn leave in his truck and stop at the dumpster located at the entrance to the property. The residents denied knowing what it was Vaughn had placed in the dumpster. The next day at Hawthorne Woods Apartments, Vaughn got one of the other maintenance workers to help him shovel snow over blood that was in the parking lot. The maintenance worker told police that Vaughn told him he had cleaned blood out of the back of the truck. Police obtained a search warrant for the truck and located what was determined to be human blood. A DNA profile of the blood in the truck matched the DNA profile of the blood found in the apartment. Investigators went to 700 S. 32nd Street and got permission to search the dumpster. The dumpster had been emptied but blood was recovered that matched the DNA profile from Vaughns pickup and from the apartment. Jessica Vaughn admitted to police to owning several firearms but did not know where one of them, a 9mm handgun, was located. She had not reported the gun missing or stolen. Police were able to establish a DNA profile of Pugh. The DNA of the profile matched the blood found in the apartment, Vaughns truck and the dumpster. During the course of the investigation, it was alleged that there was a physical altercation between Vaughn and Pugh. Vaughn shot Pugh twice, causing his death. Pughs remains have not been located. Lewis Vaughn currently is on probation in Clinton County until Aug. 18, 2024. He was sentenced Aug. 18 to serve two years on probation after pleading guilty in April to a charge of being a felon in possession of a firearm. It was in the apartment shared by Polidore and Pugh that Winter located the firearm that led to Lewis Vaughns conviction for being a felon in possession of a firearm. The gun, a tan FMK Recon 9mm was wrapped in a gray sweatshirt and stashed in a black safe in the apartments bedroom closet. Winter found the weapon Feb. 26, 2021, two days after authorities were called to the apartment and found evidence of a violent crime, according to Clinton County District Court electronic documents. Polidore had been charged in Clinton County District Court with one count of possession with the intent to deliver no more than 100 grams of cocaine, and with violating Iowa drug tax stamp law. During a plea hearing July 25 in District Court, Polidore pleaded guilty to the violation of Iowas drug tax stamp law, a Class D felony that carries a prison sentence of five years. The charge alleging cocaine trafficking was dropped. He is scheduled to be sentenced Aug. 31, and remains free on bond. One person was killed and another injured when the UTV they were riding crashed into a tree in Clinton County, the Iowa State Patrol said. The crash occurred at 3:04 p.m. Tuesday at 1113 2nd St. in Camanche. According to the Iowa State Patrol, a 2011 Polaris UTV, or utility terrain vehicle, was traveling along in the 1100 block of 2nd Street when for an unknown reason the vehicle left the roadway and struck a tree. One of the riders was killed while the other was injured. Both the deceased person and injured person were taken to MercyOne Clinton Medical Center. The condition of the injured person was not available late Tuesday. The crash remains under investigation by the Iowa State Patrol. The Rock Island Fitness and Activity Center is receiving thousands of dollars in renovations. The total budget for remodeling the city-owned facility is $707,000. Last fall, the city allocated $750,000 in American Rescue Plan Act, ARPA, funds for remodeling, and the city council in March approved Streamline Architects to provide design and oversight services for $28,650. Assistant Parks and Recreation Director Todd Winter presented the remodeling project to council members Monday, Aug. 14th, and the council approved the use of the ARPA funds for the project. He said requests for proposals were released in small, specialized segments to get more competitive bids. The Parks and Recreation Department originally released a Request for Proposals for the project as a whole, Winter said, but rejected the bids since they came back over budget. Bids were requested for locker replacement, steam and sauna replacement, pool windows and doors, a pool amenity to replace the diving board, new locker room flooring, preschool entrance wall, and exterior building maintenance. Locker, steam and sauna replacement will be done by the Henley Group. Locker replacement will cost $165,000 and steam and sauna replacement $188,000. Valley Construction will handle replacing pool windows and doors for $112,062 and locker room flooring for $80,890. The preschool glass wall will be done by East Moline Glass for $21,000. "These funds will provide a once-in-a-lifetime opportunity to address significant upgrades at the RIFAC and help us set ourselves up for success in the long run," Winter said. The diving board also will be replaced with a vortex pool slide for $32,000. The diving board, Winter said, has been grandfathered into the pool and if they were to remove and repair it and then try to put the diving board back, they would need to make the pool deeper, based on current state guidelines. Replacing it with a slide will not require any changes to the pool. The glass wall will be installed in the lobby area where the preschool classrooms are located. The fitness center has three classrooms and more than 60 students. The classrooms themselves are secured but when walking into the building, Winter said, there is no security. "It would be a nice, attractive security feature that would put our parents, our students, and our teachers at ease," he said. The budget also has a contingency fee of $22,723. Exterior work on the building will cost $85,325 and will be done by Bi-State Masonry. Outside maintenance includes removing and replacing cracked or deteriorated bricks; cutting out and recaulking all control/expansion joints; removing and replacing grout joint that is deteriorated or missing; and more. "It's been a pillar of our community for over 40 years," Winter said. "It's our main health and wellness facility that provides education opportunities, enrichment, youth development, and recreational activities." Water meters Council also approved the purchase of $885,419.07 for new residential water meters and an automated meter reading system from Ferguson Waterworks. The replacement is part of a five-year project to change out residential water meters. The public works department will be placing the first order of 3,000 water meters, along with all radio endpoints, software, training, and data installations for these meters at a total of $885,419.08. The new water meters and automated reading system will allow the city to do monthly water readings and billing in the future. Meter installations are likely to begin in the last quarter of 2023 and will start north of 18th Avenue on the northwest side and heading east. The first phase has $1.5 million allocated through ARPA funds. Public Works Director Mike Bartels said the city has about 14,000 residential meters that will need to be replaced over the next five years. The price for the meters over the next five years, Bartels said, is likely to stay consistent at around $885,000 annually. The last time a mass meter changeout took place in the city was 1988-2000. Meters generally have about a 20-year life expectancy. Bartels said the monthly reading switch will not happen instantaneously and that the switch will happen once the installation of all meters is completed at the end of the five-year mark. Downtown changes A roundabout is likely to come to downtown Rock Island at 2nd Avenue and 18th Street. Project Architect Saloni Sheth said the roundabout will reduce speeds to 20 miles per hour and will help reduce how many lanes a pedestrian will need to cross. It also helps establish a focal point downtown, she said, which will help with keeping the flow of traffic moving. Plans were presented to council members on updates for the downtown, with the biggest changes taking place between 18th and 19th Street, where the pedestrian mall is located. The plaza would be removed and reconstructed to provide two lanes of traffic going in opposite directions. It also includes widening sidewalks to allow for outdoor dining. Other improvements include murals and string lighting in Arts Alley, consistency in planters and light posts and a downtown dog park, and lighting up the WHBF tower. Lights will be able to change on the tower. The dog park is being proposed at 308 21st St., which currently is greenspace next to DuMarche Markets on 3rd Condos. The Illinois Casualty Company owns the parcel and donated the land along with $100,000. The project is being proposed to include chain-link fencing and gates, synthetic turf, light poles, water service and drinking fountains, shade structures with a bench and concrete pad, artist mural coordination, and a gateway entrance structure. Bids will be released for the projects in January 2024 with the goal of having construction begin as early as April 2024. American soldier crossing border into DPRK disillusioned with American society: KCNA Xinhua) 15:37, August 16, 2023 SEOUL, Aug. 16 (Xinhua) -- The Democratic People's Republic of Korea (DPRK) released the findings of an ongoing investigation into an American soldier crossing the border into the country last month, attributing his motivation to disillusion with the inequality of American society, reported state media on Wednesday. According to the official Korean Central News Agency (KCNA), Travis King, a private second class of the U.S. military based in South Korea, "illegally intruded" into the territory of the DPRK on July 18. On that day, Private King blended in with tourists to the Joint Security Area of Panmunjom, a demilitarized zone on the Korean peninsula. According to the report, the soldier crossed the military demarcation line separating the two Koreas and was subsequently taken into custody by the DPRK soldiers on duty. King himself had admitted his illegal intrusion. The report stated that King's decision to cross into the country was due to his ill feelings toward "the inhuman maltreatment and racial discrimination within the U.S. Army." The soldier expressed his willingness to seek refuge in the DPRK or a third country, saying he was disillusioned with the inequality of American society, it added. On the day of the incident, U.S. Defense Secretary Lloyd Austin confirmed that a U.S. serviceman from the U.S. Forces Korea had crossed the joint security area of Panmunjom into DPRK territory without authorization. The investigation continues, said KCNA. (Web editor: Zhang Kaiwei, Wu Chaolan) The Sioux Falls School District updated the school board on its hiring efforts for the school year Monday night, highlighting increases to teacher diversity along with job opportunities still open for the school year. Superintendent Jane Stavem said during the meeting she was "pleasantly surprised" to see the district's human resources department fill so many positions while workforce and staffing shortages in education continue to be an issue across the U.S. "We are in a really good shape, all things considered," Stavem said. Heres a highlight of how staffing looks across the district ahead of the first day of school later this month. Increasing teacher diversity The Sioux Falls School District welcomes 146 new teachers to the classroom this year, 75 of whom are new to the profession and 59 of whom served in other roles in the district last year. Twenty-three of the teachers are also alternatively certified, which allows teachers with a degree or experience in a certain content area to receive full teacher certification despite not going through an education program. Of the new hires, 13% are diverse. These new hires raised the districts overall teacher diversity rate to 5.33% from 5.08% last year. This count is taken as part of the districts goal to hire staff that reflect the city's diversity. Teacher diversity leads to more meaningful teaching and learning for students, and helps students develop empathy, cultural competence, security and belonging, according to the National Board for Professional Teaching Standards. Of the new teachers hired this year, 26% are also from out-of-state, including 17 from Minnesota, seven from Iowa, five from Colombia, two each from Alaska, Nebraska and Wisconsin, and one each from Arizona, Arkansas, Bosnia, Guatemala, Kentucky, Montana, Nevada, New York, the Philippines, Spain and Texas. Teachers, support staff still needed The district is still hiring for two elementary classroom teachers, a middle school recovery teacher, a middle school language arts teacher, three full-time special education teachers and one part-timer, a part-time speech language pathologist and a senior Marine instructor for JROTC. Administrators will continue to interview candidates for these positions until theyre filled, or alternative schedules or staffing arrangements are made, according to a board report. More than 900 candidates applied for district teaching positions this year, the district reports, with 48% of those applicants coming from South Dakota. Usually, 65-70% of applicants are from South Dakota. Candidates from outside the U.S. accounted for 34% of applicants. In addition to five teaching jobs, 106 support staff vacancies are listed on the districts website. 150 more substitute teachers also must be hired by mid-October to replenish the substitute staff pool to meet schools needs, the district reports. One hundred and thirty-one teachers resigned in the 2022-2023 school year, compared to 139 who resigned in the 2021-2022 school year and 87 in the 2020-2021 school year, the district reported. A quarter of the teachers who left last year went to teach in a nearby school district, and another 8% left to teach out-of-state. About 20% left the teaching profession, 12% moved and 16% resigned to stay home with children or family. Other reasons for resignations included teaching at a distant district in state, teaching at a university, returning to health care, going to graduate school or resigning for a health-related reason. This story was originally published in the Sioux Falls Argus Leader. Every year, the Summer Nights Board of Directors dedicates a night to honor military members and veterans for their service. The community will honor military heroes on Thursday, August 17 from 6 to 9 p.m. Service members from Ellsworth Air Force Base, the South Dakota National Guard, and numerous veteran service organizations will be in attendance. All tips collected at the beverage stations will be donated to Folds of Honor South Dakota, which is a nonprofit organization that provides educational scholarships to the spouses and children of military members who have fallen or been disabled while serving in the United States Armed Forces. The Summer Nights events would not be possible without the volunteer contributions of military personnel from Ellsworth AFB. Each week, more than 30 Air Force volunteers donate their time to help set up and tear down the event. We are looking forward to honoring both active duty and veteran men and women, said Judd Nielsen, board president of Summer Nights. We are not only grateful for their service to our country, but we are thankful we have so many volunteers from Ellsworth who help make Summer Nights a success every week. Event-goers will be treated to the sounds of SGT Rock from the 147th Army Band of the South Dakota Army National Guard who will hit the Rockstar Energy Stage sponsored by AARP. SGT Rock is made up of eight soldiers from South Dakota, North Dakota, Nebraska, Minnesota and Iowa who collaborate to bring a powerful message of patriotism and support to communities large and small. Theyre fueled by the love they have for their country and their passion of representing their fellow soldiers through music. This band has performed throughout the country and across the globe. A coalition of Indigenous tribes is increasing pressure on the Canadian government to respond to their concerns over transboundary water pollution coming from Teck Resources coal mines in British Columbia. The Confederated Salish and Kootenai Tribes in Montana, Kootenai Tribe of Idaho and the transboundary Ktunaxa Nation sent reminders on Aug. 11 that Canadian Prime Minister Justin Trudeau had pledged to meet this summer with U.S. President Joe Bidens administration on reducing and mitigating the impacts of selenium and other mine-related contaminants getting into the Elk and Kootenai rivers. Selenium in particular has been connected to significant declines in fish reproduction in the river system, along with massive fish kills near the mine site itself. We continue to see impacts of coal mining more than a hundred kilometers downstream of these coal mines, Vice Chairman of the Kootenai Tribe of Idaho Gary Aitken Jr. wrote in an email on Friday. We see our fish populations declining despite our own hatchery efforts to sustain them. We see our waters becoming worse. While pollution loads continue to increase, we see less than 20% of the selenium load being removed, despite nine years of implementation of a provincial plan to reduce and mitigate water quality concentrations. We are watching our river suffer as the regulators stand by and watch. The contamination is traced to four mountaintop-removal coal mines and other legacy coal production areas operated by Teck Resources in southern British Columbia north of Eureka. Canadian and U.S. government research found selenium levels more than 100 times levels considered safe for aquatic life in the Fording River downstream of the mines. In 2021, Teck paid a Canadian national-record $60 million (CA) fine for water contamination. It was fined another $2.2 million (CA) in 2023 for releasing effluent into the Columbia River. On July 20, the Ktunaxa Nation submitted a proposal to officially involve the International Joint Commission to conduct an independent, transparent and accountable scientific assessment of pollution in the watershed and perform ongoing monitoring implement solutions, restore the waters and address current violations of the Boundary Waters Treaty, the U.S. Clean Water Act and the Canadian Fisheries Act. Canada and the U.S. created the IJC over a hundred years ago, under the Boundary Waters Treaty, to address transboundary water issues exactly like this one, CSKT Chairman Tom McDonald said in a statement on Friday. Our solution includes this IJC process because it is transparent, inclusive, and accountable. Weve also included an action plan in addition to the IJC process because we recognize there is an immediate need for action to begin restoring these waters that are so central to the Ktunaxa people. Now all we need is for Canada and the U.S. to sign onto the Ktunaxa proposal so we can get to work. According to Ktunaxa spokesperson Trish Barnes, Canada and the U.S. were poised to refer the mining contamination issue to the IJC, but Canada abruptly halted further engagement, leaving both Ktunaxa and the U.S. hanging. Barnes said a government documents investigation later revealed that Canadas abandonment of an IJC reference in 2022 was the result of intense pressure by industry and the Province of British Columbia. But new leadership in British Columbia has resulted in a surprising but long overdue turn of events, Barnes stated on Friday. Following the transboundary Ktunaxa proposal last month, British Columbia sent a letter to the Canadian Federal government indicating that the Province is now receptive to IJC involvement in the Kootenai/y watershed. Former British Columbia Premier John Horgan joined the board of a spin-off coal company that might have taken over Tecks coal operations shortly after leaving government. BCs Green Party leadership subsequently called for amendments to the provinces conflict of interest rules to prevent such moves, according to the Globe and Mail. Teck Resources did not return requests for comment by press time on Monday. It is Canadas largest diversified mining company, and this year has been evaluating a plan to sell off its coal operations from its base metal mines in order to expand copper and zinc activity, according to the Canadian Broadcasting Co. That plan got kinked last spring when Swiss-based Glencore made a $25 billion (CA) hostile takeover bid of Teck. When Kaylene Big Knife was 17 and working as an assistant for a print shop at Stone Child College, she never imagined that shed one day produce an art piece for a video game giant. But this summer, thats exactly what happened. In June, Big Knife got a call from Xbox, a video gaming brand owned by Microsoft, asking if shed be interested in doing a project. Big Knife jumped at the opportunity, but so did lots of Indigenous artists, who entered into a pool hoping to be selected by Xbox. Big Knife and other Native graphic designers submitted portfolios and presentations. After several rounds, Big Knife, who is Chippewa Cree and grew up on the Rocky Boy Reservation, was selected to create a piece for the gaming giant as part of its campaign to increase representation of Indigenous people. Once she was selected, Big Knife and the Xbox team entered several rounds of design decisions. First, Big Knife presented three mood boards, and the Xbox team picked one, which featured ribbon skirts and ribbon shirts. Next, she submitted artwork based on that concept and entered several rounds of revisions with Xbox. It was a crazy amount of deliverable assets, Big Knife recalled. I think I turned in more than 40 different designs. Its definitely one of the most intense projects Ive ever been a part of. A few months later, Big Knife had her design. Her piece featuring deep purples, bright oranges and vibrant greens includes flowers, geometric shapes and the "X" symbolizing Xbox as the glowing sun. She said she intentionally chose a balance of cool and warm colors and avoided typical design schemes, like portraying a yellow sun against blue sky. The piece, called "Dancing in the Arbors," is featured on Xboxs social media pages, and players can use it as a free wallpaper, profile picture or for their avatar in games. Big Knife drew inspiration for the piece from an old black-and-white photograph of her great-grandfather. The floral designs in her graphic mirror the flowers on his regalia. Overall, the design scheme is reminiscent of summertime in Rocky Boy, Big Knife said. During powwow, Id be at my Grandma Minnies house. She lives over the hills, and when the powwow goes late into the night, people are still out there, there are stars and lights on the arbor. Its a very lively place. Big Knife studied at Stone Child College on the reservation and went on to earn a degree in graphic design from the Institute of Native American Art. She also has degrees from the University of Arizona and University of Montana. In her early college years, Big Knife said she played a lot of Xbox and made lifelong friendships through gaming. Its a dream come true, she said of the piece. I never thought Id be doing something like this for a video game giant like Xbox. Its just funny to see life come full circle. It was just an incredible experience. Despite her success, Big Knife said her pursuit of graphic design wasnt always easy. There were times she considered leaving the field altogether and times when she worried her art wouldnt be taken seriously since it wasnt tactile, like beading or weaving. But, as Big Knife put it, Life always led me back to graphic design. Its what Im good at. Now, Big Knife said she appreciates the medium more than ever. She uses graphic design for more than just art helping local organizations promote events, create business cards and logos, and shes even created free materials to help preserve the Cree language. The digital realm is a very powerful place to be, she said. Indigenous professionals and organizations need art, whether its branding or selling merchandise. We, as Indigenous people, need to have a presence in digital art and in digital spaces. This is how I contribute to my community. To learn more about Kaylene Big Knifes work, visit kaybigknifedesign.com. Senate hopeful Trudy Berry now has backing from her party in her quest to appear on the November ballot in Southside's District 9, following an email error from a now-deceased local Democratic official that other party officials failed to catch ahead of a filing deadline. During public comment at the Virginia Board of Elections' meeting Tuesday, a lawyer for Berry and a representative of the Democratic Party of Virginia advocated for the board to let her appear on the ballot in November despite paperwork filing issues that had disqualified her. Shyam Raman, executive director of the Democratic Party of Virginia, read a letter attributed to DPVA chairwoman Susan Swecker. It outlined why Swecker says the board should consider Berry a legitimate candidate. An email that Berry forwarded to the Richmond Times-Dispatch shows local Democratic committee official Clomeniea Oliver sending a party certification form to the Department of Elections on April 10, 2023, but the email address was missing the needed '.gov' at the end to go through. Oliver, who had been battling cancer, has since died. "It's clear that chairwoman Oliver intended to certify Miss Berry as the nominee in Senate District nine," Swecker's letter read. "The typographical error in the email address does not negate the fact that she made every effort she was able to in order to certify Miss Berry as the nominee." DPVA political director Jack Foley and Patricia Harper-Tunley, who chairs the 5th Congressional District Democratic Committee, were included in Oliver's original email. Neither caught the mistake at the time, but Swecker's letter to the Board of Elections said the party was not aware if there was an automated response email with an alert that Oliver's email did not send. Though Oliver's certification never officially came through, Berry was the only Democrat to run in the district before April 6, when candidates would have had to submit materials to local nominating committees. So, Berry, and now DPVA, argue she is the party's de-facto nominee in that district. The former director of the Henrico County Parks and Recreation Department has been arrested on charges of soliciting a minor in Georgia. Patrick Nalley was arrested on Tuesday during a sting operation by the Cobb County police, according to a statement released by the community of Brookhaven, where he was director of parks and recreation. The statement said he has been dismissed from the position. Although we understand the presumption of innocence, we are acting out of an abundance of caution, as our parks and recreation facilities often have hundreds of children and teens recreating or competing in team sports activities during any given summer day. The safety and comfort of our residents and guests and their families are always our top priority in Brookhaven, City Manager Christian Sigman said in the statement. Nalley is charged with enticing a child and obscene internet contact with a child. He was not immediately available for comment on Wednesday. Nalley drove a city-owned vehicle to Marietta northwest of Atlanta to meet with a person he thought was a 14-year-old girl, the city statement said. According to Nalleys LinkedIn profile, he started in Brookhaven in June. The community is in the northeastern suburbs of Atlanta. Nalley was appointed to the Henrico County position in April 2022. A press release from the county at the time said he previously was director of recreation, tourism and cultural development for Amherst County in Virginia, facility and aquatics manager of Mount Hood Community College in Gresham, Oregon, director of parks and recreation for the Woodmen Hills Metropolitan District in Peyton, Colorado. In a July 2021 profile about Nalleys position in Amherst County, the News & Advance in Lynchburg reported that he had a wife and daughter. From the Archives: Photos of the Richmond region in the 1980s Police on Wednesday said they are investigating a report of a man who assaulted three people on Richmonds South Side. Multiple law enforcement units, including a Richmond police helicopter and Chesterfield County K-9 unit, responded to a wooded area near Forest Hill Avenue and Chippenham Parkway at around 9 p.m. Monday, police said. Two victims reported that an unknown man had assaulted them, police said. A third victim, an adult male, also reported that he was assaulted. The victims told police that the suspect stole several items. They were taken to a hospital for treatment of injuries that police said were not life-threatening. A Richmond resident reported that multiple roadways, including areas near the 7100 block of Forest Hill Avenue and portions of Chippenham Parkway and Virginia State Route 76, were shut down as well. It is not clear whether a suspect has been apprehended. The investigation is ongoing. Anyone with information is asked to call Crime Stoppers at (804) 780-1000. From the Archives: Richmond tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels Tunnels SEOUL, South Korea North Korea asserted Wednesday that the U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. It's North Korea's first official confirmation of detention of Private 2nd Class Travis King, who entered the North while on a civilian tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. The North Korean official news agency, KCNA, said King told investigators he decided to enter North Korea because he "harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army." It said King also said he "was disillusioned at the unequal American society." KCNA is a propaganda arm of North Korea's dictatorship and often releases statements and articles carefully calibrated to reflect the government's official line that the United States is an evil adversary. It's virtually impossible to confirm the authenticity of King's comments reported in North Korea's state media. The U.S., South Korea and others accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees said after their release that their declarations in North Korean custody were made under coercion. "This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how the DPRK chooses to cast its narrative," said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. "As for King's release, his fate rests in North Korea's hands. Perhaps the regime will try to 'bargain' King's life in exchange for financial concessions from the U.S.," she said. "More than likely, negotiations won't be easy, and terms will be dictated by Pyongyang." Some analysts earlier said North Korea might try to tie King's release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea conducted more than 100 weapons tests since the beginning of last year, many in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills. The leaders of the U.S., South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Korea's evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as "despicable" and only aimed at achieving Washington's geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the American human rights issue must be dealt at the U.N. council first, calling the U.S. "the anti-people empire of evils, totally depraved due to all sorts of social evils." In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. North Korea said an investigation into King would continue. It described King's entry to North Korea as "illegal." King, 23, was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. He was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. According to U.S. officials, King who chose to serve his time at a labor camp rather than pay the nearly $4,000 fine was declared AWOL. The punishment for being away without leave can include confinement in the brig, forfeiture of pay or dishonorable discharge and it is largely based on how long they were away and whether they were apprehended or returned on their own. U.S. officials expressed concern about King's well-being and previously said North Korea ignored requests for information about him. The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty, and have no diplomatic ties. Senate hopeful Trudy Berry now has backing from her party in her quest to appear on the November ballot in Southsides District 9, following an email error from a now-deceased local Democratic official that other party officials failed to catch ahead of a filing deadline. During public comment at the Virginia Board of Elections meeting Tuesday, a lawyer for Berry and a representative of the Democratic Party of Virginia advocated for the board to let her appear on the ballot in November despite paperwork filing issues that had disqualified her. Shyam Raman, executive director of the Democratic Party of Virginia, read a letter attributed to DPVA chairwoman Susan Swecker. It outlined why Swecker says the board should consider Berry a legitimate candidate. An email that Berry forwarded to the Richmond Times-Dispatch shows local Democratic committee official Clomeniea Oliver sending a party certification form to the Department of Elections on April 10, 2023, but the email address was missing the needed .gov at the end to go through. Oliver, who had been battling cancer, has since died. Its clear that chairwoman Oliver intended to certify Miss Berry as the nominee in Senate District nine, Sweckers letter read. The typographical error in the email address does not negate the fact that she made every effort she was able to in order to certify Miss Berry as the nominee. DPVA political director Jack Foley and Patricia Harper-Tunley, who chairs the 5th Congressional District Democratic Committee, were included in Olivers original email. Neither caught the mistake at the time, but Sweckers letter to the Board of Elections said the party was not aware if there was an automated response email with an alert that Olivers email did not send. Though Olivers certification never officially came through, Berry was the only Democrat to run in the district before April 6, when candidates would have had to submit materials to local nominating committees. So, Berry, and now DPVA, argue she is the partys de-facto nominee in that district. The Times-Dispatch's 'Photo of the Day' Jan. 1, 2023 Jan. 2, 2023 Jan. 3, 2023 Jan. 4, 2023 Jan. 5, 2023 Jan. 6, 2023 Jan. 7, 2023 Jan. 8, 2023 Jan. 10, 2023 GET THE NEW TIMES-DISPATCH APP Jan. 11, 2023 Jan. 12, 2023 Jan. 13, 2023 Jan. 14, 2023 Jan. 15, 2023 Jan. 16, 2023 Jan. 17, 2023 Jan. 18, 2023 Jan. 19, 2023 Jan. 20, 2023 Jan. 21, 2023 Jan. 22, 2023 Jan. 23, 2023 Jan. 24, 2023 Jan. 25, 2023 Jan. 26, 2023 Jan. 27, 2023 Jan. 28, 2023 Jan. 29, 2023 Jan. 30, 2023 Jan. 31, 2023 Feb. 1, 2023 Feb. 2, 2023 Feb. 3, 2023 Feb. 4, 2023 Feb. 5, 2023 Feb. 6, 2023 Feb. 7, 2023 Feb. 8, 2023 Feb. 9, 2023 Feb. 10, 2023 Feb 11, 2023 Feb. 12, 2023 Feb. 13, 2023 Feb. 14, 2023 Feb. 15, 2023 Feb. 16, 2023 Feb. 17, 2023 Feb. 18, 2023 Feb. 19, 2023 Feb. 20, 2023 Feb. 21, 2023 Feb. 22, 2023 Feb. 23, 2023 Feb. 24, 2023 Feb. 25, 2023 Feb. 26, 2023 Feb. 27, 2023 Feb. 28, 2023 March 1, 2023 March 2, 2023 March 3, 2023 March 4, 2023 March 5, 2023 March 6, 2023 March 7, 2023 March 8, 2023 March 9, 2023 March 10, 2023 March 11, 2023 March 12, 2023 March 13, 2023 March 14, 2023 March 15, 2023 March 16, 2023 March 17, 2023 March 18, 2023 March 19, 2023 March 20, 2023 March 21, 2023 March 22, 2023 March 23, 2023 March 24, 2023 March 25, 2023 March 26, 2023 March 27, 2023 March 28, 2023 March 29, 2023 March 30, 2023 March 31, 2023 April 1, 2023 April 2, 2023 April 3, 2023 April 4, 2023 April 5, 2023 April 6, 2023 April 7, 2023 April 8, 2023 April 9, 2023 April 10, 2023 April 11, 2023 April 13, 2023 April 14, 2023 April 15, 2023 April 16, 2023 April 17, 2023 April 18, 2023 April 19, 2023 April 20, 2023 April 21, 2023 April 22, 2023 April 23, 2023 April 24, 2023 April 25, 2023 April 26, 2023 April 27, 2023 April 28, 2023 April 29, 2023 May 1, 2023 May 2, 2023 May 3, 2023 May 4, 2023 May 5, 2023 May 6, 2023 GET THE NEW TIMES-DISPATCH APP May 7, 2023 May 8, 2023 May 9, 2023 May 10, 2023 May 11, 2023 May 12, 2023 May 13, 2023 May 14, 2023 May 15, 2023 May 16, 2023 May 17, 2023 May 18, 2023 May 19, 2023 May 20, 2023 May 21, 2023 May 22, 2023 May 23, 2023 May 24, 2023 May 25, 2023 May 26, 2023 May 27, 2023 May 28, 2023 May 30, 2023 May 31, 2023 June 1, 2023 June 2, 2023 June 3, 2023 June 4, 2023 June 5, 2023 June 6, 2023 June 7, 2023 June 8, 2023 June 9, 2023 June 10, 2023 June 11, 2023 June 12, 2023 June 13, 2023 June 14, 2023 June 15, 2023 June 16, 2023 June 17, 2023 June 18, 2023 June 19, 2023 June 20, 2023 June 21, 2023 June 22, 2023 June 23, 2023 June 24, 2023 June 25, 2023 June 26, 2023 June 27, 2023 June 28, 2023 June 29, 2023 June 30, 2023 July 1, 2023 July 2, 2023 July 3, 2023 July 4, 2023 July 5, 2023 July 6, 2023 July 7, 2023 July 8, 2023 July 9, 2023 July 10, 2023 July 11, 2023 July 12, 2023 July 13, 2023 July 14, 2023 July 15, 2023 July 16, 2023 July 17, 2023 Jan. 18, 2023 July 19, 2023 July 20, 2023 July 21, 2023 July 22, 2023 July 23, 2023 July 24, 2023 July 25, 2023 July 26, 2023 July 27, 2023 July 28, 2023 July 29, 2023 July 30, 2023 July 31, 2023 Aug. 1, 2023 Aug. 2, 2023 Aug. 3, 2023 Aug. 4, 2023 Aug. 5, 2023 Aug. 6, 2023 Youngkin revenues Aug. 8, 2023 Aug. 9, 2023 Aug. 10, 2023 Aug. 11, 2023 Aug. 12, 2023 Aug. 13, 2023 Aug. 14, 2023 Aug. 15, 2023 Aug. 16, 2023 Aug. 17, 2023 Aug. 18, 2023 As Virginia is the least restrictive state in the South when it comes to abortion access, House Democrats are launching a series of digital ads warning that current abortion laws will not be the same if more Republicans are elected to the state legislature this year. The first ad, which launched Wednesday, features clips of broadcast news reports discussing abortion laws in states like Tennessee and West Virginia (both of which have abortion bans with limited exceptions). Just look whats happening around us, said the narrator in the 30-second ad titled Take it from them. The ad also featured a recent audio clip of John Stirrup, a Republican candidate in a Prince William County House district, who said he would support a 100% ban. (Stirrup made the surreptitiously recorded comment to an abortion-rights backer who posed as an abortion opponent.) Abortion battle continues along Virginia-Tennessee border An unassuming and unmarked small brick building has managed to hold the attention of protesters for the year since Bristol Womens Health set up shop on the Virginia side of Bristol, just across the state line from Bristol, Tennessee. Stirrup, who is running in a competitive district, expressed support for a total abortion ban in two separate recordings that abortion-rights backers made this summer and he did not dispute their authenticity, The Washington Post reported. He later told the Post that he would also support the proposal Gov. Glenn Youngkin backs to bar most abortions after 15 weeks. The Democrats ad, along with candidate-specific ads, will run in 14 battleground districts. With all 140 seats in the House of Delegates and state Senate up for election this year, a handful of competitive districts gives both parties a chance to gain or hold onto a majority in each chamber. Democrats now control the Senate while Republicans control the House of Delegates. David Rexrode, chairman of Youngkins Spirit of Virginia PAC, accused Democrats of shamelessly lying in the ad, as in its citation of a Washington TV stations headline on a January story that said Virginia Republicans push for state-wide abortion ban. The stations story cited Youngkins push to bar most abortions after 15 weeks, with exceptions. Far-left Democrats have no agenda and no vision for the Commonwealth, so theyre resorting to divisive, blatantly false attacks and outright lies, Rexrode said in a statement. Abortion is legal in Virginia for any reason up to the end of the second trimester, or about 26 weeks of gestation. Abortions occurring later require three physicians to sign off on the procedure and assert that the pregnant persons life or mental health is at extreme risk. When the U.S. Supreme Court overturned federal protections for abortion access last summer, many states began implementing a variety of restrictions or bans on the procedure. GOP proposals included bans of most abortions after 15 weeks, bills declaring that life begins at conception, and a bill by Sen. Siobhan Dunnavant, R-Henrico, an OBGYN, that would have moved Virginias 26 week limit back to 22 weeks. While legislators said they would not criminalize people who seek abortions, some proposed bills outlined penalties for people who perform the procedure if it is outlawed. Many Virginia GOP candidates have since backed Youngkins 15-week proposal, with exceptions for rape, incest and when the life of the pregnant person is at risk. Rather than use the word ban, some candidates have said the proposal would allow abortion up to almost four months. I plan to support the governors consensus proposal to put an almost four-month limit on abortions with exceptions for the life of the mother and the heinous crimes of rape and incest, Del. Emily Brewer, R-Suffolk, previously told The Times-Dispatch. Brewer is in a competitive contest with Del. Clinton Jenkins, D-Suffolk, in Senate District 17, based in the city of Suffolk and Isle of Wight County. A ban is a ban is a ban, said Lexi White, a policy director with REPRO Rising. Democrats are emphasizing abortion access as voters cast ballots between Sept. 22, when early voting begins, and Election Day, Nov. 4. Its happening all around us abortion bans, women being jailed, and preventable medical emergencies. And the Virginia GOP wants to take us backwards and make us the next Florida or Mississippi. We cant let that happen, House Minority Leader Don Scott Jr., D-Portsmouth, said in a release. Thats why we launched these ads to ensure every single voter knows their rights are at stake this fall. Abortion in America: How access and attitudes have changed through the centuries 1970s: Roe v. Wade protects women's right to abortion; politics shift Michael Paul Williams Columnist Follow Michael Paul Williams Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Save Manage followed notifications Close Followed notifications Please log in to use this feature Log In Don't have an account? Sign Up Today The world can seem small from the perspective of Richmonds public housing; the possibilities, circumscribed; the narratives, pinched. But a Fredericksburg-based genealogist hopes to provide participating families in Gilpin Court with the wherewithal to uncover their past, expand their sense of place and possibility, and rewrite their stories. Paula Royster, the founder of the Center for African American Genealogical Research, has been working since April with nine families with ties to Gilpin Court or Jackson Ward. Through research and DNA testing, her nonprofit seeks to reconnect Black Americans not only with their family tree, but its African roots. On Saturday, at the Virginia Museum of History & Culture, representatives of the families will receive the Big Reveal about their histories, which will connect them to one or more of 19 African nations. I am going to tell them some things about their families that may not have even entered their minds ... and they are going to be shocked, Royster said during a recent interview at the Black History Museum and Cultural Center of Virginia. The event at VMHC will include ceremonial wedding vows for each family a nod to how enslaved couples overcame marital bans and physical separation to sustain loving, committed relationships. And later in the afternoon, it will move on, aptly, to the Elegba Folklore Societys Down Home Family Reunion in Abner Clay Park in Jackson Ward. Royster conducted a similar effort last year with middle-class families in Fredericksburg, her home since 2002. But she notes that participants in the Gilpin-centered effort might not otherwise have the means to get the information for themselves. A representative of each family will be handed a binder with DNA information and primary documents such as vital records, wills and military records, and recommendations on following up. You can view this as a reparations project, Royster said. And the outcome of this is, when Im done with these families, giving them this information, they will have everything they need to make their claims should reparations be available. Besides, she said, You never know. If R.J. Reynolds is your great-grandfather, there is no statute of limitations on heirship. The project was arranged by Reggie Gordon at the time Richmonds deputy chief administrative officer for Human Services and Patty Parks, an information concierge for the city. Both knew Royster from the genealogy workshops she conducted years ago at the since-shuttered William Byrd Community House, where Gordon was the CEO and Parks was a librarian. They invited Royster to set up a table at a health fair last October in Gilpin Court, where people signed a sheet indicating interest in the genealogy project. Royster sought to enlist 10 families, falling one family short of her goal, before submitting DNA samples to meet an April deadline. Human Services underwrote the $40,000 effort. Gordon hopes the result will instill pride in its participants that we all have a story. We all have an American story or even an international story. What I was excited about was changing the narrative in our communities, said Gordon, who left City Hall on June 30 and is now president and CEO of the Richmond Memorial Health Foundation. His desire is that the genealogy project will uncover the past in a way that hopefully will empower people. Royster said gathering volunteers for the project was challenging. Obviously, theres a natural distrust or suspicion, especially about DNA, with the legitimate concern that it might be turned over to law enforcement, she said. And still fresh in the headlines was the recent news of a settlement by the family of Roanoke native Henrietta Lacks in its lawsuit with a biotechnology company that the family alleged sold Lacks immortal cells without her consent. The Black community has always been aware of the ways in which exploitation occurs. So I understood the hesitation, Royster said. At the same time, I have collected samples in Mali, Cameroon, Angola, and I know that if we dont participate in this process, we will continue to wander not knowing the riches of our history beyond the borders here in the United States. One participant in the Richmond effort is Janis Allen, president of the Historic Jackson Ward Association, whose family was displaced from that historical Black neighborhood by interstate highway construction and landed in Creighton Court. What I want to get out of it is, I want to be ignited and motivated to dig deeper, Allen said Monday. Royster views these genealogy projects as having the potential to promote healing and mental health. The knowledge gained might fill a void that cant otherwise be filled, she said. And the resulting sense of connectivity might enhance feelings of kinship and stem community violence. The native Californian didnt realize the extent of her own family history until she moved to Fredericksburg and learned that the birthplace of her fifth great-grandfather, Thornton J. Alexander Sr., was 30 minutes away in Culpeper County. Alexander would become an abolitionist in Longtown, Ohio. This is why this project is important. It changes how you think about yourself. It changes how you view history. It changes how you interpret history, how you understand it. And, it should inspire you to write a new story, she said. I so get the idea that knowing who you are, where you came from, is powerful to the individual. But unless you put it in writing unless you tell that story from a different perspective then the world view of history in the United States will always be skewed and one-sided. So for me, it doesnt stop with the unveiling. Now write the story to counter whats in the historical text. Rewrite this history from your perspective, using your own words, she said. That was the benefit that was not given to our ancestors. So Im taking it back, reclaiming our time. From the Archives: Jackson Ward in the 1970s and 80s Maybank has launched a pair of new sustainability-themed credit cards called the Maybank myimpact cards, consisting of a conventional Maybank myimpact Visa Signature card and a shariah-compliant Maybank Islamic myimpact Ikhwan Mastercard Platinum card-i. Offering up to 1% cashback and up to 5x TreatsPoints for selected payments, these are also the first cards in Malaysia to come with a carbon footprint tracker and carbon offset feature. To start, the card faces of both the Maybank myimpact cards/-i clearly emphasised the sustainability theme, featuring an image of Mother Nature and Mother Earth at the very centre of the cards. In terms of card benefits, Maybank myimpact cardholders will be able to earn either 0.5% or 1% cashback when they make contactless payments (including Apple Pay and Samsung Pay), or spend with selected eco-friendly merchants capped at RM70 per month. On top of that, they can also earn 1x or 5x TreatsPoints for every RM1 spent, depending on the merchant that they spend with. Here are the full details: Benefits Details Eligible categories Examples of brands Cashback (capped at RM70 per month) 1% cashback when spending with selected ESG-friendly (environment, social & governance) merchants Commuter rail and bus transportation Ride-hailing & car rental services Electric vehicle (EV) charging and solar energy services Education Sporting goods/membership and pharmaceutical items Used merchandise stores and repair services KLIA Express Grab Trevo ChargeHere EV Solution Tenby Schools Open University Malaysia Celebrity Fitness ClassPass Guardian Pharmacy Caring Pharmacy Mister Minit Cash Converters 99 Leather Kraft 0.5% cashback on other retail spend paid using contactless payment, Apple Pay, and Samsung Pay N/A N/A TreatsPoints (three-year validity from issuance date) 5x TreatsPoints for every RM1 spent with selected merchants Electric vehicle (EV) Eateries Books Organic skincare Local organic grocers Local fashion & lifestyle merchants Tesla OBriens Irish Sandwich Cafe Kindle Aesop Innisfree Justlife Shop The Hive Bulk Food Hello Natural Co 1x TreatsPoint for every RM1 spent on other retail expenses* * not applicable for petrol, airlines, government bodies/JomPAY, e-wallet reloads, and cash advances N/A N/A As you can see, the cards higher 1% cashback and 5x TreatsPoints benefits are reserved primarily for expenses made with eco-friendly and sustainable merchants, essentially conforming to the cards theme. Of course, in addition to this, remember that the Maybank Islamic myimpact Ikhwan Mastercard Platinum card-i comes with the usual restrictions for spending with non-shariah compliant merchants (such as alcohol and gambling). Both the Maybank myimpact cards/-i also come with additional travel and e-commerce perks, although the exact benefits will differ for the Maybank myimpact Visa Signature and the Maybank Islamic myimpact Ikhwan Mastercard Platinum card-i. This is because these perks are provided as complimentary benefits/packages by the respective card issuers (Visa and Mastercard). Heres a quick review for your convenience, as listed by Maybank on its page: Maybank myimpact Visa Signature Maybank Islamic myimpact Ikhwan Mastercard Platinum-i Maybank Visa Signature customer service (24/7) Visa Airport Speed Pass for fast-track immigration at over 280 international airports Visa Signature Concierge Travel insurance coverage of up to RM2 million E-commerce protection Mastercard Global services Mastercard Concierge Exclusive deals on Mastercard Priceless page In addition to these benefits, Maybank myimpact cardholders also will not need to worry about any of the following fees: Compounding fees/effective management fees (especially beneficial for Maybank myimpact Visa Signature cardholders, as conventional cards will typically compound its interest charges) (especially beneficial for Maybank myimpact Visa Signature cardholders, as conventional cards will typically compound its interest charges) Late payment charges Annual fees The one exclusive feature that makes the Maybank myimpact cards/-i a unique proposition in the market, however, is the carbon footprint tracker and carbon offset function that come with the card. The carbon footprint tracker will be enabled for you on your MAE by Maybank2u (MAE) app and the Maybank2u website when your card application is approved, and youll then be able to calculate your carbon dioxide emission (assessed via your transactions with the merchants whom you shop with). From there, you can then choose to offset your carbon footprint through a Carbon Offset feature in your account. This involves making a financial contribution, which will go towards regional reforestation initiatives such as The Greening Malaysia Programme, Keo Seima Wildlife Sanctuary REDD+ Project (Cambodia), and Katingan Conservation Project (Indonesia) just to name a few. Finally, the Maybank myimpact cards/-i themselves are produced from eco-friendly and biodegradable material, where parts of the cards are made from renewable bio-sourced polylactic acid (PLA). Notably, Maybank has announced back in 2022 that it is adopting this particular material for its Amex, Mastercard, and Visa Ikhwan cards as part of its sustainability drive. With an annual income requirement of RM36,000 (RM3,000 per month), the Maybank myimpact credit cards/-i are essentially entry-level cards. If youre someone whos highly conscious about your carbon footprint and is eager to play a part in protecting the environment, these cards may be a good option for you to adopt sustainable spending. If you apply now, you can earn a welcome bonus cashback of RM50 when you spend a minimum of RM1,500 within 90 days from card approval (must include three transactions in ESG-friendly categories). You can also earn entries to win a range of eco-friendly prizes such as the Mercedes Benz EQA 250, energy-efficient home appliances or RM5,000 worth of Grab vouchers when you transact with your Maybank myimpact credit cards. (Source: Maybank [1, 2, 3]) 0 0 votes Article Rating SHARE Neighbor reports of gunfire lead Chetumal police to dead driver Chetumal, Q.R. Police in Chetumal responding to reports of gunfire found a deceased man inside his car. On Monday night, the driver of a private vehicle was found dead behind the wheel of his vehicle after being fired upon. Neighbors in the Cascadas neighborhood reported hearing the shots. Police located a black car on Prolongacion Alvaro Obregon Avenue parked off to the side of the road with a dead driver. Area witnesses reported subjects inside a gray Jetta opened fire against the black Jetta before speeding away. According to preliminary information, the driver of the car died after being shot four times by a 9mm weapon. On Tuesday, the State Attorney General or Fiscalia General del Estado of Quintana Roo said an investigation had been opened, but did not provide any further information. The FGE of Quintana Roo reports that it initiated an investigation for the death of a male person from firearm shots on Alvaro Obregon Avenue at the height of the Cascadas subdivision of the Othon P. Blanco municipality. Elements of this autonomous body, in coordination with the Secretary of Citizen Security, are carrying out the inquiries to clarify and find the person or persons responsible for this unfortunate event. Pre-Hispanic archaeological pieces on their way to Mexico after missing seven decades Mexico City, Mexico The Ministry of Foreign Relations says 20 pre-Hispanic archaeological pieces are in the process of being restored from Belgium. The pieces were surrendered voluntarily by collectors who had them over seven decades. The Mexican ambassador to Belgium, Rogelio Granguillhome Morfin, received 20 archaeological pieces after being ruled by specialists from the National Institute of Anthropology and History (INAH) as being cultural heritage of Mexico. The objects come from cultures originating in the Central Highlands and were made during the Mesoamerican Classic period (100-650 AD), the SRE said in a statement. The pieces were delivered by Louise Du Moulin Maria, a Belgian citizen who pointed out that her family had possession of the assets for more than seven decades. However, thanks to the Mi Patrimonio No Se Vende campaign, she became aware that such objects belong to Mexico for which she decided to return them. Ambassador Granguillhome thanked Miss Du Moulin and her family for their willingness to return the pieces to Mexico. The pieces were part of a private collection for seven decades. Photo: Secretaria de Relaciones Exteriores August 13, 2023. The Embassy of Mexico in Belgium will continue to contribute to the priority task of restoring its historical heritage to Mexico and will continue to participate resolutely in the Mi Patrimonio No Se Vende (my heritage is not for sale) campaign in order to prevent the commercialization of archaeological and historical assets, and promote their recovery, they said in a joint statement. In the near future, the pieces will be repatriated to Mexico at which time the Legal Consultancy of the Ministry of Foreign Affairs will deliver the archaeological objects to the Ministry of Culture of the Government of Mexico through the INAH. As this eight-part series on the compassionate conservative years comes to a close, there is hope, despite the failures of centralized programs of the past. In cities and towns across America, people of faith, privately and quietly, are still making a difference in individual lives. Rep. Tom Tancredo (R-Colo.) summarized what happened to George W. Bushs 2001 anti-poverty faith-based initiative this way: It started out with a certain merit, and you hope to God, literally, that youre doing the right thing. Its amended, you know you had some part in passing it, and you now wish to God you hadnt. Soon youre running out the door of the Capitol asking, What have I done? A literal running out of the White House signaled the end of compassionate conservatism as a Bush priority. On September 11, 2001, departing faith-based-office head John DiIulio and his second-in-command, David Kuo, were having their last White House breakfast together. Kuo in Tempting Faith describes the scene: We heard voices from the stairwell yelling, Get out! Everyone get out. [The two of us] were like Laurel and Hardy. John is short and very large. I am very tall and relatively skinny. John and I looked at each other and ran. John was still toting the garment bag he had carried to breakfast. The White House was not hit, but on 9/11 George W. Bush moved from being a domestic-policy-oriented president to a war president. War and compassion dont go well together. War is hell. War is also expensive. Bush, viewing the war on terror as his presidencys defining issue, maintained Democratic support for it by accepting budget-busting increases in conventional domestic spending. Kuo stayed on into 2003 and received clear orders from a senior leader regarding legislation to advance poverty-fighting: Forget about the fing CARE Act. Conservatives who equated compassionate conservatism with big government now had all the evidence they needed to call the doctrine a left-wing Trojan horse. The Cato Institutes David Boaz in 2003 said the Bush administrations approach betrays true conservatism. That was true about the centralizing emphasis that remained. Bureaucratic organizations adept at pushing paper and lobbying officials continued to rule. The idea of helping little guys remained, but the big way to help them (purportedly) was to provide instruction on how to apply for grants. That flipped compassionate conservatism on its head: instead of fighting bureaucracy, it built more bureaucracy. Not all was lost. The Bush administration did promulgate executive orders that temporarily removed some discrimination against religious groups. The only clear success was international, through PEPFARthe Presidents Emergency Plan for AIDS Relief. PEPFAR helped to fund grassroots groups, often religious in nature, that in many nations were major providers of medical services. Counting HIV-free births, PEPFAR probably saved 25 million lives. President Bush continued to use the phrase compassionate conservatism, but Bush speechwriter David Frum in 2003 described it as less like a philosophy than a marketing slogan. Several scholarly books pointed out the difference between words and deeds. The best, Of Little Faith: The Politics of George W. Bushs Faith-Based Initiatives (2004), offered the perspective of Christian college professors Amy E. Black, Douglas L. Koopman, and David K. Ryden. They pointed out that tax reduction and educational testing expansion (which proved of questionable benefit) were more important to the White House than direct poverty-fighting. The Bush administration domestically said no to poverty-fighting tax credits and also minimized use of a semi-decentralizing mechanismvouchers. As Stanley Carlson-Thies reviewed the eight Bush years in a 2009 issue of the Harvard Journal of Law and Public Policy, vouchers could have led to diverse rather than standardized services, but most federal funding remained direct: officials select one or a small number of providers, and any religious activities have to be kept separate. For example, mentoring programs for prisoners count on volunteers to pass on life wisdom and encouraging words, but an hour of wisdom and encouragement could not include any mention of God. My own sense is that the national compassionate conservatism rollout from 1995 to 2001 came too soon: yes, it gained a toehold, but the toe was gnarled and the nail ingrown. In a thoughtful scholarly article, The Tragedy of Compassionate Conservatism (Journal of American Studies, 2010), British professor Bruce Pilbeam said the phrase compassionate conservatism was mostly dead thanks to its association with an administration that lost popularity in its second term even among conservatives. He concluded, though, that the concept at local levels will have an enduring legacy. I agree. Ill close out this Religion & Liberty Online series with three notes from the year 2006, by which time both the Bush war on poverty and the initiative that replaced it, the war in Iraq, were bogged down. One is about the Acton Institute, which in 2006 received applications for 10 awards from 247 neighborhood organizations that offered help to needy individuals. Most of these groups accepted no government money and did not spend their time and scant funds applying for government grants or attending workshops on how to apply for grants. They were hands-on, and they used the hands of many volunteers. As World magazine editor-in-chief, I sent reporters to visit the 15 finalists. Their reports reminded me of what President John F. Kennedy said in 1963, in the then-divided city of Berlin, when he described the armchair pessimists of his time: There are some who say that communism is the wave of the future. Let them come to Berlin. Yes, compassionate conservatism as a Washington-centered initiative was dead, but in some local areas, ideals were still toppling idols. Let the pessimists come to those programs. My second item from 2006 is the viewpoint of Bill Schambra, who at that time directed programs at Milwaukees Bradley Foundation and paid attention to grassroots efforts. He told listeners at American University, If we only know how to look, if we only have eyes to see, within Americas local-income neighborhoods there are stillin spite of the contempt and neglect of the social service expertsneighborhood leaders who are working every day to solve the problems of their own communities. Schambra said their groups are largely unheralded and massively underfunded, certainly by government but even by the private charitable sector. After all, they usually occupy abandoned storefronts in the most forbidding neighborhoods. They have stains on their ceiling tiles and duct tape on their industrial carpeting. They have no credentialed staff, and certainly no professional fund-raisers or slick promotional brochures. Furthermore, more often than not they are moved by a deep and compelling religious faith. They are convinced that human problems cant be solved by social and psychological rehabilitation alone, but call instead for fundamental, spiritual transformation. Schambra witnessed the work of inner city volunteers who were themselves once trapped in the problems they are now helping others to overcome, in gratitude for Gods mercy, and in answer to Gods call. For them, crucifixion and resurrection are not just inspiring religious metaphors. They are lived, daily experiencesall-too-accurate descriptions of the depths of brokenness and despair they have faced, followed by the faint, hopeful glimmer of redemption. I agree with Pilbeam and Schambra. I recently checked old notes and memories and realized Ive visited organizations created to help those who are poor, homeless, uneducated, or abandoned in 153 cities and towns. Regardless of what people do or do not do in Washington, theres a whole lot of helping going on. The third voice from 2006 is David Kuos in his book, Tempting Faith. He was only 38 that year but was bravely blowing the whistle on the Bush administrations lack of success: Kuo faced a cancer diagnosis, didnt have a political future, and wanted to warn others not to make an idol of politics. Heres how he concluded some instant messaging we did as his book came out: D: $200 million has gone to the RNC [Republican National Committee] alone this yearalmost all of it from small dollar donors, good men and women (probably Christian) who are wanting to do just the right thing D: but what is it buying us? and are the Christians out there among the candidates really any different than the non-Christians in how they behave? D: what if we made our enemies our friends by loving them so much that they had to wonder about this guy named Jesus D: and we could say to them that the Good News of Jesus is that he rose from the dead and that those who follow him can one day do the same and that he can give life and give it in full here on earth? D: how crazy D: how nutty D: how very cool a thing to try D: I am a poor, poor, poor pilgrimI stink at following Jesusbut marvin, it is my hearts desire and everything Ive written and said and hope for is about advancing jesus and I think my story is instructive because it is honest and people can learn off of my dime and because maybe my discoveriespainful discoveriescan be helpful. David lived longer than doctors had predicted. He made it to April 5, 2013. Thats when he died of brain cancer, 10 years ago, five days after Easter. ROCKY MOUNT The Franklin County Board of Supervisors agreed to allocate an additional $150,000 in funding Tuesday to post law enforcement officers in each public school in the county throughout the school year. The county provided temporary funding in April to the Franklin County Sheriffs Office for overtime pay to allow an officer at each of the countys schools during the remainder of the school year. The request came following a school shooting in Nashville, Tennessee, that killed six people. With students returning back to school last week in Franklin County, supervisors have spent the past few months considering options on how to fund additional officers for the entire school year. A grant from the Virginia Department of Criminal Justice Service awarded to the county earlier this year has provided some some assistance, but finding officers has been a challenge, according to Sheriff Bill Overton. The grant provided $461,904 for the next four years to fund eight additional officers with the county providing a local match of $305,000. That amount would increase to more than $400,000 annually by 2027. In May, supervisors asked Overton to hire part-time officers to fill the positions as a cost-saving measure for the county. At Tuesdays meeting Overton said finding officers to fill part-time positions had been a challenge. Overton said multiple officers contacted the sheriffs office about the school resource officer positions, but lost interesting after finding out it was not full time and did not provide benefits. He said there are five positions that are still unfilled. We believe we would be better served having full-time, Overton said. Currently, a school resource officer splits time between Rocky Mount Elementary School and Lee M. Waid Elementary School and another splits time between Ferrum Elementary School and Henry Elementary School. Overton said he also would like to have an additional officer at Franklin County High School and Benjamin Franklin Middle School as well as the Gereau Center. While there was some discussion about the additional costs with hiring full-time officers for the positions, Blackwater District representative Ronald Mitchell said he was willing to pay any price. He said he would be willing to raise taxes, if needed. Numbers arent important when it comes to our children, Mitchell said pointing out that school shootings continue to be an ongoing issue in the county. I dont care. Whatever it takes. Lets get it done. There was also some concern that private schools in the county were not included on the list. Blue Ridge District representative and Chairman Tim Tatum questioned if Christian Heritage Academy in Rocky Mount should be included on the list. Gills Creek District representative Lorie Smith asked Overton to reach out to the school about providing a school resource officer. She said the county could possibly work with the private school to provide partial funding for an officer at the school. Following discussion, Mitchell moved to approve an additional $150,000 in funding from the countys personnel reserve fund that would allow for hiring full-time school resource officers. The motion was unanimously approved by supervisors. Supervisors also approved additional funding for the Rocky Mount Volunteer Fire Department on Tuesday. In a unanimous vote, supervisors agreed to provide $50,000 per year for 20 years to the town of Rocky Mount for the purchase of a fire truck. The Rocky Mount Town Council in June sent a letter to supervisors requesting $200,000 annually over 20 years to purchase a new fire truck. Mayor C. Holland Perdue spoke at the July supervisors meeting after not receiving a response to the letter. Perdue has said the reason for the request for additional funding was due to the Rocky Mount Volunteer Fire Department being the largest and most active departments in the county. While it is inside the town limits, nearly 60% of the calls the department responds to are outside the town. In a statement read in the form of a motion by Boone District representative Ronnie Thompson on Tuesday, he said Franklin County has allocated fund to the department every year in the amount of approximately $90,000 per year which is more than the county allocates to any other fire department. Thompson read in the statement that the county has agreed to provide an additional $50,000 per year for 20 years. The offer is contingent upon the town agreeing that the fire truck will be co-titled in the names of the town and the county. After Thompson read the statement, supervisors voted unanimously in favor of the motion. Following the meeting, Perdue called the motion by supervisors a good start and called for more talk between the county and the town. Im hopeful we will have further discussions on it. Colleges across the country will be forced to stop considering race in admissions under Thursday's Supreme Court ruling, ending affirmative action policies that date back decades. Schools that have relied on race-conscious admissions policies to build diversity will have to rethink how they admit students. It's expected to result in campuses that have more white and Asian American students and fewer Black and Hispanic students. The impact of the decision will be felt most strongly at the nation's most selective colleges, which have been more likely to consider race as one of many factors in admissions. But some less selective universities also consider race, and hundreds of colleges may need to adjust their admissions systems in response to the decision. Colleges say they're still analyzing the decision, but it's sure to have a dramatic impact nationwide. Here's what we know so far. WHEN WILL THE RULING TAKE EFFECT? Today's incoming high school seniors will be the first to see any change. Many of them will be applying for college over the next year as colleges remove race from admissions decisions. The process probably won't look much different for students maybe there will be another question or two about their life experiences but behind the scenes, there could be big changes in the way colleges evaluate applications. At Northeastern University, President Joseph E. Aoun said in a campus message the decision "will dramatically alter the use of race as a factor in college admissions." HOW MANY COLLEGES CONSIDER RACE? No one knows for sure. Colleges aren't required to disclose whether they consider race, and the federal government doesn't track it. A survey of about 200 colleges in 2019 found that roughly four in 10 colleges said race had at least limited influence in admissions decisions. The practice is most common at highly selective institutions, while many less selective schools don't consider race. Nine states have separately banned affirmative action at private universities, including California, Michigan, Florida and Washington. In states that already banned affirmative action, colleges responded by recruiting more low-income students, hoping that wealth would act as a proxy for race. Some colleges also started "percentage" plans that offer admission to top students at every high school in their state. Such approaches have had mixed results. But expect to see more colleges trying alternate approaches. HOW ARE COLLEGES GOING TO CHANGE ADMISSIONS? An alternate approach floated by some would put greater emphasis on students who overcome adversity. President Joe Biden endorsed that approach Thursday, saying adversity should be a "new standard" in college admissions, rewarding those who overcome challenges related to income, race or other factors. The court's decision appears to allow such an approach. The conservative majority wrote that "nothing prohibits universities from considering an applicant's discussion of how race affected the applicant's life," as long as it's tied to a particular quality the applicant brings to campus. Applicants may see more colleges add questions about adversity or other life experiences. But the decision also warns about going too far, saying colleges can't simply use essays to revive "the regime we hold unlawful today." What's clear is that any direct consideration of race in admission decisions will have to end, meaning colleges will no longer be able to give an edge to underrepresented minorities simply because of their race. WHAT DOES THIS MEAN FOR LEGACY ADMISSIONS? With affirmative action off the table, colleges face mounting pressure to end other admission practices that disproportionately benefit white and wealthy students. Chief among those are legacy preferences, the practice of giving an admission boost to the children of alumni. Within hours of the decision, activists and some Democrats in Congress were urging colleges to abandon the policy. Biden took a shot at it too, saying he's asking the Education Department to examine legacy preferences and other practices that "expand privilege instead of opportunity." A small but notable group of colleges have dropped the practice in recent years, including Johns Hopkins University and Amherst College, but it continues at many others, including Harvard and other Ivy League schools. Activists are also taking aim at other policies seen as barriers for underrepresented students, including donor preferences and standardized tests like the SAT and ACT. Hundreds of colleges made entrance exams optional during the pandemic, and there's a growing push to make the change permanent. WHAT ARE COLLEGES SAYING? Colleges across the country said they're committed to campus diversity no matter what the court says. Campus leaders say they're still sorting how the decision will affect them, but many expressed optimism that they will legally find other ways to bring a diverse mix of students to campus. In Texas, Rice University's president said he's "greatly disappointed" but also "more resolute than ever" to pursue diversity. "The law may change, but Rice's commitment to diversity will not," President Reginald DesRoches said. At Union College in New York, President David Harris said students of color will continue to feel welcome "but make no mistake this Supreme Court decision will make our work more challenging," he said. Colleges are sending a welcoming message in hopes of avoiding the type of drop-off among Black and Hispanic students that have been seen in some states that outlawed affirmative action. WHY WERE COLLEGES CONSIDERING RACE IN THE FIRST PLACE? In several decisions dating to the 1970s, the Supreme Court had upheld affirmative action in college admissions. Past rulings found that colleges have a compelling interest in promoting racial diversity because of the benefits it provides. They say it exposes students to differing viewpoints and helps prepare future leaders, among other benefits. Colleges say race has been a small factor, sometimes giving an edge to underrepresented students. Opponents dispute that notion, citing research finding a boost for Black applicants equivalent to 310 points on the SAT exam. Thursday's decision reversed course on the earlier decisions. The court found that while the benefits cited by universities are "commendable," they don't pass legal muster because they aren't concrete enough to be measured and they don't have a clear end goal. "The universities' main response to these criticisms is, essentially, 'trust us,'" the court wrote. ___ The 9 current justices of the US Supreme Court Chief Justice John Roberts Justice Clarence Thomas Justice Samuel Alito Justice Sonia Sotomayor Justice Elena Kagan Justice Neil Gorsuch Justice Brett Kavanaugh Justice Amy Coney Barrett Justice Ketanji Brown Jackson Thank you for reading! Please log in, or sign up for a new account and purchase a subscription to continue reading. The prosecutor in Atlanta who obtained an indictment this week against former President Donald Trump and 18 others wants to take the case to trial in March " " The inventor of the 3D-printed house, Ma Yihe, shows a model in Shanghai, China, 2014. See home construction pictures. Pei Xin/Xinhua Press/Corbis In the beginning, there was mud. The earliest human dwellings were constructed of nothing more than mud-and-straw bricks baked in the sun. The ancient Romans were the first to experiment with concrete, mixing lime and volcanic rock to build majestic structures like the Pantheon in Rome, still the largest unreinforced concrete dome in the world [source: Pruitt]. Over the centuries, engineers and architects have devised ever-new ways to build taller, stronger and more beautiful creations using game-changing materials like steel girders, earthquake-proof foundations and glass curtain walls. Advertisement But what does the future hold for construction technology? Will there come a day when noisy construction crews are replaced by swarms of autonomous nanobots? Will the cracks in concrete foundations one day miraculously heal themselves, or gas stations be replaced by electric cars running on self-charging roads? Keep reading for our full list of 10 of the most exciting construction innovations of the near future. Some are even in use today. Felicia Frazar is the managing editor of the Seguin Gazette. Dalondo Moultrie is the assistant managing editor of the Seguin Gazette. You can e-mail them at editor@seguingazette.com . Deep dive into stores of juvenile LWOP sentences and their review | Main | Federal prosecutions alert families that possible plea deals with 9/11 defendants may preclude death penalty August 16, 2023 Split Fourth Circuit panel reverses denial of sentence reduction motion and orders 20-year reduction based on stacked 924(c) A helpful reader made sure that I did not miss a notable Fourth Circuit ruling today in US v. Brown, No. 21-7752 (4th Cir. Aug. 16, 2023) (available here). The majority opinion for the court begins this way: On July 30, 2014, a jury convicted Kelvin Brown on seven counts, including two counts of possessing a firearm in furtherance of a drug trafficking crime in violation of 18 U.S.C. 924(c). At the time of Browns sentencing, his two 924(c) convictions carried a five- and twenty-five-year mandatory minimum sentence, respectively. The district court thus sentenced Brown to thirty years in prison for his 924(c) convictions, and, together with his other five convictions, to fifty-seven years imprisonment total. In July 2020, Brown moved for compassionate release pursuant to 18 U.S.C. 3582(c)(1)(A). Brown primarily argued that his release was warranted because he was at risk of serious illness from COVID-19 and because, under the First Step Acts amendment to 924(c) sentencing, he would only be subject to a combined ten-year mandatory minimum for his two 924(c) convictions if sentenced today. The district court twice denied Browns motion, each time without addressing the disparity between his 924(c) sentence and the much shorter mandatory minimums the First Step Act now prescribes. We hold that the district court abused its discretion by denying Browns motion because his disparate sentence creates an extraordinary and compelling reason for his early release, and the 3553(a) sentencing factors overwhelmingly favor a sentence reduction. We therefore reverse and remand with instructions to rectify that disparity and reduce Browns prison sentence by twenty years. The majority opinion concludes with some explanation for why it orders a 20-year sentence reduction rather than another remand: Ordinarily, we understand that district courts wield broad discretion in deciding compassionate release motions. Malone, 57 F.4th at 177. So, in a different case, we might remedy the district courts error by remanding for the district court to consider Browns disparate sentence in the first instance. Yet the district court here has already had two opportunities to review Browns compassionate release motion: its initial denial of Browns motion in July 2020, and its second denial in December 2021 after we remanded Browns case for further consideration. Each time, the district court neglected to address Browns disparate sentence. That neglect persisted despite our express recognition in our previous remand order that McCoy and its holding that disparate 924(c) sentences can constitute extraordinary and compelling reasons for release is relevant to this case. Brown, 2021 WL 4461607, at *2 n.4. The dissent, authored by Judge Quattlebaum, starts this way: In an extraordinary and, in my view, regrettable decision, the majority reverses the district courts order denying Browns motion for compassionate release. It does so only by imposing a standard for explaining decisions that is more demanding than what the Supreme Court recently established. Concepcion v. United States, 142 S. Ct. 2389, 2405 (2022) (All that is required is for a district court to demonstrate that it has considered the arguments before it.). But the majority does not stop there. It then usurps the district courts assigned responsibility by stepping in to re-weigh the sentencing factors, substitute its judgment for that of the district court and order a 20-year sentence reduction. The majority may well be troubled by the length of Browns original sentence. But our ordered system of justice requires that appellate courts apply standards set forth by the Supreme Court. And it requires that discretionary sentencing decisions be made by district court judges. The majority today does neither. I dissent. August 16, 2023 at 05:40 PM | Permalink Comments So much for the discretion invested in district courts by 3582(c)(1)(A). Now, just because something CAN be considered "extraordinary and compelling" means that a district court MUST treat it that way. This should prompt an en banc rehearing petition and, if that fails, a cert petition seeking summary reversal under Concepcion. Posted by: Da Man | Aug 17, 2023 8:16:34 AM https://www.sfchronicle.com/sf/article/drugs-crime-nancy-pelosi-federal-building-18292237.php Funny how Doug always cites the "crime is getting better stories" but not this. What does it say about SF that federal employees get to work from home , , , , Posted by: federalist | Aug 17, 2023 9:13:46 AM That article in behind a paywall for me, federalist, and I tend to focus on homicide data, the most serious of crimes and generally the most consistent data collected/reported. San Francisco historically has had a low homicide rate. I believe it has ticked up in 2023 so far, but at 35 homicides (up from 31) is about half of comparably sized Jacksonville FL, and about a quarter of comparably sized Indianapolis, IN. Posted by: Doug B | Aug 17, 2023 11:34:08 AM Where federal workers are unable to safely go to work, it's a sign of an out of control criminal justice system. SF still has demographics on its side when it comes to homicide. How long that lasts is another story. Posted by: federalist | Aug 17, 2023 11:54:19 AM And I keep hoping you will start your own blog to discuss all the issues you are most eager to discuss. Posted by: Doug B | Aug 17, 2023 1:19:01 PM Why do the comments always veer away from the topic in the post? Posted by: Da Man | Aug 17, 2023 2:39:38 PM Da Man, I do not moderate posts, though I do try to encourage sound commenting practices among those who comment. But federalist seemingly has a lot of feelings on a lot of topics and seemingly has only the comments to this blog as a pace where he feels safe (anonymously) sharing these feelings and news items. Though I sometimes urge him to start his own blog for his (off-topic) feelings, often I still engage. Thanks, Da Man, for usefully reminding me that feeding stay comments can sometime be like feeding stray cats. Posted by: Doug B | Aug 17, 2023 3:06:30 PM Well, Doug, just adding to the stuff you put up about crime and society---you pretty much always post the lib POV re: crime. Posted by: federalist | Aug 17, 2023 3:08:55 PM Yep, I post what I feel like posting on my blog, federalist, that's how blogs generally work. That's why I suggest, since you have all sorts of feeling on all sorts of topics, that you create your own space to cover the issues that you would like to cover. Posted by: Doug B | Aug 17, 2023 3:47:38 PM Not that its my business (but Ill comment anyway), there seems to be a ton more criticism of federalist than for people who say some really nasty stuff. This website is so left leaning, I enjoy and learn from federalists effort to bring some other POVs. Not my blog though. Posted by: TarlsQtr | Aug 17, 2023 4:11:03 PM You can have a conservative bent and still stay on topic. (Just sayin') Posted by: Da Man | Aug 17, 2023 5:15:47 PM Doug, the stuff I raise is generally from memory of other blog posts or a little bit of a riff--like comparing the Vietor stuff to the present-day KSM stuff. And the SF federal employees thing is a measure of how unlivable many areas in SF have become due at least in part to the decisions of prosecutors that you tend to lionize. Posted by: federalist | Aug 17, 2023 5:55:41 PM Master Tarls: I tend to engage federalist more than others on legal matters in part because few others do --- because, I sense, his aggressiveness seems to lead some others to disengage. I have had more than a few folks email me wondering about him and some of his statements, but I always say that folks can just not click on the comment thread if they dislike how some use them. (Of course, when federalist sings Trump's praises, he does tend to trigger more responses; I find the usual political partisan-talk beyond boring and something that can be readily found so many other places on the interwebs.) federalist: I have done nearly 25,000 posts in nearly 20 years, so I am sure there are always "other blog posts" that may trigger all sorts of riffs by those so inclined. But it is pretty easy to set up your own space for your riffing (and you can even use the comments to link to those riffs, as Bill often does). Still, you can riff here as you see fit, though Da Man may represent more than one voice in encouraging effort to tether those riffs to the post topics. Posted by: Doug B | Aug 17, 2023 6:31:34 PM Professor Berman, you are exactly right about a reluctance to engage with federalist. Like Trump, he hurls insults and ad hominem attacks at everyone he disagrees with. A very nasty guy with a big chip on his shoulder. Posted by: anon | Aug 18, 2023 9:23:04 AM LOL. Doug, your commenters are whiny. And my aggressiveness? Quelle horreur. "Nasty guy"--well, federalist is a rude person, he calls a spade a spade. Posted by: federalist | Aug 18, 2023 9:49:02 AM I often agree with federalist and sometimes disagree. But one way or the other, he cites and discusses specific cases more consistently than any other commenter. Posted by: Bill Otis | Aug 18, 2023 10:05:46 AM I think Bill and Tarls are the best commenters here--I come in well-behind. Posted by: federalist | Aug 18, 2023 12:21:28 PM And Doug, I trust you see the relevance of citing Vietor breaking the news to the families of Daqduq's victims in a post about breaking the news to KSM's victims. Posted by: federalist | Aug 18, 2023 12:24:40 PM Maybe I can get Bill's take: So much for the discretion invested in district courts by 3582(c)(1)(A). Now, just because something CAN be considered "extraordinary and compelling" means that a district court MUST treat it that way. This should prompt an en banc rehearing petition and, if that fails, a cert petition seeking summary reversal under Concepcion. Posted by: Da Man | Aug 18, 2023 5:25:40 PM Da Man -- I agree with the dissent. Getting further review of the panel opinion is, however, going to be an uphill climb. Posted by: Bill Otis | Aug 18, 2023 10:49:56 PM Post a comment Major Cities Chiefs Association reporting all categories of violent crimes down in first half of 2023 | Main | Back-to-school plug for Season 1 of "Drugs on the Docket" podcast August 15, 2023 "The Capital Shadow Docket and The Death of Judicial Restraint" The title of this post is the title of this new paper on SSRN authored by Jenny-Brooke Condon. Here is its abstract: The Supreme Courts recent approach to late-state execution challenges on its otherwise opaque shadow docket illuminates a court comfortable with playing an aggressive, decisive role in Americas system of state killing. The Court would prefer for us to think of its role differently as a passive, mere agnostic participant in a process defined by judicial restraint. The Court promotes this vision when it invokes judicial restraint to justify its refusal to second-guess the cruelty of challenged execution methods or when Justices cite federalism-based rationales for refusing to delay state enforcement of death sentences. Even the oft-quoted refrain that death is different the notion that the Court proceeds carefully to enforce the Eighth Amendment as applied to capital punishment advances a narrative of the Court as careful, constrained, and once removed. In this telling, judicial restraint and constitutional regulation of the death penalty go hand in hand. And yet, on the Supreme Courts shadow docket, the Courts death penalty jurisprudence is anything but restrained. For the last several years, the Court has regularly reversed lower court stays in a series of death cases presenting substantial issues. While decisions addressing death penalty cases on the Courts emergency orders docket is nothing new, the Courts willingness to issue momentous, dispositive rulings in death cases through the shadow docket has emerged as an important feature of the Courts constitutional regulation of the death penalty. This Article contends that the Courts capital shadow docket does not merely reflect changes in how the Court now approaches norms surrounding requests for emergency relief, as others have illuminated. The capital shadow docket is also a window into judicial regulation of the death penalty devoid of judicial restraint. August 15, 2023 at 09:54 PM | Permalink Comments If the attorneys defending child-rape-murderers and other iterations of the defense bar's version of Mr. Nicey would refrain from a blizzard of last-minute motions, almost all of which could have been filed months or years earlier, we wouldn't have a "shadow docket" at all. When you routinely jam the Court with these concoctions, the outcomes are of your own making. I would ask the defense bar to quit complaining, but that would be like asking the night to quit being dark. Posted by: Bill Otis | Aug 15, 2023 11:22:59 PM There is something very Orwellian about that abstract. The U.S. Supreme Court has made it clear for a very long period of time that last minute stays of execution are disfavored. I know the practice in my state, and in every other state that I can think of, is that an execution date is not set until the first round of federal habeas is completed. That means that defendant had two years in the trial court to investigate mitigating evidence and file any legal challenges to the death penalty (and, at least in my state, the motions filed are voluminous. They then have at least a year while the direct appeal is pending to begin investigating any ineffective assistance of counsel claims and seven months after the mandate on direct appeal to complete that investigation and file their collateral review motion. Then they have at least two years (one while the collateral review appeal is pending and the one year set forth in the federal habeas statute) to file their federal habeas petition (and most district courts freely allow amended habeas petitions) followed by another two or three years while the federal habeas petition is working its way through the courts. In short, between the end of the first trial and the setting of an execution date is, at least, eight or nine years. It strains credibility that there are any substantial new claims that arise after the setting of the execution date. The only thing that I have ever seen filed after the setting of an execution that arguable qualifies as a "new" claim that could not have been filed much earlier are claims that the defendant is not competent to be executed. And, in my experience, most "competence to be executed" claims are simply rehashing the mental disease mitigation evidence that was already considered and rejected. Given the mandated 2254 deference to state court rulings, the likelihood that a competent to be executed federal habeas claim is meritorious is exceedingly slim. As such, federal courts should not be granting these stays in the first place. And the U.S. Supreme Court would not have to vacate the stays if the lower courts followed the very clear guidance that has been given on this issue. Posted by: tmm | Aug 16, 2023 1:21:10 AM tmm -- Nailed it. Posted by: Bill Otis | Aug 16, 2023 10:12:55 AM tmm, exactly. The real issue is that the Supreme Court is far too solicitous of capital defendants. Where is Sharon "Killer" Keller when you need her. Posted by: federalist | Aug 16, 2023 12:56:06 PM I don't think that the current Supreme Court is too solicitous of capital defendants. But, to give an example of the delay involved, the last federal habeas case that I briefly worked on before returning to a trial office is apparently the next in line for an execution date in my state. The defense has given two "real" reasons why no date should be set. The first is that they will soon be filing a new state habeas petition. Of course, almost two months has passed since they made this representation and no new state habeas petition has been filed. My expectation is that it will be filed a week or two after my state supreme court sets an execution date and not a minute before. At least from the pleadings, they have some concept of the claims that they are seeking to raise. They could easily file a habeas petition now and, if needed, amend later. The second is that they are intending to file a cert petition on an issue related to transport to a medical facility for testing related to a commutation application. Over six weeks have passed since they notified the court of that intent. While they have ninety days to file a cert petition, you can be sure that the petition is ready to go and would be quickly filed if an execution date were set. Posted by: tmm | Aug 16, 2023 2:00:51 PM It is. First of all, Maples v. Thomas and Buck v Thaler--both reprehensible decisions weren't that long ago. And there have been far far too many stays based on last-minute filings. The Supreme Court is responsible for the flouting of AEDPA. Posted by: federalist | Aug 17, 2023 11:37:02 AM This article has nothing to do with shadow dockets and judicial restraint. It has everything to do with SCOTUS not deciding in favor of killers and the writers preferred policy choices. Posted by: TarlsQtr | Aug 17, 2023 4:20:48 PM Post a comment 30 more automated lanes for motorcyclists at Tuas Checkpoint on weekday mornings (Photos: screen grab from ICA/Yahoo Southeast Asia) SINGAPORE The Immigration and Checkpoints Authority (ICA) has expanded its automated clearance capacity for incoming motorcycles at Tuas Checkpoint, from 40 to 70 automated lanes on weekday mornings. It said in a media release on Wednesday (16 August) that arriving motorcyclists can now use the automated lanes during peak hours between 5am and 9am on weekdays. According to CNA, motorcyclists have said that new operation has allowed them to clear immigration up to 25 minutes faster. There has also been less congestion and fewer disruptions to other vehicles caused by growing motorcycle queues. Route diversion to overcome infrastructural constraints The expansion comes after a trial period from March to May. ICA also began a new route diversion in May, dubbed "Operation Sunrise", whereby arriving motorcyclists are diverted to automated lanes at the departure motorcycle zone to clear immigration. This move "overcomes the infrastructural constraints at Tuas Checkpoint", ICA said in the media release. Under the new expansion, ICA officers will divert some of the arriving motorcyclists from the Second Link to the departure motorcycle zone for automated immigration clearance every weekday morning. Following clearance, the motorists will exit Tuas Checkpoint onto the Ayer Rajah Expressway. Main crossing for motorcyclists between Singapore and Malaysia ICA said that Tuas Checkpoint is now the main crossing for motorcyclists travelling between Singapore and Malaysia during peak hours, with about 22,000 motorcyclists using the Tuas Checkpoint each weekday morning. A total of 123,000 travellers cross Tuas Checkpoint daily, of which about 68,000 are motorcyclists. This is 3,000 more than the 65,000 motorcyclists who cross Tuas Checkpoint daily before the COVID-19 pandemic. Do you have a story tip? Email: sgnews.tips@yahooinc.com. You can also follow us on Facebook, Instagram, TikTok and Twitter. Also check out our Southeast Asia, Food, and Gaming channels on YouTube. Tourists take photographs at the Horseshoe Falls in Niagara Falls, Ontario, Canada on December 28, 2022 (Geoff Robins) China -- a major source of outbound tourists -- has left Canada off a list of countries now approved for travel by tour groups, its embassy in Ottawa said Wednesday, due to anti-Beijing rattling by Ottawa. Last week Beijing lifted a Covid-era ban on group tours to dozens of countries including the United States, Germany, Japan and Australia, but not Canada. Travel agents turn to the list of approved destinations when promoting and arranging foreign vacations for Chinese nationals. There are currently 138 countries on the list. The Chinese Embassy in Ottawa said in a statement that the reason behind the snub was "the Canadian side has repeatedly hyped up the so-called 'Chinese interference.'" It said "rampant and discriminatory anti-Asian acts and words are rising significantly in Canada" and "the Chinese government attaches great importance to protecting the safety and legitimate rights of overseas Chinese citizens and wishes they can travel in a safe and friendly environment." The United Nations tourism agency (UNWTO) says China grew to be the biggest tourism source market in the world prior to the pandemic. In 2019, Chinese tourists spent a collective US$255 billion on international travel. Group tours from China to Canada were first approved in 2010. In 2018, nearly 700,000 Chinese visitors came to Canada, spending an average of Can$2,600 (US$1,922) per visitor, or a total of Can$2 billion -- out of Can$22 billion spent collectively by all foreign travellers, according to a report by the Canada China Business Council. That same year, tit-for-tat arrests of a top Huawei executive in Vancouver on a US warrant and two Canadians living in China, accused of espionage, dealt a serious blow to bilateral relations. Ottawa accused Beijing of engaging in "hostage diplomacy," before a deal was eventually reached with US prosecutors that saw all three people released in 2021. China-Canada relations hit a new low this year amid accusations of Chinese meddling in Canadian elections and the attempted intimidation of MPs that led to the expulsion of a Chinese diplomat in May. Story continues Beijing responded by sending home a Canadian diplomat from Canada's consulate in Shanghai. Canadian government officials did not immediately reply to a request for comment. Janice Thomson, the head of tourism at Niagara Falls -- the top tourism destination in Canada -- said China's decision to leave Canada off its approved destinations list was "disappointing." She expressed hope that Canada would make it onto the list in a future round of country additions. amc/dw Capturing Love in the Digital Age: Couples in Singapore can embrace online marriage registration through 'Our Marriage Journey' portal from 25 September (PHOTO: Screengrab/marriage.gov.sg) SINGAPORE From 25 September, couples in Singapore will be able to register their marriage online through the "Our Marriage Journey" (OMJ) portal by the Registry of Marriages (ROM) and the Registry of Muslim Marriages (ROMM). This announcement was made through a joint media release on Wednesday (16 August) by the Ministry of Social and Family Development and the Ministry of Culture, Community and Youth. The enhanced OMJ portal will streamline the marriage registration process, from application to the digital issuance of the Certificate of Marriage (COM). Streamlined features and convenient options for couples The enhanced portal integrates with Singpass, which will automatically populate both parties' personal details. Eligible couples can then complete the necessary declarations online, eliminating the need for physical document verification and in-person declaration signing at ROM or ROMM. The portal also offers a video-link solemnisation option, which was initially introduced as part of the COVID-19 Temporary Measures Act. This option will now be permanent, allowing couples to proceed with their marriage ceremony even when in-person gatherings are not feasible. Physical COM no longer legally-required Another change in the marriage registration process is that couples are no longer legally required to sign a physical COM during the solemnisation ceremony. Instead, they will receive a digital COM via email after the ceremony, and it will serve as legally-recognised proof of their marriage. This eliminates the hassle of managing physical certificates and ensures a convenient process for all parties involved. Couples still have the option to receive a ceremonial COM as a keepsake. "The digital transformation of the marriage journey will bring about greater convenience for couples and licensed solemnisers while preserving marriage as an important institution," said Timothy Pak, Registrar for the Registry of Marriages. Story continues "With these enhancements, couples can focus on preparing for their married life together. Solemnisers can play a more active role in journeying alongside couples, laying the foundation for strong and enduring marriages." Changes to ROM and ROMM Marriage Process (INFOGRAPHIC: MSF & MCCY) Do you have a story tip? Email: sgnews.tips@yahooinc.com. You can also follow us on Facebook, Instagram, TikTok and Twitter. Also check out our Southeast Asia, Food, and Gaming channels on YouTube. Home Affairs and Law Minister K. Shanmugam dismisses renewed online affair accusation involving a Member of Parliament. (Photo by Roslan RAHMAN / AFP via Getty Images) SINGAPORE Home Affairs and Law Minister K.Shanmugam has refuted a resurfaced online claim which falsely accused him of having an affair with a Member of Parliament (MP). In response to these allegations, the 64-year-old posted two separate posts on his Facebook account on Tuesday evening (15 August). Addressing the issue, Shanmugam clarified, "I have been told that an old post, fake news, is being recirculated - that I had an affair with an MP." Denouncing these accusations, he labelled them as "false" and "baseless" in his first Facebook post on Tuesday. Shanmugam added that he has engaged his legal team to examine the matter and is contemplating additional action to counter these allegations. Minister exposes impersonation in old allegations In his second Facebook post, Shanmugam shed light on the origins of the accusatory post, revealing that it had been created years ago by an individual impersonating his ex-wife, Jothie Rajah. He shared, "A person pretending to be my ex-wife Jothie, put up a nasty, false post, many years ago. "Jothie didn't write it and has told me that she didn't write it. It was an imposter, making up the allegations." The minister expressed concerns over the recent resurgence of the false allegations, indicating that some individuals had intentionally circulated the post again. This time, Shanmugam has declared his intention to take more decisive action. He wrote, "I see that people are deliberately recirculating that post. This time I will file a police report." Do you have a story tip? Email: sgnews.tips@yahooinc.com. You can also follow us on Facebook, Instagram, TikTok and Twitter. Also check out our Southeast Asia, Food, and Gaming channels on YouTube. DES MOINES Two Republican presidential candidates looking up at Donald Trump in the polls one who has to look even farther than the other said Tuesday they believe Trumps support is not yet etched in stone, and that another candidate can still be successful in the Iowa GOP caucuses. Trump holds commanding leads in polling on the Republican presidential primary campaign, both in Iowa and nationally. He is nearly 40 percentage points ahead of the field in Real Clear Politics rolling average of national polls, and was 24 points clear of the field in a recent poll from the New York Times and Siena College that surveyed Iowa Republicans. Despite those numbers, South Carolina U.S. Sen. Tim Scott was defiant Tuesday when a reporter asked whether he believes Trumps advantage is insurmountable. No, of course not. Thats why Im campaigning here, Scott said during a brief huddle with reporters after he appeared with Iowa Gov. Kim Reynolds. Because I believe that my optimistic, positive message is being rooted in Iowa. The good news is we have enough time to make this not into a two-horse race, and at the end of the day we look forward to being the nominee. Scott polled at 9 percent in that New York Times/Siena College poll, which was good for third well behind Trump (44 percent) and Florida Gov. Ron DeSantis (20). Election 2024 Iowa State Fair Republican presidential candidate Sen. Tim Scott, R-S.C., eats pork after working the grill at the Iowa Pork Producers tent at the Iowa State Even farther down that poll of Iowa Republicans was former Arkansas Gov. Asa Hutchinson, who clocked in at less than half a percent. Hutchinson also was at the Iowa State Fair on Tuesday, and he, too, said he believes Trumps support here can be whittled. Hutchinson said he believes many Trump supporters are just expressing support for him now as a default while they consider other candidates. First of all, the overwhelming numbers (of Iowans who) are saying, Were looking at an alternative. We think that Trump did a good job for four years, but hes not going to be able to lead us to victory. Thats a big part of their decision making process, Hutchinson told reporters after his own appearance with Reynolds. I think Trumps numbers are a default position until (voters) decide on a good alternative. And right now, theyre not deciding until after the debate, until farther in. You dont jump from one candidate to another until you decide where youre jumping. Thats where (voters) havent decided. In that New York Times/Siena College poll, nearly half the respondents who said they are supporting Trump said they also are considering other candidates. Candidates on latest Trump indictments On the latest indictment of Trump involving his attempts to overturn the results of the 2020 presidential election in Georgia Hutchinson was much more critical than Scott. Hutchinson said the charges brought against Trump are serious and address fundamental issues of our democracy. So he ought to be held accountable, Hutchinson said of Trump. Ive said that hes morally accountable. The question now is whether hes criminally accountable. Election 2024 Iowa State Fair Republican presidential candidate former Arkansas Gov. Asa Hutchinson greets fairgoers during a visit to the Iowa State Fair, Tuesday, Aug. 15 Scott, on the other hand, dismissed the latest charges against Trump, which follow previous indictments involving Trumps role in the Jan. 6, 2021, insurrection at the U.S. Capitol, his handling of classified documents after leaving the White House and an alleged hush money payment to a former porn star. Im just going to continue to say it as I see it, which is that we see the legal system being weaponized against political opponents, Scott said, even though the latest charges were brought by a county-level district attorneys office in Georgia and not a federal prosecutor. That is un-American and unacceptable. At the end of the day, we need a better system than that. Qualifying for the debate Scott has qualified for the Aug. 23 Republican primary debate, but Hutchinson has so far failed to reach the 40,000 required donors to be on the stage. Candidates have until Monday to qualify. I expect to be there, looking forward to that, Hutchinson said. And were actually planning and preparing for it. Beyond that, theres not a question. We intend to be there. Trump has not confirmed if he will attend the debate, and he frequently has suggested he will skip it. Ryan Binkley also joins Reynolds Ryan Binkley, a Texas pastor and long-shot candidate, also spoke with Reynolds at the State Fair, bringing a message that ties his Christian background with a pitch to deliver bipartisan economic reform. As president, I will no longer vilify every Democrat on Friday and then ask them to work with me on Tuesday, he said. I will try and lead the way in a bipartisan way because theres some problems we have, Republicans cant solve on our own. Those issues include the budget, securing the border and improving the health care system, Binkley said. He said Trump did a lot of good things but he put some of the blame of the high national debt on his shoulders. With a large unfunded tax cut and COVID-19 relief spending, Trumps presidency saw the national debt increase by $7.8 trillion. The tricks employed by the flawed OISM Petition Project to cast doubt on the scientific consensus on climate change Link to this page What the science says... Select a level... Basic Intermediate The 'OISM petition' was signed by only a few climatologists. At a glance Do you think that, just like politics, the laws of physics can be overturned by polls and petitions? Quick answer: no they cannot. But didn't you, or someone you know, read about a petition signed by thousands of scientists who disagreed with manmade global warming? The petition actually exists, but it changes nothing about the physical reality of the world. Below we explain why this is a flawed exercise and a "good" example for the denial techniques of fake experts and magnified minorities. The petition was organised by the self-styled "Oregon institute for Science and Medicine" (OISM). OISM is a non-profit organisation, based at a location in rural Oregon, USA. The petition had two launches, initially in 1998 and again in 2007. People were invited to sign by self-certification, meaning anyone who said they were qualified in the physical sciences at a USA institution could take part. The initial release of the petition was done as a response to the Kyoto Protocol, signed the year before. Kyoto was explicitly mentioned in the petition text. The petition text also claims that there is, "no convincing scientific evidence that human release of carbon dioxide, methane, or other greenhouse gases is causing or will, in the foreseeable future, cause catastrophic heating of the Earth's atmosphere and disruption of the Earth's climate". There are some fairly obvious problems with the petition. To begin with, what guarantee is there that a graduate in engineering or medicine will know anything about climatology? None. We need to pause here and consider for a moment the term 'scientist'. Science is a broad field. One scientist may work in immunology, another in engineering. Would you go to the engineer if your immune system started playing up? If the answer is 'no', then good for you and you can likely see this major problem with the petition. But there's worse to come. The documents accompanying the petition included a 'research paper' dressed up to look convincingly like official material from the National Academy of Sciences (NAS). Normally, we say, "imitation is the sincerest form of flattery". Not in this case. It was flat-out deceit. So misleading was this document that the NAS issued a press-release in April 1998, stating the following: "The petition was mailed with an op-ed article from The Wall Street Journal and a manuscript in a format that is nearly identical to that of scientific articles published in the Proceedings of the National Academy of Sciences. The NAS Council would like to make it clear that this petition has nothing to do with the National Academy of Sciences and that the manuscript was not published in the Proceedings of the National Academy of Sciences or in any other peer-reviewed journal. Who signed? Some 31,487 people eventually - not necessarily all scientists as multiple enquiries have demonstrated - and even if they were that would still only represent 0.25% of all USA physical science graduates over the preceding 43 years. That's a prime example of the phenomenon of 'magnified minority', if there ever was one! In the light of those figures, the key question would have to be, "so what do the other 99.75% of scientists think?" Please use this form to provide feedback about this new "At a glance" section. Read a more technical version below or dig deeper via the tabs above! Further details Over the years since the threat of climate change emerged, there have been various moves by corporations and their think-tanks to downplay the perceived risk. Such moves have included making claims that large numbers of scientists disagree with the basic principles behind human-caused climate change. One of the most notorious such exercises manifested itself as a petition organised by the so-called 'Oregon Institute of Science and Medicine' (OISM), launched in 1998 and revived in 2007. This petition has apparently been signed by thousands of people with a self-certified BSc or higher science qualification. Signatories were invited to agree with the statements on display in fig. 1. Fig. 1: The OISM petition, archived by Brian Angliss in 2015. Accompanying the petition was a bizarre article, initially dressed up to resemble a scientific paper from the journal 'Proceedings of the National Academy of Sciences' (PNAS). In response, the NAS put out an official statement putting as much distance as possible between it and the OISM. The 'paper' was nothing of the kind. In fact, a cursory look at its content indicates the presence of fundamental errors in almost every paragraph. Desmog describes a 2007 version of the 'paper' thus: "This exercise is so flawed that its hard to know what demands criticism the most. First, the whole exercise is being pushed by Arthur B. Robinson, the survivalist, Darwin skeptic and proprietor of something called the Oregon Institute of Science and Medicine. The scientific article on which the petition rests is authored by Robinson, his son Noah, and the American Petroleum Industry-funded Willie Soon, none of whom could hope to get their climate work published in a peer-reviewed science journal." As to the statements that form the backbone of the petition, they are fundamentally flawed. There is no great debate on the core principles of human-caused climate change - in the professional field of climate science. Human carbon emissions are causing climate change. Continuing emissions at current levels is only going to make a bad situation far, far worse. As of spring 2023, according to their website, the petition has been signed by "31,487 American scientists including 9,029 with PhDs". Not much change from 2008 then, when OISM said it had over 31,000 signatures. The organisation's website does not stipulate how many signatories work in climate science. In fact, they specify their definition of 'scientist' as follows: anyone with a Bachelors, Masters, or Doctorate of Philosophy in a field related to physical sciences can sign the petition. That is a wide, wide field indeed. OISM petition signatories in fact represent a tiny fraction of all US science graduates (petition cards were only sent to individuals within the U.S). How tiny? According to figures from the US Department of Education's Digest of Education Statistics: 2008, at the time the relaunched petition was doing the rounds, 10.6 million science graduates had gained qualifications consistent with the OISM polling criteria since the 1970-71 academic year. 31,487 out of 10.6 million is not a compelling figure, but instead a tiny minority of 0.29 per cent. As Brian Angliss put it (in 2015, so the figure has dropped still further): "Far from being an alleged counter-consensus, the 31,487 names collected by the Global Warming Petition Project represent only one quarter of one percent (0.25%) of science and engineering degrees awarded since 1970. (fig.2)" Fig.2: the OISM petition signatories shown as a fraction (red) of all US Science graduates (blue) and the breakdown (R) of the disciplines who signed the petition and who therefore make up that tiny but magnified minority. Graphic: Brian Angliss. Former New Scientist writer Peter Hadfield, who now runs the excellent 'potholer 54' Youtube channel dedicated to climate science explainers, made the point that scientists are not experts on every topic. In one of his videos in 2010, Hadfield said: "In between Aaagard and Zylkowski, the first and last names on the petition, are an assortment of metallurgists, botanists, agronomists, organic chemists and so on.... The vast majority of scientists who signed the petition have never studied climatology and don't do any research into it. It doesn't matter if you're a Ph.D. A Ph.D. in metallurgy just makes you better at metallurgy. It does not transform you into some kind of expert in paleoclimatology." Attempts like this to undermine the scientific consensus on climate change have ideological roots, variously connected with vested business interests and their political lackeys. The claims made in the OISM petition do not withstand objective scrutiny. Instead, a growing number of independent studies, examined here on Skeptical Science, have shown that around 97% of climate scientists in fact agree with the core principle that human greenhouse gas emissions are causing the climate to heat up and change. Whatever impression silly petitions signed by a tiny minority of science graduates might try to give, the scientific consensus on human-caused climate change is rock-solid. Note - Aug 12, 2023: Following up on helpful reader feedback, we updated the title as well as the first paragraph to better spell things out. Last updated on 12 August 2023 by John Mason. View Archives Printable Version | Offline PDF Version | Link to this page Please use this form to let us know about suggested updates to this rebuttal. Link to this page A burnt vehicle is seen after the clash in Ain Zara area of Tripoli, Libya, on Aug. 16, 2023. The Medical Emergency Department of the Libyan Ministry of Health on Tuesday said that 27 people have been killed and more than 100 injured in clashes that erupted in the capital Tripoli Monday night. (Photo by Hamza Turkia/Xinhua) TRIPOLI, Aug. 16 (Xinhua) -- The Medical Emergency Department of the Libyan Ministry of Health on Tuesday said that 27 people have been killed and more than 100 injured in clashes that erupted in the capital Tripoli Monday night. The department said that more than 234 families have been evacuated from clash areas in Tripoli and that three emergency field hospitals were set up to treat the wounded. Violent clashes erupted in parts of Tripoli later on Monday between the 444 Brigade and the Special Deterrence Force following the force's reported arrest of a powerful 444 Brigade commander. According to the Interior Ministry, the clashes stopped on Tuesday when the warring factions agreed to ceasefire "with the efforts of the Prime Minister and elders of Tripoli." Libyan Prime Minister Abdul-Hamed Dbeibah issued an order to compensate the civilians for property damaged by the clashes. Libya has witnessed violence and insecurity since the fall of the late leader Muammar Gaddafi in 2011. Forces affiliated with the Government of National Unity are deployed in Ain Zara area of Tripoli, Libya, on Aug. 16, 2023. The Medical Emergency Department of the Libyan Ministry of Health on Tuesday said that 27 people have been killed and more than 100 injured in clashes that erupted in the capital Tripoli Monday night. (Photo by Hamza Turkia/Xinhua) Planet of the Bass is the debut single from DJ Crazy Timesa Europop bad boy in mirrored Matrix shades, baggy zipper pants, and Kool-Aid-dyed pink hair who can be reliably found at the hottest clubs from Sarajevo to Ljubljana. The music video, which has been viewed more than 100 million times since being posted on July 28, is molded in a distinctly 90s rave traditionrude phaser synths, MDMA-flecked verses about the liberation of partying, and the sort of broken English that typically appears surrounding a crunchy, oonce-oonce beat. (One choice couplet, sung by featured artist Ms. Biljana Electronica: When the rhythm is glad/ There is nothing to be sad.) Advertisement Advertisement Advertisement Advertisement DJ Crazy Times, of course, is the alter ego of comedian Kyle Gordon, who has collected more than 3 million followers on TikTok since 2020. The character has existed in his act for years, but something about Planet of the Bass struck a cultural nerve center. Its become a genuine sensation, inspiring fan art, remixes, and live performances in front of packed houses. The song debuted on streaming services on Tuesday, and after the dust settles, theres a good chance Planet of the Bass will land as an actual Billboard hit. The comic has become a pop star. Bo Burnham, Weird Al, and even Eddie Murphy can relate. Advertisement Recently, I called Gordon up to discuss his sudden viral success, his hopes for the future of DJ Crazy Times, and what other bangers he has up his sleeve for his first album. This interview has been edited and condensed for clarity. Advertisement Luke Winkie: What is your history with 1990s Europop? Do you have an appreciation for that stuff? Kyle Gordon: I have a genuine fascination with that genre. I really do enjoy listening to it. I could listen to Aquas Aquarium start to finish. I actually saw Aqua live when they came to New York a month ago. It was incredible. Im 30, and growing up, I have a distinct memory of going to older siblings bar mitzvahs, and during all the 90s American teen-pop, youd hear What Is Love or Im Blue. That music was so popular in Europe, and it would only appear in America in flashes. It didnt sound like anything else on the radio. The older I get, the more I appreciate it. Advertisement Advertisement Youre a comedian by trade, but given the success of Planet of the Bass, how seriously are you taking your music aspirations? Its a good question. I dont aspire to be a musician. I love doing charactersthats where my comedy instincts lie. And I do musical genre parodies at my live show. I like playing around with tropes in music. Its a vehicle for delivering something funny. Advertisement Advertisement I do feel like in 2023, the line between being a comedian and being a musician has become increasingly blurred. Bo Burnham fans are seeking out his specials to laugh, but also to listen to the songs. Speaking for myself, Planet of the Bass is the first single from this album thats going to have all of these different music parodies. To make the parodies effective, I wanted them to sound well produced, like actual songs. For example, I have an early-2000s Shania Twain pop-country parody on there. I wanted that to be authentic. I wanted my pop-punk parody to sound just like New Found Glory. Its all an expression of my own taste. If thats part of a melding between those two worlds, between music and comedy, Im happy to be a part of it. Advertisement How have your expectations changed for this project now that its gone super viral? The Billboard charts are so weird now in the streaming era that I wouldnt be surprised at all if Planet of the Bass hits the Billboard Top 20. Whats it like to live in a world where you might end up with a legitimate pop hit? Its completely insane. Im a fan, at the end of the day. When I was a kid, I paid close attention to the charts. Also, to give Slate a shout-out, Im a religious listener of Hit Parade by Chris Molanphy. With YouTube and streaming being a factor, and I could have a charting song, thatd be crazy. But it happens! Comedy music? Novelty songs? They all have a history of making waves on the charts. Advertisement Advertisement What genre of music do you find easiest to satirize? I think I know all of the genres on the album an inch deep but a mile wide. I think that helps when youre doing parody music. If youre so obsessively knowledgeable about a specific thing, you can get into the weeds. One thing I was going for with DJ Crazy Times was for him to be really resonant to people who know Europop really well but also relevant to folks who only have an ambient sense of something that was going on 20 years ago. The goal is to be as authentic as possible without sacrificing being funny. Can we expect any harder-hitting satire when this album comes out? Advertisement Advertisement Advertisement One song Ive been doing live for a long time is a rebel IRA song. Like, a real Northern Irish Wolfe Tones thing. Very anti-English. Have you read Say Nothing, the Patrick Radden Keefe book about the IRA? I have. Its an amazing book. I think I was interested in the Troubles because it was so opaque and difficult for me to parse as a kid. I couldnt understand that there was a division between the Catholics and the Protestants, and the British were involved. I was fascinated that there was a civil war going on in Ireland. Its similar to the Yugoslavian conflict, which, I suppose, is part of DJ Crazy Times heritage. Related From Slate The Greatest Music Critic Youve (Probably) Never Heard Of Read More Whats a quirk you have that your girlfriend hates? [To girlfriend:] Whats a quirk that I have that you hate? [Gordons girlfriend:] Oh, that you talk on speakerphone all the time. On the subway, everywhere. Its pretty wild. Whats something youre stressed out about right now? Probably just the timing of everything with this album. I just want to make sure I get it right. People have been introduced to DJ Crazy Times, and then theyre going to get the whole album. I do hope that everyone understands what Im going for here. This is part of Help! Wanted, a special series from Slate advice. In the advising biz, there are certain eternal dilemmas that bedevil letter writers and columnists alike. This week, were taking them head-on. After hearing from letter writers in the thick of their dilemmas, theres always a lingering question: Well, what happened next? We reached out to favorite letter writers from the past year to get you some answers. Heres what they wrote back. Q. Still Confused: My boyfriend was in a wedding recently for his good friend as a groomsman. When we first got the invite (we live together and have for three years) we discovered I wasnt invited and he did not have a plus one. Weve met this couple before and they knew we had been dating for close to four years by that point. I was a little hurt when all the pre-wedding festivities started and still, no one said anything about him getting a plus one for me despite other members of the bridal party having a plus one. I was especially hurt when he was telling me about how he was expected to dance with the bridesmaids. The bridesmaids even got together to do his hair and gushed about it because they thought he was single. I trusted my boyfriend implicitly but he was just as uncomfortable and wished I was there so he would have someone to dance with and talk to at the reception (he only knew two other groomsmen but no one else at the wedding). Are we being entitled? Advertisement Advertisement Advertisement Advertisement A: A huge part of young adulthood is feeling deeply wronged by other peoples wedding-related decisions, most of which are not really personal but rather attempts to manage the enormous costs associated with these events. It is not entitled to have negative feelings about something that leads to you feeling excluded or sets you up to have a bad day. But it is a waste of time to dwell on it a lot. My best advice for anyone involved in a wedding is to make a choice: Either go, put on a happy face, and decide to have the best possible attitude and best possible time given the circumstances or RSVP no. So thats what I would tell your boyfriend. And you should reassure yourself that there was likely some formula that decided who got a plus one. Maybe only married couples were invited. Maybe only people the couple had known for 10 years. Maybe they prioritized those who theyve been closer to in the past six months, or people who would make the dance floor lively. Whatever it was, it was probably a tough decision and doesnt mean they dislike or dont care about you. Advertisement Advertisement Now, as far as your boyfriend and the bridesmaids: The fact that they thought he was single and he allowed them to gather around and style his hairnot really a traditional part of most weddings!is on him. And whether a forced dance with them brings up jealousy or feelings about infidelity is fodder for a conversation about your relationship, not about wedding etiquette. Original letter and response: Help! I Wasnt Invited as My Boyfriends Wedding Plus-One. The Bridesmaids Took Advantage. August, 2, 2022. Update from letter writer: We learned after the wedding from one of the groomsmen that the bride had to be persuaded to allow my boyfriend to be a groomsman because she doesnt like him and by extension, she doesnt like me. We genuinely dont know why and it didnt seem anyone else knew her reasons for not liking us but it is what it is. Her condition for allowing her husband to have my boyfriend in the wedding party was that he not get a plus one. Advertisement Advertisement The groom is one of my boyfriends oldest and closest friends. Theyve known each other since elementary school and have been close friends since then as well. He would have been the best man if not for the grooms brother coming back from Europe. The groom was apparently upset about the brides treatment of my boyfriend but ultimately, went along with it to avoid further stress and conflict. Understandable. Advertisement Advertisement We arent holding a grudge or even upset about it anymore after learning this. We thought it was a mutual decision of the couple and felt hurt more by the groom because of his and my boyfriends long-time friendship. At the end of the day, you were totally right, its the bride and grooms special day and they can do whatever they want, it might not even have been personal, who knows. My boyfriend says that he wants to avoid going to weddings from now on unless we are just guests and can go together. I agree. Advertisement Q. Need to Know When to Say When: I believe my nephew is on a three-year journey of faking his college enrollment. His financially strapped parents have paid for all his college expenses, except for tuition. They also suspect something is up. Theyve asked me to help. Things are coming to a head because he now has to invent reasons why he didnt graduate with his class in the spring. The first reason was a made-up study abroad program he had to finish that prevented him from finishing his last class. He faked a lot of it well but didnt know he was given away by his cell phone still having the U.S. ring, even though he was supposed to be in Europe for the semester. The excuse now is that the last class hes about to start is really hard and he may not pass it. Ive gone straight at it with him directly. With compassion, Ive told him I dont believe his stories and I want to help him extricate himself from the ruse so that he can get on with his life. He wont budge. Do I go to him with proof that I know hes making it all up or do I just let it play out? My worry is that hell dig a hole too deep from which to escape with lie after lie after lie. Advertisement Advertisement Advertisement A: What a mess! But you say youve already gone to him directly so your job is done. Theres no need for you to force him to fess up (and if you do, you risk damaging your relationship with him or his parents) when it seems things will naturally come to a head soon. If anything, I would advise his parents to protect their personal and financial information to prevent him from doing anything shady as he becomes increasingly desperate. Original letter and response: Help! I Caught My Nephew Lying About What Hes Really Doing at College. August 29, 2022. Update from letter writer: The advice was spot on. My nephew never graduated and never came clean but he did escalate to helping himself to large sums of cash at his parents home. He also racked up credit card charges on accounts. Weve never confronted him. Advertisement One year post graduation he seems to be coming around and is working full-time and talking about getting back into the swing of things with a community college class. His parents are devastated by the lies but they agree with Prudie. Letting it play out is the best way to go. Advertisement Advertisement Q. Gift House in the Mouth: My partner and I are a queer couple in an expensive city. We are currently talking about moving in together and are both very excited about it, but my partners grandpa has promised my partner his house after he passes away and the house is right next door to my partners parents. I get along very well with his parents, but my partner hasnt always had the clearest boundaries with his family. And I just know for certain his parents would 100 percent show up without warning and barge right in. I honestly wouldnt even want to live next door to my own parents, let alone my parents-in-law. The other issue is that my partners oldest brother has been tried for child pornography and this brother still lives at his parents house. So, that raises questions about us having children or my baby sister coming to visit. Is that safe? I think a lot of this sounds petty. I dont exactly have six figures worth of savings or a home to offer, but I cant imagine a world in which living next to my partners parents wouldnt involve weekly conversations about boundaries and lots of hurt feelings. How do I explain to my partner that I dont want to live next to his parents for the rest of my life? Advertisement Advertisement Advertisement A: The easiest solution here would be to accept the house, spruce it up, sell it, and take the proceeds to move somewhere a healthy distance from meddling in-laws and the sexual predator brother. But Im sure you two would have already made your plan and started looking at Zillow if you felt your partner might easily agree to this. Personally, I think a FREE HOME would be worth the hassle of dealing with busybody parents. Now, dont get me wrong: Its worth saying to your partner, You know I love your parents but Im concerned about what it would mean to live right next door to them and have our privacy and enough time with just the two of us. Would you consider selling the house and moving a short drive away so we could still be close enough to see them regularly but also feel like we live on our own in a place we chose? But if he pushes back, at least wait for the situation to be unbearable before you turn down a lifetimes worth of housing security. Assuming youre not already rich and youre the kind of partners who share finances, this is a massive, life-changing transfer of wealth! You could use the equivalent of a single months rent or mortgage to bring in a family therapist for a few sessions and get everyone on the same page about boundaries. Or pay to change the locks and forget to give them a key. For decades. Advertisement Advertisement Advertisement Now, the brother is another story. You can have your sister visit and keep a close eye on her while shes there, but raising kids full-time next door to a pedophile is a hard no. Tell your partner that youre firm on this and if he doesnt agree to sell and move before bringing a child into the family, cut your losses and part ways. If he does agree, just hang in there until that time comes. You can do it. Set some boundaries with the annoying parents, hide with the lights off, and pretend not to be home from time to timewhatever it takes to take advantage of the free real estate! I just really think its too good a deal to turn down before theres a risk of actual harm to anyone. Nobody ever died from annoying in-laws but people have died from being poor. Advertisement Advertisement Original letter and full response: Help! Were Getting a Free House. My Only Concern Is the Pedophile Next Door. October, 22, 2022. Update from letter writer: We actually just broke up last month over the issues of his brother and family boundaries. To thicken the plot, my baby sister is underage, and I made it expressly clear that his brother, a convicted child sex offender, and my sister were to never be in the same room together. While my ex understood this boundary, his family did not. I think my exs family is unfortunately in deep denial about the extent of the brothers crimes (he was taking photos of children using public restrooms and was caught looking at child pornography on public WiFi). So all thats to say, this family house was never going to be tenable, and given all the pressure he was receiving from his family, our relationship just kind of slow-motion imploded. Advertisement P.S.: I also found out the house wasnt exactly free. Theres still a hefty mortgage on it too not exactly ideal. Q. Oh Baby: Over the past couple of years Ive gotten to know my husbands friend Alan. Alan is nice, smart, and a loving father and husband. His little ones played with my little ones before he and his wife recently moved. Hes also the only Black member of my husbands college friend group (the college was rural and in a part of the South I used to avoid). Im also a Black woman. Just last week my husband and a few friends got together to see another college friend named Amy who was in town for a few days. As I was getting ready to meet her, my husband mentioned that a little after college Amy told my husband something shocking about her and Alan. She had Alans baby secretly and gave him up for adoption. Amy is white and from a good family, and probably doesnt understand the implications of putting any baby in the system, much less a Black one. I am upset. The kid is probably around 17 by now. He might be totally fine, but he might not be. My husband has no idea as to why Amy confided this information in him (she did try to date my husband unsuccessfully). I asked if Alan were abusive or incredibly different in college. My husband says he was pretty nice back then too. When I got even more upset, my husband said sometimes Amy is a compulsive liar, but hes thought about her statement for almost two decades. He also told me not to go do anything stupid. So what is appropriate here? I want to tell Alan, or send him an ancestry DNA kit anonymously with a note about long lost family (maybe the kid will find him as an adult). That seems incredibly intrusive, but if this is true Alan has the right to know. Advertisement Advertisement Advertisement Advertisement A: Stay as far away from this situation as you can. There are just so many red flagsAmys purported lying, the side quest where she tried to date your husband, the casualness with which your husband is dropping these tidbits. Its a mess. And from your letter, it doesnt seem like you know Alan well enough to be the bearer of shocking, and shockingly incomplete, news. You dont have any information about the child, you cant point him in the right direction; respectfully, you will not be helpful in this situation. You can encourage your husband to tell Alan, as they have a long relationship and hes the one whom Amy actually told. But this situation is ultimately between Alan, Amy, and the child. Advertisement Original letter and advice: Help! My Husbands Friend Did Something Unforgivable in College. July 29, 2022. Update from letter writer: I followed Prudies advice and clammed up. My husband wont tell Alan either. Not much more to report than that. I hope Amy made this all up. Q. The Unfamous Friend: I have a slightly older friend who is successful in a certain creative field and often in the public eye. We started talking because of unrelated mutual interests and while weve never met in person, I look up to them a lot, received advice and emotional support from them during some personal crises last year, and have been inspired and encouraged to try my hand at their form of art. Im glad to have them in my life, but I keep worrying that Im not worthy of calling myself their friend. Part of this is low self-esteem and thinking I dont measure up, but theyve also dealt with creepy and invasive fans on multiple occasions and I fear ending up like those people in any way. Talking about their work to anyone else I know feels like namedropping, and I dont know where the line of acceptable behavior is or how to know if Im overstepping when I call them a friend. Obviously, it would be weird to just ask them that, and I have a feeling that to any other person (whos not autistic as all hell like me) our friendship would not be something to question, so how do I shake my insecurities and manage being in this position? Advertisement Advertisement Advertisement A: Just follow the three Ds. Demystify: Regardless of their fame, your friend is still just a person with regular human needs, including companionship. Stop fixating on their celebrity (and the attendant problematic fans) and stay focused on your own individual relationship with them. If you value this person for their kindness and creative spiritas you obviously doyou wont end up like an invasive fan, so put that, and similar insecurities, out of your mind. Instead, think about your friend as one human being among eight billion, who just happens to have talents that draw adulation from the public. Disentangle: Admiration and friendship often go hand in hand, but I sense that you are struggling to identify a border between the two. I suggest that you frame this individual in your mind as a friend first and an inspiration second. Any emotions that arise out of your friendship should be presumed reasonable and acceptable. Any emotions that arise out of your inspiration might merit a gut check: Am I over-stepping or over-adulating? Am I putting this person on a pedestal rather than acting as a confidant and buddy? If yes, try to recenter your intimate personal connection and put the artifice of celebrity out of your mind. Advertisement Advertisement Advertisement Demonstrate: As you note, your fears about this friendship are fueled largely by low self-esteem. To overcome this problem, I advise you try a time-honored solution: fake it till you make it. Think about who you would be with high self-esteem. How would that person navigate the world? How would they settle into the comforts of friendship with a creative person who happens to be famous? Then try your best to act like that image of yourself, even if it feels strange and unnatural at first. Act like you might if your insecurities fell away and you believed deeply in your own goodness, confidence, and strength. Demonstrate to yourself that you can be that person, with some extra effort, and you may well become that person with time. Advertisement Advertisement Original letter and advice: Help! My Friend Is Famous. I Dont Want to Act Like a Creepy Fan. May 24, 2023. Update from letter writer: Hi! So, I have been trying to put in a lot more work to love myself and have fewer doubts about my life. I think that was a big reason why I had convinced myself I wasnt worthy of having a friend who I considered so cool and talented. And I compared myself to others too much even though it only ever made me feel worse. Sometimes I still do but I think Ive started to have a clearer head and see that behavior for the unhelpful thing that it is. Plus, me and that person still talk. I may not be able to go to their big birthday parties because I live far away, but that doesnt mean they think less of me. Its not always easy but I am trying to improve myself and be around people who support me in that. Classic Prudie My two sisters and I are all close in age. Chloe got engaged first but has put the wedding off due to grad school. Zoe got engaged a few months afterward and was looking at a whirlwind wedding. She bought the dress and then caught her fiance cheating on her. I was with Zoe at the time, and she was devastated. We got drunk and emotional, and Zoe decided to burn the dress along with some of her exs things. I was just happy to see Zoe stop crying. We held a ceremony where she cleansed herself of everything that came from him and posted a picture to a private social media account. Chloe texted me in a rage. The memory of the OceanGate submersible disaster and all its attendant hubris was still very fresh when an artists rendering of a new cruise ship, set to be the biggest in the world, went viral last month. As soon as some social media users laid eyes on Royal Caribbeans Icon of the Seas, which was festooned with interlocking waterslides and a seemingly absurd number of pools, they were quick to denounce it as a dystopian monstrosity, a monument to tackiness, a Hieronymus Bosch painting come to life. (For real.) Headlines about the polarizing vessel quickly followed. Royal Caribbeans Massive Icon of the Seas Ship Is Freaking People Out, read one. Advertisement Were we all too harsh on the ol Icon? Cruises arent for everyone, but they have their fans, and maybe those fans really enjoy waterslides. Jay Schneider, a chief product innovation officer at Royal Caribbean, agreed to defend the ships honor to Slate. This interview has been edited and condensed for clarity. Advertisement Advertisement Advertisement Heather Schwedel: What was the original vision for the Icon of the Seas? Jay Schneider: Weve been working on Icon since 20162017, if you go back to our original memo that starts off a new class of ship. Typically, that process is about aspiration. It doesnt detail things like We want a ship that weighs 250,000 tons. Instead, its aspirational and rooted in what we would say as a headline. This ships headline is This is the best family vacation on the planet. Advertisement There have been some strong reactions to the maximalist look of the ship, with some people finding it overwhelming. Why did you go in that direction? We didnt start with the worlds largest ship. The ship was actually smaller in its initial design. But as we went through the creative journey, we did more research with our guests, and we found that this is what they want. So far, its paid off. This has been our most successful launch of a ship ever. Its selling very well, and the guest reception has been through the roof. Were excited that by rooting a new class of ship maniacally in what our guests were looking for and by going through such a unique design process, we created something people really love. Advertisement Advertisement Now, will there be people that say this is too much? Of course. The beauty is, we have a fleet of ships that speaks to everybody. Can you tell me more about the theme park on the ship? Thrill Island really came together in the past two years. Certain things were locked in, like the staterooms. But what we noticed as we were designing this is, we had put all the adrenaline-pumping thrills in the same spot for the first time ever. And literally in a meeting, somebody said, You know, we have, like, an island or collection of thrills. We went through a little bit of a creative charrette and decided to create Thrill Island. We wrote this statement that said, What if Thrill Island was like the Bermuda Triangle for thrill seekers, and what if it was a windswept lost island, and what if we really leaned into thematic design? We talked about this notion that youd have these two creative zones, an active storm zone, and the post-storm aftermath on the other side of the ship. Both of those are meant to give us kind of a creative framework of how the island was hit by a storm. Advertisement Advertisement Advertisement Advertisement Where do you start when youre planning out an on-ship theme park? Weve got a ton of feedback from guests on the type of things theyre looking for. We know people love family-raft slides. We know they love single-drop slides. We know what works for a water park experience. And then we start to think big and dream crazy. We design in 3D. People are sketching, people are drawing on whiteboards, theyre building 3D models. Eventually, theyre physically going to the ship, and its a very iterative process. We then also have a warehouse on our campus where we then build up full-size mock-ups. Its not easy to send, you know, 30 or 40 people to a shipyard in Finland. And so well build up a mock-up so people can try it out. Advertisement How do you figure out which wild ideas you have for features on a ship are actually feasible and which arent? If we cant do something, in some cases its time, in some cases its water. One of the ideas we had was a seven-story waterslide that went all the way down and had a way for riders to quickly get back up and do it again. This ship has more water on it than any other ship on the planet. Its got 62 percent more water than our previous record ship. One of the things that we have to be very careful about is the engineering weight of water high up on a ship. We go through a pretty rigorous process to say, OK, if we want to do a waterslide like that, a waterslide is a constant flow of water, so youve got to be able to get water from the bottom all the way back up to the top to be able to keep that flow going or figure out what to do with it. So, from an engineering standpoint, we study all of the crazy ideas that we have. Advertisement Advertisement Advertisement And then we have to make trade-offs. We literally had to say, OK, we wanted water here, but weve taken too much water in the water park. Are we OK that this isnt a water experience? We had to go through that journey as well. Theres a spot elsewhere in the ship where we once had a hot tub that we couldnt do because we literally ran out of water weight. So those are some of the trade-offs we do. It involves people with a ton of different specialties. Youve got to balance off naval engineering, architecture, design, guest flow, and then raw ambition. One of the elements that really stands out in the photos is the slides. Can you tell me more about them? Advertisement The on-ship water park is called Category 6. Weve created our own hurricane level. Our waterslides on other ships are called Perfect Storm because youre going through kind of a storm experience. We wanted to take that up a level. It happened to align that we had six waterslides. I believe this is the worlds first water park at sea, because nobodys ever put six slides on a ship before. Were making up the definition that a water park means you have six slides. Were taking that creative liberty. [Editors note: There are other ships out there that claim to have water parks on board, some of which boast four or five slides.] Advertisement Advertisement Advertisement But why stop at six slides? Would I take a seventh slide if I could? Sure. We went through the journey of what it would look like if you took a slide from Thrill Island down to another area called Surfside, and there were real, fundamental things that we would do that would probably have made that work, but it would have compromised too many other things. So Im OK that were not doing it. Advertisement What are some of the attractions youre most excited about? We have a slide thats cantilevered over the ocean, so, technically, youre flying over the ocean. I was in our yard a couple months ago, doing a site tour. And our chairman said, Something doesnt feel right because these hubs are so damn big. It doesnt make sense that you need this. We got in it, and Im almost 6 feet tall, and I could stand and barely touch the top of the tube. I had to remind him that its because were putting the first four-person family slide on a ship, and so you need big tubes. And so its just indicative that the size is intense and, frankly, amazing. Advertisement Advertisement On some of our ships, we have zip lines. And again, in the spirit of really taking adrenaline pumping to the next level, we had this idea of Why not push people over the ocean? Youre 150 feet above the ocean while the ships moving. I think our CEO said, Why the hell would we do this? And my answer was, Why the hell not? How do you figure out how many pools to put on a ship and where to put them? And bars and restaurants? We heard in research that guests wanted access to water and they wanted to experience water. Thats why they go on a cruise. And it doesnt just mean being in the water. Its actually connecting with the ocean around you. And so youll notice that we have more pools that face the ocean than face inward and the classic cruise ship design. There are seven pools throughout the ship. Advertisement We think a lot about habitability. How do you go spend a day in a neighborhood? To do that, you need to have something to entertain you. You need to have access to drinks, cocktails, and food, so its all about strategically positioning these things so people can quickly access them. We dont want you to have to walk from one end of the ship all the way to the other end of the ship to do it. So were very conscious of the distance any one guest has to go and how far they have to go to get access to food and beverage. Advertisement Advertisement Advertisement How do you pick the bright colors for the slides? Are there, like, very serious discussions about the specific shade of fuchsia to use? Advertisement Somewhere in our office, there is a row of colors that match every slide you saw on Icon. And we went through a process to make sure all of them felt right. We built a mock-up of the pool and hideaway on Icon. We painted it and we filled it with water because we wanted to try different colors, and water skews colors, and so does light. So in our parking lot, we built a pool. We painted it four different colors and we put water in it, and we went and looked at it at different times in different sun conditions. And the pool you will see is the color that felt the best and looked the most awesome out of the choices that we had. Advertisement One of the things we do spend a lot of time on is called practicability, and thats the wear and tear. What colors and gloss are likely to wear and tear the least, give us longevity, and look the same? We spend a lot of time thinking about the maintenance of the color choices we make, the fabric choices we make, and the chair choices we make. Advertisement Are there other things you built to test out? Were testing a new elevator technology, and so we took over our conference center on one of our ships and built a mock-up of the entire elevator core and then ran guests through it. They literally were testing the next generation of elevators for us. Advertisement We also do things like chair showcases, so we look at every type of chair, we sit in every chair, we lay in every lounger to make sure that we think guests are gonna love it. We get different-sized guests in it because you know somebody whos 65 vs. 411 is gonna feel a different way. Advertisement Are you working on other things beside the Icon, or is it all Icon all the time? I oversee the development of our ships as well as the development of our private destinations, so our land-based new experiences were going to create. Were also working on Utopia of the Seas, which is the sixth ship in the Oasis class. We also have Icon 2 and Icon 3 that are coming out, so were working on those ships in parallel. And then I cant talk about any future ships were working on that we dont have orders for publicly. Icon 2, 3, and Utopia kind of take us through 2026, but if you think of the timescale, you got to keep going if youre thinking of the late 20s, early 30s. Advertisement Advertisement Advertisement How is working on land-based experiences different from working on the ships? With them, you dont have to worry about naval engineering constraints, like how much water is on the top deck. They both have their own environmental needs that have to be carefully managed. The other thing that we talk about a lot is that a ship moves from destination to destination, but a private destination stays at a destination, and so the cultural needs are very different. The community needs are very differentor more amplified maybe is a better way to say it. But from a design perspective, the design process is actually relatively the same. We start with a memo. The only difference is you dont have to deal with the naval architects. But then you often have other issues to deal with, which is, you know, marine development and pier development and wave studies. Advertisement What are some things youre working on for future ships? Advertisement One of the things that were introducing on Utopia of the Seas is a new concept called the dining car. We hear consistently in all research that people go on vacations to go visit destinations for the food. So weve been chasing different versions of immersive dining. What if you could put a train on a ship and take people to multiple destinations? What would that architecturally look like if we did it? Its physical and digital blended together. Theres technology that takes you to the destination, but were literally going to build trainslike, its going to be a metal train, its gonna feel like a dining car. Weve been studying to understand what speed of footage on the screens plus a little bit of movement will be the right movement to simulate a train moving but not make you nauseous but still keep you in the moment. Advertisement Whats something youve gotten wrong in designing ships? In part of the pandemic, we tested a piece of technology that would allow you to sit in the pool deck and use our app to have food and drink brought to you. Wed see other people doing it. Some of our competition does it. But it was also being done at the same time we were chasing more access to complimentary food in the pool deck. One of the things weve heard over time is that guests want access to complimentary food. The two of those were kind of running in parallel. We attached a delivery fee to the ability for you to do it with the app. What we learned is, yes, its nice and convenient to have food brought to you. However, on the flip side, if you charge even a 99 cent delivery fee, its just as easy for me to tell my kid to go get me fries from the venue thats right there. Theres no perfection in all of it. Well get things wrong. We know we will get things wrong. And in some cases, were OK that we get them wrong because they were in the spirit of a strategy we were chasing that we wanted to try, and thats OK because well test and adjust our way forward. Many have noted the irony here: Rudy Giuliani has now been indicted as a co-conspirator under the same lawthe Racketeer Influenced and Corrupt Organizations (RICO) Actthat he invoked many times as a federal prosecutor busting Mafia gangs and inside traders on Wall Street in the mid-1980s. But irony is too mild a tag to capture the predicament in which this man finds himself. Shameful and appalling are other words that come quickly to mind. Another termmore apt still after a moments reflectionis bewildering. More than any other lawyer in America, Giuliani should have known that getting mixed up with Donald Trumpespecially in Trumps plot to overturn the 2020 presidential electionwould likely land him in his present state: an indicted felon who, at age 79, may spend the rest of his days in a federal courthouse, then prison. As U.S. attorney in the Southern District of New York, Giuliani didnt merely cite RICO statutes to take down many criminals; he practically invented the art of doing so. Congress passed the RICO Act in 1970, mainly as a way to go after Mafia kingpins, who had been able to evade indictments as long as they avoided direct involvement in their gangs crimes. RICO referred to predicate crimesacts that prosecutors could stitch together as evidence of a corrupt organization and then lasso all of its members as part of a criminal enterprise. In other words, it was designed to go after conspiracy. Advertisement Advertisement Giuliani and his team were the first prosecutors to figure out how to do this, in a systematic way, in a major felony caseand it was precisely the sort of case that the statutes drafters had in mind. In the Mafia Commission trial, which lasted from February 1985 until November 1986, the Southern District indicted 11 Mafia figures, including leaders from all of the five families, who controlled myriad businesses and municipal services across New York City. Convicted of charges including extortion, labor racketeering, and murder for hire, the leaders were sentenced to between 70 and 100 years in prison. Advertisement Related From Slate Trumps Last Two Indictments Complement Each Other Perfectly Read More After shutting down the five families, Giuliani went after white-collar criminals in the financial world, indicting Ivan Boesky and Michael Milken, whose insider trading practices were breathtakingly brazenand lucrative. Boesky pleaded guilty, turned states witness, and served three and a half years in jail. Milken, tried on 98 counts of racketeering and fraud, was fined $600 million and sentenced to 10 yearsa penalty reduced to two years after he testified against former colleagues. Donald Trump pardoned Milken in 2020. Advertisement The trials of the five families and the Wall Street insiders put Giuliani on the map. He ran for mayor, winning on his second bid in 1993, on the basis of his success as a crime-fighting crusader. The trials also legitimized RICO as a powerful prosecutorial tool against criminals who couldnt easily be nabbed for their individual acts. (It is significant that Al Capone, the most notorious mobster in pre-RICO days, was indicted and prosecuted on the relatively minor charge of income tax evasion.) Advertisement Advertisement You would think, then, that even a quarter-century later, Giuliani would be especially leery of the many activities and associations that might ensnare him in the vast and deadly tentacles of a RICO prosecution. How did he fall into this trap? Advertisement For one thing, the Rudy Giuliani of the Trump era is very different from the Rudy Giuliani of the 1980s and 90s. I interviewed Mayor Giuliani several times when I was a reporter covering New York for the Boston Globe. He was a liberal Republican back in the days when there were such creatures; no Republican could be mayor of this very liberal city if he werent at least somewhat liberal. (See also: John Lindsay and Michael Bloomberg.) Giuliani even endorsed Democratic Gov. Mario Cuomo in his 1994 reelection contest, denouncing the Republican challenger, George Pataki, as corrupt. (Pataki won and never forgave Giuliani.) Advertisement When terrorists struck the Twin Towers, Giuliani was at a personal low ebb. He had recently been diagnosed with prostate cancer and divorced his wife (an announcement he made on local television), who had kicked him out of Gracie Mansion. After 9/11, he roused himself into action, read Churchills memoirs for inspiration, rallied the city to recovery, and was acclaimed as Americas mayor. Advertisement Advertisement Absorbing the adulationand gradually coming to believe his own mythologyGiuliani plotted a course to the White House and was considered a more than plausible candidate. But he flamed out in his 2008 bid for the GOP presidential nomination, winning just one delegate in the primaries after spending $50 million. He lost becauseas an advocate of gun control, gay rights, immigration reform, and other social causeshe was too liberal for the partys base. After that humiliating campaign, he faced a choice: get out of national Republican politics or tack hard to the right. He chose the latter, emerging as one of Trumps most fiercely growling attack dogs in the 2016 election against Hillary Clinton (whom he had called a nice lady after dropping out of a much-anticipated Senate race against her in 2000). Advertisement He also became rich, racking up high fees as a consultant and keynote speaker. In his days as mayor, he was happyperhaps happiesteating a hot dog and guzzling a beer in the bleachers at Yankee Stadium. Now he was hanging out in the Hamptons with the sort of people that he had once zealously prosecuted. Even as mayor, Giuliani had formed a tight circle of loyalists who shit-talked his critics in the foulest language and plotted action against them to the extent they could. Sometimes he turned vindictive. Early in his first term as mayor, for example, he spoke at a meeting of Al Sharptons supporters. A few of them yelled at Giuliani; he walked out in a huff and never met with Sharptonor any other Black leader, except the citys few Black conservativesever again. (His weakest and most reprehensible feature, even in his most liberal phase, was a fear and hostility toward Black people.) Advertisement Advertisement Advertisement Advertisement This arrogant defensivenessmagnified to a much larger scale, amplified to a much louder volume, and articulated in a more vociferous toneis what we now see when Giuliani blasts Trumps presumed enemies (whom he seems to regard as his own enemies as well, so enmeshed has he become with Trump). In his 2022 book Giuliani: The Rise and Tragic Fall of Americas Mayor, Andrew Kirtzman reported that the relationship between Giuliani and Trump was close dating back to the days when one was a mayor, working on some of Trumps real-estate development projects. In the past few years, though, Giuliani has no doubt also seen Trump as his connection to powerthe sort of national power hed once desired but could never attain on his own. He no doubt saw the legal maneuverings he helped concoct to overturn the 2020 elections, and extend Trumps term in the White House, as the path to preserving his own clutch on power as well. Along the way, driven by an insatiable desire for wealth and power, Giuliani lost sight of many things from his pasthis political values, his personal tastes, and, it seems, his deep understanding that if you hang around with corrupt people, helping them do corrupt things, you can get hammered by his old friend, RICO. Pretty much every business will have to apologize for something at some point. However, many companies dont do this effectively. Mastering the art of the apology can help you keep customers business, secure future business, and improve customer loyalty, and avoid negative buzz that could harm your reputation going forward. Business apology letters are essential to realizing all of these benefits. Drive Traffic to Your Website Small Business Spotlight Sell Your Business Advertise Your Business Here No matter how careful you are while running your small business, there will come a time when you need to write a business apology letter. Heres how. How to Apologize (and How Not to Apologize) as a Small Business There are a variety of instances where your business may need to apologize. But all of these business apology letters should have a few key things in common. First and foremost, you should actually say that youre sorry for your actions and/or their experience. Take full responsibility and acknowledge the issues that led to the situation in question. Its also helpful to remain humble and put yourself in the customers shoes. Unfortunately, there are some common mistakes that businesses tend to make when apologizing to customers or members of the public. In order to make your business apology letter more effective, avoid being vague or belittling the effects of your actions. Its all too common for todays businesses to try and deflect blame or avoid going into specifics about their actions. However, if a customer, partner, employee, or member of the public is upset with you, they already know those details. It will be much more effective if you can actually take responsibility and outline what went wrong/why it wont happen again. Element Explanation Sincerity and Genuine Apology - Start with a sincere apology, explicitly stating that the business is sorry for its actions or the customer's negative experience. - An honest and heartfelt apology conveys empathy and understanding of the customer's feelings. Full Responsibility - Accept full responsibility for the mistake or issue, leaving no room for deflection of blame. - Taking ownership shows the business's commitment to rectifying the situation. Acknowledgment of Issues - Acknowledge the specific issues that led to the situation, validating the customer's concerns. - Demonstrates a willingness to address and resolve the problem. Empathy and Putting Yourself in the Customers' Shoes - Display empathy, showing care for the customer's feelings and experiences. - Understanding their perspective helps craft a compassionate response. Outline of Preventive Measures - Include a plan outlining steps to prevent a similar issue in the future. - Shows commitment to continuous improvement and customer satisfaction. Promptness and Timeliness - Apologize promptly to demonstrate a proactive approach to resolving the situation. - Shows respect for the customer's time and concerns. Professional Tone and Language - Maintain a professional and respectful tone, avoiding defensive language. - Polite language ensures a positive and constructive interaction with the customer. Personalization and Direct Address - Address the customer by name and personalize the letter for them. - Adds a human touch and strengthens the bond with the customer. Offer of Restitution (if applicable) - If appropriate, include an offer of restitution or compensation to rebuild trust. - Proactive gesture of goodwill helps restore customer confidence in the business. Closing with Gratitude and Openness - Express gratitude for the customer's understanding and patience. - Leaving the door open for feedback shows commitment to improvement and customer satisfaction. If you want to learn how to write an apology letter, here are some examples to help you get started. Business Apology Letter Examples Apologizing for Poor Customer Service No matter what types of customers you serve, proper service is essential. In some cases, you might have representatives who arent helpful or who come across as rude to your clients or customers. This business apology letter example can help you make up for it. Apologizing for Poor Customer Service Dear [Customer Name], On behalf of [Company Name], I want to sincerely apologize for the negative experience that you had with our customer service team. I understand that our team was not helpful in resolving your issue and didnt provide the level of service that youve come to expect. We take great pride in giving our 100% every day to provide fast and friendly service to every customer who calls, but in this instance, we failed. We do our best to train all of our representatives on how to properly handle customers issues, but your concern was not handled properly. For that, we are extremely sorry. Going forward, we are going to take steps to ensure that this situation does not happen again. For starters, we are hosting additional training sessions for customer service call agents, and will require our staff to collect contact information from every customer so we can reach out again in the case of a dropped or mishandled call. I want to sincerely thank you for bringing this issue to our attention. We are always to improve our customer service, and your feedback is essential to that process. Please do not hesitate to contact me directly in the future if this or another issue arises again. Sincerely, [Name] [Title] Apologizing for a Defective or Insufficient Product When your products themselves dont live up to your customers standards, its important to make it right. This instance can arise when a product is recalled or shortly after a purchase when a customer realizes that their product doesnt work as intended. Apologizing for a Defective Product Dear [Customer Name], Thank you for contacting us about your defective [Product Name]. We are truly sorry that it did not work as promised. We also apologize for any inconvenience that this has caused for you. Our products go through several quality control checks before they are delivered to customers. However, this one regrettably slipped past those checks and failed to live up to our standards. To make up for this error, we have shipped you a new [Product Name], which should arrive within 2-5 days. Weve also included a pre-labeled box for you to return the defective product once youve received the replacement. Were also sending a $20 coupon for your next purchase to make up for the inconvenience. We are truly sorry that your original purchase did not live up to your expectations, but we look forward to providing a better experience for you going forward. Thanks again for bringing this issue to our attention, and please dont hesitate to contact me directly with any other concerns you may have. Sincerely, [Name] [Title] Apologizing for Late or Improper Shipping Shipping is an important part of the customer experience for ecommerce businesses. If your products ship late or if they end up damaged or lost in transit, its youll need to make up for that lapse with your customers with a business apology letter. Apologizing for Shipping Issues Dear [Customer Name], We are very sorry that you did not receive your [Product Name] in a timely manner. The demand for this product truly exceeded our expectations, leaving our stock depleted. We just received a new shipment of [Product Name] and expedited the shipping of your order. We know that you expect to receive your orders on time, and were very sorry for our misjudgment and any inconvenience it has caused you. Along with your order, were also including a coupon for $10 off your next order. You can also find a tracking number for your order at the bottom of this email to track your current order. Again, were so sorry for this delay and the inconvenience. Please let me know if you have any other concerns or questions. Sincerely, [Name] [Title] Apologizing for Financial or Billing Issues Whether you bill a customer more than once or withdraw too much from their account, financial mistakes can have far reaching consequences. Of course, youll need to fix the mistake as quickly as possible, but its also essential to use a business apology letter to acknowledge why the mistake occurred and make sure that it isnt able to happen again. Apologizing for Billing Issues Dear [Customer Name], Thank you for contacting us regarding your recent billing issue. We are very sorry that you double charged for a single service. Weve investigated the error and found that it was due to a computer glitch in our billing software. Weve since updated to the latest version of this program and put a quality control check in place to ensure that this doesnt happen again. Additionally, we have refunded you the errant charges, which should be back in your account within three business days. Were sorry for any inconvenience that our error caused you. Thank you for bringing this to our attention and helping us resolve the issue quickly. Please dont hesitate to reach out to me directly if you have any other questions or concerns. Sincerely, [Name] [Title] Apologizing for Cancelled Service or Scheduling Issues It can be tough to manage customer scheduling, especially for service oriented businesses. But missing a service call or rescheduling multiple times can lead to major inconveniences. Apologizing for Scheduling Issues Dear [Customer Name], I am very sorry to inform you that your service appointment for this Friday at 10:30 AM has been cancelled due to a family emergency that has affected one of our team members. Because we are a small team, we are unable to keep up a full slate of appointments if one of our technicians is unexpectedly available for an extended period of time. I am sorry for any inconvenience that this cancellation may cause. At [Company Name] quality care for our customers is our number one concern, so we want to make the rescheduling process as easy as possible for you. Please contact me directly to let me know the next day and time youre available for service, and well do our best to meet your scheduling concerns. Were also issuing a full refund of the deposit you put down when making your appointment. Again, we are very sorry for the inconvenience. Please let us know if you have any other questions or concerns regarding your appointment. Sincerely, [Name] [Title] Apologizing for Missed Deadlines If you work on specific projects or manage items for business clients, the ability to meet deadlines is an important part of your job. If you miss one, its important to acknowledge it and show clients how youll prevent this issue going forward. Apologizing for Missed Deadlines Dear [Client Name] I am very sorry for not getting the copy for your website project to you on time. Unfortunately, we experienced a delay due to some technical issues on our end, which we have since solved. The copy that you requested is attached to this email. However, I want to apologize for missing the deadline that we originally agreed upon. To make sure this never happens again, weve updated our software and backed up our system so we dont lose the materials weve worked so hard to develop for you. I realize that this issue may impact other areas of your project and your eventual launch date, and apologize for any inconvenience this delay may have caused you. Please let me know if you have any questions or if theres anything else you need in relation to this project. Sincerely, [Name] [Title] Apologizing for Late Payments When it comes to your vendors, service providers, or even customers who are due refunds, making timely payments is a must. If you miss one or make it later than expected, an apology can go a long way toward rectifying the situation. Apologizing for Late Payments Dear [Vendor Name], I am very sorry that you have not yet received my payment for this months service. A recent error in my account prevented me from accessing the necessary funds to cover the expense. My bank has since corrected the error and the money should be restored to my account by the end of the week, at which point I will expedite payment to you. The bank has also assured me that the circumstances surrounding the error were rare and shouldnt impact my account going forward. I truly value our business relationship and this late payment is not a reflection of that. Im very sorry and will do everything in my power to make sure that it doesnt happen again. Sincerely, [Name] [Title] Apologizing for Missed Appointments or Late Arrivals Timeliness is important when it comes to meeting with customers, clients, or business associates. If youre significantly late to a meeting or miss one altogether, it should warrant an apology. Apologizing for Missing an Appointment Dear [Client Name], Please accept my sincere apology for missing our lunch meeting yesterday. I unfortunately overlooked our appointment due to a conflicting appointment on my weekly calendar. I sincerely regret this oversight and have re-organized my schedule to prevent this from happening the in the future. I truly value our meetings and would really appreciate the opportunity to make it up to you. Could we re-schedule for next week? Let me know your availability and I will put it at the top of my to-do list. Sincerely, [Name] [Title] Apologizing for Improper Employee Actions When you own a business, its not just important for you to learn how to apologize for your own actions. You may also need to apologize for others who represent your business. This involves acknowledging the situation and taking appropriate action to rectify it. Apologizing for Employee Actions Dear [Client Name], I want to apologize for the rude behavior of one of the employees at our store yesterday. Their behavior was inexcusable, and I completely understand how upsetting it is when someone who should be serving you responds in such a disrespectful way. We have identified the employees responsible for your negative experience and they have been disciplined. Weve also communicated to them that they will lose their jobs if this type of behavior ever happens again. We truly value having you as a customer and hope you will accept our sincere apology. Please let me know if you have any other concerns or questions, and Ill be happy to address them personally. Sincerely, [Name] [Title] Apologizing for Offensive Behavior Being sensitive to your customers, team members, and the public as a whole is essential for businesses. If you or a team member says or posts something online that offends people, youll need to act quickly and acknowledge your mistake. Apologizing for Offensive Posts or Behavior Dear [Customer Name], I want you to know how terribly sorry we at [Company Name] are for exhibiting poor judgment in crafting our most recent social media post. It was distasteful and we sincerely regret it. We have since removed the post and created a new policy for double checking the posts that our team members create before they get published. Weve also explained to our team the importance of sensitivity regarding this topic. We want you to know that we take your concerns seriously and we truly apologize for allowing this inappropriate message to sneak through the cracks. We strive to do better in the future and are always appreciative of the feedback you provide. Sincerely, [Name] [Title] Importance of Timely and Genuine Apologies in Business Timely and genuine apologies play a crucial role in maintaining positive relationships with customers, clients, employees, and stakeholders. Heres why it is essential for businesses to prioritize issuing apologies when needed: Retaining Customer Trust: Apologizing promptly when a mistake or issue arises demonstrates that the business values its customers and takes their concerns seriously. This responsiveness helps build and retain trust, showing customers that their satisfaction is a top priority. Apologizing promptly when a mistake or issue arises demonstrates that the business values its customers and takes their concerns seriously. This responsiveness helps build and retain trust, showing customers that their satisfaction is a top priority. Enhancing Customer Loyalty: Customers appreciate businesses that are accountable for their actions. A sincere apology shows that the business is willing to take responsibility for any shortcomings and is committed to improving its services or products. Customers appreciate businesses that are accountable for their actions. A sincere apology shows that the business is willing to take responsibility for any shortcomings and is committed to improving its services or products. Mitigating Reputation Damage: In todays interconnected world, negative experiences can spread rapidly through social media and online reviews. A well-handled apology can help mitigate reputation damage by addressing the issue directly and showing the businesss commitment to rectifying the situation. In todays interconnected world, negative experiences can spread rapidly through social media and online reviews. A well-handled apology can help mitigate reputation damage by addressing the issue directly and showing the businesss commitment to rectifying the situation. Strengthening Employee Morale: Employees feel valued and supported when they witness their employer taking responsibility for mistakes and working to rectify them. This fosters a culture of accountability and responsibility within the organization. Employees feel valued and supported when they witness their employer taking responsibility for mistakes and working to rectify them. This fosters a culture of accountability and responsibility within the organization. Building Positive Word-of-Mouth: When customers experience genuine apologies and satisfactory resolutions, they are more likely to share their positive experiences with others, leading to increased word-of-mouth referrals and potential new customers. When customers experience genuine apologies and satisfactory resolutions, they are more likely to share their positive experiences with others, leading to increased word-of-mouth referrals and potential new customers. Demonstrating Professionalism: An adeptly crafted apology showcases the businesss professionalism and commitment to high standards. It reflects positively on the companys ethics and values. An adeptly crafted apology showcases the businesss professionalism and commitment to high standards. It reflects positively on the companys ethics and values. Improving Business Processes: Analyzing the reasons behind the need for an apology allows businesses to identify areas for improvement. Addressing these issues helps prevent similar mistakes in the future, leading to enhanced efficiency and customer satisfaction. Analyzing the reasons behind the need for an apology allows businesses to identify areas for improvement. Addressing these issues helps prevent similar mistakes in the future, leading to enhanced efficiency and customer satisfaction. Encouraging Customer Feedback: A willingness to apologize encourages customers to provide feedback, as they know their concerns will be taken seriously. Honest feedback is invaluable for businesses seeking to grow and meet customer expectations. A willingness to apologize encourages customers to provide feedback, as they know their concerns will be taken seriously. Honest feedback is invaluable for businesses seeking to grow and meet customer expectations. Strengthening Business Relationships: Suppliers, partners, and stakeholders also appreciate receiving timely and genuine apologies when necessary. Such gestures can reinforce positive relationships and foster open communication. Suppliers, partners, and stakeholders also appreciate receiving timely and genuine apologies when necessary. Such gestures can reinforce positive relationships and foster open communication. Emphasizing a Customer-Centric Approach: Prioritizing apologies underscores the businesss commitment to being customer-centric. It sends a message that the company values its customers experiences and will go the extra mile to ensure their satisfaction. In conclusion, timely and genuine apologies are indispensable tools for businesses to navigate challenges and maintain strong relationships with their customers and stakeholders. An authentic apology not only addresses immediate concerns but also sets the foundation for a lasting positive impact on the companys reputation and success. Conclusion Mastering the art of the apology is a crucial skill for every business. Effective apologies can have a significant impact on customer satisfaction, loyalty, and reputation. To ensure your business apology letters are meaningful and successful, they should always include genuine expressions of remorse, taking full responsibility for the issue at hand, and a clear plan to prevent it from happening again. Avoiding common mistakes such as vagueness or deflecting blame is essential to maintain trust and credibility. By following the examples and tips provided in this guide, you can create thoughtful and impactful business apology letters that address various situations. Remember, an authentic apology can turn a negative experience into an opportunity for growth and strengthening your relationships with customers and stakeholders. Are you looking for box truck business ideas? The trucking industry is competitive, but these businesses are becoming increasingly popular, thanks to the growing trend of online shopping. And these trucks are among the most demanded commercial vehicle types. With a little creativity and imagination, you can come up with a variety of ways to make your business stand out from the competition in this competitive industry. Sell Your Business Drive Traffic to Your Website Small Business Spotlight Advertise Your Business Here What is a Box Truck Business? These small businesses use a truck with a large, square-shaped box on the back to transport goods. This type of truck is often used for delivering large items or multiple items at once. The box on the back of the truck protects the goods from bad weather and keeps them from being damaged during transit. This is a great option for those who want to start their own small businesses but dont have a lot of money to invest. These businesses can be started with a relatively small investment and can grow quickly. With the right marketing, this can become a very successful business. Why You Should Start a Box Truck Business Starting a box truck business can be a game-changer for aspiring entrepreneurs. The versatility of box trucks makes them a valuable asset in various industries, and the demand for their services is consistently high. Here are five compelling reasons to consider this venture: Low Startup Costs : Unlike many businesses that require a significant initial investment, starting a box truck business can be relatively affordable. Whether you already own a truck or decide to lease one, the operational costs can be manageable, allowing for a quicker return on investment. : Unlike many businesses that require a significant initial investment, starting a box truck business can be relatively affordable. Whether you already own a truck or decide to lease one, the operational costs can be manageable, allowing for a quicker return on investment. Versatility and Diversity : A box truck can be utilized for numerous purposes, from moving services to advertising or even starting a mobile salon. This flexibility allows entrepreneurs to pivot their business model based on market demands. : A box truck can be utilized for numerous purposes, from moving services to advertising or even starting a mobile salon. This flexibility allows entrepreneurs to pivot their business model based on market demands. High Demand : With the increasing need for delivery services, moving assistance, and more, the demand for box truck services remains high. This ensures consistent opportunities to generate revenue. : With the increasing need for delivery services, moving assistance, and more, the demand for box truck services remains high. This ensures consistent opportunities to generate revenue. Opportunity for Expansion : Starting with one box truck doesnt mean youre limited to one. As the business grows, theres potential to expand the fleet, diversify services, or even franchise the business model. : Starting with one box truck doesnt mean youre limited to one. As the business grows, theres potential to expand the fleet, diversify services, or even franchise the business model. Be Your Own Boss: Running a box truck business provides the freedom of self-employment. Entrepreneurs can set their own schedules, make strategic decisions, and reap the direct benefits of their hard work. 12 Box Truck Ideas That Earn Money If youre a box truck owner operator or have a box truck lease and youre looking to be your own boss as a business owner or an independent contractor, then youre going to love this list. If you already know How to Make Money with a Pickup Truck , then youll love some of the options listed below. 1. Box Truck Owner Operator for Hauling Junk A Box truck independent contractor will never have a shortage of customers who need their junk hauled away. Just think of all the people who need their old furniture removed before they move to a new house. Or the businesses that need to get rid of outdated equipment. And lets not forget about all the folks who finally decide its time to clean out their garage or storage unit. Theres always someone who needs junk hauled away, which means theres always money to be made for box truck owners. 2. Provide Local Moving Services If youre looking for a business idea thatll let you help your community while also making a profit, then consider starting a moving service. The moving business doesnt only provide moving services as a great way to help people relocate, but its also an excellent opportunity for box truck drivers to make some extra cash on the side. 3. Advertise with Your Box Truck Its no secret that businesses need to advertise in order to get their name out there and attract customers. However, many box truck business owners may not know that selling advertising on their trucks is a great way to generate additional income. 4. Cater and Deliver Food, Furnish Events Starting a truck business that caters and delivers food and furnishes events can be a great way to earn extra income. Catering businesses can be very profitable, especially if you are able to get regular clients. Furnishing events can also be a great way to make money, as there is always a need for event furniture rental businesses. 5. Partner with Reliable Logistics Companies By forming a partnership, the box truck business can provide transportation for goods to and from reliable logistics companies, and in turn receive a commission for each delivery. This is a great way to generate additional income, and it also helps to build relationships with other businesses in the community. 6. Deliver to Local Stores One way that a box truck business can make money is by delivering to local stores. Local businesses often need products delivered quickly and efficiently, and your business can provide this service. By delivering to local stores, a box truck business can build relationships with businesses and become their go-to delivery service. 7. Be an On-Demand Courier On-demand couriers are businesses that provide same-day delivery services for their customers. This is a great service to offer for businesses that need items delivered quickly, and its also a great way to make money on the side. To become an on-demand courier, youll need to have a vehicle that can transport the items youre delivering, and youll need to be able to navigate your way around town. Youll also need to be customer service-oriented, as youll be dealing with customers who are expecting their items to be delivered in a timely manner. 8. Offer Package Delivery Services If youre looking for a way to make money with your box truck, then consider offering package delivery services. With this type of service, youll be responsible for delivering packages to businesses and homes in your area. To get started, youll need to create a list of businesses and residents who may be interested in your services. Once you have a list of potential customers, you can start marketing your delivery services to them. To make sure that your package delivery business is successful, its important to provide excellent customer service. This means being on time with your deliveries, being polite and friendly to customers, and providing a tracking number for each package that you deliver. If offering a package delivery service sounds right up your alley, then you should learn How to Start an Amazon DSP Business . 9. Provide Storage Services If you have a large box truck, then you could start a business that provides storage services. This could be a great option for people who are moving and need somewhere to store their belongings. You could also provide storage units for businesses that are looking to declutter their office space. Having flexible payment options can help owner-operators increase business. 10. Mobile Hair Salon You could start a mobile hair salon using a box truck by purchasing or leasing a truck that has been outfitted with all of the necessary equipment. This would include items such as sinks, countertops, mirrors, and storage cabinets. You would also need to stock the truck with supplies such as shampoo, conditioner, towels, and hairdryers. 11. Rent Box Trucks Owner-operators renting their trucks can be a great way to start a business. They can be used for a variety of purposes, such as moving furniture or delivering goods. You can also rent them out to other businesses that need them for their own operations. There are a few things you need to do in order to get started, such as obtain the necessary licenses and insurance. Once you have those things squared away, you can start marketing your business to potential customers. 12. Start a Food Truck Using a box truck is a great way to get started with a food truck business. Youll need a truck thats large enough to hold all your equipment and supplies, but not too large that its difficult to maneuver. Youll also need to outfit your truck with the appropriate cooking equipment. You could specialize in a certain type of food, such as Mexican or Chinese, or you could offer a variety of dishes. Box Truck Business Ideas Summary Type of Service What You Could be Doing Description Hauling Services Box Truck Owner Operator for Hauling Junk Assist customers in removing unwanted items such as old furniture or outdated equipment. Useful during relocations or cleaning out spaces. Moving Services Provide Local Moving Services Aid people during relocations by transporting their belongings. Advertising Advertise with Your Box Truck Generate additional income by selling advertising space on the truck. Event Services Cater and Deliver Food, Furnish Events Cater food and furnish events for various functions, which can be a profitable venture. Partnership & Logistics Partner with Reliable Logistics Companies Partner with logistics companies to transport goods, generating income and fostering business relationships. Store Delivery Deliver to Local Stores Provide quick and efficient product deliveries for local stores, becoming their primary delivery service. Courier Services Be an On-Demand Courier Offer same-day delivery services for businesses and individuals, requiring timely transportation and excellent customer service. Package Delivery Offer Package Delivery Services Deliver packages to homes and businesses in the local area, focusing on timely deliveries and good customer service. Storage Provide Storage Services Offer storage solutions for individuals and businesses. Especially useful for those in transition or decluttering. Beauty & Personal Care Mobile Hair Salon Convert the box truck into a mobile hair salon equipped with all necessary tools and equipment. Truck Rental Rent Box Trucks Rent out box trucks to individuals or businesses for various purposes, from moving to deliveries. Food Services Start a Food Truck Transform the box truck into a food truck business, specializing in specific cuisines or offering a diverse menu. Is it Worth Starting a Box Truck Business? A box truck business is one of the best Small Business Ideas for Beginners since its a relatively easy business to start and doesnt require a lot of capital. There is a lot of variation in the average annual pay for a box truck owner-operator. Some people make less than others with their own box truck business, but its clear that there are opportunities out on those streets. If this sounds like a good opportunity to you, then maybe its time to set up a limited liability company or sole proprietorship, get a box truck lease, and get a healthy cash flow going. What do You Need to Make Money with Box Trucks? A commercial drivers license is a requirement for anyone who wants to operate a box truck for commercial purposes. Obtaining a CDL requires passing a written test, as well as a skills test that demonstrates your ability to safely operate the vehicle. There are different classes of CDLs, depending on the weight and type of vehicle you will be driving. For example, a Class A CDL is required to operate any vehicle with a gross vehicle weight rating (GCWR) of 26,001 pounds or more. Having a fleet of trucks is the key to making money with them. You can either purchase your own trucks or get a box truck lease them from a trucking company. How Much Does it Cost to Start a Box Truck Business? Owning your own truck can be a very lucrative business with a stable income, but it does require money upfront to get started. The cost of purchasing a box truck varies based on its size, options, and where youre located in the world. For example, the price of a Class 3 truck starts at about $30k, with class 4 trucks costing between $35k and $50k. As for Class 5 trucks, they usually run about $40k to $75k. The most expensive trucks are Class 6, which cost right around $50k to $100k. The average annual pay for someone in the US owning and operating such a successful box truck business is between $93k and 186k, so there is potential to make a good living depending on your location and the box truck services you offer. How do You Get a Box Truck Business Started? Starting your own business is always a challenge, and starting a box truck business is no different. There are several things you need to do in order to get your business off the ground, and having a fleet of trucks can give you an advantage over your competition. You need to create a business plan, obtain the proper permits and licenses, find a good location for your business and adhere to strict regulations. And make sure your legal papers are in order to avoid any unnecessary headaches down the road. It is a grow-or-die situation in the E-commerce industry. In most niches, competition is growing faster than the market is expanding. So if youre considering taking your business online, making the right business decisions is crucial to success. We have compiled the latest E-commerce statistics to help you make data-driven business decisions about where to focus your efforts to boost sales and ROI. As you work on building a top ecommerce business, here are some important stats to know. What is E-commerce? E-commerce, a short form of electronic commerce, is a way of buying and selling goods and services online. Drive Traffic to Your Website Small Business Spotlight Sell Your Business Advertise Your Business Here Starting an e-commerce business involves a comprehensive approach that encompasses various elements, from website creation to providing excellent customer service. Heres an expanded list of whats required to launch and run a successful e-commerce venture: Research and Planning: Market Research: Understand your target audience, their preferences, and the demand for the product/service you intend to sell. Competitor Analysis: Evaluate what your competitors are doing, identify gaps in the market, and carve out your niche. Business Plan: Outline your business strategy, financial projections, and marketing approach. Choosing a Business Model: Dropshipping: Selling products without holding inventory. Warehouse/Inventory Model: Stocking products and handling fulfillment. Subscription Model: Regular deliveries of products or services. White Labeling: Selling products made by another company under your brand. Creating User-friendly Websites: Platform Selection: Choose an e-commerce platform that aligns with your needs (e.g., Shopify, WooCommerce, Magento). Website Design: Ensure the design is visually appealing and mobile-responsive. SEO Optimization: Incorporate relevant keywords and meta tags to improve organic search ranking. Listing Products/Services: Product Photography: High-quality images that represent your products accurately. Detailed Descriptions: Provide comprehensive details about each product, including specifications, benefits, and usage. Price Setting: Decide on a pricing strategy that takes into account costs, competitors, and profit margins. Accepting Orders: Shopping Cart: Incorporate an easy-to-use cart system for customers. Order Management System: Track orders efficiently from placement to delivery. Receiving Payments: Payment Gateways: Integrate secure gateways like PayPal, Stripe, or credit card processing systems. Currency and Tax Considerations: Ensure compatibility with multiple currencies if selling internationally and include appropriate tax calculations. Delivering Products/Services: Fulfillment Centers: Consider using them if you have large volumes of orders. Shipping Partners: Collaborate with reliable shipping companies. Tracking System: Provide customers with tracking numbers and delivery updates. Offering a Stellar Customer Experience: Customer Support: Offer multiple channels of support (e.g., email, chat, phone). Returns and Refunds: Develop a clear policy and make it visible to customers. Feedback Mechanism: Collect and act upon customer feedback to continually improve. Marketing and Branding: Social Media: Utilize platforms like Instagram, Facebook, and Twitter for promotion. Email Marketing: Send newsletters, promotions, and updates to your subscribers. Paid Advertising: Consider PPC, display ads, and social media ads to drive traffic. Legal and Compliance: Business Registration: Register your e-commerce business with relevant authorities. Licenses and Permits: Ensure you have the necessary licenses, especially if selling specialized products. Privacy and Security: Comply with regulations like GDPR and implement SSL certificates for website security. In summary, starting an e-commerce business requires meticulous planning, continuous effort, and adaptation to market trends and consumer feedback. Regularly revisiting and updating your strategy based on performance metrics will ensure sustained growth and success. Since the first E-commerce company was established in 1982, named Boston Computer Exchange, E-commerce has evolved rapidly. Now, businesses dont even have to create websites to offer products/services online. They can sell products/services directly on social media platforms. E-commerce Growth Statistics With shoppers increasingly turning to the Internet to buy products/services, more and more businesses will start selling online. So the E-commerce industry is poised to proliferate. The following E-commerce growth stats prove the point. Global E-commerce Sales Trends Thanks to the rapid adoption of smartphones globally, easy and affordable access to technology, and social media integrations, more and more shoppers are purchasing products/services online, fueling worldwide E-commerce sales. According to Statista report, retail E-commerce sales are expected to touch $6.3 trillion worldwide by the end of 2023. Growth of E-commerce in Different Regions According to a report from eMarketer, retail E-commerce sales contribute to 47% of total retail sales in China. But, in the US, retail E-commerce sales make up only about 16% of total retail sales. Here are the top five countries ranked by retail E-commerce as a share of total retail sales: China47% Indonesia32% The UK31% South Korea30% The US16% Are you curious about the growth rates of E-commerce sales in different regions of the world? Here is the list: Southeast Asia19% Middle East and Africa16% Latin America13% Central and Eastern Europe10% North America10% Asia Pacific9% Western Europe5% And here are the top five countries ranked by retail E-commerce sales growth: Philippines24% India22% Indonesia20% Malaysia18% Thailand16% In the US, retail E-commerce sales growth is expected to touch approximately 11% during 2023. Predicted E-commerce Growth In the US, E-commerce revenue is expected to reach $1,403,700.3 million by 2027, at a CAGR of 11.3% from 2023 to 2027. This growth stat proves that the future is bright for E-commerce. So it is the right time to open your E-store and start selling your products/services online. Online Shopping Statistics The deep penetration of smartphones and easy access to 4G and 5G networks have made online shopping a delightful experience. As a result, the number of online shoppers is increasing. However, the amount of shoppers can still vary widely. So understanding the stats and trends can help you survive demand volatility. The following are key online shopping statistics. Number of Online Shoppers As it stands in 2023, there are around 2.64 billion digital buyers worldwide. And the number is expected to reach 2.77 billion by 2025. The number of online shoppers in the US is forecasted to reach 289.91 million by 2027. Online Shopping Frequency According to Rakuten Insights, 31% of digital buyers around the world do online shopping monthly, 38% do it weekly, and 9% do almost every day. The following is online shopping frequency data for digital buyers in the US: Monthly32% Weekly34% Almost every day7% Popular Online Shopping Categories To grow your E-store fast, you should start selling products people want. According to Statista, here are the ten popular categories for online shopping in the US: Clothing44% Shoes34% Food beverages (excluding restaurant delivery)26% Bag and accessories25% Cosmetic & body care23% Pet products22% Books, movies, music, and games (excluding downloads)21% Accessories20% Consumer electronics18% Drugstore & health products18% Category Percent Clothing 44% Shoes 34% Food and beverages (excluding restaurant delivery) 26% Bag and accessories 25% Cosmetic & body care 23% Pet products 22% Books, movies, music, and games (excluding downloads) 21% Accessories 20% Consumer electronics 18% Drugstore & health 18% Understanding The Global E-commerce Market The following are key stats to understand how the global E-commerce market is moving. The Top Online Stores Globally Which is the largest E-commerce company worldwide? With a $867.580 billion market cap, Amazon is the largest E-commerce company worldwide. Here are other leading E-commerce companies (according to market cap) worldwide: Walmart ($387.720 billion) Home Depot ($324.850 billion) Alibaba Group Holding Limited ($226.760 billion) Reliance Industries ($206.310 billion) Global Retail E-commerce Sales Globally, the E-commerce market will touch $21,168.6 billion by 2030, at a CAGR of 16.9% from 2023 to 2030. Retail consumer goods E-commerce is expected to achieve around 22% share of total global retail sales by 2024. Growth of Small and Medium E-commerce Businesses Small and medium enterprises (SMEs) are increasingly adopting E-commerce to grow. In fact, 6 in 10 small and midsize businesses in the US prioritize E-commerce and digital services. So there is no surprise that 41% of US small businesses intend to invest in their online presence, e-commerce sales channel, and website. The Impact of Mobile Shopping on E-commerce The following mobile E-commerce stats prove that the deep penetration of smartphones and easy access to 4G and 5G networks have revolutionized the E-commerce industry. Mobile E-commerce Stats According to Insider Intelligence, m-commerce in the US is expected to hit $534.18 billion in 2024, amounting to around 40% of total E-commerce sales. Here are key findings from Zippia research to help you understand the m-commerce landscape: 57% of US shoppers research a commodity using a mobile retail app. An average US consumer spends roughly $100 per m-commerce purchase. M-commerce contributes to around 54% of the total annual retail E-commerce sales in the US. About 61% of the US population are mobile buyers. 55% of buyers make a purchase on their smartphone after seeing a product on social media. 40% of Cyber Monday sales come from mobile devices. Are you curious to know about mobile E-commerce traffic? Around 65% of all E-commerce traffic comes from mobile devices. The Zippia research notes that 21% of online shoppers use a mobile retail app multiple times a day. Here is the frequency of mobile app usage: Multiple times a week35% Once a week19% Once a day22% These stats prove that businesses cannot ignore m-commerce if they want to delight their customers. So follow E-commerce best practices and make an aggressive mobile marketing plan to grow your E-store. Future Trends in Mobile E-commerce The number of smartphone users is growing rapidly. As a result, mobile E-commerce sales are increasing. The following stats from Zippia research predict that Mobile E-commerce will thrive in the coming years: In the US, the number of mobile shoppers is expected to touch 187 million by 2024 m-Commerce will amount to around 10% of total retail sales in the US by 2025 The US m-commerce is expected to experience a CAGR of 35% between 20202026. If you want to ace your mobile marketing, these mobile commerce tips can help you move in the right direction. Social Media E-commerce Statistics Now, social media platforms play a crucial role in increasing E-commerce sales. In fact, Globally, social commerce (the process of selling products/services directly through social media) sales are forecasted to touch $2.9 trillion by 2026. US retail social commerce sales are expected to touch $79.6 billion by 2025. Here are additional social media E-commerce statistics: If you want to leverage social media for your E-stores growth, the following strategies can help: Identify the target audience. Create engaging content. Utilize paid ads and influencers. Encourage user-generated content. Provide a seamless shopping experience. Consumer Behavior in the E-commerce Industry Understanding what customers want can help you tweak your online marketing strategies to maximize sales and boost ROI. The following are key E-commerce stats on consumer behavior. Consumer Preferences in Online Shopping Think with Google reports that, Being able to shop on mobile is important for 59% of shoppers when deciding which brand or retailer to choose to buy from. Having a physical location to serve customers can sway their minds into buying from you. This is because 61% of shoppers would rather buy from a brand with a physical shop than the ones with only online stores. 85% of shoppers state that product information and product images are essential when deciding which brand or retailer to pick to make purchases. Are you wondering about the best payment method to integrate with your E-commerce? The answer is Digital/mobile wallets. According to The Global Payment Report, 49% of online shoppers use digital/mobile wallets to make payments for their purchases. Winning over your E-commerce customers loyalty is vital to growing, and offering free and next-day delivery can help you do so. Whats more, faster delivery options can improve your online sales. As per a Statista report, 37% of global customers are likely to buy a product if an online store offers next-day delivery. And around 68% of customers are more likely to make purchases if a store offers free delivery. For US customer preferences, the Statista report finds: About 65% of consumers are likely to buy a product online if free delivery is offered. Around 36% of online buyers are likely to make a purchase if a store offers next-day delivery. About 38% of online shoppers are likely to purchase a product/service if a store provides easy online checkout. How many days should an order take to reach customers in the free delivery mode? According to a survey, 62% of customers expect their free shipping order to come to them within three days. Impact of Reviews and Ratings on Online Shoppers Positive reviews and ratings work as social proof to help customers feel confident about their choices and decisions. According to BrightLocal research, 69% of consumers feel positive about using a business with reviews describing positive experiences. 87% of consumers dont consider using a business with an average rating below three stars. 38% of consumers expect a business to have a minimum average of 4 stars before they would consider using it. 46% of consumers feel that online business reviews are as trustworthy as personal recommendations from friends or family. Negative reviews can send customers to your competitors. 92% of shoppers say negative reviews make them less likely to buy from a business. That said, it becomes imperative to know how to earn positive reviews for your business. Check these business review websites where customers can rate you and start managing fake, negative reviews for your products or services. FAQs: E-commerce Statistics What is the growth rate of E-commerce globally? E-commerce globally is expected to grow at a compound annual growth rate (CAGR) of 14.7% from 2020 to 2027. Increasing penetration of smartphones and easy access to the Internet is fueling the growth of the E-commerce industry worldwide. To grow your E-commerce store, you should: Optimize your website for user experience and mobile-friendliness. Implement effective SEO strategies to increase organic traffic. Utilize social media and email marketing for customer engagement. Offer promotions and discounts to attract new customers. Provide stellar service to build ecommerce customers loyalty. What is the Biggest Online Store in the World? With $133,460.0 million in global revenue, Amazon is the biggest online marketplace in the world. How many people shop online? About 2.64 billion people shop online globally, amounting to about 33% of the worlds population. So creating an online business or taking your existing brick-and-mortar store online is an excellent way to make money. These online business ideas can help you get started. Who are the top online retailers worldwide? The top online retailers worldwide (according to market cap) are Amazon, Walmart, Home Depot, Alibaba Group Holding Limited, and Reliance Industries. How does mobile usage impact E-commerce? According to Zippia research, around 61% of US people are mobile buyers, and about 65% of all E-commerce traffic generates from mobile devices. And m-commerce is expected to touch $534.18 billion in 2024, amounting to around 40% of total US E-commerce sales. So mobile devices play a huge role in the growth of E-commerce sales. How does social media influence E-commerce? 36% of buyers discover products via social media, and 87% of online shoppers believe that social media help them make a purchasing decision. So social media has a significant influence on the success of ecommerce suppliers and stores. In fact, 38% of US online shoppers make purchases on social media. What is the E-commerce Market Share? According to the latest US Census data, US E-commerce sales amount to around 15% of total retail sales. Globally, E-commerce is expected to have a 22% market share of global retail sales by 2024. Samantha Abigail Pfeiffer passed peacefully on Thursday August 10, 2023 at Calvert Memorial Hospital. Despite being diagnosed with muscular dystrophy as a child, she led an active, determined life full of love, friendship, and dedication to others. Born May 27, 1993, Samantha attended schools in St. Leonard and Prince Frederick, graduating from Calvert High school in June 2011. She was an active member of Trinity United Methodist Church, where she was baptized at the age of 12. Samantha attended college at Edinboro University in Pennsylvania, where she majored in business administration with a minor in economics. Graduating in 2016, Samantha returned to her home in Calvert County and began volunteer work with the Calvert County Historical Society. Shortly thereafter, she took a part-time clerical position at the ARC of Southern Maryland, helping to support the full inclusion of people with developmental disabilities. Samantha was in this position for the past seven years. Throughout her life, Samantha enjoyed camping with her family, watching movies, scrapbooking, and more recently, Wordle. She looked forward to annual trips with her close friends to Gettysburg and made many other close friends at the annual Muscular Dystrophy camp at Camp Maria in St. Mary's County. Samantha leaves her parents, Diane and Eric Pfeiffer; her sister, Sara Pfeiffer Brode (Justin); her nephew and niece, Asher and Abby; and numerous cousins throughout the entire nation. In lieu of flowers, please consider donations in Samantha's memory to ARC of Southern Maryland, Trinity United Methodist Church, or Food For the Hungry. Visitation Tuesday, August 15, 2023 2:00 pm 4:00 pm & 6:00 pm 8:00 pm Trinity United Methodist Church 90 Church Street Prince Frederick, MD 20678 Services Wednesday, August 16, 2023 1:00 pm Trinity United Methodist Church 90 Church Street Prince Frederick, MD 20678 Interment Contributions Trinity United Methodist Church 90 Church Street Prince Frederick, MD 20678 ARC of Southern Maryland 355 W Dares Beach Rd. Prince Frederick, MD 20678 Link: https://arcsomd.org/ Slovakia has five wind turbines. Slovakia will probably see the construction of new wind turbines. (Source: SME - Marko Erd) Font size: A - | A + Comments disabled Share Share Twitter Facebook Whatsapp E-mail Link to the page When you travel to Austria from Slovakia, pretty much right across the border you will find yourself in a wind turbine forest. In Central Europe, Austrians are among the largest producers of electricity from wind; turbines with a total installed capacity of 3500 megawatts (MW) are in operation. For comparison, wind farms in Slovakia can literally be counted on the fingers of one hand, and their capacity is barely a thousandth of that in Austria. This could change in the foreseeable future. The plans of both the European Union and the Slovak government rely on a rapid increase in the production of wind electricity. Investors who want to pour tens of millions of euros into new turbines are piling up. 1) Why there are so few wind farms in Slovakia? Roughly 20 years ago the country experienced the first wave of construction plants relying on renewable energy from the sun and wind. Unlike photovoltaic panels, however, wind turbines had a very weak start. Only six have been built; in western Slovakia, one is located in the village of Vrbovce, Myjava district, and four are found near the village of Cerova, Senica district; the final one was in north Slovakia in the village of Skalite, Cadca district. However, this turbine was dismantled by its investor after a few years, because it was obsolete. In the past, projects with a capacity of over a thousand MW were on the table. Then, however, the state limited the connection of new renewable energy plants to the electricity grid and curbed the generous guaranteed purchase prices for the electricity they produced. Until 2009, the purchase price for wind electricity was 84 per MWh; for solar electricity it was up to 448 per MWh. This was well above the level of electricity prices from coal and nuclear. The state wanted to support the construction of green energy sources. When the Regulatory Body for Network Industries (URSO) gradually reduced the purchase prices in the following years, it was no longer worthwhile for investors to build wind farms, recalls Jan Lacko, project manager of company WSB Invest, which intends to start building wind farms in Slovakia again in the coming years. Related article Related article The Recovery Plan: An opportunity to bring Slovakia closer to a green future Read more 2) Why are new projects emerging right now? https://sputnikglobe.com/20230815/coalition-f-35s-flew-dangerously-close-to-russian-su-35s-over-syria-on-monday---moscow-1112625375.html Coalition F-35s Flew Dangerously Close to Russian Su-35s Over Syria on Monday - Moscow Coalition F-35s Flew Dangerously Close to Russian Su-35s Over Syria on Monday - Moscow Two F-35 jets from the US-led coalition in Syria flew dangerously close to two Russian Su-35s on Monday, the latest in a string of violations recorded in Syria lately. 2023-08-15T19:47+0000 2023-08-15T19:47+0000 2023-08-15T19:47+0000 military syria su-35 rafale f-35 /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e6/01/09/1092131270_0:160:3073:1888_1920x0_80_0_0_feee67de760b8ed53c7f97ce81523e10.jpg The Russian military official added that the coalition drones breached deconfliction protocols nine times in the past 24 hours. Near the Al-Tanf area, 20 violations were recorded in the past day made by three pairs of F-16 fighters, three pairs of F-35 fighters, two pairs of Rafale fighters, one pair of Typhoon fighters, and two MQ-1C multipurpose unmanned aerial vehicle of the US-led coalition, Kulit concluded. syria Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International syria provocations, syrian war, russia in syria, united states in syria HANOI, Aug. 16 (Xinhua) -- Establishing disease-free zones was critical for Vietnam to increase the export of husbandry products, local media reported on Wednesday. The animal farming industry of Vietnam has expanded strongly in recent years, but the export of husbandry products remained modest at around 400 million U.S. dollars a year, Vietnam News cited Nguyen Van Long, director of the Department of Animal Health. Scattered farming remained a problem to the development of the animal husbandry industry, making it difficult to ensure veterinary hygiene, thus it was necessary to set up disease-free zones to ramp up exports of animal husbandry products, Long said. Nguyen Ngoc Tien from the department said that certified disease-free zones would get many benefits, including priority in choosing breeds, animals, and animal products. In addition, animal products originating from certified establishments would get a quarantine certificate within just one day after registration, he said. In a recent interview with Vietnam News Agency, Deputy Minister of Agriculture and Rural Development Phung Duc Tien said Vietnam is developing disease-free zones in the southeast region, which had a large scale of animal farming. Tien also stressed that a combination of solutions was needed to promote the development of husbandry products towards increasing exports, including breeds, animal feed, veterinary medicine and processing. There are about 2,230 establishments in 55 provinces and cities certified disease-free in Vietnam to date. https://sputnikglobe.com/20230815/escalating-tensions-in-taiwan-strait-major-concern-for-philippines---security-policy-1112627144.html Escalating Tensions in Taiwan Strait 'Major Concern' for Philippines - Security Policy Escalating Tensions in Taiwan Strait 'Major Concern' for Philippines - Security Policy The Philippine government says the escalating tensions between China and Taiwan are a "major concern" for the country. 2023-08-15T22:10+0000 2023-08-15T22:10+0000 2023-08-15T22:10+0000 philippines china taiwan /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e5/0b/16/1090927553_274:0:3915:2048_1920x0_80_0_0_824f9635fe80efc8b14301a4875fa093.jpg "The Philippines is concerned about its economic stability, a potential influx of refugees, and the welfare of overseas populations ... Any military conflict in the Taiwan Strait would inevitably affect the Philippines given the geographic proximity of Taiwan to the Philippine archipelago and the presence of over 150,000 Filipinos in Taiwan," the Philstar Global news portal quoted the national security policy as saying. The Philippine government added in the paper that territorial disputes with China remain the country's primary national interest. The Philippines also said that the "heightened rivalries among the major powers are contributing to a more tense geopolitical landscape." In early August, the coast guard of the Philippines (PCG) "strongly condemned" the Chinese coast guard's "dangerous maneuvers and illegal use of water cannons against the PCG vessels" near Ayungin Shoal, also known as Second Thomas Shoal. China Coast Guard fired back, saying that two cargo ships and two PCG vessels entered the territorial waters of the Nansha (Spratly) Islands without Chinese permission, adding that China has indisputable sovereignty over the Nansha Islands and its adjacent waters. In July, the PCG accused China Coast Guard's ships of preventing its vessels from approaching the reef. The Chinese Foreign Ministry rejected the Philippines' accusation, saying that "the Chinese maritime police ships enforced the law in line with regulations" and "acted professionally and proportionately to safeguard China's territorial sovereignty and maritime order." The reef, known as Ren'ai Jiao in China and Ayungin Shoal in the Philippines, is part of the disputed Spratly Islands, a major archipelago that China and several other Asian nations lay rival claims to. The Philippines grounded a navy transport ship, BRP Sierra Madre, on the reef in 1999 to house a contingent of marines. philippines china taiwan Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International south china sea disputes, philippines and china https://sputnikglobe.com/20230815/ex-trump-chief-of-staff-meadows-seeks-to-move-georgia-case-to-federal-court---reports-1112627021.html Ex-Trump Chief of Staff Meadows Seeks to Move Georgia Case to Federal Court - Reports Ex-Trump Chief of Staff Meadows Seeks to Move Georgia Case to Federal Court - Reports Mark Meadows, the White House chief of staff to former President Donald Trump will seek to move his criminal case from the state level in Georgia to a federal court. 2023-08-15T21:56+0000 2023-08-15T21:56+0000 2023-08-15T21:56+0000 americas mark meadows donald trump georgia donald trump's indictment /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e6/04/17/1094999985_0:0:3073:1728_1920x0_80_0_0_0e426ddb5614ed3813db3b193179a026.jpg On Monday night, a Fulton County grand jury approved charges against former President Donald Trump, Meadows, and 17 other associates in connection to District Attorney Fani Willis' investigation into their alleged efforts to overturn the 2020 presidential election results in Georgia. Meadows' attorney argues, the report said on Tuesday, that his client's case should be moved to a federal court because he is accused of actions he allegedly took as a federal official and as a result based on federal law. Meadows' lawyer, George Terwilliger, claims in the court filing that Meadows did nothing criminal, but only carried out legal duties as a White House chief of staff, the report said. The Supremacy Clause of the US Constitution prohibits this kind of state interference in a federal official's duties. Former US federal prosecutor Ronald Sievert told Sputnik earlier in the day that moving the state case in Georgia into a federal court may not be possible given that the case is in connection to elections administered in the state. The report also said Meadows intends to file a motion to dismiss his case. The Fulton County District Attorney told reporters on Monday night that the grand jury issued arrest warrants for the 19 defendants named in the indictment, but they all have until August 25 to voluntarily surrender. americas georgia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International mark meadows, indictment, move to federal court, ronald sievert, georgia case donald trump https://sputnikglobe.com/20230815/feinstein-alleges-financial-abuse-by-trustees-of-late-husbands-estate-1112628222.html Feinstein Alleges Financial Abuse by Trustees of Late Husband's Estate Feinstein Alleges Financial Abuse by Trustees of Late Husband's Estate The legal filing by Feinstein's legal team calls for the immediate suspension and removal of the trustees due to their alleged breach of duties and mishandling of the trust. 2023-08-15T23:54+0000 2023-08-15T23:54+0000 2023-08-15T23:54+0000 americas us dianne feinstein /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0f/1112628378_0:0:3072:1728_1920x0_80_0_0_9e7e25e5ff1bad00f3c11e805ce87990.jpg In a dramatic legal twist, Senator Dianne Feinstein (D-Calif.) has launched accusations of financial abuse against the trustees of her late husband's estate. The trustees, responsible for overseeing the Richard C. Blum Revocable Trust, have been accused of wrongfully withholding funds to which Feinstein claims she is entitled following her husband's passing. The late Richard Blum, reportedly a billionaire, left behind an estate that has now become the subject of a bitter legal dispute.Feinstein, who is 90 years old, alleges that the trusteesMichael Klein, Marc Scholvinck, and Verett Mimshave violated their fiduciary duties by refusing to disburse the funds she is owed. According to her claims, upon her husband's death, a $5 million payment was designated to be placed into her marital trust, with quarterly installments thereafter.However, she asserts that this payment has not been made, and she has not received any distributions from the trust.The legal filing by Feinstein's legal team calls for the immediate suspension and removal of the trustees due to their alleged breach of duties and mishandling of the trust. The filing contends that the trustees are acting in bad faith, diverting assets that should rightfully be allocated to Feinstein's trust. The senator's legal team also highlights concerns that the trustees' inaction is poised to favor Blum's daughters, who are set to inherit substantial sums from the trust.Feinstein's spokesperson has declined to comment on the matter, citing its private nature as a family affair. Meanwhile, the trustees' attorney previously asserted that they had not denied any disbursements to the senator. In response, Feinstein's filing argues that the trustees' failure to respond to disbursement requests effectively amounts to a denial.This legal battle unfolds against a backdrop of personal challenges for Feinstein, including a health struggle with shingles that resulted in her missing substantial Senate activities. The senator has filed multiple lawsuits in connection with the estate dispute, with hearings scheduled for the coming weeks.As Feinstein navigates this tumultuous chapter, her legacy as the longest-serving Senate Democrat remains in the spotlight, accompanied by questions about her mental acuity and her future plans following her announcement of retirement at the end of her term. https://sputnikglobe.com/20230805/us-senator-feinstein-grants-power-of-attorney-to-daughter---but-retains-congress-seat-1112409896.html americas Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Egor Shapovalov Egor Shapovalov News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Egor Shapovalov senator feinstein, who is feinstein, wat is richard blum revocable trust, why are they smoking from feinstein, feinstein aide allies to them https://sputnikglobe.com/20230815/fulton-county-clerk-of-courts-says-published-trumps-indictment-due-to-mishap-1112626390.html Fulton County Clerk of Courts Says Published Trumps Indictment Due to Mishap Fulton County Clerk of Courts Says Published Trumps Indictment Due to Mishap The Fulton County Clerk of Courts said in a statement that the premature publishing of Donald Trump's indictment was due to a "mishap" by the clerk. 2023-08-15T20:48+0000 2023-08-15T20:48+0000 2023-08-15T20:48+0000 americas donald trump rudy giuliani mark meadows georgia donald trump's indictment /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0f/1112626233_0:0:3072:1728_1920x0_80_0_0_335c4efc946cc86cd0bb2ae7c0c08658.jpg On Monday, Reuters reported that a document from the Fulton County District Attorney's Office was published online showing charges against Trump before being taken down. Following the reporting, the Fulton County Clerk's office said that the document circulating online showing alleged criminal charges against former US President Donald Trump was fictitious and no documents had been filed. At the same time, the Fulton County Clerk of Courts acknowledged that the trial run of the system using some pre-existing charges resulted in the docketing of an actual indictment but nevertheless called the document fictitious, as it lacked a signed "true" or "no" bill, or an official stamp with Clerk Alexander's name. The office also clarified that it is a normal practice for media outlets to get a copy of a court filing before it is published and this was the case on August 14. A Fulton County grand jury in the state of Georgia indicted former President Donald Trump with 13 criminal charges related to an investigation alleging he attempted to overturn the results of the 2020 presidential election. Eighteen other associates were also charged in the indictment, including Trump lawyer Rudy Giuliani and former Trump chief of staff Mark Meadows. Fulton County District Attorney Fani Willis said in a press conference that a grand jury issued arrest warrants against the 19 defendants named in the 41-count indictment, including against former President Donald Trump, in an election interference case, but they will all have an opportunity to voluntarily surrender by August 25. americas georgia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Ian DeMartino Ian DeMartino News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Ian DeMartino che alexander, fulton county, donald trump georgia case https://sputnikglobe.com/20230815/next-session-of-syrian-constitutional-committee-may-be-held-in-oman---cairo-1112627641.html Next Session of Syrian Constitutional Committee May Be Held in Oman - Cairo Next Session of Syrian Constitutional Committee May Be Held in Oman - Cairo CAIRO (Sputnik) - The next session of the Syrian Constitutional Committee may take place in Oman as the Syrian government is ready to accept the sultanate's... 15.08.2023, Sputnik International 2023-08-15T22:25+0000 2023-08-15T22:25+0000 2023-08-15T22:25+0000 world oman syria russia syrian constitutional committee sameh shoukry faisal mekdad bashar assad /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0f/1112627458_0:213:1080:821_1920x0_80_0_0_c623b02eebdd348437c6805f540879ea.jpg "We can note the interest of the committee [of the League of Arab States on relations with Syria] and the consent of the Syrian government to resume the work of the constitutional committee and to accept the invitation of the Sultanate of Oman to host the session of the constitutional committee," Shoukry told a press briefing after the committee's meeting in Cairo earlier in the day.The LAS committee on relations with Syria is determined to be instrumental in the settlement of the Syrian crisis, the top Egyptian diplomat told his Syrian counterpart, Faisal Mekdad, during a bilateral meeting. The two top diplomats also discussed various aspects of the LAS committee meeting, the ministry said, adding that Mekdad told Shoukry about the latest developments in Syria and the government's efforts to return refugees home, to counter terrorism and drug trafficking as well as to cooperate in security with neighboring countries. The armed conflict in Syria has been ongoing since 2011, with various insurgent groups, including terrorist organizations, fighting the Syrian armed forces to oust the government of President Bashar Assad. In January 2018, the Russian city of Sochi hosted a congress of the Syrian National Dialogue, the first such attempt since the beginning of the conflict to bring together an inclusive pool of Syrian political forces. The main outcome of the congress was the decision to create a constitutional committee, which will operate out of Geneva and whose main task will be to prepare for constitutional reform in Syria. In June 2022, Russia suggested that a new venue should be chosen for meetings of the Syrian constitutional committee, expressing concerns that Switzerland had lost its impartiality and neutral status. In June 2023, Russia's special presidential envoy for Syria Alexander Lavrentyev said he had discussed with UN envoy for Syria Geir Pedersen options for holding Syrian constitutional committee talks in another country. https://sputnikglobe.com/20230815/coalition-f-35s-flew-dangerously-close-to-russian-su-35s-over-syria-on-monday---moscow-1112625375.html oman syria russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International oman, syria, russia, syrian constitutional committee, sameh shoukry, faisal mekdad, bashar assad https://sputnikglobe.com/20230815/russia-south-africa-considering-construction-of-gas-power-plant---energy-ministry-1112628090.html Russia, South Africa Considering Construction of Gas Power Plant - Energy Ministry Russia, South Africa Considering Construction of Gas Power Plant - Energy Ministry Russia and South Africa are discussing cooperation in the energy sector, including the construction of a gas power plant and the supply of Russian liquified natural gas. 2023-08-15T23:38+0000 2023-08-15T23:38+0000 2023-08-15T23:38+0000 russia south africa russia russian energy ministry liquefied natural gas (lng) brics /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/07/1d/1112238335_0:125:3198:1924_1920x0_80_0_0_583bd5be4255eaf508a270ed33535f87.jpg Bilateral cooperation was discussed by Russian Deputy Energy Minister Sergey Mochalnikov and South African Ambassador to Russia Mzuvukile Jeff Maqetuka shortly before a BRICS ministerial meeting on energy, to be held in Johannesburg on August 18. The sides also discussed current Russia-South Africa cooperation in trading in oil and oil products, and noted that interaction in standardization and oil and gas equipment conformity assessment could become a new promising area. The meeting also raised the issue of increasing electricity consumption in South Africa, which requires the development of generating capacities. The parties concluded the talks by discussing prospects for further cooperation in electric power industry, noting in particular that the implementation of Renewable Energy Sources (RES) projects by Russian companies in South Africa is proceeding in line with the plan. The operation of coal-fired power plants in South Africa, which currently operate at half the available capacity, was discussed as well. https://sputnikglobe.com/20230801/brics-enlargement-high-on-agenda-at-upcoming-south-africa-summit---kremlin-1112316175.html south africa russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International south african russian relations, russian projects in africa, brics projects, https://sputnikglobe.com/20230815/thoughts-and-prayers-biden-to-visit-maui-after-no-comment-on-fire-devastation-1112625902.html Thoughts and Prayers: Biden to Visit Maui After No Comment on Fire Devastation Thoughts and Prayers: Biden to Visit Maui After No Comment on Fire Devastation According to a lawsuit filed by several victims of the Maui wildfire, equipment owned by Maui Electric was responsible for sparking the blaze last week that killed nearly 100 people. 2023-08-15T21:05+0000 2023-08-15T21:05+0000 2023-08-15T21:05+0000 americas maui hawaii federal emergency management agency (fema) joe biden wildfire /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0a/1112499575_0:160:3072:1888_1920x0_80_0_0_14509d9fe12da249e1fe1e007fb89547.jpg US President Joe Biden said on Tuesday he and First Lady Jill Biden would soon visit the site of a devastating wildfire in Hawaii, but were waiting so as not to interfere with the rescue effort underway.But I want to go, make sure weve got everything they need, he added. Want to make sure we dont disrupt the ongoing recovery efforts.Biden called the wildfire on Maui devastating, noting that the death toll as of Tuesday had risen to 99 people, making it the deadliest wildfire in the United States in nearly a century. He said he has reassured Hawaii Governor Josh Green that his government will have Washingtons support.Its painstaking work, it takes time, and its nerve wracking, Biden said.The fire last week exploded thanks to high winds caused by an offshore hurricane that passed several hundred miles to the south, growing in just a couple of hours into a conflagration that consumed 3.39 square miles of the 727-square-mile island, including 80% of the historic city of Lahaina. The high winds also blasted smoke sideways, frustrating evacuation efforts in the town of 15,000 and making it impossible for firefighters to drop water on the flames.Photos from the aftermath of the fire show dozens of automobiles charred by the flames as they crowded the roads out of town. More than 2,000 structures were destroyed, and reconstruction efforts could top $6 billion, according to official estimates. Some 1,000 people remain missing amid the chaos, in which thousands fled the island or sought refuge in government shelters, while those closer to the flames jumped into the sea to escape.Biden Response CriticizedBidens response to the destruction thus far has been heavily criticized, including on Sunday when he told a reporter he had no comment on the disaster. On the day Lahaina was destroyed, he incorrectly told another journalist he had already declared an emergency on Maui - he has since signed such a declaration.On Monday, Biden rolled out a social media thread describing the aid his administration was giving to the Maui victims, including being placed in temporary housing at hotels by the Federal Emergency Management Agency (FEMA) and a cash payment for survivors.According to CostofLive.com, a website that tracks the prices of typical basic commodities in different locales, the average cost of living on Maui once housing and utilities are excluded is about $1,175 per month.Describing it as a whole-of-government response effort, the White House posted a fact sheet expounding its actions on Maui, including deploying 500 federal personnel and massive amounts of food, water, blankets, and beds.Power Company BlamedA class-action lawsuit filed over the weekend by several survivors of the fire alleges that equipment belonging to Maui Electric, a subsidiary of the Hawaii Electric power company, was responsible for sparking the fire.According to data from Whisker Labs, a private company that monitors the US electric grid for potential fire-triggering incidents, told US media that they observed a pronounced, 8-second-long dip in voltage early on Maui the morning of August 7, when the fire is believed to have occurred.Other electrical companies, including Pacific Gas & Electric in California, have been found responsible for numerous deadly wildfires sparked by their equipment, which often occurred after the electrical provider decided not to preemptively cut power ahead of weather likely to damage its equipment or spark a fire, such as high winds.Shelee Kimura, the chief executive of Hawaiian Electric, told US media on Monday that the company didnt have a shutoff plan because water pumps and medical devices on the island are dependent on the electricity the company provides. https://sputnikglobe.com/20230813/rebuilding-hawaii-after-devastating-wildfires-may-cost-almost-6bln---disaster-center-1112561435.html https://sputnikglobe.com/20230811/hawaii-officials-liken-wildfire-destruction-to-war-zone-as-death-toll-hits-55-1112545103.html https://sputnikglobe.com/20230814/1112574675.html americas maui hawaii Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Fantine Gardinier Fantine Gardinier News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Fantine Gardinier maui; lahaina; hawaii wildfire; joe biden; no comment https://sputnikglobe.com/20230815/using-ai-in-content-moderation-could-help-sustain-health-of-digital-platforms---openai-1112627967.html Using AI in Content Moderation Could Help Sustain 'Health' of Digital Platforms - OpenAI Using AI in Content Moderation Could Help Sustain 'Health' of Digital Platforms - OpenAI Artificial intelligence company OpenAI says that large language models could be used to for content moderation on digital platforms. 2023-08-15T23:31+0000 2023-08-15T23:31+0000 2023-08-15T23:31+0000 beyond politics openai science & tech artificial intelligence (ai) /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0f/1112627811_5:0:1456:816_1920x0_80_0_0_eac5547bad51bca2f7fca81a87f046ab.png "We're exploring the use of LLMs [large language models] to address these challenges. Our large language models like GPT-4 can understand and generate natural language, making them applicable to content moderation. The models can make moderation judgments based on policy guidelines provided to them ... We believe this offers a more positive vision of the future of digital platforms, where AI can help moderate online traffic according to platform-specific policy and relieve the mental burden of a large number of human moderators," the company said in a release. The company said that content moderation "plays a crucial role in sustaining the health of digital platforms," adding that the use of AI-powered moderation results in "much faster iteration on policy changes, reducing the cycle from months to hours." OpenAI noted that GPT-4 can "interpret rules and nuances in long content policy documentation and adapt instantly to policy updates, resulting in more consistent labeling." ChatGPT gained popularity after its launch in November 2022, acquiring its first million users in less than a week. In late January, Microsoft said it would invest "billions of dollars" in OpenAI. Earlier in March, OpenAI introduced a new multimodal AI model, GPT-4, which is capable of recognizing both text and images, as well as solving complex problems with greater accuracy. The model has received mixed reviews for its ability to mimic human conversation and generate unique text based on user input. Some have praised the model for its professional applications, such as code development, while others have criticized its potential for abuse, such as students using the model to write essays. https://sputnikglobe.com/20230206/artificial-intelligence-could-cause-nuclear-devastation-australian-mp-warns-in-ai-written-speech-1107012156.html Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International openai, gpt-4, content moderation, ai in content moderation, ai for censorship https://sputnikglobe.com/20230816/belarus-top-diplomat-to-attend-brics-summit-in-johannesburg-1112633126.html Belarus' Top Diplomat to Attend BRICS Summit in Johannesburg Belarus' Top Diplomat to Attend BRICS Summit in Johannesburg Belarusian Foreign Minister Sergei Aleinik will participate in the BRICS summit to be held in Johannesburg from August 22-24, Belarusian Foreign Ministry spokesman Anatoly Glaz told Sputnik on Wednesday. 2023-08-16T08:40+0000 2023-08-16T08:40+0000 2023-08-16T08:40+0000 world brics summit 2023 brics summit belarus johannesburg south africa brics belarusian foreign ministry /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/107730/99/1077309944_0:160:3073:1888_1920x0_80_0_0_f1a069718276d3f6ed764d1de18825e1.jpg "On the instructions of the head of state, our delegation [to BRICS] will be headed by Minister of Foreign Affairs Sergei Aleinik," Glaz said. He added that the summit's main agenda of promoting mutual cooperation with African states is close to the Belarus, adding that the country will participate in the BRICS-AFRICA Outreach and BRICS+ dialogues. It will be the first time Belarus takes part in a BRICS summit's events, Glaz noted. The Belarusian foreign minister will also hold traditional meetings with his counterparts from other countries on the sidelines of the summit, the spokesman said. The BRICS summit in Johannesburg is expected to be attended by the leaders of Russia, China, India, Brazil and South Africa. Russian President Vladimir Putin is expected to participate in the summit via video link. On July 7, Naledi Pandor, the foreign minister of South Africa, which is the bloc's 2023 chair country, said that 23 countries had officially expressed their interest in joining BRICS. In May, Minsk also addressed the member states to consider the possibility of Belarus joining the bloc. https://sputnikglobe.com/20230804/brics-represents-force-in-multipolar-world-unlike-nato-or-g7---expert-1112391931.html belarus johannesburg south africa Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International brics summit in johannesburg, belarusian foreign minister, belarus https://sputnikglobe.com/20230816/brics-2023-who-are-participants--guests-of-the-summit-1112636718.html BRICS 2023: Who are Participants & Guests of the Summit? BRICS 2023: Who are Participants & Guests of the Summit? Key facts about the BRICS 2023 Summit, its participants and guests. 2023-08-16T13:39+0000 2023-08-16T13:39+0000 2023-08-16T13:41+0000 world brics brics summit russia cyril ramaphosa vladimir putin south africa naledi pandor /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112644344_0:0:3090:1739_1920x0_80_0_0_6d3a52d0e44b0d77e2b8505375f00c8a.jpg South Africa, as rotating chair of BRICS, is gearing up to host the 15th BRICS Summit at the Sandton Convention Center in Johannesburg on 22-24 August 2023, under the theme: BRICS and Africa: Partnership for Mutually Accelerated Growth, Sustainable Development, and Inclusive Multilateralism.There is no overstating the importance of the high-profile event that will bring together the world's leading developing economies, collectively known as BRICS - an acronym for Brazil, Russia, India, China, and South Africa. The first in-person BRICS summit since the COVID-19 pandemic comes as the member states have been expanding their political clout and increasing their share in global GDP, outperforming the G7. Furthermore, the rise of BRICS has been demonstrating the possibility of a power shift from the Global North to South, with the bloc heralding the decline of the United States as a unipolar superpower.Sputnik takes a look at who is participating in BRICS 2023, who have been invited as guests, and what countries are currently knocking on the door of the bloc.Which Countries Are Participating in BRICS 2023?The heads of state or heads of government of the five member states - Brazil, Russia, India, China, and South Africa - will be attending the BRICS 2023 Summit this year. Accordingly, on the list are President Cyril Ramaphosa of South Africa, which took up the rotating chairship of the group in January, Chinas President Xi Jinping, Brazils President Lula da Silva, and Indian Prime Minister Narendra Modi. Russian President Vladimir Putin will not be attending the gathering in person, but is expected to participate in the summit via video link.The online speech of Russian President Vladimir Putin at the BRICS summit in South Africa is expected to take place on August 23, according to Anil Sooklal, South Africa's BRICS envoy.Russian Foreign Minister Sergey Lavrov will be heading the country's delegation at the BRICS 2023 Summit.BRICS 2023 GuestsThe South African president has "invited (with consensus support from his fellow BRICS Leaders) sixty-seven (67) Leaders from Africa and the global South to attend the BRICS-Africa Outreach and BRICS Plus Dialogues. The Leaders cover all the continents and regions of the global South," South African Foreign Minister Naledi Pandor said earlier in August. Another 20 representatives of international organizations have also been invited, the minister said.Currently, 41 countries have confirmed their participation, with more expected, according to Anil Sooklal.Belarusian Foreign Minister Sergey Aleinik will participate in the BRICS Summit, Belarusian Foreign Ministry spokesman Anatoly Glaz told Sputnik. "On the instructions of the head of state, our delegation [to BRICS] will be headed by Minister of Foreign Affairs Sergey Aleinik," Glaz said. He added that the country will participate in the BRICS-AFRICA Outreach and BRICS+ dialogues. This will be the first time Belarus takes part in BRICS Summit events, Glaz noted.No Western leaders have been invited.Earlier this year, Emmanuel Macron's top diplomat, Catherine Colonna, confirmed that the French president was interested in going to Johannesburg as an observer to attend the summit. Macron's proposal was met with a mixed reaction from BRICS, with Russia arguing that the French presidents participation would be "inappropriate," given Paris hostile policy toward Moscow. In early August, it was officially announced that Emmanuel Macron would not be invited to BRICS 2023.BRICS 2023 AgendaOn the first day of the summit, August 22, a business forum will be held, with heads of state addressing participants at the final session in the evening. After that, the BRICS leaders will meet each other in a closed session. The agenda here is open they will be able to exchange views on any topic they consider necessary, Anil Sooklal clarified.Events on August 23 will be divided into two sessions. The first, a closed-doors one, will supposedly last an hour and a half, and the second, open session ostensibly about two hours. During the first session, the leaders of the member states, along with 10 representatives from each delegation, will reportedly discuss geopolitics, security issues, finance, and economics. The open session will include, in particular, representatives of the Business Council, the Women's Business Alliance, and the BRICS New Development Bank, with reports presented by these organizations.At the end of the summit, the heads of member states will adopt a final declaration, which the BRICS Sherpas have already begun work on, Sooklal added.Which Countries Want to Join BRICS?BRICS enlargement is high on the agenda and will be discussed at the upcoming summit of the group in South Africa, Kremlin spokesman Dmitry Peskov said ahead of the gathering.Over 40 countries have expressed their desire to join BRICS, with 23 of them doing so officially, according to South African top diplomat Naledi Pandor.Among those who have officially applied are Argentina, Turkiye, Saudi Arabia, the United Arab Emirates, Egypt, Senegal, Algeria, Ethiopia, Iran, and Indonesia. "The list of countries is large," Anil Sooklal said. https://sputnikglobe.com/20230802/how-much-does-brics-contribute-to-world-gdp-1112307546.html https://sputnikglobe.com/20230720/putins-online-speech-at-brics-summit-expected-on-august-23-1112005687.html https://sputnikglobe.com/20230807/macron-not-invited-to-brics-summit---south-african-foreign-minister-1112440840.html https://sputnikglobe.com/20230708/brics-needs-qualitative-expansion-says-russian-lawmaker-1111739496.html https://sputnikglobe.com/20230815/brics-building-foundations-of-free-and-equal-multipolar-world--russian-foreign-intel-1112605636.html russia south africa Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Svetlana Ekimenko brics summit in johannesburg, brazil, russia, india, china and south africa, participants of brics 2023 summit, guests of brics 2023 summit, expansion of brics, brics 2023 agenda, what countries want to join brics OTTAWA, Aug. 15 (Xinhua) -- The government of Canada's Northwest Territories declared a state of emergency on Tuesday in response to out-of-control wildfires in the territory. The state of emergency allows the territorial government to deploy the resources to manage the unprecedented wildfire season and protect the health and safety of residents, the government of the Northwest Territories said in a press release. According to the release, the wildfire situation in the South Slave and North Slave regions is rapidly evolving, and the needs on the ground are changing quickly. Fort Smith and Hay River remain at risk due to wildfires burning in the South Slave region. Yellowknife is also at an increased risk due to a wildfire approaching from the west. On Monday night, Yellowknife, the territory's capital city, declared a state of local emergency due to surrounding wildfires. The government of the Northwest Territories issued warnings on Monday advising residents to evacuate from areas close to wildfires. The Northwest Territories is experiencing the worst wildfire season on record, leading to widespread evacuations, loss of personal property and damage to critical infrastructure. According to the Canadian Interagency Forest Fire Center, there were 1,071 active forest fires in Canada as of Tuesday night, 238 of which were in the Northwest Territories, second only to British Columbia's 368. Public Safety Canada issued a press release on Tuesday night confirming that the federal government is assisting the Northwest Territories in response to wildfires and that the Canadian military was deployed to assist firefighting efforts. In Canada, emergencies are initially handled at the municipal level. Municipal authorities can seek support from their respective province or territory if further assistance is needed. Public Safety Canada said that if it escalates, the territory can request aid from the federal government. https://sputnikglobe.com/20230816/china-against-putting-geopolitical-interests-above-nuclear-nonproliferation-1112640252.html China Against Putting Geopolitical Interests Above Nuclear Nonproliferation China Against Putting Geopolitical Interests Above Nuclear Nonproliferation China opposes the predominance of geopolitical interests over the principles of nuclear nonproliferation, Chinese Foreign Ministry Spokesperson Wang Wenbin said on Wednesday. 2023-08-16T12:41+0000 2023-08-16T12:41+0000 2023-08-16T12:41+0000 china nuclear non-proliferation nuclear non-proliferation treaty (npt) treaty on the non-proliferation of nuclear weapons chinese foreign ministry wang wenbin new york nuclear weapons nuclear power asia /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/04/0a/1109316341_0:0:3072:1728_1920x0_80_0_0_01e37e9223ed21f047a0b73db94caca1.jpg China has always adhered to the policy of no first use of nuclear weapons, the official stated. "China is firmly committed to the international nuclear nonproliferation system and condemns the prevalence of geopolitical interests over the issue of nuclear nonproliferation," Wang told a briefing, adding that possessing a nuclear arsenal has never been a tool for hegemony but a means of deterring nuclear war. The signatories to the Treaty on the Non-Proliferation of Nuclear Weapons (NPT) failed to reach a consensus on a framework for future negotiations during a meeting of the Preparatory Committee (PrepCom) in Vienna held from July 31 to August 11. A procedural report was the only document signed at the end of the meeting. The next NPT Review Conference is expected to be held in New York in 2026. This conference is the centerpiece of the global nonproliferation agenda. Three PrepCom meetings were scheduled to take place prior to the conference. One has already taken place in Vienna, and two more are expected to take place in Geneva in 2024 and in New York in 2025. https://sputnikglobe.com/20230712/china-nato-ignoring-crux-of-korean-nuclear-issue-by-blaming-north-korea-for-tensions-1111842686.html china new york Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International non-proliferation of nuclear weapons, non-proliferation regime, nuclear non-proliferation, nuclear treaty, nonproliferation agenda, nuclear weapons, nuclear war, nuclear warfare, nuclear powers, nuclear states, nuclear countries https://sputnikglobe.com/20230816/china-launches-ludi-tance-4-first-geosynchronous-radar-satellite-able-to-map-one-third-of-earth-1112654286.html China Launches Ludi Tance-4, First Geosynchronous Radar Satellite Able to Map One-Third of Earth China Launches Ludi Tance-4, First Geosynchronous Radar Satellite Able to Map One-Third of Earth Chinas space program has rocketed upward in recent years, dramatically expanding its network of high-tech satellites and sending probes to the moon and Mars. Recently, Beijing unveiled plans for a manned lunar base. 2023-08-16T19:40+0000 2023-08-16T19:40+0000 2023-08-16T19:39+0000 beyond politics xichang satellite launch center china satellite radar geosynchronous earth orbit /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112654128_0:19:1510:868_1920x0_80_0_0_a256f941eafe23b0cd5d984594f5a7e8.png Early Saturday, a Long March 3B rocket blasted off from the Xichang Satellite Launch Center in Chinas Sichuan Province carrying the Land Exploration-4 01 (Ludi Tance-4 (01)) satellite.The orbital device is a first: it will sit in a geosynchronous Earth orbit and use an L-band synthetic aperture radar (SAR) to monitor up to one-third of the planet at once.Even though a geosynchronous orbit is among the highest occupied by satellites, at some 22,370 miles (36,000 kilometers) above the Earths surface, the satellite will be able to monitor the entire-Asia-Pacific region to a resolution of about 65 feet (20 meters).Last year, China launched two other Ludi Tance satellites at much lower orbits of just 372 miles (600 kilometers) to monitor landslides, earthquakes and other geological phenomena.The radar has significant advantages over traditional photography in that it can penetrate any kind of weather. Chinas High-resolution Earth Observation System (CHEOS) satellites, which are also geosynchronous, suffer from this limitation, despite having higher resolution on clear days.Stephen Hobbs, a professor in space systems and sensors from Cranfield University in England, told US media the satellites resolution is actually quite good for geosynchronous radar.The main advantage of the geosynchronous orbit is the permanent view of Earth over continental scales about 30 % of the Earths surface so that rapid repeat viewing of a particular area with a single satellite is possible, the expert added. https://sputnikglobe.com/20230810/chinese-commercial-rocket-ceres-1-sends-7-satellites-into-orbit-1112506077.html china Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Fantine Gardinier Fantine Gardinier News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Fantine Gardinier ludi tance; chinese satellite; geosynchronous orbit https://sputnikglobe.com/20230816/death-toll-from-armed-clashes-in-libyas-tripoli-rises-to-27---health-ministry-1112629469.html Death Toll From Armed Clashes in Libya's Tripoli Rises to 27 - Health Ministry Death Toll From Armed Clashes in Libya's Tripoli Rises to 27 - Health Ministry The death toll from armed clashes in Tripoli, Libya's capital, has risen to over 27, with more than 100 injured, the Health Ministry said on Tuesday. 2023-08-16T01:46+0000 2023-08-16T01:46+0000 2023-08-16T01:46+0000 africa tripoli libya the united nations (un) libyan national army (lna) mitiga international airport conflict in libya /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e6/04/17/1095000826_0:0:3072:1728_1920x0_80_0_0_148baf0f722dbabe3646dd5a9eb525e2.jpg "Twenty-seven people have been killed, and 106 injured as a result of armed clashes in Tripoli," the center said on social media. The center added that 134 families have been evacuated due to clashes. On Monday, Mahmoud Hamza, the commander of the 444th brigade, which is affiliated with the Libyan Defense Ministry, was reportedly detained by the Special Deterrence Force, which operates as the police force in Tripoli. The incident resulted in armed clashes between the parties. Libya's Government of National Unity declared an emergency in the areas where the clashes took place, as well as partially suspended arrivals and departures at Mitiga International Airport, media reported. Libya is currently ruled by two contending governments. Libya's western part is controlled by the UN-backed Government of National Accord sitting in Tripoli, while the eastern part is under the Government of National Stability, which is supported by the Libyan National Army. The south of the country remains in turmoil due to civil unrest, the persisting terrorist threat and armed tribal violence. https://sputnikglobe.com/20230715/closure-of-libyas-major-oil-fields-threatening-domestic-production-1111891992.html africa tripoli libya Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International libya civil war, libya war, tripoli clashes https://sputnikglobe.com/20230816/finland-says-received-264bln-from-eu-to-build-blocs-largest-nuclear-emergency-reserve-1112637367.html Finland Says Received $264Mln From EU to Build Bloc's Largest Nuclear Emergency Reserve Finland Says Received $264Mln From EU to Build Bloc's Largest Nuclear Emergency Reserve Finland has received 242 billion euros ($264 billion) from the European Commission to build a massive stockpile of materials that will become the European Union's largest common strategic reserve in the event of chemical, biological, radiological or nuclear (CBRN) threats, the Finnish Ministry of the Interior said on Wednesday. 2023-08-16T10:37+0000 2023-08-16T10:37+0000 2023-08-17T09:02+0000 world finland european union (eu) european commission brussels aid /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/104621/27/1046212774_0:126:2409:1481_1920x0_80_0_0_626040db3003626d1ba2ce5205a7b493.jpg "Finland received substantial funding of EUR 242 million from the EU Commission for the establishment of a CBRN strategic reserve. Material will be stockpiled in the reserve in case of emergencies involving chemical, biological, radiological or nuclear (CBRN) threats, accidents and situations, especially in Northern and Eastern Europe," project manager Tarja Rantala was quoted by the ministry as saying. The construction plans take into account experiences of pandemics, including COVID-19, the statement read. The CBRN strategic reserve will be part of the EU Civil Protection Mechanism, managed by the EU Emergency Response Coordination Center (ERCC) in Brussels, the ministry added. The ministry also said that creation of such a large-scale strategic reserve is a pilot project for all of the EU. By the end of the year, the project aims to develop preliminary guidelines on management, distribution and deployment of the EU's strategic reserves after the pilot period is over in late 2026, the statement read. In April 2022, the EU announced building up strategic reserves of response capacities to improve the union's preparedness for public health risks, including falling under the category of CBRN threats. https://sputnikglobe.com/20230627/nearly-half-of-finland-believes-government-will-fall-before-term-ends---poll--1111487290.html finland brussels Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International european union's largest common strategic reserve, chemical, biological, radiological or nuclear (cbrn) threats https://sputnikglobe.com/20230816/gop-aligned-group-sinks-millions-into-ad-blitz-as-support-for-funding-ukraine-nosedives-1112632855.html GOP-Aligned Group Sinks Millions Into Ad Blitz as Support for Funding Ukraine Nosedives GOP-Aligned Group Sinks Millions Into Ad Blitz as Support for Funding Ukraine Nosedives A project called Republicans for Ukraine will spend $2 million on ad campaign amid dwindling GOP support for funding Kiev regime. 2023-08-16T12:07+0000 2023-08-16T12:07+0000 2023-08-16T12:07+0000 us ukraine us arms for ukraine republicans donald trump joe biden americas /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112633335_0:160:3072:1888_1920x0_80_0_0_61533eeab85a8c9d2517e56e88fb9a47.jpg Amid dwindling support for bankrolling NATOs proxy war against Russia in Ukraine, particularly among Republicans in the US Congress, a non-profit is apparently struggling to alter that trajectory.As Congress appears to be heading for a tussle over spending when it resumes work in early September after recess, with Ukraine aid a particularly contentious issue, a project called Republicans for Ukraine has raised its head. Conceived by the conservative non-profit Defending Democracy Together, it hopes to use the occasion when the 2024 Republican presidential candidates face off for the first time at the primary debate in Milwaukee, Wisconsin, on August 23, to bring about a turnaround, US media reported.President Joe Biden has vowed to prop up the regime in Kiev for as long as it takes, yet increasingly more Republican politicians, along with a growing portion of American society, are balking at the idea of sinking ever more off the charts sums into Ukraine aid. This is where the aforementioned project comes in, launching a $2 million campaign that will include advertisements airing nationally on cable, network TV, and YouTube. The cornerstone of the ad effort is to feature everyday Republicans ostensibly supporting Ukraine, contrary to all the recent public opinion surveys. Those behind the effort are thus hoping to garner grassroots appeal.The group is described as being led by so-called Never Trump Republicans Bill Kristol and Sarah Longwell, who are prepared to spend big in an effort to shrink ex- President Donald Trumps base. Their battle cry has been that America First policies espoused by the 45th POTUS have eroded traditional Republican values. Accordingly, the group plans to tout funneling the war effort in Ukraine as a "traditional, conservative, Republican value," judging by what they are saying. Specifically, the ads in question will reportedly feature direct-to-camera testimonials from Republican voters. The money set aside for the campaign, running until the end of the year, will also pay for digital YouTube ads, according to the report. Furthermore, for a more "personal" approach, faces of Republican voters will be splashed across 10 billboards set up throughout Milwaukee.Ahead of the 2024 presidential election, the campaign that Republicans for Ukraine are launching is heralded as just the first in a series of attempts to boost seriously flagging GOP support for Ukraine.One of the factors that ostensibly prompted the $2 million ad effort was alarming recent polling data that points to the fact that the Republican Party has just fundamentally changed, Gunner Ramer said.Spending Showdown & Waning Ukraine SupportThe above-cited ad effort comes as the US and its allies have vowed to continue to prop up Ukraine for as long as it takes. Washington recently announced its next package of security assistance to aid Ukraine, valued at $200 million. According to US Secretary of State Antony Blinken, it is being executed from the previously authorized Presidential Drawdown Authority, and incorporates air defense munitions, artillery rounds, anti-armor capabilities, and additional mine-clearing equipment. But within the Republican-controlled US House of Representatives there have long been growing signs of resistance to more funding for Kiev. After the US debt ceiling deal was finally hammered out in early June and a government default dodged, House Speaker Kevin McCarthy (R-Calif.) said he didn't want to pursue an additional spending package above levels set down in the bill signed by President Biden that also curbs federal spending. McCarthy previously went on record as saying that Kiev should not receive a blank check. His spokesperson has since reiterated this, telling media:Ex-POTUS Donald Trump, a Republican hopeful in the 2024 race to the White House, last month threatened to halt war funding, saying that the US should refuse to authorize a single additional shipment of our depleted weapons stockpiles. Florida Gov. Ron DeSantis, his rival for the 2024 Republican presidential nomination, has also been open about his skepticism of the funding. Democratic presidential candidate Robert F. Kennedy Jr. has repeatedly censured the US role in the proxy war against Russia. Congress should not authorize another penny for Ukraine... Ukraine is not the 51st state of the United States of America, said GOP Rep. Marjorie Taylor Greene.Last month, House Foreign Affairs Chairman Michael McCaul (R-Texas) voiced his concern when 70 House Republicans cast their votes for an amendment to a defense policy bill that would have prohibited future assistance to Ukraine. Furthermore, 89 House Republicans supported a separate amendment that suggested slashing $300 million in Ukraine funding. Bearing this rift in mind, the problem is that Congress will be trying to fund the government before the September 30 deadline. With Conservatives, in particular, railing against the Ukraine aid sinkhole, there is the matter of how much of the Biden administration's request for emergency funding for disaster relief, Ukraine aid, and border security would make its way into a continuing resolution which funds the government for a limited time to avert a government shutdown.The sentiments starting to prevail in American society also mirror this waning support for Ukraine funding. A poll conducted by SSRS news agency from July 1-31 among 1,279 adults revealed that over half of Americans (55 percent) are against Congress authorizing more funds for Ukraine. Fifty-one percent say that Washington has done enough to help Kiev, while 48 percent disagree. The latter number is 14 percentage points down from the 62% of those who felt the US should have been doing more, according to a poll conducted in the early days of Russia's special military operation. Furthermore, the poll found that 71 percent of Republicans would want Congress to stop sending more assistance for the authorities in Kiev. https://sputnikglobe.com/20230814/us-announces-new-200mln-security-assistance-for-ukraine-1112587177.html https://sputnikglobe.com/20230804/majority-of-americans-oppose-additional-us-funding-for-ukraine---poll-1112392442.html https://sputnikglobe.com/20230808/us-senator-ukraine-cant-win---theyre-a-junior-high-team-playing-a-college-team-1112455927.html ukraine americas Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Svetlana Ekimenko republicans for ukraine, ad campaign, dwindling gop support for funding kiev, y flagging gop support for ukraine, nato's proxy war against russia in ukraine, us package of security assistance to ukraine, 2024 republican presidential nomination, avert a government shutdown. https://sputnikglobe.com/20230816/product-53-what-are-capabilities-of-next-gen-variant-of-russias-lancet-kamikaze-drone-1112639748.html Product-53: What are Capabilities of Next-Gen Variant of Russias Lancet Kamikaze Drone? Product-53: What are Capabilities of Next-Gen Variant of Russias Lancet Kamikaze Drone? Over the past two-and-a-half months, the Lancet loitering munition/kamikaze attack drone has wreaked havoc on Ukrainian forces attempting to mount a counteroffensive. Now, Russian drone designers have unveiled a next-gen variant. What are its characteristics? What new additional capabilities will it provide Russian troops? Sputnik explores. 2023-08-16T12:38+0000 2023-08-16T12:38+0000 2023-08-18T11:31+0000 military russia ukraine lancet kalashnikov concern drone drone strike drone warfare unmanned aerial vehicle army-2023 /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112639092_132:0:1174:586_1920x0_80_0_0_e489411fa133a41acb2eeea7fc1a3665.png Russias distinctive Lancet dual X-wing drones have proven instrumental in blunting Ukraines counteroffensive, to the point where even the propaganda arm of the US State Department and members of the Volodymyr Zelensky government have been forced to admit it.Created by ZALA AERO, a Kalashnikov Concern subsidiary based in Izhevsk and specializing in the production of drones and drone jammer systems for both military and civilian applications, Lancets have a flight range of between 40 and 70 kilometers, an endurance time of about one hour, and a weapons payload of between three and five kilograms.The unique drones, distinguishable by their X-pattern wings, come in several configurations, including Izdeliye-51 (lit. "Product-51"), also known as Lancet-3, a 12-kilogram drone featuring one large X-shaped wing and a small rear X-shaped stabilizer wing, and Izdeliye-52 (Lancet-1), a miniaturized version of the drone with a takeoff weight of 5 kg, and featuring two sets of smaller X-shaped wings, and the ability to perform a near vertical dive. Both drones feature a camera-guidance head/nose section with optical-electronic and TV guidance systems, and are powered by low-noise electric motors. Lancets can target troops, light and heavy armor, fortified positions, and even enemy heavy attack drones.What is Izdeliye-53?This week at the Army-2023 International Military Technical Forum, Russian drone manufacturers unveiled a number of new unmanned aerial vehicles. Among them is Izdeliye-53, also called Z-53), a next-gen loitering munition developed by ZALA Aero affiliate Aeroscan, serving as the next step in the evolution of the Lancet and one which, designers hope, will become nearly impossible to stop.A promotional video of the UAV by the company shows off the new drones distinctive geometric properties, again featuring four large forward-mounted wings, but this time mounted at 45 degree angles, rather than in an X configuration, and unfolding upon launch. No rear stabilizer winglets are present this time. Thrust upward and forward by a rear-facing propeller, the drones feature a bulbous, downward-facing camera for mapping their surrounding environment and finding targets.Capable of carrying payloads of up to five kilograms, the drones are designed to fly in groups, and communicate and coordinate with one another to seek out and assign ground-based targets, from enemy anti-air and rocket artillery installations to armor.Unlike current-generation Lancets, which are launched from a special pneumatic rail, Z-53s are deployed from small, ground-based tubes, similar in configuration to mortars, and are light enough to be carried around either as tube launchers, or 2x2 batteries, using light vehicles. Their sealed transport tubes are used for storage, transportation, and launch of the UAVs, meaning that no field assembly is required.What is Network-Centric Warfare?More broadly speaking, network-centric warfare is a resource-intensive military doctrine aimed at translating armies informational advantage, gained through the effective use of communications, networking, and advanced sensors, into a battlefield advantage that allows for improved situational awareness, quicker delivery of commands, deployment, and fire on the one hand, and survivability on the other.Can the New Lancet Be Stopped?That is, it will feature some secure channel? Zakharovs interviewer asked. I wont get into details, but in general it will be practically impossible to fight against them, the designer reiterated.Zakharov explained that the operators role will be to input commands regarding the area of the operations, and the types of targets to be engaged (for example, only armor, artillery, radar or air defense systems), with the UAVs approaching the target area and independently making determinations about which enemy equipment to target, including based on priority classifications.The designer revealed that the Z-53 cannot be suppressed using enemy electronic warfare, since its main computing circuit is on board and capable of operations independently.The designer noted that the UAV has already undergone a series of combat tests. And that means that no matter how many tens of billions of dollars in additional military equipment NATO gives Ukraine for the proxy war against Russia, the Russian military will have the capability to destroy it. https://sputnikglobe.com/20230815/russia-unveils-cutting-edge-uav-fitted-with-neural-network-based-object-identification-system-1112616500.html https://sputnikglobe.com/20230815/why-us-drones-prove-useless-in-ukraine-1112615946.html https://sputnikglobe.com/20230804/ukraines-attrition-rate-suggests-counteroffensive-is-over-1112393002.html russia ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Ilya Tsukanov Ilya Tsukanov News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 The newest Russian loitering ammunition "Izdeliye-53" The newest Russian loitering ammunition "Izdeliye-53" 2023-08-16T12:38+0000 true PT1M22S 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Ilya Tsukanov russia, ukraine, drone, unmanned aerial vehicle, uav, electronic warfare, zala, aeroscan, z-53, izdeliye, capabilities, independent, operation, izdeliye-53, product-53, lancet drone, lancet kamikaze drone, next-generation lancet drone, izdeliye z-53 lethal drone, new russian drones https://sputnikglobe.com/20230816/rfk-jr-poses-very-credible-threat-to-bidens-presidency-1112631035.html RFK Jr. Poses Very Credible Threat to Bidens Presidency, CIA Vet Reveals RFK Jr. Poses Very Credible Threat to Bidens Presidency, CIA Vet Reveals Robert F. Kennedy Jr.s message and policies make him "a very credible threat" to the American establishment, Larry Johnson, retired CIA intelligence officer told Sputnik. 2023-08-16T09:40+0000 2023-08-16T09:40+0000 2023-08-16T10:55+0000 analysis us ukraine robert kennedy joe biden russia john f. kennedy ukraine crisis proxy war /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112630635_0:160:3072:1888_1920x0_80_0_0_cc3d8bc3336f3125f6047a3702c09fea.jpg Robert F. Kennedy Jr.s message and policies make him "a very credible threat" to the American establishment, Larry Johnson, a retired CIA intelligence officer, told Sputnik.Furthermore, the 2024 Democratic presidential hopeful "is not posturing," and appears to really believe what he's saying, according to the ex-intel-agent-turned-blogger.The politician also known by his initials RFK Jr. spoke to former Fox News host Tucker Carlson in a video interview posted on the X social network (formerly Twitter) on Tuesday. He hauled the Biden administration over the coals for everything from the aid being continuously funneled to the Kiev regime to fight NATO's proxy war against Russia, to the controversial issue of the Pentagon's biological laboratories in Ukraine.According to information cited by Russia's MoD, the United States funneled over $200 million into its biolabs on Ukrainian soil, allegedly using them as an inherent part of the American military biological program. In his interview with Tucker Carlson, Robert F. Kennedy Jr. noted that in 2001, the US began investing heavily in bioweapons again when "the Patriot Act reopened the biolabs arms race." Kennedy added that the development of any biological weapon requires a vaccine, since there is a "100 percent chance" of blowback when bioweapons are used.'Ukraine Aid Won't Exist Under RFK Jr.'RFK Jr. in his Tuesday interview had proceeded to castigate the Biden administration for continuously pumping financial aid to Ukraine instead of using the resources domestically to help struggling Americans. "Ukraine aid will not exist under Bobby Kennedy," opined Larry Johnson.Ever since the former environmental lawyer, author, and activist threw his hat into the ring for the 2024 Democratic nomination, RFK Jr. has been criticizing and exposing the Biden administration on a number of sensitive topics, most importantly the Ukraine conflict. He slammed decades of policy conducted by the US and NATO toward Ukraine and Russia for fueling the current conflagration. He also accused the federal government of blatantly lying to Americans about everything to do with Ukraine." We have neglected many, many opportunities to settle this war peacefully, Kennedy said on a previous occasion. Such statements by RFK Jr. have invariably won him kudos among the electorate, as evidenced by polls. A July Harris Poll gave him 16 percent support against incumbent Joe Biden, while according to FiveThirtyEights national polling average, RFK Jr. has held steady around 15 percent all year long. The nephew of assassinated former US President John F. Kennedy has been openly denouncing decades of reckless US and NATO policy toward Ukraine and Russia, which has culminated with the current firestorm.Kennedys policies and positions are genuinely espoused by him, and not just a ruse to score points in his political campaign, Larry Johnson thinks. Looking ahead, Johnson believed that RFK Jr. is in a better position than, for example, ex-POTUS Donald Trump, to work on a rapprochement with Russia.However, all told, the policies espoused by Robert F. Kennedy Jr., similar to the case with Donald Trump, make him a "threat" to the establishment ahead of the 2024 presidential elections, Johnson claimed. https://sputnikglobe.com/20230815/robert-kennedy-jr-admits-existence-of-us-bio-labs-in-ukraine-1112605514.html https://sputnikglobe.com/20230726/west-torpedoed-ukraine-peace-because-we-want-war-with-russia---rfk-jr-1112150461.html https://sputnikglobe.com/20230715/rfk-jr-reams-establishment-for-manipulating-ukraine-into-conflict-fears-america-is-next-1111894771.html ukraine russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Svetlana Ekimenko robert kennedy jr., us biolabs in ukraine, us biological weapons program, u.s. biological weapons program ukraine, nato's proxy war against russia https://sputnikglobe.com/20230816/russia-actively-exploring-alternatives-to-grain-deal-with-partners-1112643411.html Russia Actively Exploring Alternatives to Grain Deal With Partners Russia Actively Exploring Alternatives to Grain Deal With Partners Moscow is actively exploring alternatives to the Black Sea Grain Initiative in cooperation with partners, Russian Ambassador to Turkiye Aleksei Erkhov said on Wednesday. 2023-08-16T13:57+0000 2023-08-16T13:57+0000 2023-08-16T13:57+0000 russia black sea grain deal turkiye ukraine alexei erkhov rosselkhozbank the united nations (un) grain exports /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112644640_0:147:3118:1901_1920x0_80_0_0_2f870de514c869be5819b714979d6f09.jpg The ambassador also stressed that there was no alternative to reconnecting a Russian bank to the SWIFT payment system as a way to resume the grain deal. "The Black Sea initiative is not the only way to meet the food needs of African and other countries, there are alternatives that are being actively explored in contacts with our partners," Erkhov told a Turkish newspaper. Moreover, the Russian diplomat emphasized that the grain corridor established as part of the grain deal had served the interests of US and European business, with least developed countries receiving just 3% of all grain deliveries. On July 18, the Turkiye- and UN-mediated Black Sea Grain Initiative, which provided for a humanitarian corridor to allow exports of Ukrainian grain over the past year, expired, as Russia did not renew its participation in the deal. Moscow emphasized that the deal's component on facilitating Russian grain and fertilizer exports had not been fulfilled, specifically with regard to reconnecting Russian banks to SWIFT and unblocking the Tolyatti-Odesa ammonia pipeline. https://sputnikglobe.com/20230808/fact-check-debunking-blinkens-fake-news-claims-about-grain-deal-1112462393.html https://sputnikglobe.com/20230718/military-experts-ukraine-used-black-sea-grain-corridor-for-weapons-smuggling-and-terror-attacks-1111972894.html russia turkiye ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International grain deal, agricultural products, food security, security cooperation, black sea grain initiative, rosselkhozbank, swift, grain exports, food exports, grain supply, ukrainian grain, russian grain, fertilizer exports https://sputnikglobe.com/20230816/russian-air-defense-destroyed-ukrainian-drone-over-territory-of-crimea---mod-1112636941.html Russian Air Defense Destroyed Ukrainian Drone Over Territory of Crimea - MoD Russian Air Defense Destroyed Ukrainian Drone Over Territory of Crimea - MoD Russian air defense systems have detected and destroyed a Ukrainian aircraft-type drone over the territory of Crimea, the Russian Defense Ministry said on Wednesday. 2023-08-16T10:32+0000 2023-08-16T10:32+0000 2023-08-16T10:32+0000 russia russia russian defense ministry drone /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/105817/76/1058177612_0:225:2840:1823_1920x0_80_0_0_12e7d4a28f741c794e46c30160ad8524.jpg "Today, at about 11 a.m. [Moscow time, 08:00 GMT], an attempt by the Kiev regime to carry out a terrorist attack by one aircraft-type unmanned aerial vehicle on objects on the territory of Russia was thwarted. Russian air defense systems detected and destroyed an unmanned aerial vehicle over the territory of the Republic of Crimea," the ministry said in a statement.Prior to that, the air defense systems of Russia shot down three Ukrainian unmanned aerial vehicles (UAVs) over the Kaluga region early on Wednesday.Earlier, Russain air defense forces shot down two drones in the Kaluga region and near the Central Ring Road that were heading toward Moscow. In all cases, there were no casualties or damage. https://sputnikglobe.com/20230815/why-us-drones-prove-useless-in-ukraine-1112615946.html russia Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International russian air defense, ukrainian drone https://sputnikglobe.com/20230816/russias-air-defenses-down-3-drones-over-kaluga-region---defense-ministry-1112630376.html Russia's Air Defenses Down 3 Drones Over Kaluga Region - Defense Ministry Russia's Air Defenses Down 3 Drones Over Kaluga Region - Defense Ministry The air defense systems of Russia shot down three Ukrainian unmanned aerial vehicles (UAVs) over the Kaluga region early on Wednesday, the Russian Defense Ministry said. 2023-08-16T03:37+0000 2023-08-16T03:37+0000 2023-08-16T03:37+0000 russia russia kaluga drones drone strikes ukrainian drone attacks on russia drone strike drone uav /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/06/1112416255_0:160:3072:1888_1920x0_80_0_0_b8f643289aae3e49a7e5fd72f97f8d34.jpg Air defense forces on Tuesday evening foiled an attempt by Kiev to carry out a terrorist drone attack over the territory of Belgorod Region. Another attack was foiled a day earlier.Last week, air defense forces shot down two drones in the Kaluga region and near the Central Ring Road that were heading toward Moscow. In all cases, there were no casualties or damage. russia kaluga Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International russia's air defenses, ukraine drones attack russia, kaluga region drones attack, kiev regime drone attacks, how ukraine uses drones PHNOM PENH, Aug. 16 (Xinhua) -- Cambodia registered 3,037,344 international tourists in the first seven months of 2023, a significant rise of 308 percent from 743,459 over the same period last year, said a Ministry of Tourism's report released on Wednesday. Thailand topped the chart among the international arrivals to the Southeast Asian country during the January-July period this year, followed by Vietnam and China, the report said. According to the report, 1,065,233 foreign tourists visited Cambodia by air, up 237 percent and 1,972,111 by land and waterways, up 362 percent. "Based on this figure, Cambodia is expected to attract at least 5 million international tourists in 2023," Tourism Minister Thong Khon said, noting that the kingdom received only 2.27 million foreign travelers in 2022. https://sputnikglobe.com/20230816/russias-army-2023-expo-from-kalashnikov-rifles-to-icbms-and-everything-in-between-1112633980.html Russia's Army-2023 Expo: From Kalashnikov Rifles to ICBMs and Everything in Between Russia's Army-2023 Expo: From Kalashnikov Rifles to ICBMs and Everything in Between The International Military-Technical Forum Army-2023 is underway in the Moscow region, where delegations from 70 countries and representatives of more than 80 companies have gathered. 2023-08-16T13:04+0000 2023-08-16T13:04+0000 2023-08-16T13:04+0000 multimedia newsfeed photo t-90 tiger kubinka sergei shoigu russia moscow /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112634150_0:0:3393:1909_1920x0_80_0_0_b300ad6e3ff8b9df9589a5561bfc391f.jpg On the first day of the Army-2023 International Military-Technical Forum, Russian President Vladimir Putin addressed the participants via video link and emphasized the importance of international cooperation. Russian Defense Minister Sergey Shoigu also attended the forum, and visited the stands of Iran, China, and India. Guests of the exhibition have the opportunity to see the latest Russian developments in the field of armaments, including the Zubilo [Chisel] unmanned vehicle, the Tiger-M armored vehicle in its Mad Max version, the Rusak [abbreviation formed from the words "Russian Arctic Company"] all-terrain vehicle, modifications of the T-64M and T-90 Proryv [Breakthrough] tanks, and many others.In total, more than 28,000 samples of various military products - from uniforms for personnel to the most modern tanks and combat aircraft - are presented at the forum. Check out Sputnik's gallery to see the impressive military innovations at the Army-2023 forum: kubinka russia moscow Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International international military-technical forum army-2023, moscow region, russia's army 2023 expo, mi-28 helicopter, s-400 air defense missile, t-14, bmp-t15, and brem-t16 https://sputnikglobe.com/20230816/russias-luna-25-mission-enters-orbit-of-earths-satellite-1112643567.html Russia's Luna-25 Mission Enters Orbit of Earth's Satellite Russia's Luna-25 Mission Enters Orbit of Earth's Satellite Russia's Luna-25 automatic landing module has entered the orbit of Earth's natural satellite for the first time of the country's post-Soviet space history, all systems are working normally, Russian state space corporation Roscosmos said on Wednesday. 2023-08-16T13:16+0000 2023-08-16T13:16+0000 2023-08-16T13:26+0000 beyond politics russia earth roscosmos sputnik soyuz-2.1b vostochny cosmodrome moon science & tech /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/0a/1112508454_0:29:3072:1757_1920x0_80_0_0_d29798ab5bb78812f925003dead5b9a1.jpg "The automatic station Luna-25, created at the Lavochkin Science and Production Association (part of state corporation Roscosmos), entered the orbit of an artificial satellite of the Moon," the corporation said in a statement, adding that all its systems "are functioning normally and communication with it is stable."On August 11, the Soyuz-2.1b carrier rocket lifted off from Russia's Vostochny Cosmodrome and launched into orbit Luna-25, the first Russian lunar mission in almost 50 years and the first one in Russia's independent space history. Its predecessor, Luna-24, was launched by the Soviet Union in 1976. The new mission is part of the Russian lunar program for the exploration and practical use of the Moon and its orbit to establish a fully automated lunar base. Luna-25 is expected to become the first station to make a soft landing in the lunar South Pole with difficult terrain on August 21. https://sputnikglobe.com/20230812/russias-luna-25-moon-mission-conducts-first-trajectory-correction-1112558035.html russia earth Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International russia's luna-25 mission, earth's satellite, russian state space corporation roscosmos https://sputnikglobe.com/20230816/top-n-korean-diplomat-lauds-growing-strategic-ties-between-russia-dprk-1112637069.html Top N Korean Diplomat Lauds Growing Strategic Ties Between Russia, DPRK Top N Korean Diplomat Lauds Growing Strategic Ties Between Russia, DPRK North Korean Foreign Minister Choe Son Hui sent a congratulatory telegram to Russian Foreign Minister Sergey Lavrov on the occasion of the 78th anniversary of the liberation of Korea, highlighting the high level of strategic cooperation between the two countries, the state-run Korean news agency reported on Wednesday. 2023-08-16T10:35+0000 2023-08-16T10:35+0000 2023-08-16T11:10+0000 world sergey lavrov kim jong-un moscow pyongyang /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/104713/86/1047138697_0:0:2957:1663_1920x0_80_0_0_f63cf563abdd13c1f64baf6a0837be52.jpg "It expressed belief that the cooperation between the DPRK [North Korea] and Russian foreign ministries would further develop, saying that she is rejoiced over the fact that the strategic and tactical cooperation between the two countries has been boosted in the struggle for defending the sovereignty and security of the country and world peace and stability," the report said. The telegram also said that the "noble fighting spirit" of the Red Army officers who contributed to Korea's liberation is still "kept dearly in the minds of the Korean people." On the same day, August 15, Lavrov also sent a telegram to his North Korean counterpart on the occasion of the anniversary of the Korean liberation, the media said. On Tuesday, the Kremlin said that Russian President Vladimir Putin has sent a congratulatory message to North Korean leader Kim Jong Un on the occasion of National Liberation Day, saying the holiday is a symbol of the courage and heroism of the Red Army and Korean patriots. The National Liberation Day of Korea is a public holiday celebrated in both North and South Korea on August 15, commemorating the liberation of the Korean Peninsula from Japanese forces during World War II in 1945. https://sputnikglobe.com/20230731/shoigu-briefed-putin-on-results-of-his-visit-to-north-korea---kremlin-1112273766.html moscow pyongyang Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International strategic ties, moscow, pyongyang, liberation of korea https://sputnikglobe.com/20230816/tragedy-strikes-pennsylvanian-plum-as-hot-water-tank-explosion-claims-five-lives-1112630158.html Tragedy Strikes Pennsylvanian Plum as Hot Water Tank Explosion Claims Five Lives Tragedy Strikes Pennsylvanian Plum as Hot Water Tank Explosion Claims Five Lives The home at the center of the explosion, located at 141 Rustic Ridge Drive, had been experiencing issues with its hot water tank, according to Allegheny County fire marshal's office. 2023-08-16T03:23+0000 2023-08-16T03:23+0000 2023-08-16T03:23+0000 americas us pennsylvania pittsburgh explosion /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112630000_0:92:3072:1820_1920x0_80_0_0_851c5f037148f598743be7b03629caf3.jpg The home at the center of the explosion, located at 141 Rustic Ridge Drive, had been experiencing issues with its hot water tank, according to revelations from the Allegheny County fire marshal's office.As authorities continue their efforts to uncover the cause of the catastrophic blast, officials have urged the public to avoid the affected area. The fire marshal's office acknowledged that the homeowners of the residence were grappling with problems related to their hot water tank, situated in the basement of the house. This information will now be closely examined alongside other potential factors contributing to the disaster.The devastating explosion claimed the lives of five individuals, including:Heather Oravitz's husband, Paul, survived but sustained severe burns and remains hospitalized in critical condition.Multiple structures in the vicinity were either destroyed or damaged, with at least three buildings reduced to ruins and around a dozen others affected to varying degrees. The emergency response was swift, with law enforcement and fire departments arriving promptly at the scene to rescue individuals trapped under debris and combat the ensuing fires.The crisis prompted the mobilization of water tankers from both Allegheny and Westmoreland counties, along with 18 different fire departments. The County's Emergency Management and Fire Marshals also lent their expertise to the response efforts.Residents of the neighborhood, such as Rafal Kolankowski, were caught off-guard by the shocking explosion. Kolankowski described the aftermath as resembling a war zone, with shattered windows and severe damage leaving the community in disbelief.As investigations continue to unfold, the community remains in mourning for the lives lost and for the devastating impact the explosion had on their once peaceful neighborhood. https://sputnikglobe.com/20230814/1112574675.html americas pennsylvania pittsburgh Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Egor Shapovalov Egor Shapovalov News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Egor Shapovalov hot water tank explosion plum pittsburgh, explosion in pennsylvania, what happened in plum, us hot water tank detonation, plum explosion death toll https://sputnikglobe.com/20230816/uk-banks-closing-accounts-of-companies-doing-business-with-ukraine-1112641539.html UK Banks Closing Accounts of Companies Doing Business With Ukraine UK Banks Closing Accounts of Companies Doing Business With Ukraine UK banks are forcibly shutting down the accounts of companies doing business with Ukraine due to concerns about sanctions against Russia and money laundering issues, an American newspaper reported, citing a letter from the British Ukrainian Chamber of Commerce (BUCC). 2023-08-16T13:17+0000 2023-08-16T13:17+0000 2023-08-16T13:17+0000 united kingdom (uk) economy ukraine ukrainian crisis trade /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e6/03/01/1093483717_0:161:3071:1888_1920x0_80_0_0_c37a4e34399eb81b99bf2599cb88aec6.jpg In a letter to UK Treasury Minister Andrew Griffith, co-chair of BUCC Bate Toms said that the chamber had received numerous complaints from firms whose bank accounts had been closed due to their dealings with Ukraine. The letter also said that UK firms were "afraid to trade" with Ukraine due to the risk of having their accounts closed. According to the message, Ukraine was designated decades ago as a "risky jurisdiction for their customers to do business with," which now complicates transactions involving the country. The letter added, however, that "Ukraine has since greatly improved its rule of law." There are issues with transactions with regions not controlled by Ukraine due to regulatory obligations, including compliance with Western sanctions against Russia, the report said, citing banks. At the same time, trade with Ukraine in general is not prohibited for UK companies, the paper noted. If the situation does not change, the economies of the UK and Ukraine will continue to suffer, making Kiev even more dependent on foreign aid, the letter stressed. https://sputnikglobe.com/20230620/ukrainian-officials-increasingly-not-returning-from-abroad---russian-intelligence-1111328001.html united kingdom (uk) ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International trade with ukraine, uk-ukraine relations, ukraine's economy, economic situation in ukraine, ukraine and uk, risky jurisdiction, business with ukraine, dealings with ukraine, ukrainian financies, ukraine's budget, ukrainian transaction, uk bank, ukrainian bank, ukrainian businessman, uk businessman, uk companies, ukrainian companies https://sputnikglobe.com/20230816/un-will-attend-brics-summit-in-south-africa---spokesperson-1112650952.html UN to be Present at BRICS Summit in South Africa - Spokesperson UN to be Present at BRICS Summit in South Africa - Spokesperson The United Nations will be represented at the BRICS summit in South Africa, spokesperson Farhan Haq said on Wednesday. 2023-08-16T18:33+0000 2023-08-16T18:33+0000 2023-08-16T18:35+0000 world the united nations (un) brics summit 2023 brics brazil russia india china south africa belarus /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112650575_0:0:2112:1188_1920x0_80_0_0_dae73f0480a6a7c29c260ecef0ae09fd.jpg The BRICS summit will be held in Johannesburg from August 22-24. Russian President Vladimir Putin is expected to participate in the summit via video link. "The United Nations will be present at the BRICS summit in South Africa. We hope to have an announcement for you probably early next week about that," Haq told a briefing. In July, South African Foreign Minister Naledi Pandor said that 23 countries had officially expressed their interest in joining BRICS. In May, Belarus applied for BRICS membership. https://sputnikglobe.com/20230816/brics-2023-who-are-participants--guests-of-the-summit-1112636718.html brazil russia china south africa belarus Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International brics summit 2023, brics summit in johannesburg, brazil, russia, india, china, south africa, participants of brics 2023 summit, guests of brics 2023 summit, expansion of brics, brics 2023 agenda, what countries want to join brics, multipolar world order, polycentric world order, united nations, brics development, brics summit https://sputnikglobe.com/20230816/us-hints-of-plea-bargain-for-julian-assange-only-meant-to-placate-media-1112636874.html US Hints of 'Plea Bargain' for Julian Assange Only Meant to Placate Media US Hints of 'Plea Bargain' for Julian Assange Only Meant to Placate Media Author and journalist Kevin Gosztola and John Kiriakou, co-host of Sputnik Radio's Political Misfits webcast that the the US ambassador to Australia's recent comments regarding the Wikileaks founder could not be taken as gospel. 2023-08-16T16:52+0000 2023-08-16T16:52+0000 2023-08-16T18:06+0000 analysis julian assange julian assange arrest free assange australia britain state department john kiriakou us caroline kennedy /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e6/04/15/1094951535_0:195:3072:1923_1920x0_80_0_0_7ab41c60d881447856cde5c1ae7e0b9a.jpg Speculation around a plea bargain for jailed WikiLeaks founder Julian Assange may just be a way to appease the media while a deal is negotiated in secret, say two journalists.US Ambassador to Australia Caroline Kennedy appeared to give credence to reports of a compromise with the US Department of Justice that could see Assange return to his homeland Australia and reunited with his wife and child after more than a decade in British jail and taking refuge in the Ecuadorean Embassy in London.The journalist, currently languishing in Britain's highest-security prison Belmarsh, faces up to 175 years in jail if he is extradited to the US for trial on espionage charges for publishing evidence of US war crimes in Iraq supplied by Pentagon whistleblower Chelsea Manning.Author and journalist Kevin Gosztola told Sputnik that the US government was trying to "get certain people off their back" under pressure from Assange's supporters."She was asked by an Australian reporter if she believed that there could be a diplomatic outcome," Gosztola said. "Her response was that she doesn't see this as a diplomatic issue and, however, there could be a resolution."He noted that the ambassador then parroted a generic "boilerplate comment" by her boss, US Secretary of State Antony Blinken, on World Press Freedom Day.Ultimately, Kennedy had nothing new to say about the Assange case, the journalist argued, and merely referred journalists back to speculative media reports about a plea-bargain deal."When she was asked if a deal could be struck, she says, 'Well, that's up to the Justice Department.' All right. Well, you and I have been following this very closely. It doesn't seem like anything new has been said."Nevertheless, the author believed the statement was "a hugely positive sign for Assange.""It's a hugely positive sign for Assange people that they are getting under the skin of officials who have the ability to make us believe that something is taking place behind the scenes," Gosztola stressed. "Clearly they understand the demands that are being put forward."He advised supporters of the WikiLeaks founder not to reject a plea-bargain deal just because it might "sound like he was admitting guilt, giving in to the persecution that has come from the US government."Podcast host and former CIA agent John Kiriakou, in turn, said that the situation remained murky."The people who I know, who know, aren't talking and they're they're not talking on purpose," he said. One of the journalist's Australia-based colleagues, Consortium News editor-in-chief Joe Lauria, is "very close" to the Assange campaign but he told Kiriakou that he had been "shut down" when he asked about a possible deal. "That also leads him to believe that something's up, something's cooking, it's being negotiated," Kiriakou said.The radio host said his understanding was that Assange would take what is known as an "Alford plea," described by some jurists as "a plea of guilty containing a protestation of innocence."He said that would mean freedom for Assange, but potential future problems for other journalists."If you're a national security journalist for The Wall Street Journal or The New York Times or The Washington Post or Associated Press, this is going to be a problem for you because it legitimizes the Justice Department strategy of going after people who find themselves in receipt of what they call national defense information," Kiriakou argued. "Do we adopt on a more permanent basis the Trump and Biden administration policy of going after people deemed to be national security leakers? This is a very serious question here, and nobody really wants to address it."For more in-depth analysis of current affairs, tune in to our Sputnik Radio shows. https://sputnikglobe.com/20230814/us-ambassador-claims-guilty-plea-could-help-assange-return-to-australia--1112583059.html australia britain united kingdom (uk) great britain Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 James Tweedie https://cdn1.img.sputnikglobe.com/img/07e4/08/1c/1080307270_0:3:397:400_100x100_80_0_0_7777393b9b18802f2e3c5eaa9cbcc612.png James Tweedie https://cdn1.img.sputnikglobe.com/img/07e4/08/1c/1080307270_0:3:397:400_100x100_80_0_0_7777393b9b18802f2e3c5eaa9cbcc612.png News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 James Tweedie https://cdn1.img.sputnikglobe.com/img/07e4/08/1c/1080307270_0:3:397:400_100x100_80_0_0_7777393b9b18802f2e3c5eaa9cbcc612.png julian assange plea bargain, julian assange extradition to us, us attempts to prosecute julian assange, chelsea manning leaks of us war crimes in iraq https://sputnikglobe.com/20230816/us-poses-major-security-threat-in-latin-america---venezuelan-defense-minister-1112629720.html US Poses Major Security Threat in Latin America - Venezuelan Defense Minister US Poses Major Security Threat in Latin America - Venezuelan Defense Minister Venezuelan Defense Minister Vladimir Padrino Lopez said at the Moscow Conference on International Security that the United States is the main threat to security and peace in Latin America. 2023-08-16T01:51+0000 2023-08-16T01:51+0000 2023-08-16T01:54+0000 americas venezuela venezuelan vladimir padrino lopez moscow /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/107466/65/1074666560_0:51:2413:1408_1920x0_80_0_0_325bcaba5d9e850e7999bb96fc620945.jpg "The United States does not stop in its attempts to maintain hegemony, so that Latin America is organized in line with its interests. Therefore, it increased military operations in the region," he said, noting that he meant military exercises conducted by the United States jointly with allies. https://sputnikglobe.com/20230712/venezuela-supports-cubas-criticism-of-eus-lack-of-transparency-on-eu-celac-summit-1111826952.html americas venezuela venezuelan moscow Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International venezuela, moscow conference on international security 2023, venezuela us relations https://sputnikglobe.com/20230816/us-soldier-defected-to-n-korea-seeking-refugee-in-pyongyang-third-country---state-media-1112628942.html US Soldier Defected to N. Korea Seeking Refugee in Pyongyang, Third Country - State Media US Soldier Defected to N. Korea Seeking Refugee in Pyongyang, Third Country - State Media US army private Travis King, defected to North Korea in mid-June, confessed he did so due to "inhuman maltreatment and racial discrimination within the US Army," the KCNA reports read. 2023-08-16T01:08+0000 2023-08-16T01:08+0000 2023-08-16T01:09+0000 world us us army panmunjom korean people's army military demarcation line asia-pacific region dprk pyongyang defection /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112628784_0:0:3072:1728_1920x0_80_0_0_abff4df1d120b31edc0e6c14c71eedef.jpg King admitted that he illegally intruded into the territory of North Korea and confessed that he had decided to seek refugee in North Korea or another third country as "he harbored ill feeling against inhuman maltreatment and racial discrimination within the US Army," the reports read. The US Defense Department has said King entered North Korea on his own volition and without authorization.North Korea has been communicating on the matter through the UN Command. King had received multiple disciplinary infractions from the military before fleeing to North Korea, including for assaulting a Korean national, according to US media reports. King was set to face further administrative action upon returning to the US, the reports said. https://sputnikglobe.com/20230719/us-soldier-defects-to-north-korea-1111992781.html panmunjom pyongyang Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Egor Shapovalov Egor Shapovalov News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Egor Shapovalov us private travis king, us private defected to north korea why, who is travis king, noth korea issued report on travis king, travis king update, what happened with travis king https://sputnikglobe.com/20230816/watch-russian-solntsepyok-heavy-flamethrower-destroy-ukrainian-stronghold-1112633794.html Watch Russian Solntsepyok Heavy Flamethrower Destroy Ukrainian Stronghold Watch Russian Solntsepyok Heavy Flamethrower Destroy Ukrainian Stronghold The Russian Defense Ministry has released a video of the TOS-1A Solntsepyok [Scorching Sunlight] destroying Ukrainian armed forces stronghold. 2023-08-16T11:30+0000 2023-08-16T11:30+0000 2023-08-16T11:30+0000 russia's special operation in ukraine russia ukraine russian defense ministry tos-1 heavy flame throwing system /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112636561_0:321:1115:948_1920x0_80_0_0_e37d80f1bd6a87e09d9d3062db0e6d8f.png The Russian Defense Ministry has released a video of a TOS-1A Solntsepyok ["Scorching Sunlight"] destroying a stronghold of the Ukrainian Armed Forces. Fortifications and firing points of the Ukrainian forces were detected by UAV reconnaissance, and the coordinates were transmitted to aTOS-1A Solntsepyok.The system can deliver payloads of rocket-propelled incendiary thermobaric warheads up to a range of six kilometers, and is mounted on a tracked chassis for mobility. russia ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 A Russian TOS-1A flamethrower crew destroyed an enemy stronghold near Krasny Liman A Russian TOS-1A flamethrower crew destroyed an enemy stronghold near Krasny Liman 2023-08-16T11:30+0000 true PT0M38S 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Sputnik International ukrainian stronghold, tos-1a solntsepyok, ukrainian armed forces HANOI, Aug. 16 (Xinhua) -- Vietnam's power plan lacks mechanisms to attract private investors, which is crucial for it to meet the substantial amount of capital requirement, local media reported on Wednesday, citing the Ministry of Planning and Investment. Under the National Power Development Plan 8 (PDP8), the Southeast Asian country will need an estimated 135 billion U.S. dollars in power source and transmission grid projects from now until 2030, said Vietnam News. The ministry stressed the importance of all economic players and the need for diversification of funding sources, seeing foreign partners as a key component to the plan's success. However, as of now, there was not yet a working mechanism for encouraging and selecting private investors in the power sector, which caused some projects to experience prolonged delays, stagnant and extremely slow implementation, the ministry said. Under the PDP8, in the 2031-2050 period, Vietnam will need 399.2-532.1 billion dollars for power source and transmission grid projects. https://sputnikglobe.com/20230816/watch-russias-matchless-drones-in-action-against-ukraines-forces-1112643882.html Watch Russias Matchless Drones in Action Against Ukraines Forces Watch Russias Matchless Drones in Action Against Ukraines Forces Ukraine admits that Russias Lancet and Orlan drones are a bid problem and threat. 2023-08-16T14:37+0000 2023-08-16T14:37+0000 2023-08-16T14:40+0000 military russia ukraine drones volodymyr zelensky uav kalashnikov concern lancet /html/head/meta[@name='og:title']/@content /html/head/meta[@name='og:description']/@content https://cdn1.img.sputnikglobe.com/img/07e7/08/10/1112643724_0:0:2202:1239_1920x0_80_0_0_cc1c9622c770b5cf404918966da43adb.png Russias unmanned aerial vehicles (UAVs) are a constant headache for Ukraines military amid Kiev's failing counteroffensive.The military informed me about problems created by Russian unmanned aerial vehicles, including Lancet strike drone and Orlan surveillance drone. This threat must not be ignored. I took note of this information," the head of the Ukrainian presidential office, Andriy Yermak, wrote on Telegram.He added that Ukraines servicemen had complained to President Volodymyr Zelensky about the UAVs during a meeting on Tuesday.Lancet drones are used against armored vehicles, air defense systems, and radar stations, while the Orlan UAV detects Ukrainian positions and adjusts fire, Yermak clarified.Ukrainian experts have been researching these UAVs, the Zelensky aide said, sharing intel about their electronic components with Western donors to NATO's ongoing proxy war against Russia. Ukraine has already imposed sanctions against Alexander Zakharov, the owner and designer of ZALA Aero Group (a Kalashnikov Concern subsidiary based in Izhevsk) that manufactures the UAVs, the head of Zelensky's office said. He added that Kiev would seek Western sanctions against Zakharov.Over the months, the Lancet loitering munition/kamikaze attack drone has wreaked havoc on Ukrainian forces attempting to mount their much-heralded, but spectacularly failing, counteroffensive.The drones, easily distinguishable by their X-pattern wings, can target troops, light and heavy armor, fortified positions, and even heavy attack UAVs. They come in several configurations, but all feature a camera-guidance head/nose section with optical-electronic and TV guidance systems. The UAVs are powered by low-noise electric motors. Back in July, Ukraine's Defense Ministry grudgingly called the Russian' Lancet' drones a "good piece of equipment" that poses a danger to their military units. Military experts also noted that it is much more expensive to shoot down a drone than to produce it. For comparisons sake, the Lancet, according to The Economist, costs less than $50,000 to manufacture, while weapons funneled to Ukraine from the West, like the US-made M777 howitzer, costs close to $4 million.The Lancet flies at a low altitude and slow speed, which makes it difficult for radar systems of conventional air defense systems to detect. Ukraine would need to get additional anti-aircraft self-propelled guns like the German Gepard from its Western patrons if it wants a chance at destroying the Russian drones, Yuriy Sak, adviser to Ukraine's defense minister, told Western media.However, while Ukraine's military tries (and fails) to dodge the indefatigable Lancet and Orlan UAVs, Russian drone manufacturers are already unveiling a number of new creations to serve as the next step in the evolution of the Lancet. https://sputnikglobe.com/20230816/product-53-what-are-capabilities-of-next-gen-variant-of-russias-lancet-kamikaze-drone-1112639748.html https://sputnikglobe.com/20230815/why-us-drones-prove-useless-in-ukraine-1112615946.html russia ukraine Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 2023 Svetlana Ekimenko Svetlana Ekimenko News en_EN Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Ukraine acknowledges that Russian Lancet and Orlan drones create serious problems for the countrys armed forces Ukraine acknowledges that Russian Lancet and Orlan drones create serious problems for the countrys armed forces 2023-08-16T14:37+0000 true PT0M54S 1920 1080 true 1920 1440 true 1920 1920 true Sputnik International feedback@sputniknews.com +74956456601 MIA Rosiya Segodnya 252 60 Svetlana Ekimenko proxy war against russia in ukraine, ukraine's counteroffensive, ukraine's failing counteroffensive, russias lancet and orlan drones, russias unmanned aerial vehicles (uavs) Climb The Pole posted an upset victory in the fourth leg of the Ontario Sires Stakes Gold for three-year-old trotting fillies on Tuesday (Aug. 15) at Woodbine Mohawk Park and took home the winner's share of the $159,000 purse with a career-best performance. Jody Jamieson sent the Carl Jamieson trainee rushing from the gate from post four and to the top after out-sprinting nine other foes. As the field straightened out, Climb The Pole had struck the quarter in :27. An outer charge built up from mid-pack, but Climb The Pole was left uncontested en route to the :57.3 half. Favoured Righteous Resolve (James MacDonald) tipped out to lead the outer flow from first over, holding a brief lead through the three-quarters in 1:26. Climb The Pole resisted the challenge and trotted down the lane to a career-best victory in 1:54. She crossed the wire 1-1/4 lengths in front of Baroness Hill (Louis-Philippe Roy). Righteous Resolve finished third. Off at odds of 24-1, Climb The Pole paid $50.40 to win. "It worked out tonight. I didn't have to push her too hard leaving," said Jamieson. " I just let her keep trotting. My filly felt great, so I just let her trot and, you know, I'm standing here [doing a winner's post-race interview] now so it's really cool." Jody and Carl Jamieson co-own the sophomore daughter of Kadabra and the Amigo Hall mare Legzy with Steve Heimbecker and Aaron Byron. After Tuesday's win, Climb The Pole moved her seasonal record to 4-0-1 with $170,258 banked. Resilience made every pole a winning one in the $104,000 OSS Gold third-leg division for two-year-old pacing fillies with Louis-Philippe Roy in the bike for Eric Nadeau. Scratched down to a field of nine, post 10 starter Resilience left from the far outside and crossed the track diagonally to make the front. She notched each panel in :27, :56.3 and 1:24.2, facing no opponents until the final turn. Down the lane, favourite Its A Love Thing (MacDonald) challenged Resilience to her right with Odds On Platinum (Sylvain Filion) challenging from the inside. Its A Love Thing beared out while Resilience and Odds On Platinum were locked in stride. With three across the wire, it was a photo for the finish. Resilience prevailed by a neck in 1:52 over Odds On Platinum, who finished a neck in front of show finisher Its A Love Thing. Resilience paid a $23.70 win ticket from 11-1 odds. It was career-best time for the Jimmy Freight-Mia Seelster filly. Resilience now owns two wins and a second in three starts to go along with $87,317 earned. She is owned by Roy, Ecurie Francis Richard Inc., Ecurie Csl and Weaver Bruscemi LLC. In the other $103,100 OSS Gold division for the freshman pacing fillies, Pass Line honoured her role as the favourite in the field of nine. Longshot Blazing Belle (Billy Davis Jr.) fired out of post nine and cleared the early lead. Coming out of the first turn, Pass Line (MacDonald) had acquired the lead and took the field to the opening panel in :27.2. Betterwithglasses (Trevor Henry) took over once the field straightened out, but only for a brief split as Bettor Sisters (Jamieson) pulled first over from fourth and aimed straight to the top. After the :55.4 half, Pass Line was back out and moving. She passed the three-quarters in 1:23.2 and down the lane, it was a race for second. Collusion Hanover (Roy) sprinted from seventh, but ran out of track as Pass Line won by 1-1/2 lengths in 1:52. Wicked Weather (Filion) finished third. Pass Line paid $3.80 as the 4-5 bettors' choice. David Menary trains the daughter of All Bets Off-Breakheart Pass for Burke Racing Stable LLC and Weaver Bruscemi LLC. Pass Line boasts $167,252 earned from a record of 5-1-1 in seven starts. To view Tuesday's harness racing results, click the following link: Tuesday Results - Woodbine Mohawk Park. The World Trotting Conference continued on Wednesday, August 16 in Berlin, Germany with the focus on breeding and drug testing. Dr. Alexandra Gorgens, of the Clinik for reproductive medicine for horses in Muhlen, Germany, covered the topic of Modern Breeding Methods, speaking more specifically on the practices of ovum pick up (OPU) and frozen embryo transfer. Dr. Natascha Hamilton, Chairman of IFHAs Gene Doping Control Sub-committee, spoke on Gene Therapy and Doping. The focus was on genetic and cellular practices, especially those that are considered to have no place in horse racing and breeding. Hamilton discussed the new understanding of DNA and gene doping, how it continues to be a challenge for the horse racing industry, and strategies that the industry must quickly learn to better deal with the problems. Dr. Mark Machnik of the Biochemical Institute University of Cologne detailed Germany's approach to Drug Testing. Discussion among attendees focused on what different countries around the world are doing to deal with these subjects, and new approaches to the challenges. In the afternoon, delegates met in Committee sessions on the topics of Marketing and Business Development and Breeding. Delegates will be finalizing recommendations to bring to the General Assembly on Friday afternoon for a vote among all countries. U.S. Sen. Deb Fischer met with local stakeholders at a roundtable meeting Friday morning as part of a statewide tour while Congress is out of session. Conversation centered on the upcoming farm bill and how the legislation can help farmers in the area. The farm bill is a legislation package traditionally passed every five years and is an omnibus bill, covering a wide variety of subjects in the agriculture industry. Fischer spoke with local stakeholders about what issues they see impacting the Panhandle and how the legislation will impact the region. She said they presented concerns about crop insurance, especially after recent storm damage in the area. We always hear from everybody across the state, the ag producers, how important crop insurance is, said Fischer, (making) sure that we keep the safety net programs in place. She also spoke about a bill that she is working to include in the farm bill to streamline the process for disaster payments so producers can get payouts faster. This was especially relevant as she said some members of the roundtable discussion spoke about extensive crop damage from recent hailstorms. One bill that I have that were hopeful to get into the farm bill deals with disaster payments, Fischer said, and we had some producers here talking about the hail damage. Developments in precision farming also were of importance to Fischer, who said she wants to work to make those advancements more available to area farmers. This includes reaffirming her commitment to developing infrastructure in the state. Most precision farming techniques require internet connections to be used effectively. In more rural parts of the state, like much of the Panhandle farmland, a stable internet connection is not always available. Fischers bill called the Linking Access to Spur Technology for Agriculture Connectivity in Rural Environments (LAST ACRE) Act would extend broadband internet coverage to rural acreage in the state, providing better opportunities for producers. The LAST ACRE Act is an extension of the LAST MILE Act. Both would operate under the USDAs Office of Rural Development and strive to extend broadband internet coverage to rural areas. We have a couple bills there to take advantage of the new technology thats out there, Fischer said, but you have to have the connectivity with broadband to be able to have it work for you. If the bill passes, she said, she hopes it would help more farmers to make use of new technologies. That helps a producers bottom line, she said, to be able to not have to spend as much on inputs, and its more sustainable for the land. She has also worked on the Federal Aviation Administrations reauthorization, which is supposed to improve efficiency at the FAA as well as build infrastructure at airports like Western Nebraska Regional Airport. Its extremely important, said Fischer, to make sure that we keep that program. Its vitally important for our smaller airports across the state. Fischer has also been hard at work on her six committee assignments, which she said are the most of any senator. This includes work on the Armed Services and Appropriations committees. Out of the Armed Services Committee, Fischer helped to pass the National Defense Authorization Act, which authorizes investments in the military to keep pace with what she referred to as peer adversaries Russia and China. The legislation received bipartisan support, receiving only 11 no votes on the Senate floor. Moving forward, the senator is also working on 12 bills in the Appropriations Committee, in her first year on the committee. The committee has been holding hearings and Fischer hopes for the opportunity for floor time in the Senate to debate the bills when Congress reconvenes. As her tour across the region continues, Fischer said she is excited to keep hearing from constituents before she returns to Washington, D.C. Its always good to be out and listen to whats on peoples minds, Fischer said. As a new school year begins in Gering, Lincoln Elementary School is welcoming one of its own alumni, Jesse Neugebauer, into the role of building principal. Neugebauer was selected to take over as principal at Lincoln following the retirement of longtime Gering school administrator Pam Barker at the end of the previous school year. Although its his first year in the position, Neugebauer is no stranger to Gering or Lincoln Elementary. My education journey started here in Gering. I went to school at Lincoln Elementary and graduated from Gering High School in 2009, he said. After graduation, Neugebauer attended Vanderbilt University in Nashville, Tennessee, where he earned a degree in early childhood education and special education. He worked in Metro Nashville Public Schools for four years, then returned to the Panhandle to teach at Longfellow Elementary in Scottsbluff for six years while also earning his masters in school administration. I kind of knew this is where I wanted to end up and the work I wanted to be doing, so when the opportunity here at Lincoln opened up I jumped at it, he said. I had the honor and privilege of being offered the position and getting to serve the kids in that capacity. One of Neugebauers first outings as principal came on Tuesday, Aug. 15 when Lincoln invited students and parents to an open house. He said that while its great to offer information to parents and help students get acclimated, the open house also mentally prepared staff for the year to come. That really helped us shift that focus back. Its game time, he said. We had a really good turnout yesterday, a lot of really good community participation, and thats what you want to see. Its going to be a great year. In some ways the new school year will be more of the same for Lincoln Elementary, but Neugebauer said that everyone in the building will be giving some thought to a new theme with multiple meanings over the course of the year. Our theme this year is Lincoln Legacy and were kind of taking that from a couple angles, he said. One of those is what does it mean to have a school named after a man named Lincoln and what does it mean for us to be a part of that? To explore the character and personality of Abraham Lincoln, school staff will teach special lessons in addition to posting photos of the president around the building. The school will also be exploring its own legacy as it approaches a milestone anniversary. In a couple short years well be celebrating 100 years of Lincoln serving this community, Neugebauer said. There were a lot of parents in the gym last night who went through Lincoln themselves, just like myself. Its been in our area for a long time and made a huge impact on this community, so we want to see what the things are that have made Lincoln a great place to learn and how we can take all of those lessons and use them to help us focus on whats next, continue that impact and make a difference in the lives of our children. That legacy has been a priority for Neugebauer since day one. He said that he began his time as principal by starting discussions with Lincolns teachers about what the school does well and what makes it unique. The goal is to use that information to build on a firm foundation and carry on Lincolns strong, student-focused traditions. Thats something were looking at together while pouring our heart and soul into the kids, Neugebauer said. That came up time and time again in that conversation, and that shows in all the rituals and routines that make Lincoln a great place to be. As for the first few days, Neugebauer said that primary focus for the faculty and staff will be on routines, procedures and relationships to help students get back into the swing of things after a long summer break. Hell even be adding his own personal touch so students can get to know him better. Something Im doing that Im excited about is coming to each class to read aloud and build a relationship with the students. Theyll get familiar with me and Ill be a part of the start of their academic year, he said. As the school year officially began, Neugebauer expressed his excitement at returning to where his education journey began, his love for Lincolns staff and his appreciation for the educator who held the role of principal before him. This is an incredible staff. My predecessor, Pam Barker, really left the school at a good place and theres just a lot of passion among our staff for the work that they do. Weve been in the school for days without kids, but the kids have been the focus the whole time, Neugebauer said. It has been really cool to see the excitement and enthusiasm for the work thats being done around here. PHOTOS: First day of school at Lincoln Elementary AUSTIN, Texas A federal judge in Texas who put access to the abortion pill misefpristone in limbo earlier this year presided Tuesday over another potentially groundbreaking case: a state lawsuit seeking to force Planned Parenthood pay back millions of dollars it received through Medicaid. U.S. District Judge Matthew Kacsmaryk did not immediately rule following a hearing in the Texas Panhandle city of Amarillo, where Planned Parenthood has no clinics. In a brief call with reporters after the hearing, Planned Parenthood representatives said they urged the judge to reject the state's attempt to recoup years of Medicaid reimbursements and order steep fines that the group says could exceed more than $1 billion. The Texas attorney general's office, which filed the lawsuit in 2022, did not respond to messages left Monday, or an additional one seeking comment on Tuesday evening. The lawsuit is believed to be the first of its kind in the U.S. brought against Planned Parenthood, which over the past decade has repeatedly gone to court in America's biggest red state as lawmakers there have withdrawn funding and imposed restrictions on clinic operations. But in that time, the state had not previously taken the organization to court over money. "This really is a meritless case that the judge should not let proceed," Susan Manning, general counsel for Planned Parenthood Federation of America, told reporters following the hearing Tuesday. She said Kacsmaryk gave no indication on when he might rule. The case now before Kacsmaryk does not surround abortion, which has been mostly banned in Texas since the U.S. Supreme Court overturned Roe v. Wade last year. But Planned Parenthood argues the attempt to recoup at least $17 million in Medicaid payments for health services, including cancer screenings, is a new Republican-led effort to weaken the organization after years of legislation and litigation that has shrunk the state's footprint in Texas. At issue is money Planned Parenthood received for health services before Texas removed the organization from the state's Medicaid program in 2021. Texas had begun trying to oust Planned Parenthood four years earlier and is seeking repayment for services billed during that time. Texas brought the lawsuit under the federal False Claims Act, which allows fines for every alleged improper payment. Planned Parenthood says that could result in a judgement in excess of $1 billion. The lawsuit was announced last year by Republican Texas Attorney General Ken Paxton, who is now temporarily suspended from office pending the outcome of his impeachment trial next month over accusations of bribery and abuse of office. Last year, Paxton said it was "unthinkable that Planned Parenthood would continue to take advantage of funding knowing they were not entitled to keep it." Jacob Elberg, a former federal prosecutor who specialized in health care fraud, described Texas' argument as weak. He called the False Claims Act the government's most powerful tool against health fraud. Cases involving the law in recent years have included a health records company in Florida and a Montana health clinic that submitted false asbestos claims. Elberg said it is "hard to understand" how Planned Parenthood, the nation's largest abortion provider, was knowingly filing false claims at a time when it was in court fighting to stay in the program and Texas was still paying the reimbursements. "This just isn't what the False Claims Act is supposed to be about," said Elberg, faculty director at Seton Hall Law School's Center for Health & Pharmaceutical Law. Planned Parenthood has roughly three dozen health clinics in Texas. One has closed since the Supreme Court ruling last year that allowed Texas to ban abortion. The Supreme Court fight over an abortion pill: What's next? Intro What is mifepristone? How did the case get started? How did the case get to the Supreme Court? What could happen next? A closer look JAKARTA, Aug. 16 (Xinhua) -- Two people were killed and two others critically injured after a passenger train hit a car in the Indonesian city of Tebing Tinggi in North Sumatra province on Wednesday, the city police said. The city's traffic police chief Dhoria Simanjuntak told local media the accident occurred when the car carrying the four people was allegedly trespassing at a railroad crossing without a bar gate and a signal in Rambutan subdistrict. Hit by the train heading to the province's capital Medan from Tebing Tinggi, the car reportedly bounced off about 10 meters, leaving two passengers killed and two others critically injured, according to Simanjuntak. He added that further investigation is underway to determine the cause of the accident. Deadly road accidents, often caused by overloading, bad road conditions and reckless driving, are frequently reported in this Southeast Asian country. Families who were once separated by court order celebrated their reunion Friday in Kelsos Riverside Park. The annual event, organized by the Cowlitz County Child Advocates, honored parents who had their juvenile dependency cases dismissed this year, after demonstrating they could safely be reintroduced into their childrens lives. That safe reunification is the primary goal of the dependency process in the state, according to Washington Courts. As of March, more than 7,200 children were placed in out-of-home care in Washington, Washington Courts reports, while about 60% eventually return home after their parents, who are guided by child welfare workers and monitored by the courts, make improvements. Cowlitz County Child Advocates Volunteer Supervisor Angi Vilett works to reunify families following their completion of the juvenile dependency process and was one of the organizers of Fridays celebration. We have tons of people here to offer community resources to the families, Vilett said. Just so they know whats out there and can reach out if they ever need some support again. Organizations at the event included XChange Church and Recovery Center, Cowlitz Family Health Centers Parent Child Assistance Program, and Lower Columbia College. Each set up booths with free activities for the children. The Cowlitz County Reunification Day has been held annually in Riverside Park since 2019, skipping 2020s celebration because of COVID-19. The event ran for two hours in the afternoon and included raffles, face painting, coloring and free items such as backpacks, school supplies and toiletries for the families. Caregiver updates As of July 1, the Washington State Department of Children, Youth and Families now allows some family or family friend caregivers to become licensed and therefore receive foster care monthly payments. The new rules will first only apply when court ordered, but the department plans to expand the option to any kinship caregiver who meets requirements tentatively by early 2024, according to a department press release. ChatGPT set the academic world ablaze after it was introduced in November, when the AI chatbot suddenly gave students a hard-to-detect shortcut for completing essays and assignments. Nine months later, as a new school year nears, many universities are still crafting their response. Colleges around the world spent much of the previous academic year adopting ad hoc approaches to the software or no policy at all. Some professors banned the use of it outright, citing plagiarism, while others looked to incorporate it more intentionally into their curriculum. That led to inconsistent approaches across classes and departments. The situation is only slowly changing now: Without clear guidelines that apply to various departments, universities risk repeating the free-for-all they experienced during 2023 final exams. But many are realizing they need to find a way to live with artificial intelligence. It's moving so quickly, said Eric Fournier, director of educational development at Washington University in St. Louis. ChatGPT reached 100 million users in under two months, leaving academic officials in the dark as students latched on to the technology. It went from curiosity to panic to a grudging acceptance that these tools are here, he said. From the outset, professors suspected that students were cheating, said Madison White, a student at Stetson University. Without professors fully looking into the software, they often immediately assumed that it was a hack for students to get away from doing readings or homework. Generative AI tools like ChatGPT, developed by the Microsoft Corp. -backed startup OpenAI, are fed vast amounts of data and then use that training to answer users' queries often with eerie accuracy. The software represents one of the biggest shifts in the tech world in decades, bringing a trillion-dollar opportunity, which makes it all the harder for schools to ban or ignore it. But professors and administrators seeking to integrate generative AI into their curriculums are left with a big question: How? They need to find the right middle ground, said Steve Weber, vice provost of undergraduate curriculum and education at Drexel University. Educators can't completely prohibit use of the tool and neglect to teach it, but they also can't allow its use with no constraints, he said. It may be a good tool to use in certain later courses, especially those that are preparing students for careers in industries, Weber said. One professor at Washington University structured his final exam so students would generate ChatGPT responses with a prompt and correct the text in a way that only a human well-versed in the topic could do. At the University of Southern California, business professors are experimenting with TA chatbots that will help answer logistical questions about the class syllabus. Harvard University, meanwhile, relies on a duck-themed bot to answer student questions about its CS50 introductory computer science course. The CS50 Duck is designed to explain lines of codes and advise students on how to improve their programming. Such tools could work for all sorts of university departments, said David Malan, a Harvard professor who teaches the CS50 course. For now, though, integrating AI into classroom work is mostly relegated to technical fields. I'm sure it will take time for folks to decide for themselves how they'd like to address, if not incorporate as well, these new tools into their classrooms, Malan said. In some cases, professor-approved AI is spreading beyond the computer lab. At the University of Pennsylvania's Wharton business school, Ethan Mollick was one of the first educators to add an AI policy to his syllabus. The associate professor expects students to use AI and ChatGPT thoughtfully, while knowing the technology's limits. Read More: AI Tries to Flirt, Lie and Even Mimic You to Find Your Next Date ChatGPT has helped make it clear that many students are just trying to pass classes to obtain their degree, said Arya Thapar, a rising junior at Chapman University. Unchecked, it's not going to foster a love of learning or build critical thinking skills. But universitywide policies have been slow to take shape. Drexel University is still hammering out its guidelines, but they're expected to include the idea that students don't use it if it is not permitted, and if you do use it, then the usage must be cited, according to Weber. At Washington University and the University of Southern California, the use of AI in classrooms still remains within the professor's discretion. The technology is evolving so quickly, said Peter Cardon, professor of business communication at USC, you really depend on the community to help you make informed decisions. But the uncertainty can create gray zones for students. If a professor doesn't say anything about using AI in class, is it allowed or could students face disciplinary actions? That makes it a threat unlike other classroom technology helpers, like calculators. It feels more like a profound change, Washington University's Fournier said. Our goal would be that we don't think backwards like last semester. A student at Santa Clara University said that ChatGPT single-handedly improved their grades in economics and was extremely helpful. The chatbot would generate answers that the student didn't fully understand, but were good enough to get full scores on problem sets and quizzes. The student, who asked not to be identified because of the ethical questions surrounding ChatGPT, compared the situation to being a child of divorce: Each parent has different rules, and the guidelines become confusing without a unified approach. A key step is to educate faculty on what ChatGPT actually can and can't do, said Ramandeep Randhawa, senior vice dean for the USC Marshall School of Business. Our goal would be that we don't think backwards like last semester, he said. Everyone is going to be racing against the clock continuously. Tech Mahindra announced that it has been selected by Ooredoo Group as an implementation partner for the companys digital transformation, which will be powered by Google Cloud technologies. Ooredoos IT operations will be modernized by implementing a digital platform-based approach that enhances performance and drives value across the Middle East, North Africa & South Asia. Google Clouds API Management Platform, Apigee, will aid Ooredoo in accelerating its digital transformation and enhancing customer experience, and Tech Mahindra will assist in carrying out the project across all Ooredoos Operating Companies (OpCos). Through the partnership, Ooredoo Group will leverage Apigee to help stimulate innovation and diversify revenue streams beyond traditional offers. Ram Ramachandran, Head -Middle East & Africa, Tech Mahindra, said, A seismic shift has taken place in the telecom industry, moving it from the hardware to the software arena, mostly driven by API. Businesses are using APIs to deliver digital capabilities and data in a consumable manner. Bringing together Tech Mahindra, Ooredoo, and Googles Cloud Apigee marks a significant milestone in revolutionizing the telecom industry and delivering unparalleled digital services with APIs at its core. The partnership exemplifies our collective efforts to create a more connected, agile, and customer-centric ecosystem, while unlocking new opportunities for growth and innovation. As part of this partnership, Tech Mahindra will bring its expertise in digital transformation and deep understanding of the telecom industry to enhance the experience for Ooredoos customers. With joint expertise of Tech Mahindra and Google Clouds Apigee, Ooredoo is well-positioned to lead the digital transformation of the telecom industry and deliver cutting-edge digital services to its customers. Sheikh Mohammed Bin Abdulla Al Thani, Deputy Group CEO at Ooredoo Group said, As a part of our corporate strategy, we actively seek out and foster partnerships with like-minded entities that share our dedication to innovation and technology. Through these collaborations, we aim to elevate our offerings and unleash the full potential of our APIs, enabling us to provide unparalleled digital services to our customers. We are thrilled to join forces with Google Cloud and Tech Mahindra for this transformative project, as it will accelerate our digital transformation, elevate the customer experience, and strengthen our digital ecosystem across our operating companies. These partnerships not only signify our commitment to staying competitive in the ever-evolving telecommunications landscape but also underscore our confidence in the value that collaboration with Google Cloud and Tech Mahindra will bring to our customers and stakeholders through the implementation of API management strategies. Ooredoos choice to partner with Tech Mahindra and Google Cloud illustrates its dedication to provide its consumers the most advanced digital experience. Ooredoo will be able to provide better customer service, strengthen its partner ecosystem, and swiftly roll out new and creative services by implementing APIs at every level of its organisation. Ghassan Kosta, Qatar Country Manager, Google Cloud said, The joint expertise of Google Cloud as a global tech leader and Tech Mahindras deep understanding of the telecoms market will ensure Ooredoo is well-positioned to lead digital transformation, both within its organisation and across the industry, and deliver cutting-edge digital services to its customers. The partnership is in line with Tech Mahindras NXT.NOW framework, which aims to enhance Human Centric Experience, and focuses on investing in emerging technologies and solutions that enable digital transformation and meet the evolving needs of the customer. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: TippaPatt / Shutterstock The revelations this month that data on 40 million UK voters had been exposed to hackers came as no surprise to many cybersecurity experts, who have long pointed out the vulnerability of democracies to malicious online interference. In this case, it appears that the data and systems of the UK's Electoral Commission had been available to hackers for over a year. There was a significant delay in reporting the incident due to concerns that the voting networks were still not free from malicious presence or interference. Officials have stated that the integrity of our elections is not under immediate threat, mainly due to the continued reliance across the UK electoral system on paper ballots. However, the attack reflects the serious and ongoing threat to democracies posed by cyber-interference from foreign nations and criminal organizations. The details surrounding this latest attack are still emerging, and the source remains undetermined. But to understand and defend our electoral system effectively against such a threat, three main points need to be considered. 1. Hacking democracy The first is the determination and creativity of a variety of states to use cyber-attacks to subvert democracy and create mistrust in electoral systems around the world. With elections due next year in the US and UK, protecting the integrity of democratic countries is a growing concern. We know that Russia, China and other nations including Iran have interfered in elections beforeincluding, most notoriously, Russian hack and leak operations targeting US elections in 2016, which were directed at the Democratic party. With tensions in the world increasing due to the war in Ukraine, and deteriorating relations between the west and China, leaders in Beijing and Moscow will see cyber-attacks as relatively easy ways to manipulate western countries. They also see them as a means of casting further doubts on election integrity, planting narratives in public discourse via social media, and attempting to access data on politicians, parties, finance and political campaigns. These methods could be used to swing votes in favor of candidates who might take foreign policy approaches that are more in line with Russian and Chinese interests. And they may have a new tranche of voter data to help them do just that. As a number of experts have warned, the possibility for the data from this current UK breach to be used in disinformation campaigns is a real fear. While paper-based elections are safer than those using electronic voting machines, that should not lead to complacency about the wider threats to electoral processes from these determined hacking groups. 2. The value of data The second concern is the wider misuse of data in ways that affect UK national security. Whether it's electoral databases, banking and finance, the operation of critical infrastructure, or even the research that is produced by our universities, data is an increasingly valuable and exploitable commodity for malicious groups. Revenue from the sale of illegally obtained data on the internet is growing in line with the increase in the amount of data being generated globally. Hackers have vast repositories of data to target, and can generate revenue from doing so. Ransomware attacks are often being used alongside a threat to leak or sell the data obtained. This is now a multi-billion dollar business. 3. Delays in disclosure A third concern is that the reporting of cyber-breaches continues to lag behind the attacks themselves. It may seem surprising to observers of the recent UK incident that it took so long to disclose. This delay constitutes a serious concern for the rights of those electors who have had their data accessed. But this must be balanced against the operational need to ensure that the systems the data was stored on are free from malicious interference, and to make sure that hackers aren't still inside the system, having obtained access. We know that attackers can maintain access to a system over long periods while staying undetected. This approach of "living off the land", as the US Cybersecurity and Infrastructure Security Agency (Cisa) recently referred to it, is an increasingly common modus operandi for state-supported hackers in particular. The reputational cost to an organization after suffering a data breach is often serious and damaging. But when the costs are to the reputation and integrity of electoral processes, a different approach may be required when it comes to public disclosure of the incident. Being a responsible cyber-power The UK government has framed its national cyber-strategy around the idea of being a responsible and democratic cyber-power. That responsibility clearly extends to protecting electoral processes from malicious interference. Currently, government capabilities are battling to keep up with the hackers. The UK's National Cyber Force (NCF) has a mandate to deter, disrupt and respond to these types of incident, including against both foreign states and criminal organizations. The National Crime Agency has also stated that "defending the UK's democratic processes" and helping to "strengthen the cyber-resilience of our electoral systems" is a priority. But attributing the attacks to specific groups or states is a difficult task. Holding them to any kind of legal punishment has always been challenging, particularly if they are operating with the endorsement of their governments. Insider threat There have also been wider concerns in the electoral system around the cybersecurity of political parties and candidates. These combine with concerns citizens have that their democracies are not operating well. This makes it easier for those who seek to undermine public faith in democracy to claim that elections are not being conducted fairly, and are not free from foreign interference. Disinformation about the integrity of elections, both from within and outside the UK, will find greater traction in the wake of these types of incident. The viability of the UK to hold cybersecure elections in the near-future will be the product of work by the cybersecurity community now. A renewed effort to provide our electoral system with the tools to secure their networks, including giving direct support to political parties, candidates and civil society, is clearly needed. This article is republished from The Conversation under a Creative Commons license. Read the original article. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: (a) The proposed 8-wavelength 4x4 LED array chip image, the colors of each dash line box represent the color of the LED unit. (b) The scanning electron microscope (SEM) image of the V-pit structure. (c) The vertical profile of the V-pit structure. (d) Layers of the red LED units (660 nm and 620 nm). (e) Layers of the GaN-based LED units (wavelengths from 570 nm to 450 nm). Credit: Opto-Electronic Science (2023). DOI: 10.29026/oes.2023.230005 With the large-scale commercial use of 5G, global academia and industry have started research on the next-generation mobile communication technology (6G). However, the existing RF spectrum resources are seriously depleted to meet the spectrum demand of 6G for ultra-high speed and ultra-large capacity. This severe challenge stimulates researchers to focus on higher frequency bands such as terahertz, infrared and visible light. Among them, visible light communication utilizes the ultra-wide spectrum from 400THz to 800THz, which has the merits of no licensing, high secrecy, environmental-friendly, and no electromagnetic radiation. At the same time, with the help of commercially available LED technology, visible light communication systems can be integrated with lighting systems. However, limited by the electro-optic response performance of LED devices, the actual available bandwidth of the system is very small compared with the frequency band of visible light. Improving the available bandwidth of LED devices in visible light communication systems becomes an important problem to realize high-speed visible light communication. Micro-LEDs have a GHz-level -3dB device bandwidth. However, as the device size shrinks to tens of microns, the current density of micro-LED devices increases dramatically and is difficult to further improve. (a) The proposed equivalent circuit for fitting both LEDs with and without V-pits (with tiny V-pits). The branch in the dash yellow box is dedicated to representing the extra current introduced by the V-pit area. And the other branch in the intrinsic LED part represents the flat quantum well region. The fitting result using the proposed equivalent circuit for (b) the sample without V-pits and (c) the sample with V-pits. Credit: Opto-Electronic Science (2023). DOI: 10.29026/oes.2023.230005 Under the limitation of current density, micro-LED is difficult to achieve watts level optical power, which is not suitable for long-distance and underwater optical communication that requires high-power optical transmitter devices. Therefore, how to improve the communication performance of conventional-size LED is also a key issue at present. The authors of an article published in Opto-Electronic Science studied a wavelength division multiplexing visible light communication system based on multi-color LED. The system uses a Si substrate GaN-based LED with a 3D structured quantum well. In the active layer of this LED, there is a three-dimensional structure ("V" shaped pit, or V-pit) with a hexagonal profile, opening towards the P-type GaN layer. Generally speaking, for GaN-based LEDs, in order to achieve longer spontaneous emission wavelengths, it is necessary to add a higher indium component in the quantum well, which leads to a serious GaN and InN lattice mismatch problem. However, the V-pit structure helps to screen the dislocations caused by lattice mismatches in GaN-based LEDs, thus significantly improving the quantum well quality and optical efficiency of GaN-based LEDs with long wavelengths (such as yellow-green bands). The multicolor LED array used in this study contains eight different LED units. Up to eight independent channels for WDM can be used simultaneously. Except for the 660nm and 620nm red LED units, the other six LED units in the 570nm450nm wavelength band use Si substrate GaN-based LEDs developed by the National Institute of LED on Silicon Substrate, Nanchang University. Based on the LED array, the Fudan University team built a communication system and wrote advanced digital signal processing technology programs required for the system, including bit-power loading DMT modulation/demodulation program, DZN digital pre-equalizer, and software post-equalizer based on recurrent neural network. This communication system achieves a 31.38Gb/s total transmission rate. Summary of the communication rate. (a) The spectrum efficiency (SE) and modulation bandwidth of the proposed 8-wavelength WDM system. (b) The BER of each channel, all the BERs are lower than the 7% HD-FEC threshold. (c) Comparison in data rate with the original design. The total data rate of the proposed size-improved design device is 31.38 Gb/s. Credit: Opto-Electronic Science (2023). DOI: 10.29026/oes.2023.230005 The authors also explain the principle of the V-pit combining physical model simulation and equivalent circuit modeling. During model simulations, the authors found that the V-pit strongly enhanced the current density in its vicinity. A great number of carriers flooded into the V-pit, and next, they were horizontally transported in the quantum well to the neighboring flat area. According to this phenomenon, a special branch representing quantum wells was added nearby the V-pit in the LED equivalent model. This new circuit model successfully fits the response curve of the device. The model shows that the V-shaped pit effectively reduces the series resistance of the device and enhances the response of the device to high-frequency signals. This means that the V-pit brings higher electro-optic conversion efficiency and larger device bandwidth. Thus, the positive effect of the V-pit structure on the communication performance of LED devices is preliminarily explained in theory. More information: Zengyi Xu et al, 31.38 Gb/s GaN-based LED array visible light communication system enhanced with V-pit and sidewall quantum well structure, Opto-Electronic Science (2023). DOI: 10.29026/oes.2023.230005 Provided by Compuscript Ltd This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain In countries such as Peru, Bolivia and Chile, it's not uncommon for people who live in foggy areas to hang up nets to catch droplets of water. The same is true of Morocco and Oman. These droplets then trickle down the mesh and are collected to provide water for drinking, cooking and washing. As much as several hundred liters of water can be harvested daily using a fog net only a few square meters in area. For regions with little rain or spring water, but where fog is a common occurrence, this can be a blessing. One crucial drawback with this method, however, is atmospheric pollution, since the hazardous substances also end up in the droplets of water. In many of the world's major cities, the air is so polluted that any water harvested from fog isn't clean enough to be used untreated either for drinking or for cooking. Researchers at ETH Zurich have now developed a method that collects water from fog and simultaneously purifies it. This uses a close-mesh lattice of metal wire coated with a mixture of specially selected polymers and titanium dioxide. The polymers ensure that droplets of water collect efficiently on the mesh and then trickle down as quickly as possible into a container before they can be blown off by the wind. The titanium dioxide acts as a chemical catalyst, breaking down the molecules of many of the organic pollutants contained in the droplets to render them harmless. Their work has been published in Nature Sustainability. "Our system not only harvests fog but also treats the harvested water, meaning it can be used in areas with atmospheric pollution, such as densely populated urban centers," Ritwick Ghosh explains. A scientist at the Max Planck Institute for Polymer Research in Mainz, Ghosh conducted this project while on an extended guest stay at ETH Zurich. During this time, he was a member of the group led by Thomas Schutzius, who has since taken up a post as professor at the University of California, Berkeley. Photocatalytic memory Once installed, the technology needs little or no maintenance. Moreover, no energy is required apart from a small but regular dose of UV to regenerate the catalyst. Half an hour of sunlight is enough to reactivate the titanium oxide for a further 24 hoursthanks to a property known as photocatalytic memory. Following reactivation with UV, the catalyst also remains active for a lengthy period in the dark. With periods of sunlight often rare in areas prone to fog, this is a very useful quality. The new fog collector was tested in the lab and in a small pilot plant in Zurich. Researchers were able to collect 8% of the water in artificially created fog and break down 94% of the organic compounds that had been added to it. Among the added pollutants were extremely fine diesel droplets and the chemical bisphenol A, a hormonally-active agent. Potential use in cooling towers In addition to harvesting drinking water from fog, this technology could also be used to recover water used in the cooling towers. "In the cooling towers, steam escapes up into the atmosphere. In the United States, where I live, we use a great deal of fresh water to cool power plants," says Schutzius. "It would make sense to capture some of this water before it escapes and ensure that it is pure in case you want to return it back to the environment." Past research by Ghosh focused on water recovery from cooling towers. He would now like to advance this technology and explore marketable applications. His hope is to make greater use of fog and steam as a hitherto underutilized source of water and thereby play a role in alleviating the scarcity of this vital resource. More information: Ghosh R, Baut A, Belleri G, Kappl M, Butt HJ, Schutzius TM, Reactive Nanoengineered Meshes for Simultaneous Fog Harvesting and Water Treatment, Nature Sustainability (2023). DOI: 10.1038/s41893-023-01159-9. www.nature.com/articles/s41893-023-01159-9 Journal information: Nature Sustainability This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: AI-guided generation of scientific hypotheses. Credit: Nature (2023). DOI: 10.1038/s41586-023-06221-2 With the advent of ChatGPT4, the use of artificial intelligence in medicine has absorbed the public's attention, dominated news headlines, and sparked vigorous debates about the promise and peril of medical AI. But the potential of AI reaches far beyond the frontlines of medicine. AI is already changing the way scientists discover and design drugs. It is predicting how molecules interact and proteins fold with never-before-seen speed and accuracy. One day, AI may even be used routinely to safeguard the function of nuclear reactors. These are but a few of the exciting applications of AI in the natural sciences, according to a commentary in Nature authored by Marinka Zitnik, assistant professor of biomedical informatics at Harvard Medical School. Zitnik led a team of author-researchers from 36 academic and industry labs from around the globe. Zitnik, who is also an associate faculty member at the Kempner Institute for the Study of Natural & Artificial Intelligence at Harvard University, discussed the growing role of AI in science and discovery. The research is published in the journal Nature. Harvard Medicine News: We've been deluged with news and commentaries about the use of AI in medicine, but we are not hearing as much about AI in science and discovery beyond medicine. Why is that? Zitnik: I think it's because the realization of the vast opportunity that AI represents for the life sciences and the natural sciences more broadly has not happened yet. The practice of science may vary across disciplines, but the scientific method that helps us explain the natural world constitutes a universal, fundamental principle across all disciplines. The scientific method has been around since the 17th century, but the techniques used to generate hypotheses, gather data, perform experiments, and collect measurements can now all be enhanced and accelerated through the thoughtful and responsible use of AI. HMNews: Where do you see the most immediate impact of AI in scientific discovery? Zitnik: Discoveries made with the combined use of human expertise and artificial intelligence already affect our everyday lives. AI is used to synthesize novel drugs. It is used to design new materials with properties that make them robust and stiff to support the construction of bridges and buildings. AI algorithms have been used to provide real-time feedback and control of stratospheric balloons for weather forecasting. In physics, which can seem so far away from everyday life, recently developed AI algorithms were used to control a tokamak simulatora nuclear fusion reactor in developmentto make its safe operation less dependent on human intuition and experience alone. HMNews: What are you excited about in the long term? Zitnik: I'm very excited about the potential of AI to not only contribute to scientific understanding, but to acquire it autonomously to generate knowledge on its own. It's been shown that AI models can capture complex scientific concepts, such as the periodic table of elements, from the literature without any guidance. The capacity to develop autonomous knowledge can guide future discoveries embedded in past publications. For example, this could be the discovery of a molecule to treat Alzheimer's disease. Such a discovery would require identifying indirect relationships across publications and across disciplineschemistry, biology, medicineconnecting chemical properties of molecules to biologic behavior of molecular pathways implicated in Alzheimer's disease and then to clinical phenotypes and patients' symptoms. Connecting all these disciplines and publications to identify shared principles and generate a novel hypothesis would be impossible for a human. AI "co-pilots" could read not only scientific publications but also raw research data, images, and experimental laboratory data and then extract latent knowledge and present it as a hypothesis for evaluation by human experts. This requires AI models to formulate hypotheses that are neither written down nor directly implied or suggested in existing scientific literature. These are the challenges that consume most of a scientist's time and often differentiate very good scientists from exceptional ones. We hope that in the future scientists would spend less time doing routine laboratory work and more time guiding, accessing, and evaluating AI hypotheses and steering AI models toward the research questions they're interested in. Another exciting possibility is the idea of human-in-the-loop AI-driven design, discovery, and evaluation. It would be possible to automate routine scientific workflows and combine actual experimentation in the physical world with virtual AI models and robotics. This would allow us to leverage predictions and conduct experiments in a high-throughput manner. It would create self-driving laboratories where some of the experiments would be directly guided by predictions and outputs made by AI models. HMNews: What are some of the pitfalls you foresee? Where should we tread extra carefully? Zitnik: One challenge relates to practical considerations. Implementing and integrating a model with laboratory equipment requires lots of work and complex software and hardware engineering, the curation of the data, and better user interfaces. Currently, minor variations in software and hardware can lead to considerable changes in AI performance. Thus, it becomes risky to couple virtual AI tools with actual physical devices that can operate in the real world. Data and models need to be standardized. Ultimately, if done properly, I would expect to see the emergence of self-driving labs and semi-autonomous discovery engines. Another challenge relates to machine learning foundations. There are gaps in what algorithms currently can do versus what we need them to do to be used in a routine manner. Scientific data are multi-modal, such as black holes in cosmology, natural language in scientific literature, biological sequences like amino acids, and 3D molecular and atomic structures. Integrating these data is challenging but necessary because looking at any data set in isolation cannot give a holistic view of the problem. Another important challenge is that most AI models today still operate as black boxes. This means that scientists, the users, cannot fully understand or explain how these models operate. That's a challenge because scientific understanding is at the heart of advancing science. How to develop more transparent, deep learning models? This remains elusive. The misapplication and misuse of AI is yet another challenge. Algorithms can be developed for one purpose but used for another. This can create vulnerabilities to manipulation. For example, in the molecular sciences, we've seen increasing use of generative AI to design molecular structures. AI can generate structures that have drug-like properties, representing molecules that would be delivered to specific tissues, which makes them promising drug candidates. However, one could take the exact same algorithm and tweak the criteria. Thus, instead of optimizing molecules to behave like medicines, the algorithm could generate molecules that resemble bioweapons. There should be a critical conversation around what is responsible use of AI in science. We need to think about establishing ethics review processes and implementation guidelines that currently do not exist. HMNews: What do you see as some of the solutions? Zitnik: Addressing the challenges will require new modes of thinking and collaboration. Moving forward, we have to change how research teams are formed. We expect to see more AI specialists and software and hardware engineers become critical members of scientific research teams. We expect novel forms of collaboration involving government at all levels, corporations, and educational institutions. Involving corporations is important because as AI models continue to grow in size, training these models will require resources that generally exist only in a handful of big tech companies. Universities, on the other hand, are better integrated across disciplines. Only at universities do we have departments of chemistry, biology, physics, and sociology, and so forth. Thus, academia is better positioned to understand and study how to prevent the various risks and misuses of AI. More information: Hanchen Wang et al, Scientific discovery in the age of artificial intelligence, Nature (2023). DOI: 10.1038/s41586-023-06221-2 Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Retrofitting every house in the UK to net zero standard by 2050 will require replacing all gas boilers, mostly with heat pumps. The target rate is 600,000 a year by 2028but in 2022, fewer than 60,000 heat pumps were installed. As the government prevaricates over asking manufacturers to make more heat pumps, debate rages over whether they are a wise replacement. A recent article in The Times, for example, claimed that heat pumps do not work in cold Scottish winters. In fact, heat pumps have been tried and tested around the world. Apprehension about them in the UK is down to the fact that most people are unfamiliar with them. Heat pumps use the same technology as refrigerators, which has been around for over 200 years. They provide heating to six out of every ten homes in Norway, a country with a cold climate similar to northern parts of the UK. The reason heat pumps are so popular there is that they convert one unit of electricity into two-or-more units of heat. So, if the electricity is generated by renewable sources such as wind, the whole operation is carbon-neutral. Just like a fridge sucks heat from its interior and dissipates it through coils on its back, a heat pump sucks heat from the outside air and transfers it to the water that gets pumped through the radiators of a central heating system. This technology is incredibly flexible. The external heat source can also be the ground or water, which is more efficient than taking heat from the air. The heat can be transferred direct to the air inside a building if it has ducted heating (more typical in a shop or office), and many heat pumps can also work in reverse, cooling as well as heating. Heat pumps are, however, much more complex than gas boilersburning fossil fuels is primitive by comparison. Modern heat pumps use advanced technology to ensure they perform optimally. So, if the conditions for an installation are not thoroughly assessed, a heat pump may underperform, and that is where the UK's inexperience with heat pumps could pose a problem. Will it work in cold weather? While the technology will not work as efficiently in colder weather, heat pumps will always generate more heat energy than the amount of electricity they consume. The part of the heat pump that draws heat from the air outside can ice up when temperatures fall below freezing, but manufacturers include heating elements and defrost cycles to manage that. Ground- and water-source heat pumps don't suffer from either of these problems, as temperatures underground or in lakes and rivers are more stable year-round. If a heat pump doesn't perform effectively in cold weather, chances are the unit was incorrectly specified for the location. But Chris Carus from Loco Home Retrofit in Glasgow notes that variation in how efficiently heat pumps operate is undermining trust in the technology. "This variation is often due to oversizing of the heat pump and/or undersizing of the heat emitters and pipework," Carus said. "The likely cause is that some installers avoid the rigor of pipework and radiator upgrades in order to secure a sale [There may be] greater fear of complaints about poor comfort than poor efficiency." Is my house ready for one? Heat pumps are typically designed to provide water at a lower temperature than gas boilersthe water being pumped around radiators will be between 35 and 45C, rather than 60-75C. If your house is fitted with a boiler, the radiators will have been designed to work with higher-temperature water, potentially including very narrow (as wide as a pencil) pipes. So, it may not be possible to pump enough low-temperature water through the system to keep the house really warm. The easy solution is to install a high-temperature heat pump, which is slightly less efficient than the more common low-temperature unit. Or, if the house is being refurbished, the pipes and radiators can be enlarged. Better still, if the house is retrofitted with more insulation and made more air-tightwith double- or triple-glazed windows, for examplethe overall demand for heating will fall significantly, meaning fewer radiators are needed. What's holding low-carbon heating back? This is the nub of the problem: heat pumps are not a solution in isolation. Rather, they ought to belong to a broader campaign for making buildings more energy efficient. Recent years have shown that simply pumping more energy into homes to make them liveable exposes people to sudden rises in the costs of gas and electricity. Government policies are beginning to target energy efficiency to help homes use less energy. There will be houses where heat pumps are not viable, and alternatives such as district heating networks, which pump hot water from a central source to several different apartments or buildings, will be more appropriate. In the Times article, Lord Haughey is quoted as saying that direct electric heating systems would be more appropriate, as they are simpler to install and require less maintenance than heat pumps. But they will use much more electricity, and therefore cost more to run. Gas is cheaper in the UK than most developed countries, but electricity is very expensive by comparison. Per kilowatt-hour, electricity costs four times more than gas in the UK, effectively canceling out the efficiency advantages of heat pumps and ensuring they run at about the same cost as a gas boiler, even though they can use up to four times less energy. As part of a broader response to climate change, heat pumps are the best option for home heating for a large part of the UK population. Instead of constantly pointing out their problems, which are legitimate but inevitable with any technology, we should recognize their many advantages and make sure they are installed properly. If the UK decarbonises its electricity grid and rebalances the costs of gas and electricity, heat pumps will not only help the country reach net zero. They will reduce household bills, improve air quality, and protect people from energy supply shocks. This article is republished from The Conversation under a Creative Commons license. Read the original article. MOSCOW/KIEV, Aug. 16 (Xinhua) -- The following are the latest developments related to the Ukraine crisis: Russian forces have prevented a Ukrainian sabotage group from crossing into Russia's western Bryansk region, Governor Alexander Bogomaz said Wednesday. Bogomaz said that the units of Russia's Defense Ministry and the country's security forces had thwarted an attempt by six militants of a Ukrainian sabotage and reconnaissance group to cross into the Bryansk region on Wednesday morning. Five of the militants have been killed, Bogomaz said in a Telegram post. - - - - Russia carried out two waves of drone attacks overnight Wednesday on the port infrastructure in Ukraine's southern Odesa region, according to regional authorities. The combat drone strikes damaged warehouses and grain storage facilities in one of the ports on the Danube River, the Odesa regional military administration said in a statement on Telegram. The fires caused by the attack have been extinguished, the statement said, and 11 combat drones were downed over the Odesa region overnight, it said. Two other drones targeting port infrastructure in the region were downed in the neighboring Mykolaiv region, according to the Ukrainian Air Force. There were no reports of casualties in the attacks. - - - - Ukraine has lost up to 250 troops in the Donetsk direction over the past 24 hours, the Russian Defense Ministry said on Wednesday. Over the past day, Russian armed forces have repelled five attacks by Ukrainian troops in the Donetsk direction, two in the Zaporizhzhia direction, nine in the Kupyansk direction and one in the Krasnyi Lyman direction, the ministry said, adding that Russian troops had conducted offensive operations and improved their position along the front line in the Kupyansk direction. Moreover, Russian troops have hit a command post of the 72nd mechanized brigade of the Ukrainian armed forces near the village of Paraskoviivka, which is near the Russian-controlled city of Bakhmut, also known as Artyomovsk. - - - - Ukraine will not cede its territories to Russia to obtain NATO membership, Ukrainian Foreign Ministry spokesperson Oleg Nikolenko said Tuesday. "The talks about Ukraine joining NATO in exchange for giving up parts of Ukrainian territories are absolutely unacceptable," Nikolenko wrote on Facebook. He emphasized that Ukraine is committed to further cooperation with NATO to achieve full membership in the alliance. The statement came after a comment from Stian Jenssen, the director of the private office of the NATO Secretary General, who proposed that Ukraine cede part of its territory to Russia in exchange for NATO membership to end the war, the Ukrinform news agency reported. In a dynamic turn of events, Ripple (XRP) has once again captured the spotlight with reports suggesting an intriguing collaboration between the cryptocurrency giant and New Zealand. The topic of discussion? A potential partnership on a Central Bank Digital Currency (CBDC) project. As Ripples global adoption gains momentum, this recent development is ushering in renewed enthusiasm. Coupled with an upswing in daily active addresses and encouraging trends in the Relative Strength Index (RSI), XRP enthusiasts have reason to anticipate a bullish trend on the horizon. Ripples Extended Reach: Pioneering CBDC Endeavors with New Zealand Fresh from its landmark legal triumph against the U.S. Securities and Exchange Commission (SEC), Ripple (XRP) is rapidly diversifying its activities. It is now in active dialogue with the New Zealand government regarding a potential collaboration for a CBDC project. This strategic move thrusts New Zealand into the league of nations like Colombia and Palau, displaying interest in leveraging Ripples CBDC onboarding platform for their digital currency initiatives. The aftermath of Ripples victory over the SEC has bestowed upon XRP a renewed sense of credibility and legitimacy. The pivotal question that emerges is whether this surge in global adoption is substantial enough to herald a noteworthy price escalation for XRP. Elevated Adoption and Global Engagement In the wake of Ripples success against the SEC, the global embrace of XRP is growing palpable. Recent developments indicate that Ripple is proactively engaging with the New Zealand government, initiating discussions about a collaborative venture on a Central Bank Digital Currency (CBDC) project. This prospective partnership could potentially result in New Zealand becoming a part of the cohort of countries adopting Ripples CBDC onboarding platform, a list that includes names like Colombia and Palau. Analyzing on-chain data paints an encouraging picture for XRPs adoption trajectory. Most notably, the count of Daily Active Addresses (DAA) has experienced consistent upticks during August. Santiments graphical representation underscores that XRP has attracted over 100,000 active users on at least 12 out of the last 16 trading days since July 31. This robust increase stands in stark contrast to the sporadic instances witnessed before the end of July. These DAA spikes are indicative of a burgeoning demand and heightened transactional activity within the XRP network. A Glimpse of Optimism for the Bulls The Relative Strength Index (RSI) serves as a compass for assessing the momentum and potency of an assets prevailing price trend. Following a relatively subdued commencement to August, XRPs bulls appear to be gradually regaining their foothold. The RSI, which had tapered from 55.6 to 41.72 in the initial week of August, has displayed a modest climb from 41.72 to 43.5 between August 7 and August 15. This incremental improvement hints at a nascent accumulation trend, indicative of a potential surge in bullish momentum. Of particular significance is that the existing RSI levels remain comfortably distant from the overbought threshold. This situation leaves ample room for a significant price escalation if the current positive momentum sustains. Looking Forward: XRPs Price Prospects and Upcoming Milestones Given the persistent surge in XRP adoption and network engagement, market sentiment is gradually aligning with the bullish narrative. Should the ongoing spike in network demand persist, it has the potential to propel XRPs price toward the pivotal $0.80 milestone during the forthcoming bull market. This projection resonates with the MVRV ratio, an instrument employed to evaluate the net financial stance of existing XRP holders. Recent data unveils that investors who procured XRP in the preceding 30 days are grappling with an unrealized loss of around 9%. Historically, investors tend to hold steadfast until they recoup their initial investment, frequently around the $0.69 threshold. However, propelled by the ever-widening global adoption of XRP, the bullish cohort might well have the mettle to surmount this resistance and retake the coveted $0.80 milestone. In summation, Ripples burgeoning collaboration with New Zealand in the realm of CBDCs and the escalating global adoption of XRP signal a promising trajectory for the cryptocurrency. Amplified network activity coupled with a favorable shift in market sentiment sets the stage for potential price surges in the imminent weeks. Boot Barn Holdings, Inc. (NYSE:BOOT Get Free Report) CEO James Grant Conroy sold 113,636 shares of the companys stock in a transaction dated Monday, August 14th. The shares were sold at an average price of $93.09, for a total transaction of $10,578,375.24. Following the sale, the chief executive officer now owns 46,273 shares of the companys stock, valued at $4,307,553.57. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. Boot Barn Trading Up 2.2 % Shares of BOOT traded up $2.01 during midday trading on Tuesday, reaching $95.23. 925,682 shares of the stock were exchanged, compared to its average volume of 765,113. Boot Barn Holdings, Inc. has a 52 week low of $50.20 and a 52 week high of $104.91. The companys 50 day moving average is $87.59 and its two-hundred day moving average is $79.25. The company has a market capitalization of $2.86 billion, a P/E ratio of 17.44, a PEG ratio of 2.83 and a beta of 2.36. Get Boot Barn alerts: Boot Barn (NYSE:BOOT Get Free Report) last released its quarterly earnings results on Wednesday, May 17th. The company reported $1.53 EPS for the quarter, beating analysts consensus estimates of $1.45 by $0.08. Boot Barn had a return on equity of 22.03% and a net margin of 9.88%. The company had revenue of $425.70 million during the quarter, compared to analysts expectations of $441.00 million. During the same period last year, the business posted $1.47 earnings per share. The firms revenue was up 11.1% compared to the same quarter last year. On average, sell-side analysts expect that Boot Barn Holdings, Inc. will post 5.27 EPS for the current year. Analyst Upgrades and Downgrades BOOT has been the subject of several analyst reports. StockNews.com upgraded Boot Barn from a sell rating to a hold rating in a report on Friday, August 4th. Citigroup raised their target price on shares of Boot Barn from $106.00 to $116.00 and gave the stock a buy rating in a report on Thursday, August 3rd. Piper Sandler increased their price target on shares of Boot Barn from $117.00 to $120.00 and gave the company an overweight rating in a research report on Thursday, August 3rd. BTIG Research boosted their price objective on shares of Boot Barn from $98.00 to $115.00 in a research report on Thursday, August 3rd. Finally, Robert W. Baird lowered their price objective on shares of Boot Barn from $86.00 to $70.00 in a research note on Thursday, May 18th. Three analysts have rated the stock with a hold rating and seven have assigned a buy rating to the companys stock. Based on data from MarketBeat, Boot Barn has a consensus rating of Moderate Buy and an average target price of $108.00. Check Out Our Latest Research Report on BOOT Institutional Investors Weigh In On Boot Barn Hedge funds and other institutional investors have recently added to or reduced their stakes in the business. Capital World Investors raised its stake in shares of Boot Barn by 350.2% in the second quarter. Capital World Investors now owns 1,787,200 shares of the companys stock worth $151,358,000 after purchasing an additional 1,390,200 shares during the last quarter. Thrivent Financial for Lutherans grew its holdings in Boot Barn by 1,556.3% during the second quarter. Thrivent Financial for Lutherans now owns 489,391 shares of the companys stock worth $41,447,000 after acquiring an additional 459,844 shares during the period. Southernsun Asset Management LLC grew its holdings in Boot Barn by 1,134.1% during the second quarter. Southernsun Asset Management LLC now owns 484,626 shares of the companys stock worth $41,043,000 after acquiring an additional 445,357 shares during the period. Wellington Management Group LLP acquired a new stake in Boot Barn during the first quarter worth about $33,934,000. Finally, abrdn plc acquired a new stake in Boot Barn during the second quarter worth about $29,696,000. About Boot Barn (Get Free Report) Boot Barn Holdings, Inc engages in the operation of retail stores of western and work-related footwear, apparel, and accessories. The firms products include boots, jeans, accessories, hats, gifts and home products, and work wear. Its brands include Ariat, Wrangler, Lucchese Boots, Idyllwind, and Cinch. Featured Stories Receive News & Ratings for Boot Barn Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Boot Barn and related companies with MarketBeat.com's FREE daily email newsletter. Kentucky Retirement Systems Insurance Trust Fund bought a new position in shares of Eaton Co. plc (NYSE:ETN Free Report) in the first quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission (SEC). The institutional investor bought 14,151 shares of the industrial products companys stock, valued at approximately $2,425,000. A number of other hedge funds have also modified their holdings of the business. Oxford Financial Group Ltd. increased its holdings in Eaton by 0.5% during the 4th quarter. Oxford Financial Group Ltd. now owns 12,339 shares of the industrial products companys stock valued at $1,937,000 after acquiring an additional 59 shares in the last quarter. Motco increased its stake in shares of Eaton by 4.4% during the 4th quarter. Motco now owns 1,533 shares of the industrial products companys stock worth $241,000 after purchasing an additional 65 shares in the last quarter. Allred Capital Management LLC raised its position in shares of Eaton by 2.6% during the 4th quarter. Allred Capital Management LLC now owns 2,598 shares of the industrial products companys stock worth $408,000 after purchasing an additional 65 shares during the last quarter. Keel Point LLC lifted its stake in Eaton by 3.2% in the 1st quarter. Keel Point LLC now owns 2,075 shares of the industrial products companys stock valued at $356,000 after buying an additional 65 shares in the last quarter. Finally, S. R. Schill & Associates grew its holdings in Eaton by 3.3% during the 1st quarter. S. R. Schill & Associates now owns 2,150 shares of the industrial products companys stock valued at $368,000 after buying an additional 68 shares during the last quarter. 81.31% of the stock is currently owned by institutional investors and hedge funds. Get Eaton alerts: Insider Buying and Selling In other Eaton news, insider Craig Arnold sold 100,000 shares of the firms stock in a transaction dated Monday, August 14th. The stock was sold at an average price of $219.45, for a total value of $21,945,000.00. Following the transaction, the insider now directly owns 516,875 shares of the companys stock, valued at $113,428,218.75. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at the SEC website. In related news, insider Michael Yelton sold 2,000 shares of the firms stock in a transaction on Thursday, June 15th. The shares were sold at an average price of $197.11, for a total transaction of $394,220.00. Following the completion of the sale, the insider now directly owns 2,096 shares of the companys stock, valued at approximately $413,142.56. The transaction was disclosed in a document filed with the SEC, which is available through the SEC website. Also, insider Craig Arnold sold 100,000 shares of the businesss stock in a transaction on Monday, August 14th. The stock was sold at an average price of $219.45, for a total transaction of $21,945,000.00. Following the completion of the transaction, the insider now owns 516,875 shares in the company, valued at approximately $113,428,218.75. The disclosure for this sale can be found here. In the last three months, insiders sold 113,831 shares of company stock valued at $24,880,911. Company insiders own 0.53% of the companys stock. Analyst Upgrades and Downgrades Several brokerages have recently issued reports on ETN. Evercore ISI upped their price objective on Eaton from $201.00 to $221.00 in a research report on Tuesday, May 9th. StockNews.com raised shares of Eaton from a buy rating to a strong-buy rating in a research note on Friday, July 21st. Argus boosted their price objective on shares of Eaton from $195.00 to $250.00 and gave the company a buy rating in a research report on Thursday, August 3rd. UBS Group raised their target price on shares of Eaton from $205.00 to $210.00 in a research report on Thursday, May 11th. Finally, Wolfe Research downgraded Eaton from an outperform rating to a peer perform rating in a report on Tuesday, July 11th. One analyst has rated the stock with a sell rating, five have assigned a hold rating, six have given a buy rating and one has issued a strong buy rating to the company. According to MarketBeat, the stock presently has an average rating of Moderate Buy and an average price target of $212.08. Get Our Latest Research Report on Eaton Eaton Trading Up 0.2 % ETN stock traded up $0.54 during trading on Wednesday, reaching $218.48. 145,373 shares of the stock traded hands, compared to its average volume of 2,024,771. The firm has a market capitalization of $87.17 billion, a PE ratio of 32.20, a P/E/G ratio of 2.14 and a beta of 1.12. The company has a debt-to-equity ratio of 0.49, a current ratio of 1.53 and a quick ratio of 0.99. The businesss 50 day moving average price is $203.47 and its 200-day moving average price is $181.25. Eaton Co. plc has a 52-week low of $129.85 and a 52-week high of $225.76. Eaton (NYSE:ETN Get Free Report) last issued its earnings results on Tuesday, August 1st. The industrial products company reported $2.21 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $2.11 by $0.10. The business had revenue of $5.87 billion during the quarter, compared to analysts expectations of $5.76 billion. Eaton had a return on equity of 19.06% and a net margin of 12.29%. The companys revenue for the quarter was up 12.5% on a year-over-year basis. During the same quarter in the previous year, the business earned $1.87 EPS. As a group, sell-side analysts forecast that Eaton Co. plc will post 8.8 earnings per share for the current year. Eaton Announces Dividend The firm also recently announced a quarterly dividend, which will be paid on Friday, August 25th. Investors of record on Monday, August 7th will be issued a dividend of $0.86 per share. The ex-dividend date of this dividend is Friday, August 4th. This represents a $3.44 annualized dividend and a dividend yield of 1.57%. Eatons payout ratio is 50.81%. About Eaton (Free Report) Eaton Corporation plc operates as a power management company worldwide. The company's Electrical Americas and Electrical Global segment provides electrical components, industrial components, power distribution and assemblies, residential products, single and three phase power quality and connectivity products, wiring devices, circuit protection products, utility power distribution products, power reliability equipment, and services, as well as hazardous duty electrical equipment, emergency lighting, fire detection, explosion-proof instrumentation, and structural support systems. See Also Want to see what other hedge funds are holding ETN? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Eaton Co. plc (NYSE:ETN Free Report). Receive News & Ratings for Eaton Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eaton and related companies with MarketBeat.com's FREE daily email newsletter. Abercrombie & Fitch Co. (NYSE:ANF Get Free Report) has received a consensus recommendation of Hold from the six analysts that are covering the firm, Marketbeat reports. One analyst has rated the stock with a sell recommendation, three have assigned a hold recommendation and two have given a buy recommendation to the company. The average twelve-month price objective among brokers that have covered the stock in the last year is $32.29. Several research firms recently commented on ANF. Citigroup raised their price objective on shares of Abercrombie & Fitch from $33.00 to $45.00 and gave the stock a neutral rating in a report on Tuesday. Jefferies Financial Group lowered their target price on shares of Abercrombie & Fitch from $35.00 to $30.00 in a research note on Tuesday, May 9th. Argus upgraded shares of Abercrombie & Fitch from a hold rating to a buy rating and set a $41.00 target price on the stock in a research note on Monday, June 12th. StockNews.com cut shares of Abercrombie & Fitch from a buy rating to a hold rating in a research note on Friday, July 21st. Finally, UBS Group increased their target price on shares of Abercrombie & Fitch from $28.00 to $32.00 in a research note on Thursday, May 25th. Get Abercrombie & Fitch alerts: Check Out Our Latest Research Report on ANF Insider Activity at Abercrombie & Fitch Institutional Investors Weigh In On Abercrombie & Fitch In related news, CEO Fran Horowitz sold 120,000 shares of the businesss stock in a transaction dated Monday, July 10th. The shares were sold at an average price of $35.42, for a total transaction of $4,250,400.00. Following the transaction, the chief executive officer now owns 933,913 shares in the company, valued at $33,079,198.46. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available through this link . In related news, CFO Scott D. Lipesky sold 7,500 shares of the businesss stock in a transaction dated Wednesday, July 26th. The shares were sold at an average price of $38.00, for a total transaction of $285,000.00. Following the sale, the chief financial officer now owns 131,918 shares of the companys stock, valued at $5,012,884. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this hyperlink . Also, CEO Fran Horowitz sold 120,000 shares of the businesss stock in a transaction dated Monday, July 10th. The stock was sold at an average price of $35.42, for a total transaction of $4,250,400.00. Following the sale, the chief executive officer now directly owns 933,913 shares in the company, valued at approximately $33,079,198.46. The disclosure for this sale can be found here . Insiders have sold 302,387 shares of company stock worth $11,214,903 over the last 90 days. 3.77% of the stock is owned by company insiders. Several hedge funds have recently bought and sold shares of the business. Blueshift Asset Management LLC bought a new stake in Abercrombie & Fitch during the second quarter worth about $580,000. XTX Topco Ltd boosted its stake in Abercrombie & Fitch by 91.0% during the second quarter. XTX Topco Ltd now owns 19,191 shares of the apparel retailers stock worth $723,000 after acquiring an additional 9,142 shares in the last quarter. Royal Bank of Canada boosted its stake in Abercrombie & Fitch by 43.3% during the second quarter. Royal Bank of Canada now owns 4,025 shares of the apparel retailers stock worth $152,000 after acquiring an additional 1,216 shares in the last quarter. BROOKFIELD Corp ON bought a new stake in Abercrombie & Fitch during the second quarter worth about $1,914,000. Finally, Bayesian Capital Management LP bought a new stake in Abercrombie & Fitch during the second quarter worth about $1,543,000. Institutional investors own 99.03% of the companys stock. Abercrombie & Fitch Trading Up 0.2 % Shares of ANF stock opened at $41.46 on Wednesday. Abercrombie & Fitch has a 52-week low of $14.02 and a 52-week high of $41.72. The company has a debt-to-equity ratio of 0.42, a quick ratio of 0.86 and a current ratio of 1.44. The company has a 50-day simple moving average of $37.24 and a two-hundred day simple moving average of $30.58. The company has a market capitalization of $2.08 billion, a price-to-earnings ratio of 59.23 and a beta of 1.50. Abercrombie & Fitch (NYSE:ANF Get Free Report) last issued its quarterly earnings data on Wednesday, May 24th. The apparel retailer reported $0.39 earnings per share (EPS) for the quarter, topping analysts consensus estimates of ($0.02) by $0.41. Abercrombie & Fitch had a net margin of 0.96% and a return on equity of 6.82%. The business had revenue of $836.00 million during the quarter, compared to the consensus estimate of $814.93 million. During the same period in the prior year, the firm posted ($0.27) EPS. The firms quarterly revenue was up 2.9% compared to the same quarter last year. Research analysts anticipate that Abercrombie & Fitch will post 2.08 EPS for the current fiscal year. Abercrombie & Fitch Company Profile (Get Free Report Abercrombie & Fitch Co, through its subsidiaries, operates as a specialty retailer in the United States, Europe, the Middle East, Asia, the Asia-Pacific, Canada, and internationally. The company operates through two segments, Hollister and Abercrombie. It offers an assortment of apparel, personal care products, and accessories for men, women, and kids under the Hollister, Gilly Hicks, Social Tourist, Abercrombie & Fitch, and abercrombie kids brands. Further Reading Receive News & Ratings for Abercrombie & Fitch Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Abercrombie & Fitch and related companies with MarketBeat.com's FREE daily email newsletter. Sun Life Financial Inc. (NYSE:SLF Free Report) (TSE:SLF) Equities researchers at Desjardins lowered their FY2023 earnings estimates for shares of Sun Life Financial in a research note issued on Wednesday, August 9th. Desjardins analyst D. Young now anticipates that the financial services provider will earn $4.70 per share for the year, down from their prior forecast of $4.77. The consensus estimate for Sun Life Financials current full-year earnings is $4.69 per share. Desjardins also issued estimates for Sun Life Financials FY2024 earnings at $5.03 EPS. Get Sun Life Financial alerts: SLF has been the subject of a number of other research reports. Royal Bank of Canada raised Sun Life Financial from a sector perform rating to an outperform rating in a research report on Monday, May 15th. StockNews.com started coverage on Sun Life Financial in a research report on Thursday, May 18th. They issued a hold rating for the company. Finally, Credit Suisse Group lowered their target price on shares of Sun Life Financial from $79.00 to $77.00 and set an outperform rating for the company in a research note on Thursday, August 10th. Sun Life Financial Stock Performance NYSE:SLF opened at $48.89 on Monday. Sun Life Financial has a fifty-two week low of $37.96 and a fifty-two week high of $53.21. The stock has a market capitalization of $28.69 billion, a P/E ratio of 13.46, a P/E/G ratio of 1.34 and a beta of 1.01. The companys 50-day simple moving average is $51.31 and its 200-day simple moving average is $49.38. Sun Life Financial Increases Dividend The firm also recently disclosed a quarterly dividend, which will be paid on Friday, September 29th. Shareholders of record on Wednesday, August 30th will be paid a dividend of $0.566 per share. This represents a $2.26 annualized dividend and a yield of 4.63%. This is a boost from Sun Life Financials previous quarterly dividend of $0.55. The ex-dividend date of this dividend is Tuesday, August 29th. Sun Life Financials payout ratio is presently 60.71%. Hedge Funds Weigh In On Sun Life Financial Institutional investors and hedge funds have recently modified their holdings of the stock. Salem Investment Counselors Inc. acquired a new position in shares of Sun Life Financial in the 2nd quarter valued at about $25,000. Money Concepts Capital Corp acquired a new position in shares of Sun Life Financial in the 4th quarter valued at $26,000. Spire Wealth Management acquired a new position in shares of Sun Life Financial in the 1st quarter valued at $27,000. IFP Advisors Inc raised its holdings in Sun Life Financial by 166.9% in the 2nd quarter. IFP Advisors Inc now owns 678 shares of the financial services providers stock worth $28,000 after purchasing an additional 424 shares during the period. Finally, Chilton Capital Management LLC acquired a new position in Sun Life Financial in the 2nd quarter worth about $30,000. Institutional investors and hedge funds own 41.72% of the companys stock. Sun Life Financial Company Profile (Get Free Report) Sun Life Financial Inc, a financial services company, provides savings, retirement, and pension products worldwide. It offers term and permanent life, as well as personal health, dental, critical illness, long-term care, and disability insurance products. The company provides financial advice, asset management, and investments related products. See Also Receive News & Ratings for Sun Life Financial Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sun Life Financial and related companies with MarketBeat.com's FREE daily email newsletter. Arrow Electronics (NYSE:ARW Get Free Report) and Otsuka (OTCMKTS:OSUKF Get Free Report) are both computer and technology companies, but which is the better business? We will contrast the two companies based on the strength of their profitability, institutional ownership, analyst recommendations, risk, dividends, earnings and valuation. Insider & Institutional Ownership 95.6% of Arrow Electronics shares are held by institutional investors. 1.1% of Arrow Electronics shares are held by insiders. Strong institutional ownership is an indication that large money managers, endowments and hedge funds believe a company is poised for long-term growth. Get Arrow Electronics alerts: Valuation & Earnings This table compares Arrow Electronics and Otsukas gross revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Arrow Electronics $37.12 billion 0.19 $1.43 billion $19.65 6.53 Otsuka N/A N/A N/A N/A N/A Analyst Ratings Arrow Electronics has higher revenue and earnings than Otsuka. This is a breakdown of recent ratings and target prices for Arrow Electronics and Otsuka, as reported by MarketBeat. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Arrow Electronics 1 4 1 0 2.00 Otsuka 1 0 0 0 1.00 Arrow Electronics presently has a consensus price target of $129.57, suggesting a potential upside of 0.94%. Given Arrow Electronics stronger consensus rating and higher probable upside, equities research analysts plainly believe Arrow Electronics is more favorable than Otsuka. Profitability This table compares Arrow Electronics and Otsukas net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Arrow Electronics 3.35% 22.19% 5.92% Otsuka N/A N/A N/A Summary Arrow Electronics beats Otsuka on 9 of the 9 factors compared between the two stocks. About Arrow Electronics (Get Free Report) Arrow Electronics, Inc. provides products, services, and solutions to industrial and commercial users of electronic components and enterprise computing solutions in the Americas, Europe, the Middle East, Africa, and the Asia Pacific. The company operates in two segments, Global Components and Global Enterprise Computing Solutions. The Global Components segment markets and distributes semiconductor products and related services; passive, electro-mechanical, and interconnect products, including capacitors, resistors, potentiometers, power supplies, relays, switches, and connectors; and computing and memory products, as well as other products and services. The Global Enterprise Computing Solutions segment offers computing solutions, such as datacenter, cloud, security, and analytics solutions. This segment provides access to various services, including engineering and integration support, warehousing and logistics, marketing resources, and authorized hardware and software training. The company serves original equipment manufacturers, value-added resellers, managed service providers, contract manufacturers, and other commercial customers. Arrow Electronics, Inc. was founded in 1935 and is based in Centennial, Colorado. About Otsuka (Get Free Report) Otsuka Corporation, together with its subsidiaries, engages in the provision of system integration, support, and other services in Japan. The System Integration Business segment offers management systems and collaborative software, such as ERP packages and groupware to cover a range of specialized fields, including CAD and web technologies; and provides software, hardware, intranet, and security products for the construction and expansion of computer networks, as well as intermediary services between manufacturers and system integrators. The segment also sells computers, copiers, and communications equipment and software; and develops consigned software. The Service and Support Business segment involved in the provision of emergency computer rescue services for corporate and individual clients; coordination of multi-vendor efforts consisting of pick-up, repair, and return of computers. The segment also offers 24/7 network support and comprehensive services for planning, design, construction, and operation of corporate information systems, as well as out-sources system engineers. It also provides educational support services. The company was founded in 1961 and is headquartered in Tokyo, Japan. Receive News & Ratings for Arrow Electronics Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Arrow Electronics and related companies with MarketBeat.com's FREE daily email newsletter. PPG Industries, Inc. (NYSE:PPG Get Free Report) has been assigned an average recommendation of Hold from the seventeen ratings firms that are presently covering the stock, Marketbeat Ratings reports. One research analyst has rated the stock with a sell recommendation, seven have assigned a hold recommendation and nine have issued a buy recommendation on the company. The average 12-month price objective among analysts that have covered the stock in the last year is $156.47. A number of research analysts have recently issued reports on the company. Morgan Stanley boosted their target price on PPG Industries from $143.00 to $155.00 and gave the company an equal weight rating in a report on Monday, July 24th. Barclays boosted their target price on PPG Industries from $150.00 to $160.00 and gave the company an equal weight rating in a report on Monday, July 24th. Credit Suisse Group boosted their target price on PPG Industries from $133.00 to $140.00 and gave the company an underperform rating in a report on Friday, July 21st. Royal Bank of Canada upped their price objective on PPG Industries from $145.00 to $158.00 and gave the stock a sector perform rating in a report on Monday, July 24th. Finally, Mizuho upped their price objective on PPG Industries from $152.00 to $166.00 in a report on Thursday, July 20th. Get PPG Industries alerts: View Our Latest Stock Analysis on PPG Insider Activity at PPG Industries Institutional Inflows and Outflows In related news, Chairman Michael H. Mcgarry sold 58,378 shares of the firms stock in a transaction dated Wednesday, July 26th. The stock was sold at an average price of $145.46, for a total transaction of $8,491,663.88. Following the sale, the chairman now directly owns 195,706 shares in the company, valued at approximately $28,467,394.76. The sale was disclosed in a document filed with the SEC, which is accessible through this link . In other PPG Industries news, Chairman Michael H. Mcgarry sold 58,378 shares of PPG Industries stock in a transaction that occurred on Wednesday, July 26th. The stock was sold at an average price of $145.46, for a total transaction of $8,491,663.88. Following the sale, the chairman now directly owns 195,706 shares in the company, valued at approximately $28,467,394.76. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available through the SEC website . Also, CFO Vincent J. Morales sold 3,700 shares of PPG Industries stock in a transaction that occurred on Tuesday, August 1st. The stock was sold at an average price of $143.33, for a total value of $530,321.00. Following the sale, the chief financial officer now owns 22,665 shares in the company, valued at $3,248,574.45. The disclosure for this sale can be found here . Company insiders own 0.55% of the companys stock. Several hedge funds have recently made changes to their positions in the company. Alliancebernstein L.P. grew its position in shares of PPG Industries by 3.4% in the 2nd quarter. Alliancebernstein L.P. now owns 307,512 shares of the specialty chemicals companys stock worth $45,604,000 after acquiring an additional 10,195 shares in the last quarter. Imprint Wealth LLC grew its position in shares of PPG Industries by 16,100.6% in the 2nd quarter. Imprint Wealth LLC now owns 350,581 shares of the specialty chemicals companys stock worth $35,058,000 after acquiring an additional 348,417 shares in the last quarter. Bragg Financial Advisors Inc grew its position in shares of PPG Industries by 2.9% in the 2nd quarter. Bragg Financial Advisors Inc now owns 32,737 shares of the specialty chemicals companys stock worth $4,855,000 after acquiring an additional 930 shares in the last quarter. State of Tennessee Treasury Department grew its position in shares of PPG Industries by 544.1% in the 2nd quarter. State of Tennessee Treasury Department now owns 235,859 shares of the specialty chemicals companys stock worth $34,978,000 after acquiring an additional 199,241 shares in the last quarter. Finally, Moneta Group Investment Advisors LLC grew its position in shares of PPG Industries by 13.1% in the 2nd quarter. Moneta Group Investment Advisors LLC now owns 5,841 shares of the specialty chemicals companys stock worth $866,000 after acquiring an additional 676 shares in the last quarter. Institutional investors and hedge funds own 78.95% of the companys stock. PPG Industries Price Performance Shares of NYSE PPG opened at $138.82 on Wednesday. The company has a current ratio of 1.49, a quick ratio of 1.03 and a debt-to-equity ratio of 0.78. PPG Industries has a twelve month low of $107.40 and a twelve month high of $152.89. The stock has a 50 day moving average of $144.41 and a two-hundred day moving average of $137.50. The stock has a market cap of $32.69 billion, a P/E ratio of 24.92, a P/E/G ratio of 1.12 and a beta of 1.18. PPG Industries (NYSE:PPG Get Free Report) last issued its quarterly earnings data on Friday, July 21st. The specialty chemicals company reported $2.25 earnings per share for the quarter, beating analysts consensus estimates of $2.14 by $0.11. PPG Industries had a return on equity of 23.59% and a net margin of 7.38%. The company had revenue of $4.87 billion during the quarter, compared to analysts expectations of $4.84 billion. During the same quarter in the previous year, the business earned $1.81 earnings per share. The firms revenue for the quarter was up 3.9% on a year-over-year basis. On average, research analysts forecast that PPG Industries will post 7.51 earnings per share for the current year. PPG Industries Increases Dividend The business also recently announced a quarterly dividend, which will be paid on Tuesday, September 12th. Investors of record on Thursday, August 10th will be issued a dividend of $0.65 per share. This is an increase from PPG Industriess previous quarterly dividend of $0.62. This represents a $2.60 annualized dividend and a dividend yield of 1.87%. The ex-dividend date is Wednesday, August 9th. PPG Industriess dividend payout ratio (DPR) is presently 46.68%. About PPG Industries (Get Free Report PPG Industries, Inc engages in the manufacture and distribution of paints, coatings, and specialty materials. It operates through the Performance Coatings and Industrial Coatings segments. The Performance Coatings segment supplies a variety of protective and decorative coatings, sealants, and finishes along with pavement marking products, paint strippers, stains, and related chemicals, as well as transparencies and transparent armor. Featured Stories Receive News & Ratings for PPG Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for PPG Industries and related companies with MarketBeat.com's FREE daily email newsletter. CAVA Group (NYSE:CAVA Get Free Report) had its price objective raised by equities research analysts at Robert W. Baird from $50.00 to $58.00 in a report released on Wednesday, FlyOnTheWall reports. Robert W. Bairds target price points to a potential upside of 25.00% from the stocks previous close. A number of other equities research analysts have also commented on CAVA. Citigroup assumed coverage on CAVA Group in a research report on Monday, July 10th. They issued a neutral rating and a $42.00 target price on the stock. Jefferies Financial Group assumed coverage on CAVA Group in a research report on Monday, July 10th. They issued a buy rating and a $48.00 target price on the stock. JPMorgan Chase & Co. assumed coverage on CAVA Group in a research report on Monday, July 10th. They issued an overweight rating and a $45.00 target price on the stock. Stifel Nicolaus assumed coverage on CAVA Group in a research report on Monday, July 10th. They issued a buy rating and a $48.00 target price on the stock. Finally, William Blair initiated coverage on CAVA Group in a research report on Monday, July 10th. They issued an outperform rating on the stock. Two equities research analysts have rated the stock with a hold rating and six have issued a buy rating to the companys stock. According to MarketBeat.com, CAVA Group presently has a consensus rating of Moderate Buy and an average target price of $48.00. Get CAVA Group alerts: View Our Latest Stock Analysis on CAVA Group CAVA Group Stock Performance Insiders Place Their Bets Shares of CAVA stock opened at $46.40 on Wednesday. CAVA Group has a fifty-two week low of $36.45 and a fifty-two week high of $58.10. The businesss 50-day simple moving average is $46.65. In other CAVA Group news, Director Theodoros Xenohristos bought 4,500 shares of CAVA Group stock in a transaction dated Tuesday, June 20th. The stock was acquired at an average cost of $22.00 per share, with a total value of $99,000.00. Following the purchase, the director now directly owns 4,500 shares in the company, valued at approximately $99,000. The acquisition was disclosed in a filing with the SEC, which is available at this link. In other CAVA Group news, Director Theodoros Xenohristos bought 4,500 shares of CAVA Group stock in a transaction dated Tuesday, June 20th. The stock was acquired at an average cost of $22.00 per share, with a total value of $99,000.00. Following the purchase, the director now directly owns 4,500 shares in the company, valued at approximately $99,000. The acquisition was disclosed in a filing with the SEC, which is available at this link. Also, CFO Tricia K. Tolivar bought 2,500 shares of CAVA Group stock in a transaction dated Tuesday, June 20th. The shares were acquired at an average cost of $22.00 per share, with a total value of $55,000.00. Following the completion of the purchase, the chief financial officer now owns 2,500 shares in the company, valued at $55,000. The disclosure for this purchase can be found here. In the last 90 days, insiders have purchased 9,295 shares of company stock worth $204,490. Institutional Inflows and Outflows Several hedge funds have recently added to or reduced their stakes in CAVA. Cypress Wealth Services LLC acquired a new position in CAVA Group in the 2nd quarter valued at $202,000. Virtu Financial LLC acquired a new position in CAVA Group in the 2nd quarter valued at $887,000. Victory Capital Management Inc. acquired a new position in CAVA Group in the 2nd quarter valued at $40,000. EFG Asset Management North America Corp. acquired a new position in CAVA Group in the 2nd quarter valued at $674,000. Finally, Dakota Wealth Management acquired a new position in CAVA Group in the 2nd quarter valued at $312,000. About CAVA Group (Get Free Report) CAVA Group, Inc owns and operates a chain of Mediterranean restaurants. The company offers salads, dips, spreads, toppings, and dressings. It sells its products through whole food markets and grocery stores. The company also provides online food ordering services. Cava Group, Inc was founded in 2006 and is based in Washington, District of Columbia. Featured Articles Receive News & Ratings for CAVA Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CAVA Group and related companies with MarketBeat.com's FREE daily email newsletter. Providence Capital Advisors LLC increased its holdings in shares of Citigroup Inc. (NYSE:C Free Report) by 49.9% in the 1st quarter, Holdings Channel.com reports. The firm owned 150,806 shares of the companys stock after acquiring an additional 50,206 shares during the quarter. Citigroup makes up approximately 2.1% of Providence Capital Advisors LLCs portfolio, making the stock its 5th largest position. Providence Capital Advisors LLCs holdings in Citigroup were worth $7,883,000 at the end of the most recent reporting period. Several other large investors have also made changes to their positions in C. Bell Investment Advisors Inc lifted its position in shares of Citigroup by 72.1% in the 4th quarter. Bell Investment Advisors Inc now owns 604 shares of the companys stock worth $27,000 after acquiring an additional 253 shares during the period. Grey Fox Wealth Advisors LLC bought a new stake in shares of Citigroup in the 4th quarter worth approximately $33,000. Heritage Wealth Management LLC lifted its position in shares of Citigroup by 140.1% in the 4th quarter. Heritage Wealth Management LLC now owns 862 shares of the companys stock worth $39,000 after acquiring an additional 503 shares during the period. Nelson Van Denburg & Campbell Wealth Management Group LLC lifted its position in shares of Citigroup by 82.0% in the 1st quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC now owns 961 shares of the companys stock worth $51,000 after acquiring an additional 433 shares during the period. Finally, New Hampshire Trust acquired a new position in shares of Citigroup in the 4th quarter worth approximately $45,000. Institutional investors and hedge funds own 69.39% of the companys stock. Get Citigroup alerts: Analysts Set New Price Targets A number of equities analysts have recently issued reports on C shares. BMO Capital Markets dropped their target price on Citigroup from $64.00 to $62.00 in a report on Monday, July 17th. Wells Fargo & Company dropped their target price on Citigroup from $65.00 to $60.00 in a report on Wednesday, June 28th. Evercore ISI dropped their target price on Citigroup from $53.00 to $50.00 in a report on Friday, May 12th. Keefe, Bruyette & Woods dropped their target price on Citigroup from $50.00 to $48.00 in a report on Thursday, May 25th. Finally, Societe Generale cut Citigroup from a buy rating to a hold rating in a report on Monday, July 10th. One research analyst has rated the stock with a sell rating, nine have given a hold rating and six have issued a buy rating to the companys stock. Based on data from MarketBeat, Citigroup has an average rating of Hold and an average target price of $53.73. Citigroup Trading Down 0.7 % Shares of NYSE:C traded down $0.29 during midday trading on Wednesday, hitting $42.92. The company had a trading volume of 7,966,263 shares, compared to its average volume of 17,537,938. Citigroup Inc. has a 12 month low of $40.01 and a 12 month high of $54.02. The company has a current ratio of 0.95, a quick ratio of 0.95 and a debt-to-equity ratio of 1.45. The stock has a market cap of $83.55 billion, a price-to-earnings ratio of 6.81, a PEG ratio of 1.72 and a beta of 1.55. The firm has a fifty day simple moving average of $46.71 and a 200 day simple moving average of $47.38. Citigroup (NYSE:C Get Free Report) last posted its quarterly earnings results on Friday, July 14th. The company reported $1.33 earnings per share (EPS) for the quarter, topping the consensus estimate of $1.31 by $0.02. Citigroup had a return on equity of 6.80% and a net margin of 10.24%. The business had revenue of $19.44 billion for the quarter, compared to analyst estimates of $19.34 billion. During the same period last year, the company earned $2.30 EPS. The firms revenue was down 1.0% on a year-over-year basis. On average, analysts predict that Citigroup Inc. will post 5.78 EPS for the current year. Citigroup Increases Dividend The firm also recently announced a quarterly dividend, which will be paid on Friday, August 25th. Shareholders of record on Monday, August 7th will be issued a dividend of $0.53 per share. The ex-dividend date of this dividend is Friday, August 4th. This is a positive change from Citigroups previous quarterly dividend of $0.51. This represents a $2.12 annualized dividend and a yield of 4.94%. Citigroups payout ratio is currently 33.60%. Citigroup Company Profile (Free Report) Citigroup Inc, a diversified financial services holding company, provides various financial products and services to consumers, corporations, governments, and institutions in North America, Latin America, Asia, Europe, the Middle East, and Africa. It operates through three segments: Institutional Clients Group (ICG), Personal Banking and Wealth Management (PBWM), and Legacy Franchises. Featured Stories Want to see what other hedge funds are holding C? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Citigroup Inc. (NYSE:C Free Report). Receive News & Ratings for Citigroup Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Citigroup and related companies with MarketBeat.com's FREE daily email newsletter. Corteva, Inc. (NYSE:CTVA Get Free Report)s stock price reached a new 52-week low on Wednesday . The stock traded as low as $50.01 and last traded at $50.01, with a volume of 4167008 shares. The stock had previously closed at $50.65. Analyst Ratings Changes Several research firms have recently weighed in on CTVA. Royal Bank of Canada reduced their price target on Corteva from $73.00 to $66.00 and set an outperform rating on the stock in a report on Thursday, July 13th. Credit Suisse Group restated an outperform rating and set a $74.00 price target on shares of Corteva in a report on Friday, August 4th. Barclays reduced their price target on Corteva from $70.00 to $67.00 and set an overweight rating on the stock in a report on Monday. Deutsche Bank Aktiengesellschaft reduced their price target on Corteva from $75.00 to $68.00 in a report on Monday, May 8th. Finally, Vertical Research upgraded Corteva from a hold rating to a buy rating and set a $67.00 price target on the stock in a report on Monday, May 22nd. Five analysts have rated the stock with a hold rating and fourteen have given a buy rating to the companys stock. According to data from MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and an average price target of $70.94. Get Corteva alerts: Check Out Our Latest Stock Report on Corteva Corteva Stock Performance The company has a quick ratio of 1.12, a current ratio of 1.66 and a debt-to-equity ratio of 0.09. The company has a 50 day simple moving average of $56.01 and a 200-day simple moving average of $58.41. The stock has a market capitalization of $35.50 billion, a price-to-earnings ratio of 38.77, a price-to-earnings-growth ratio of 1.39 and a beta of 0.79. Corteva (NYSE:CTVA Get Free Report) last issued its quarterly earnings results on Friday, August 4th. The company reported $1.60 earnings per share (EPS) for the quarter, beating the consensus estimate of $1.58 by $0.02. The firm had revenue of $6.05 billion for the quarter, compared to analyst estimates of $6.44 billion. Corteva had a return on equity of 7.78% and a net margin of 5.26%. The companys revenue was down 3.2% compared to the same quarter last year. During the same period in the previous year, the firm earned $1.64 earnings per share. As a group, equities research analysts expect that Corteva, Inc. will post 2.84 EPS for the current fiscal year. Corteva Increases Dividend The company also recently disclosed a quarterly dividend, which will be paid on Friday, September 15th. Stockholders of record on Friday, September 1st will be issued a dividend of $0.16 per share. This is an increase from Cortevas previous quarterly dividend of $0.15. The ex-dividend date of this dividend is Thursday, August 31st. This represents a $0.64 annualized dividend and a dividend yield of 1.28%. Cortevas payout ratio is currently 46.51%. Institutional Investors Weigh In On Corteva Several hedge funds and other institutional investors have recently modified their holdings of the company. Column Capital Advisors LLC lifted its stake in shares of Corteva by 100.0% during the 4th quarter. Column Capital Advisors LLC now owns 426 shares of the companys stock valued at $25,000 after buying an additional 213 shares in the last quarter. AXS Investments LLC purchased a new stake in shares of Corteva during the 4th quarter valued at approximately $27,000. Cornerstone Planning Group LLC purchased a new stake in shares of Corteva during the 2nd quarter valued at approximately $28,000. Barrett & Company Inc. purchased a new stake in Corteva in the 1st quarter worth approximately $29,000. Finally, Blume Capital Management Inc. lifted its stake in Corteva by 103.8% in the 2nd quarter. Blume Capital Management Inc. now owns 542 shares of the companys stock worth $31,000 after purchasing an additional 276 shares in the last quarter. Institutional investors own 80.63% of the companys stock. About Corteva (Get Free Report) Corteva, Inc operates in the agriculture business. It operates through two segments, Seed and Crop Protection. The Seed segment develops and supplies advanced germplasm and traits that produce optimum yield for farms. It offers trait technologies that enhance resistance to weather, disease, insects, and herbicides used to control weeds, as well as food and nutritional characteristics. Further Reading Receive News & Ratings for Corteva Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Corteva and related companies with MarketBeat.com's FREE daily email newsletter. E China's Ningbo-Zhoushan Port strives to become world-class port 16:46, August 16, 2023 By Zhang Weihao ( People's Daily Ningbo-Zhoushan Port in east China's Zhejiang province, the world's first port to achieve an annual cargo throughput of over one billion tonnes, contributes significantly to the stable operation of China's foreign trade industrial and supply chains as well as the high-quality development of the Chinese economy. Photo shows a view of the brightly lit container terminal of the Meishan port area of Ningbo-Zhoushan Port in east China's Zhejiang province. (People's Daily Online/Pan Weifeng) During the first half of this year, the port saw its cargo throughput climb 6 percent year-on-year to 679 million tonnes, while its container throughput rise 1.2 percent, compared to the same period last year, to 17.68 million 20-foot equivalent units (TEUs), according to data released by the port, on July 7, 2023. Burgeoning ports promise booming trade. Ports are considered a barometer of a country's foreign trade and national economic development. In recent years, cities across China have leveraged smart manufacturing to boost efficient operation of ports, empowered the construction of smart ports with digital technologies, and facilitated green development of ports with sci-tech innovations. Thanks to these efforts, the country's key shipping routes have enjoyed unimpeded, efficient, stable, and orderly operations, playing a positive role in guaranteeing the stability of industrial and supply chains. By helping better unleash the potential of the Chinese economy, these ports inject new impetus into global economic growth. Ningbo-Zhoushan Port enjoys favorable geographical location and superior natural conditions. It lies at the meeting point of the Silk Road Economic Belt and the 21st Century Maritime Silk Road, backed by the Yangtze River Delta economic circle, and facing the main maritime shipping routes on the Pacific Ocean. The port is one of the few deep-water ports in the world. The main channels of its core port area are over 22.5 meters deep, allowing 300,000-tonnage vessels to freely enter and depart the port, while 400,000-tonnage vessels can enter and depart the port during high tides. Automated track cranes are being installed at the container terminal of the Jintang port area of Ningbo-Zhoushan Port in east China's Zhejiang province, April 21, 2023. (People's Daily Online/Yao Feng) The port also boasts first-class infrastructure. It has over 200 berths for 10,000-tonne vessels and above and more than 120 large and extra-large deep-water berths for 50,000-tonne vessels and above, making it the port with the most large and extra-large deep-water berths in the Chinese mainland. Because of its unparalleled strengths, Ningbo-Zhoushan Port has ranked first in the world in annual cargo throughput for 14 consecutive years. Last year, it handled 33.35 million TEUs of containers, maintaining its position as the world's third largest port in terms of container throughput. The port is also the second largest port in China in terms of sea-rail intermodal cargo transportation volume. It operates 23 sea-rail intermodal train routes, providing services covering 63 prefecture-level cities in 16 provinces across the country. The port has opened 122 shipping routes connecting China and countries and regions along the Belt and Road, and has increased its number of maritime shipping routes to Central and Eastern Europe to 14. Last year, the port and five ports in Central and Eastern Europe handled 769,000 TEUs of containers for foreign trade between China and Central and Eastern Europe, up 16.5 percent compared to the previous year. On July 5, 2023, 400,000-tonne ore carrier Ore Brasil docked slowly at the No. 1 berth of the Shulanghu ore transfer terminal of the Ningbo-Zhoushan Port with the help of several tugboats. With this, all 68 ore carriers with the largest capacity of 400,000 tonnes in the world had sailed to the Ningbo-Zhoushan Port, fully demonstrating the port's advantage of being a comprehensive hub port. Ultra-large container ship MSC Michel Cappellini sails slowly into a berth with the help of tugboats at the container terminal of the Chuanshan port area of Ningbo-Zhoushan Port in east China's Zhejiang province, June 2, 2023. (People's Daily Online/Jiang Xiaodong) At present, Ningbo-Zhoushan Port is striving to usher in a new phase of growth by advancing smart port construction and promoting green and low-carbon transformation. The terminal in the Meishan port area of Ningbo-Zhoushan Port has significantly improved operational efficiency through intelligent facilities, such as unmanned container trucks and remotely controlled automated gantry cranes and bridge cranes. In an effort to enhance energy conservation and cut carbon emissions, the terminal has adopted photovoltaic technology to provide solar power for some of its equipment. Meanwhile, it has achieved 100-percent shore-side power coverage, providing clean energy to ships berthed at the port area. The busy and thriving scenes and streams of substantive data from the Ningbo-Zhoushan Port inspire confidence and hearten people. With over 300 container shipping routes linking more than 600 ports in over 200 countries and regions, the port has woven a maritime shipping and trade network that connects China to the rest of the world, contributing to China's efforts to foster a new pattern of development that is focused on the domestic economy and features positive interplay between domestic and international economic flows. (Web editor: Chang Sha, Hongyu) Frontier Asset Management LLC trimmed its holdings in Abbott Laboratories (NYSE:ABT Free Report) by 3.7% in the 1st quarter, according to its most recent disclosure with the Securities & Exchange Commission. The institutional investor owned 32,280 shares of the healthcare product makers stock after selling 1,250 shares during the period. Abbott Laboratories accounts for approximately 1.5% of Frontier Asset Management LLCs holdings, making the stock its 20th largest position. Frontier Asset Management LLCs holdings in Abbott Laboratories were worth $3,269,000 at the end of the most recent quarter. Several other hedge funds also recently modified their holdings of the business. Meiji Yasuda Life Insurance Co raised its position in shares of Abbott Laboratories by 0.3% in the first quarter. Meiji Yasuda Life Insurance Co now owns 35,617 shares of the healthcare product makers stock valued at $3,607,000 after purchasing an additional 118 shares during the period. Kentucky Retirement Systems Insurance Trust Fund acquired a new position in Abbott Laboratories during the 1st quarter worth $6,282,000. San Luis Wealth Advisors LLC acquired a new stake in shares of Abbott Laboratories in the first quarter valued at about $2,030,000. Great Lakes Advisors LLC increased its stake in shares of Abbott Laboratories by 2,146.9% in the first quarter. Great Lakes Advisors LLC now owns 1,042,360 shares of the healthcare product makers stock worth $105,549,000 after purchasing an additional 995,969 shares during the period. Finally, RE Dickinson Investment Advisors LLC lifted its position in shares of Abbott Laboratories by 86.8% during the 1st quarter. RE Dickinson Investment Advisors LLC now owns 1,489 shares of the healthcare product makers stock worth $81,000 after purchasing an additional 692 shares during the last quarter. Institutional investors and hedge funds own 73.08% of the companys stock. Get Abbott Laboratories alerts: Abbott Laboratories Price Performance Shares of NYSE:ABT traded up $0.27 on Wednesday, hitting $105.21. The stock had a trading volume of 926,561 shares, compared to its average volume of 4,988,833. The firms 50 day moving average price is $107.42 and its 200-day moving average price is $105.87. The company has a debt-to-equity ratio of 0.39, a quick ratio of 1.16 and a current ratio of 1.64. Abbott Laboratories has a twelve month low of $93.25 and a twelve month high of $115.83. The company has a market capitalization of $182.58 billion, a price-to-earnings ratio of 35.81, a price-to-earnings-growth ratio of 4.74 and a beta of 0.67. Abbott Laboratories Dividend Announcement Abbott Laboratories ( NYSE:ABT Get Free Report ) last released its quarterly earnings results on Thursday, July 20th. The healthcare product maker reported $1.08 EPS for the quarter, beating analysts consensus estimates of $1.05 by $0.03. The firm had revenue of $9.98 billion for the quarter, compared to analyst estimates of $9.71 billion. Abbott Laboratories had a net margin of 12.83% and a return on equity of 20.50%. The companys revenue for the quarter was down 11.4% compared to the same quarter last year. During the same period in the previous year, the business earned $1.43 earnings per share. On average, equities research analysts predict that Abbott Laboratories will post 4.4 earnings per share for the current year. The firm also recently disclosed a quarterly dividend, which was paid on Tuesday, August 15th. Shareholders of record on Friday, July 14th were given a $0.51 dividend. The ex-dividend date of this dividend was Thursday, July 13th. This represents a $2.04 annualized dividend and a yield of 1.94%. Abbott Laboratoriess payout ratio is 69.62%. Wall Street Analysts Forecast Growth Several research analysts have commented on the company. UBS Group lifted their price target on Abbott Laboratories from $117.00 to $130.00 and gave the stock a buy rating in a research report on Thursday, April 20th. Citigroup upped their target price on shares of Abbott Laboratories from $125.00 to $130.00 and gave the company a buy rating in a research note on Wednesday, April 19th. JPMorgan Chase & Co. raised their price target on shares of Abbott Laboratories from $118.00 to $122.00 and gave the stock an overweight rating in a research report on Thursday, April 20th. Morgan Stanley reaffirmed an equal weight rating and set a $112.00 price objective on shares of Abbott Laboratories in a research report on Friday, July 21st. Finally, Raymond James lifted their target price on Abbott Laboratories from $116.00 to $123.00 in a research report on Thursday, April 20th. Six research analysts have rated the stock with a hold rating and eleven have assigned a buy rating to the stock. According to MarketBeat, the company has an average rating of Moderate Buy and a consensus target price of $120.82. View Our Latest Report on ABT Insiders Place Their Bets In related news, EVP Andrea F. Wainer sold 10,400 shares of Abbott Laboratories stock in a transaction dated Tuesday, August 8th. The stock was sold at an average price of $105.03, for a total value of $1,092,312.00. Following the completion of the transaction, the executive vice president now directly owns 65,027 shares of the companys stock, valued at $6,829,785.81. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this link. In related news, Director Daniel J. Starks sold 50,000 shares of the businesss stock in a transaction that occurred on Thursday, July 27th. The shares were sold at an average price of $113.76, for a total value of $5,688,000.00. Following the completion of the transaction, the director now owns 6,775,316 shares in the company, valued at approximately $770,759,948.16. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website. Also, EVP Andrea F. Wainer sold 10,400 shares of Abbott Laboratories stock in a transaction on Tuesday, August 8th. The shares were sold at an average price of $105.03, for a total value of $1,092,312.00. Following the completion of the sale, the executive vice president now directly owns 65,027 shares of the companys stock, valued at $6,829,785.81. The disclosure for this sale can be found here. Insiders own 1.10% of the companys stock. Abbott Laboratories Profile (Free Report) Abbott Laboratories, together with its subsidiaries, discovers, develops, manufactures, and sells health care products worldwide. It operates in four segments: Established Pharmaceutical Products, Diagnostic Products, Nutritional Products, and Medical Devices. The Established Pharmaceutical Products segment provides generic pharmaceuticals for the treatment of pancreatic exocrine insufficiency, irritable bowel syndrome or biliary spasm, intrahepatic cholestasis or depressive symptoms, gynecological disorder, hormone replacement therapy, dyslipidemia, hypertension, hypothyroidism, Meniere's disease and vestibular vertigo, pain, fever, inflammation, and migraine, as well as provides anti-infective clarithromycin, influenza vaccine, and products to regulate physiological rhythm of the colon. Featured Articles Want to see what other hedge funds are holding ABT? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Abbott Laboratories (NYSE:ABT Free Report). Receive News & Ratings for Abbott Laboratories Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Abbott Laboratories and related companies with MarketBeat.com's FREE daily email newsletter. Grove Bank & Trust trimmed its stake in shares of 3M (NYSE:MMM Free Report) by 16.9% in the first quarter, according to the company in its most recent Form 13F filing with the Securities and Exchange Commission (SEC). The firm owned 1,643 shares of the conglomerates stock after selling 334 shares during the quarter. Grove Bank & Trusts holdings in 3M were worth $173,000 at the end of the most recent reporting period. Several other institutional investors and hedge funds have also recently modified their holdings of MMM. Dfpg Investments LLC bought a new position in 3M in the 4th quarter valued at about $531,000. Mirador Capital Partners LP bought a new position in shares of 3M during the first quarter worth approximately $304,000. Louisiana State Employees Retirement System increased its stake in shares of 3M by 0.3% during the first quarter. Louisiana State Employees Retirement System now owns 35,800 shares of the conglomerates stock worth $3,763,000 after purchasing an additional 100 shares during the period. Mayflower Financial Advisors LLC lifted its holdings in 3M by 1.6% during the 1st quarter. Mayflower Financial Advisors LLC now owns 33,190 shares of the conglomerates stock worth $3,489,000 after purchasing an additional 526 shares during the last quarter. Finally, Cypress Capital Group boosted its stake in 3M by 0.6% in the 1st quarter. Cypress Capital Group now owns 36,359 shares of the conglomerates stock valued at $3,822,000 after purchasing an additional 225 shares during the period. 64.60% of the stock is currently owned by institutional investors and hedge funds. Get 3M alerts: Insider Buying and Selling In other 3M news, insider Khandpur K. Ashish sold 9,432 shares of the businesss stock in a transaction on Thursday, May 18th. The shares were sold at an average price of $99.27, for a total transaction of $936,314.64. Following the sale, the insider now owns 20,943 shares of the companys stock, valued at $2,079,011.61. The transaction was disclosed in a legal filing with the SEC, which is accessible through this link. 0.51% of the stock is owned by corporate insiders. Wall Street Analysts Forecast Growth A number of equities research analysts have recently weighed in on MMM shares. StockNews.com downgraded 3M from a buy rating to a hold rating in a report on Thursday, July 20th. Morgan Stanley reiterated an underweight rating and issued a $100.00 price objective on shares of 3M in a research note on Friday, July 14th. Wells Fargo & Company decreased their target price on shares of 3M from $110.00 to $100.00 in a research report on Monday, July 10th. Bank of America upgraded shares of 3M from an underperform rating to a neutral rating and set a $110.00 price target for the company in a research report on Tuesday, July 11th. Finally, UBS Group increased their price target on 3M from $95.00 to $115.00 in a research report on Tuesday, July 25th. Two equities research analysts have rated the stock with a sell rating and nine have given a hold rating to the companys stock. According to MarketBeat.com, the stock has an average rating of Hold and a consensus price target of $115.83. View Our Latest Report on 3M 3M Stock Down 2.2 % NYSE MMM opened at $101.17 on Wednesday. The business has a fifty day moving average price of $103.18 and a two-hundred day moving average price of $104.49. The stock has a market capitalization of $55.84 billion, a PE ratio of -35.62, a PEG ratio of 1.60 and a beta of 0.96. 3M has a fifty-two week low of $92.38 and a fifty-two week high of $151.31. The company has a debt-to-equity ratio of 1.65, a current ratio of 1.44 and a quick ratio of 0.96. 3M (NYSE:MMM Get Free Report) last announced its quarterly earnings data on Tuesday, July 25th. The conglomerate reported $2.17 earnings per share for the quarter, topping the consensus estimate of $1.73 by $0.44. 3M had a positive return on equity of 38.99% and a negative net margin of 4.43%. The business had revenue of $7.99 billion during the quarter, compared to the consensus estimate of $7.88 billion. During the same period in the prior year, the company earned $2.48 EPS. 3Ms revenue for the quarter was down 8.1% on a year-over-year basis. Equities analysts expect that 3M will post 8.95 earnings per share for the current fiscal year. 3M Dividend Announcement The business also recently declared a quarterly dividend, which will be paid on Tuesday, September 12th. Investors of record on Monday, August 21st will be issued a dividend of $1.50 per share. This represents a $6.00 annualized dividend and a dividend yield of 5.93%. The ex-dividend date of this dividend is Friday, August 18th. 3Ms dividend payout ratio (DPR) is presently -211.27%. 3M Company Profile (Free Report) 3M Company provides diversified technology services in the United States and internationally. The company operates through four segments: Safety and Industrial; Transportation and Electronics; Health Care; and Consumer. The Safety and Industrial segment offers industrial abrasives and finishing for metalworking applications; autobody repair solutions; closure systems for personal hygiene products, masking, and packaging materials; electrical products and materials for construction and maintenance, power distribution, and electrical original equipment manufacturers; structural adhesives and tapes; respiratory, hearing, eye, and fall protection solutions; and natural and color-coated mineral granules for shingles. Read More Receive News & Ratings for 3M Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for 3M and related companies with MarketBeat.com's FREE daily email newsletter. HubSpot (NYSE:HUBS Get Free Report) had its price objective lifted by equities research analysts at Argus from $550.00 to $604.00 in a research note issued to investors on Wednesday, Benzinga reports. The brokerage presently has a buy rating on the software makers stock. Argus target price indicates a potential upside of 18.06% from the stocks current price. Several other equities research analysts have also weighed in on the company. UBS Group lifted their price target on HubSpot from $475.00 to $550.00 in a research report on Thursday, August 3rd. Truist Financial lifted their price objective on HubSpot from $500.00 to $600.00 and gave the company a buy rating in a research note on Thursday, August 3rd. Oppenheimer reiterated an outperform rating and set a $620.00 price objective on shares of HubSpot in a research note on Thursday, August 3rd. Barclays lifted their price objective on HubSpot from $425.00 to $525.00 and gave the company an equal weight rating in a research note on Tuesday, July 25th. Finally, TheStreet upgraded HubSpot from a d+ rating to a c- rating in a research note on Friday, May 5th. Three analysts have rated the stock with a hold rating, twenty have given a buy rating and one has issued a strong buy rating to the companys stock. According to data from MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and a consensus price target of $502.67. Get HubSpot alerts: Check Out Our Latest Report on HubSpot HubSpot Stock Up 0.6 % Insider Buying and Selling at HubSpot Shares of NYSE HUBS traded up $3.05 during mid-day trading on Wednesday, hitting $511.61. 326,899 shares of the stock traded hands, compared to its average volume of 627,928. The company has a debt-to-equity ratio of 0.42, a quick ratio of 2.25 and a current ratio of 2.25. HubSpot has a 52 week low of $245.03 and a 52 week high of $581.40. The company has a market cap of $25.59 billion, a price-to-earnings ratio of -123.91 and a beta of 1.58. The stock has a fifty day moving average price of $526.19 and a 200-day moving average price of $456.76. In other news, CEO Yamini Rangan sold 10,846 shares of the firms stock in a transaction dated Monday, June 12th. The stock was sold at an average price of $516.83, for a total value of $5,605,538.18. Following the transaction, the chief executive officer now owns 63,801 shares of the companys stock, valued at $32,974,270.83. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. In related news, CFO Kathryn Bueker sold 406 shares of the companys stock in a transaction on Friday, May 26th. The shares were sold at an average price of $500.00, for a total value of $203,000.00. Following the completion of the transaction, the chief financial officer now owns 40,027 shares of the companys stock, valued at approximately $20,013,500. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this link. Also, CEO Yamini Rangan sold 10,846 shares of the stock in a transaction on Monday, June 12th. The stock was sold at an average price of $516.83, for a total value of $5,605,538.18. Following the completion of the sale, the chief executive officer now owns 63,801 shares of the companys stock, valued at approximately $32,974,270.83. The disclosure for this sale can be found here. Over the last quarter, insiders sold 35,620 shares of company stock valued at $18,719,447. Company insiders own 4.90% of the companys stock. Institutional Inflows and Outflows A number of large investors have recently added to or reduced their stakes in the business. Yousif Capital Management LLC purchased a new position in shares of HubSpot in the first quarter worth $231,000. Moore Capital Management LP bought a new position in shares of HubSpot during the 4th quarter valued at about $6,505,000. Handelsbanken Fonder AB boosted its holdings in shares of HubSpot by 60.4% during the 4th quarter. Handelsbanken Fonder AB now owns 6,735 shares of the software makers stock valued at $1,947,000 after purchasing an additional 2,535 shares during the last quarter. Los Angeles Capital Management LLC boosted its holdings in shares of HubSpot by 51.0% during the 1st quarter. Los Angeles Capital Management LLC now owns 61,795 shares of the software makers stock valued at $26,495,000 after purchasing an additional 20,882 shares during the last quarter. Finally, Heritage Wealth Management LLC boosted its holdings in shares of HubSpot by 100.0% during the 4th quarter. Heritage Wealth Management LLC now owns 304 shares of the software makers stock valued at $88,000 after purchasing an additional 152 shares during the last quarter. 89.32% of the stock is owned by hedge funds and other institutional investors. HubSpot Company Profile (Get Free Report) HubSpot, Inc provides a cloud-based customer relationship management (CRM) platform for businesses in the Americas, Europe, and the Asia Pacific. The company's CRM platform includes marketing, sales, service, and content management systems, as well as integrated applications, such as search engine optimization, blogging, website content management, messaging, chatbots, social media, marketing automation, email, predictive lead scoring, sales productivity, knowledge base, commerce, conversation routing, video hosting, ticketing and helpdesk tools, customer NPS surveys, analytics, and reporting. Featured Stories Receive News & Ratings for HubSpot Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for HubSpot and related companies with MarketBeat.com's FREE daily email newsletter. Providence Capital Advisors LLC cut its stake in shares of Bank of America Co. (NYSE:BAC) by 86.6% during the 1st quarter, according to the company in its most recent 13F filing with the Securities and Exchange Commission. The institutional investor owned 18,147 shares of the financial services providers stock after selling 117,390 shares during the period. Providence Capital Advisors LLCs holdings in Bank of America were worth $4,492,000 as of its most recent SEC filing. Several other large investors have also bought and sold shares of the stock. Tanglewood Legacy Advisors LLC bought a new stake in shares of Bank of America during the 4th quarter worth $26,000. Grey Fox Wealth Advisors LLC bought a new stake in shares of Bank of America during the 4th quarter worth $35,000. Ahrens Investment Partners LLC bought a new stake in shares of Bank of America during the 1st quarter worth $31,000. Mendota Financial Group LLC bought a new stake in shares of Bank of America during the 4th quarter worth $36,000. Finally, Live Oak Investment Partners bought a new stake in shares of Bank of America during the 4th quarter worth $39,000. 67.34% of the stock is currently owned by institutional investors. Get Bank of America alerts: Insider Buying and Selling In related news, insider James P. Demare sold 75,000 shares of the businesss stock in a transaction that occurred on Tuesday, August 1st. The stock was sold at an average price of $31.53, for a total value of $2,364,750.00. Following the completion of the sale, the insider now owns 185,108 shares in the company, valued at approximately $5,836,455.24. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this link. In related news, insider Dean C. Athanasia sold 77,806 shares of the companys stock in a transaction on Thursday, July 20th. The stock was sold at an average price of $31.48, for a total value of $2,449,332.88. Following the sale, the insider now directly owns 353,971 shares of the companys stock, valued at $11,143,007.08. The sale was disclosed in a legal filing with the SEC, which is available through the SEC website. Also, insider James P. Demare sold 75,000 shares of the stock in a transaction on Tuesday, August 1st. The stock was sold at an average price of $31.53, for a total value of $2,364,750.00. Following the completion of the sale, the insider now directly owns 185,108 shares in the company, valued at $5,836,455.24. The disclosure for this sale can be found here. 0.27% of the stock is currently owned by corporate insiders. Wall Street Analysts Forecast Growth BAC has been the topic of a number of recent analyst reports. UBS Group lifted their target price on Bank of America from $34.00 to $36.00 and gave the stock a buy rating in a research note on Wednesday, April 19th. Evercore ISI decreased their price objective on Bank of America from $36.00 to $35.00 in a report on Friday, May 12th. Royal Bank of Canada reissued an outperform rating and issued a $35.00 price objective on shares of Bank of America in a report on Friday, June 30th. Morgan Stanley lifted their price objective on Bank of America from $32.00 to $33.00 in a report on Friday, July 7th. Finally, StockNews.com started coverage on Bank of America in a report on Thursday, May 18th. They issued a hold rating for the company. Two research analysts have rated the stock with a sell rating, seven have issued a hold rating and eight have given a buy rating to the companys stock. Based on data from MarketBeat, the stock presently has an average rating of Hold and an average price target of $36.26. Get Our Latest Research Report on BAC Bank of America Price Performance Shares of NYSE BAC traded down $0.53 during midday trading on Wednesday, hitting $29.41. 26,091,942 shares of the companys stock were exchanged, compared to its average volume of 50,654,773. Bank of America Co. has a 12-month low of $26.32 and a 12-month high of $38.60. The company has a current ratio of 0.83, a quick ratio of 0.83 and a debt-to-equity ratio of 1.12. The company has a market cap of $233.70 billion, a price-to-earnings ratio of 8.48, a PEG ratio of 1.30 and a beta of 1.36. The businesss fifty day moving average price is $29.99 and its two-hundred day moving average price is $30.28. Bank of America (NYSE:BAC Get Free Report) last issued its quarterly earnings data on Tuesday, July 18th. The financial services provider reported $0.88 EPS for the quarter, topping the consensus estimate of $0.84 by $0.04. The company had revenue of $25.20 billion during the quarter, compared to analyst estimates of $24.98 billion. Bank of America had a return on equity of 12.01% and a net margin of 19.97%. Bank of Americas revenue was up 11.1% on a year-over-year basis. During the same quarter in the previous year, the firm earned $0.73 earnings per share. As a group, research analysts forecast that Bank of America Co. will post 3.4 EPS for the current fiscal year. Bank of America Increases Dividend The company also recently announced a quarterly dividend, which will be paid on Friday, September 29th. Investors of record on Friday, September 1st will be given a $0.24 dividend. This is an increase from Bank of Americas previous quarterly dividend of $0.22. The ex-dividend date is Thursday, August 31st. This represents a $0.96 dividend on an annualized basis and a yield of 3.26%. Bank of Americas payout ratio is presently 25.29%. Bank of America Company Profile (Free Report) Bank of America Corporation, through its subsidiaries, provides banking and financial products and services for individual consumers, small and middle-market businesses, institutional investors, large corporations, and governments worldwide. Its Consumer Banking segment offers traditional and money market savings accounts, certificates of deposit and IRAs, noninterest-and interest-bearing checking accounts, and investment accounts and products; and credit and debit cards, residential mortgages, and home equity loans, as well as direct and indirect loans, such as automotive, recreational vehicle, and consumer personal loans. See Also Want to see what other hedge funds are holding BAC? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Bank of America Co. (NYSE:BAC Free Report). Receive News & Ratings for Bank of America Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of America and related companies with MarketBeat.com's FREE daily email newsletter. EMCOR Group, Inc. (NYSE:EME Free Report) Investment analysts at Zacks Research lifted their Q1 2024 earnings per share (EPS) estimates for shares of EMCOR Group in a research report issued to clients and investors on Thursday, August 10th. Zacks Research analyst A. Gupta now expects that the construction company will post earnings per share of $2.56 for the quarter, up from their previous estimate of $2.34. The consensus estimate for EMCOR Groups current full-year earnings is $11.07 per share. Zacks Research also issued estimates for EMCOR Groups Q2 2024 earnings at $2.79 EPS, Q3 2024 earnings at $2.90 EPS, Q4 2024 earnings at $2.98 EPS and Q1 2025 earnings at $2.75 EPS. Get EMCOR Group alerts: EMCOR Group (NYSE:EME Get Free Report) last issued its quarterly earnings results on Thursday, July 27th. The construction company reported $2.95 earnings per share (EPS) for the quarter, beating the consensus estimate of $2.37 by $0.58. EMCOR Group had a return on equity of 24.20% and a net margin of 4.13%. The company had revenue of $3.05 billion for the quarter, compared to analyst estimates of $3.01 billion. During the same quarter last year, the company posted $1.99 EPS. The companys revenue for the quarter was up 12.7% compared to the same quarter last year. Separately, StockNews.com initiated coverage on EMCOR Group in a research note on Thursday, May 18th. They issued a strong-buy rating on the stock. View Our Latest Stock Analysis on EME EMCOR Group Trading Down 1.2 % Shares of EME opened at $220.73 on Monday. EMCOR Group has a 52-week low of $112.85 and a 52-week high of $223.49. The businesss fifty day moving average is $192.09 and its 200-day moving average is $171.28. The company has a debt-to-equity ratio of 0.11, a quick ratio of 1.26 and a current ratio of 1.29. The firm has a market cap of $10.50 billion, a price-to-earnings ratio of 21.94 and a beta of 1.12. Institutional Inflows and Outflows Several hedge funds and other institutional investors have recently bought and sold shares of EME. BlackRock Inc. lifted its stake in shares of EMCOR Group by 0.8% in the 1st quarter. BlackRock Inc. now owns 6,152,648 shares of the construction companys stock valued at $1,000,359,000 after purchasing an additional 47,507 shares during the period. Kayne Anderson Rudnick Investment Management LLC lifted its stake in EMCOR Group by 2.4% in the first quarter. Kayne Anderson Rudnick Investment Management LLC now owns 3,565,326 shares of the construction companys stock valued at $579,687,000 after purchasing an additional 82,360 shares during the last quarter. FMR LLC lifted its stake in EMCOR Group by 50.6% in the first quarter. FMR LLC now owns 2,262,285 shares of the construction companys stock valued at $367,825,000 after purchasing an additional 760,499 shares during the last quarter. State Street Corp lifted its stake in EMCOR Group by 3.6% in the first quarter. State Street Corp now owns 1,744,930 shares of the construction companys stock valued at $196,531,000 after purchasing an additional 61,165 shares during the last quarter. Finally, Envestnet Asset Management Inc. boosted its holdings in shares of EMCOR Group by 562.6% in the first quarter. Envestnet Asset Management Inc. now owns 978,137 shares of the construction companys stock worth $24,087,000 after acquiring an additional 830,522 shares during the period. 96.67% of the stock is owned by institutional investors and hedge funds. Insider Activity at EMCOR Group In related news, CEO Anthony Guzzi sold 11,000 shares of the firms stock in a transaction on Thursday, July 27th. The stock was sold at an average price of $196.37, for a total transaction of $2,160,070.00. Following the sale, the chief executive officer now owns 224,722 shares of the companys stock, valued at approximately $44,128,659.14. The transaction was disclosed in a document filed with the SEC, which is available at this hyperlink. Insiders have sold a total of 22,000 shares of company stock valued at $4,196,296 in the last 90 days. 1.60% of the stock is owned by corporate insiders. EMCOR Group Dividend Announcement The company also recently disclosed a quarterly dividend, which was paid on Monday, July 31st. Investors of record on Thursday, July 20th were issued a dividend of $0.18 per share. This represents a $0.72 annualized dividend and a dividend yield of 0.33%. The ex-dividend date was Wednesday, July 19th. EMCOR Groups dividend payout ratio (DPR) is 7.16%. EMCOR Group Company Profile (Get Free Report) EMCOR Group, Inc provides electrical and mechanical construction, and facilities services primarily in the United States and the United Kingdom. It offers design, integration, installation, start-up, operation, and maintenance services related to electrical power transmission, distribution, and generation systems; energy solutions; premises electrical and lighting systems; process instrumentation in the refining, chemical processing, and food processing industries; low-voltage systems, such as fire alarm, security, and process control systems; voice and data communications systems; roadway and transit lighting, signaling, and fiber optic lines; heating, ventilation, air conditioning, refrigeration, and geothermal solutions; clean-room process ventilation systems; fire protection and suppression systems; plumbing, process, and high-purity piping systems; controls and filtration systems; water and wastewater treatment systems; central plant heating and cooling systems; crane and rigging services; millwright services; and steel fabrication, erection, and welding services. See Also Receive News & Ratings for EMCOR Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EMCOR Group and related companies with MarketBeat.com's FREE daily email newsletter. Target Co. (NYSE:TGT Free Report) Equities researchers at DA Davidson reduced their Q2 2024 earnings per share estimates for Target in a note issued to investors on Thursday, August 10th. DA Davidson analyst M. Baker now expects that the retailer will earn $1.45 per share for the quarter, down from their previous forecast of $1.47. The consensus estimate for Targets current full-year earnings is $7.95 per share. DA Davidson also issued estimates for Targets FY2024 earnings at $8.14 EPS and FY2025 earnings at $10.41 EPS. Get Target alerts: A number of other equities analysts have also weighed in on the company. Piper Sandler lowered their target price on Target from $220.00 to $185.00 and set an overweight rating on the stock in a research note on Monday. Citigroup lowered Target from a buy rating to a neutral rating and lowered their target price for the stock from $177.00 to $130.00 in a research note on Friday, June 9th. TheStreet lowered Target from a b- rating to a c+ rating in a research note on Friday, June 16th. Oppenheimer lowered their price objective on Target from $190.00 to $165.00 and set an outperform rating on the stock in a research note on Tuesday, August 1st. Finally, Stifel Nicolaus lowered their price objective on Target from $175.00 to $160.00 and set a hold rating on the stock in a research note on Monday. Sixteen research analysts have rated the stock with a hold rating and eleven have assigned a buy rating to the stock. According to data from MarketBeat, the company has an average rating of Hold and an average price target of $171.32. Target Price Performance Shares of NYSE TGT opened at $125.08 on Monday. The firm has a market cap of $57.73 billion, a P/E ratio of 21.31, a price-to-earnings-growth ratio of 1.07 and a beta of 1.01. The company has a current ratio of 0.88, a quick ratio of 0.18 and a debt-to-equity ratio of 1.38. Target has a 1-year low of $124.96 and a 1-year high of $183.89. The businesss 50 day moving average is $132.34 and its 200-day moving average is $150.41. Target (NYSE:TGT Get Free Report) last announced its earnings results on Wednesday, May 17th. The retailer reported $2.05 earnings per share for the quarter, topping analysts consensus estimates of $1.76 by $0.29. The company had revenue of $24.95 billion for the quarter, compared to analyst estimates of $25.28 billion. Target had a return on equity of 24.49% and a net margin of 2.49%. The firms quarterly revenue was up .5% compared to the same quarter last year. During the same quarter last year, the company earned $2.19 earnings per share. Institutional Investors Weigh In On Target Several hedge funds have recently modified their holdings of the company. Equitable Holdings Inc. boosted its holdings in shares of Target by 7.0% during the 2nd quarter. Equitable Holdings Inc. now owns 9,655 shares of the retailers stock valued at $1,273,000 after acquiring an additional 634 shares in the last quarter. Invesco LLC acquired a new position in Target during the 2nd quarter worth about $776,000. Imprint Wealth LLC lifted its holdings in Target by 10,335.1% during the 2nd quarter. Imprint Wealth LLC now owns 298,236 shares of the retailers stock worth $29,824,000 after buying an additional 295,378 shares during the period. CM Wealth Advisors LLC bought a new position in shares of Target in the 2nd quarter worth about $218,000. Finally, State of Tennessee Treasury Department raised its position in shares of Target by 49.0% in the 2nd quarter. State of Tennessee Treasury Department now owns 107,494 shares of the retailers stock worth $14,178,000 after acquiring an additional 35,367 shares in the last quarter. Institutional investors and hedge funds own 79.05% of the companys stock. Insider Activity at Target In related news, CAO Matthew A. Liegel sold 1,459 shares of the firms stock in a transaction on Thursday, May 18th. The stock was sold at an average price of $160.75, for a total transaction of $234,534.25. Following the sale, the chief accounting officer now owns 3,748 shares of the companys stock, valued at approximately $602,491. The transaction was disclosed in a document filed with the SEC, which is available at this hyperlink. 0.23% of the stock is currently owned by company insiders. Target Increases Dividend The company also recently disclosed a quarterly dividend, which will be paid on Sunday, September 10th. Investors of record on Wednesday, August 16th will be issued a dividend of $1.10 per share. This is an increase from Targets previous quarterly dividend of $1.08. The ex-dividend date of this dividend is Tuesday, August 15th. This represents a $4.40 annualized dividend and a dividend yield of 3.52%. Targets dividend payout ratio (DPR) is 73.59%. About Target (Get Free Report) Target Corporation operates as a general merchandise retailer in the United States. The company offers apparel for women, men, boys, girls, toddlers, and infants and newborns, as well as jewelry, accessories, and shoes; and beauty and personal care, baby gear, cleaning, paper products, and pet supplies. Recommended Stories Receive News & Ratings for Target Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Target and related companies with MarketBeat.com's FREE daily email newsletter. Manulife Financial Co. (TSE:MFC Free Report) (NYSE:MFC) Analysts at Cormark decreased their Q3 2023 earnings per share (EPS) estimates for Manulife Financial in a report issued on Thursday, August 10th. Cormark analyst L. Persaud now expects that the financial services provider will post earnings of $0.86 per share for the quarter, down from their previous estimate of $0.94. The consensus estimate for Manulife Financials current full-year earnings is $3.17 per share. Cormark also issued estimates for Manulife Financials Q4 2023 earnings at $0.82 EPS, FY2023 earnings at $3.27 EPS and Q4 2024 earnings at $0.91 EPS. Get Manulife Financial alerts: Manulife Financial (TSE:MFC Get Free Report) (NYSE:MFC) last posted its quarterly earnings results on Wednesday, August 9th. The financial services provider reported C$0.83 earnings per share for the quarter, beating the consensus estimate of C$0.79 by C$0.04. The firm had revenue of C$12.09 billion for the quarter, compared to analyst estimates of C$15.64 billion. Manulife Financial had a return on equity of 18.59% and a net margin of 52.92%. Several other research analysts have also weighed in on the company. Barclays upped their target price on Manulife Financial from C$31.00 to C$33.00 in a research report on Friday, August 11th. TD Securities upped their target price on Manulife Financial from C$34.00 to C$35.00 in a research report on Thursday, May 11th. CIBC upped their target price on Manulife Financial from C$27.00 to C$28.00 in a research report on Friday, August 11th. Desjardins set a C$28.00 target price on Manulife Financial and gave the stock a hold rating in a research report on Thursday, July 20th. Finally, Royal Bank of Canada upped their target price on Manulife Financial from C$28.00 to C$30.00 in a research report on Friday, May 12th. Four investment analysts have rated the stock with a hold rating and one has issued a strong buy rating to the company. Based on data from MarketBeat, Manulife Financial currently has a consensus rating of Hold and an average price target of C$28.65. Read Our Latest Stock Analysis on Manulife Financial Manulife Financial Stock Down 2.3 % TSE:MFC opened at C$25.37 on Monday. The company has a current ratio of 124.91, a quick ratio of 2.58 and a debt-to-equity ratio of 47.88. Manulife Financial has a 1-year low of C$20.81 and a 1-year high of C$27.50. The businesss fifty day moving average price is C$25.37 and its 200 day moving average price is C$25.70. The firm has a market capitalization of C$46.68 billion, a price-to-earnings ratio of 5.00, a PEG ratio of 15.28 and a beta of 1.13. Manulife Financial Announces Dividend The firm also recently announced a quarterly dividend, which will be paid on Tuesday, September 19th. Investors of record on Wednesday, August 23rd will be paid a dividend of $0.365 per share. The ex-dividend date of this dividend is Tuesday, August 22nd. This represents a $1.46 annualized dividend and a yield of 5.75%. Manulife Financials payout ratio is 28.80%. Manulife Financial Company Profile (Get Free Report) Manulife Financial Corporation, together with its subsidiaries, provides financial products and services in Asia, Canada, the United States, and internationally. The company operates through Wealth and Asset Management Businesses; Insurance and Annuity Products; and Corporate and Other segments. The Wealth and Asset Management Businesses segment offers investment advice and solutions to retirement, retail, and institutional clients through multiple distribution channels, including agents and brokers affiliated with the company, independent securities brokerage firms and financial advisors pension plan consultants, and banks. Read More Receive News & Ratings for Manulife Financial Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Manulife Financial and related companies with MarketBeat.com's FREE daily email newsletter. Publicis Groupe (OTCMKTS:PUBGY Get Free Report) and CFN Enterprises (OTCMKTS:CNFN Get Free Report) are both business services companies, but which is the better stock? We will compare the two businesses based on the strength of their dividends, earnings, profitability, institutional ownership, risk, valuation and analyst recommendations. Analyst Recommendations This is a breakdown of recent ratings and target prices for Publicis Groupe and CFN Enterprises, as reported by MarketBeat.com. Get Publicis Groupe alerts: Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Publicis Groupe 0 3 5 0 2.63 CFN Enterprises 0 0 0 0 N/A Publicis Groupe presently has a consensus target price of $71.25, indicating a potential upside of 260.94%. Given Publicis Groupes higher probable upside, research analysts clearly believe Publicis Groupe is more favorable than CFN Enterprises. Earnings & Valuation Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Publicis Groupe $14.96 billion 1.33 $1.29 billion N/A N/A CFN Enterprises $4.32 million 1.11 -$9.90 million N/A N/A This table compares Publicis Groupe and CFN Enterprises top-line revenue, earnings per share and valuation. Publicis Groupe has higher revenue and earnings than CFN Enterprises. Profitability This table compares Publicis Groupe and CFN Enterprises net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Publicis Groupe N/A N/A N/A CFN Enterprises -320.58% N/A -265.71% Volatility & Risk Publicis Groupe has a beta of 0.98, indicating that its stock price is 2% less volatile than the S&P 500. Comparatively, CFN Enterprises has a beta of 0.52, indicating that its stock price is 48% less volatile than the S&P 500. Insider and Institutional Ownership 0.0% of Publicis Groupe shares are held by institutional investors. 1.0% of Publicis Groupe shares are held by company insiders. Comparatively, 9.9% of CFN Enterprises shares are held by company insiders. Strong institutional ownership is an indication that large money managers, endowments and hedge funds believe a stock will outperform the market over the long term. Summary Publicis Groupe beats CFN Enterprises on 9 of the 10 factors compared between the two stocks. About Publicis Groupe (Get Free Report) Publicis Groupe S.A. provides marketing, communications, and digital business transformation services in North America, Europe, the Asia Pacific, Latin America, Africa, and the Middle East. The company offers advisory services for brand strategy, and repositioning and their identity under the Publicis Worldwide, Saatchi & Saatchi, Leo Burnett, Marcel, Fallon, and BBH brands; online advertising services under the Razorfish and Moxie brand names; crisis communications, press relations, public affairs, institutional relations, financial communications, and strategy management services; media consulting, planning, and buying services; performance marketing services; and e-commerce services. It also designs and delivers brand content for various channels, such as television, print, radio, cinema, and billboards, as well as digital, including display and social networks under the Prodigious, Harbor, and The Pub brand names. In addition, the company operates Epsilon PeopleCloud, a unified data and technology platform; and Publicis Sapient, a technological, digital, and consulting platform. Further, it provides healthcare communication services under the Digitas Health, Publicis Health Media, and Saatchi & Saatchi Wellness brands for the healthcare and well-being sectors. It serves clients in the automotive, retail, financial services, consumer products, and media sectors. Publicis Groupe S.A. was founded in 1926 and is headquartered in Paris, France. About CFN Enterprises (Get Free Report) CFN Enterprises Inc. engages in the sponsored content and marketing activities for legal CBD, cannabis, and psychedelics industries in the United States. The company also manufactures CBD products for growers, pharmaceutical, wellness providers, and retailers' needs. It offers sponsored content, including articles, press releases, videos, podcasts, advertisements and other media, email advertisements, and other marketing campaigns. The company also operates various media platforms, such as CannabisFN.com, the CannabisFN iOS app, the CFN Media YouTube channel, the CFN Media podcast, and other venues to educate and inform investors interested in the cannabis industry. It distributes its content to various online platforms, which include CannabisFN.com website, press releases, financial news syndicates, search engines, YouTube, iTunes, Twitter, Instagram, Facebook, LinkedIn, and others. The company was formerly known as Accelerize Inc. and changed its name to CFN Enterprises Inc. in October 2019. CFN Enterprises Inc. was founded in 2001 and is based in Whitefish, Montana. Receive News & Ratings for Publicis Groupe Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Publicis Groupe and related companies with MarketBeat.com's FREE daily email newsletter. Winch Advisory Services LLC bought a new position in KeyCorp (NYSE:KEY Free Report) in the 1st quarter, according to its most recent Form 13F filing with the Securities & Exchange Commission. The fund bought 2,689 shares of the financial services providers stock, valued at approximately $34,000. Several other large investors also recently added to or reduced their stakes in KEY. Impax Asset Management Group plc boosted its holdings in shares of KeyCorp by 30.4% during the 1st quarter. Impax Asset Management Group plc now owns 32,836 shares of the financial services providers stock worth $411,000 after buying an additional 7,654 shares during the period. Kentucky Retirement Systems Insurance Trust Fund bought a new stake in shares of KeyCorp during the 1st quarter worth $416,000. Keybank National Association OH bought a new stake in shares of KeyCorp during the 1st quarter worth $795,000. Tredje AP fonden boosted its holdings in shares of KeyCorp by 11.4% during the 1st quarter. Tredje AP fonden now owns 264,685 shares of the financial services providers stock worth $3,314,000 after buying an additional 27,056 shares during the period. Finally, Hartford Investment Management Co. boosted its holdings in shares of KeyCorp by 16.0% during the 1st quarter. Hartford Investment Management Co. now owns 78,558 shares of the financial services providers stock worth $984,000 after buying an additional 10,841 shares during the period. 79.69% of the stock is owned by institutional investors. Get KeyCorp alerts: Insider Buying and Selling In related news, insider Amy G. Brady sold 12,388 shares of the stock in a transaction dated Thursday, May 25th. The shares were sold at an average price of $10.11, for a total transaction of $125,242.68. Following the completion of the sale, the insider now owns 106,733 shares in the company, valued at approximately $1,079,070.63. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at the SEC website. In related news, Director Devina A. Rankin acquired 10,000 shares of the firms stock in a transaction on Thursday, August 10th. The shares were purchased at an average price of $11.49 per share, with a total value of $114,900.00. Following the acquisition, the director now directly owns 10,000 shares in the company, valued at $114,900. The purchase was disclosed in a legal filing with the SEC, which is accessible through this link. Also, insider Amy G. Brady sold 12,388 shares of the stock in a transaction dated Thursday, May 25th. The stock was sold at an average price of $10.11, for a total transaction of $125,242.68. Following the sale, the insider now directly owns 106,733 shares in the company, valued at approximately $1,079,070.63. The disclosure for this sale can be found here. 0.54% of the stock is currently owned by corporate insiders. KeyCorp Price Performance Shares of KEY stock traded down $0.12 during mid-day trading on Wednesday, reaching $10.52. The companys stock had a trading volume of 3,511,647 shares, compared to its average volume of 21,024,643. KeyCorp has a 1-year low of $8.53 and a 1-year high of $20.30. The company has a market cap of $9.85 billion, a P/E ratio of 7.09, a PEG ratio of 2.02 and a beta of 1.26. The company has a debt-to-equity ratio of 1.95, a quick ratio of 0.86 and a current ratio of 0.87. The firms 50-day moving average is $10.67 and its two-hundred day moving average is $12.51. KeyCorp (NYSE:KEY Get Free Report) last announced its quarterly earnings data on Thursday, July 20th. The financial services provider reported $0.27 earnings per share (EPS) for the quarter, missing analysts consensus estimates of $0.32 by ($0.05). The business had revenue of $1.60 billion during the quarter, compared to analyst estimates of $1.62 billion. KeyCorp had a net margin of 14.93% and a return on equity of 13.78%. The companys quarterly revenue was down 11.0% compared to the same quarter last year. During the same quarter in the prior year, the company posted $0.54 EPS. On average, research analysts predict that KeyCorp will post 1.27 earnings per share for the current year. KeyCorp Announces Dividend The company also recently announced a quarterly dividend, which will be paid on Friday, September 15th. Investors of record on Tuesday, August 29th will be given a dividend of $0.205 per share. This represents a $0.82 dividend on an annualized basis and a dividend yield of 7.79%. The ex-dividend date of this dividend is Monday, August 28th. KeyCorps dividend payout ratio (DPR) is currently 54.67%. Wall Street Analysts Forecast Growth Several analysts recently issued reports on the stock. Morgan Stanley reaffirmed an equal weight rating and set a $10.00 price objective on shares of KeyCorp in a report on Friday, July 21st. Citigroup upped their target price on shares of KeyCorp from $14.00 to $15.00 and gave the company a buy rating in a research note on Monday, July 24th. StockNews.com began coverage on shares of KeyCorp in a research note on Thursday, May 18th. They set a sell rating for the company. Wedbush upped their target price on shares of KeyCorp from $10.00 to $13.00 and gave the company a neutral rating in a research note on Friday, July 21st. Finally, Royal Bank of Canada cut their target price on shares of KeyCorp from $16.00 to $14.00 in a research note on Tuesday, June 13th. One analyst has rated the stock with a sell rating, seven have assigned a hold rating and eight have given a buy rating to the company. According to MarketBeat, the company presently has a consensus rating of Hold and a consensus target price of $14.60. Check Out Our Latest Stock Analysis on KEY KeyCorp Profile (Free Report) KeyCorp operates as the holding company for KeyBank National Association that provides various retail and commercial banking products and services in the United States. It operates in two segments, Consumer Bank and Commercial Bank. The company offers various deposits, investment products and services; and personal finance and financial wellness, student loan refinancing, mortgage and home equity, lending, credit card, treasury, business advisory, wealth management, asset management, investment, cash management, portfolio management, and trust and related services to individuals and small and medium-sized businesses. Recommended Stories Receive News & Ratings for KeyCorp Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for KeyCorp and related companies with MarketBeat.com's FREE daily email newsletter. Rebecca Ventola was recently named as Franklin Countys newest library director. It is something of a homecoming for her after years working in libraries throughout the East Coast. Born in Richmond, Ventola started out as a high school teacher in Middlesex County. While there she decided to make the change to teaching elementary school and was surprised to discover a passion for libraries. While working to get her certification teaching elementary school as well as a masters degree in elementary education from Emporia State University, Ventola took a job as a part-time childrens library assistant. The job would set her career on a completely new path. In the course of doing that, I fell in love with libraries, Ventola said. I thought, this is my calling. Ventola completed her degree in elementary education, then began working toward a career in libraries. She applied to Wayne State University in Detroit, where she earned a masters degree in library science. Ventola began her library career in the small town of Clover, South Carolina, in 2004 before eventually moving to the larger Charlotte, North Carolina, library system. She later took a position as a librarian in Manchester Center, Vermont. In 2021, Ventola and her husband decided to return to Virginia somewhere close to where they both went to school at Virginia Tech. They settled on Franklin County where she took a position as a childrens librarian in Roanoke. While new to Franklin County, Ventola found that her family has a history in the county. She traced the records of ancestors to Franklin County and Rocky Mount. In addition to being a part of her history, Ventola said Franklin County was the perfect fit for her and her husband. She said the county has the same small town feel of Clover which they enjoyed. Its not too big and not too small, Ventola said. It is just right. The couple live in the Westlake area of the county. Ventola said they had always wanted to live near a lake and are now able to finally live out their dream. When the library directors position opened up in Franklin County, Ventola said she jumped at the chance. It opened shortly after Alison Barry vacated the position in March. The Franklin County Library Board hired Ventola in June. Her first day was on July 10. In addition to loving the community, Ventola said she has been impressed with the countys library system. The county has an active bookmobile to reach all communities that may live a long distance to the local library. She was also amazed by the new technology the county uses in its 24-hour library kiosk at Summit View Business Park. Ventola said her goal as the new library director is to do more outreach in the communities to children and adults to encourage reading. She also wants to increase programs at the libraries that will entice more visitors to get excited about reading. One idea is to open up the library to role playing games or card games for children. Ventola said most children dont even realize how much reading they are doing when playing those games. Most of all, Ventola wants people to have fun and feel safe while visiting Franklin Countys Library System. I have worked in some form of public service my entire career, however working in libraries has been the most rewarding for me because libraries provide a safe place for people of all ages and all different needs for educational, recreational and social purposes, Ventola said. Early estimates show that a new standalone courthouse might cost the taxpayers of Hall County between $52 million and $76 million. Those figures could be what it takes to build the new judicial center next to the current Hall County Courthouse and pay for related expenses. The expenses include renovation of the existing courthouse and the Annex building. The estimates were part of a presentation three architects made to the Hall County Commission on Tuesday. Steve Severson, Alberto Sanchez and Darin Horst, all of whom work for Davis Design, also showed board members some new exterior renderings. On Aug. 1, the Hall County Commission voted to proceed with plans for a bond election for a new standalone courthouse. On Tuesday, the architects shared financial estimates for three options. One was a three-story building, the second was a three-story building with a partial basement and the other was a four-story option. The three-story building would cost roughly between $52 million and $60 million. The range for the three-story building with a partial basement is $58 million to $67 million. The estimated cost of the four-story option is $66 million to $76 million. Various options remain within those plans, some of which involve potential occupants. Officials have yet to decide where to put the Hall County attorneys office, for example. Severson suggested that the Department of Motor Vehicles be moved to the existing courthouse. That location would provide more room for pedestrian traffic, and allow county residents to enjoy the buildings historic nature. That was just one idea floated. Commissioner Pam Lancaster said that, as far as moving offices around, nothing is off the table. The commissioners meeting room could even move to a different setting, she said. In making decisions, Horst said commissioners should look at the countys needs not just today, but 20 or 30 years down the road. But Lancaster and Jane Richardson talked about seeking a compromise not asking the voters for too much. Richardson felt the projected cost for putting the county attorneys in the Annex building seemed high. The county has judicial needs. But lets not set ourselves up for failure, Richardson said. On Aug. 29, commissioners will meet with local judges, asking if there are some aspects of their vision they can live without. After the architects presentation, Cody Wickham of D.A. Davidson outlined the details of a bond election. He talked about the costs of 25-year and 30-year bonds. Also Tuesday, the board discussed the overpayment of money to Hall County for veterans services that are provided to Howard, Nance and Sherman counties. Commissioner Gary Quandt said that over the last three years, the unspent contract funds totaled $58,347.70. That money currently goes into the countys general fund. Quandt made a motion to put the excess money into a veterans account and study the contracts between Hall County and the other counties. Richardson said shed favor the motion if it focused on the overflow over the past year, rather than the last three years. Quandts motion was approved on a 4-2 margin. Voting against it were Richardson and Butch Hurst. On another subject, facilities director Loren Doone Humphrey said the new courtroom in the Annex building should be done in early September. That courtroom will house the new judge, Bryan McQuay, who was appointed last month for the Ninth Judicial District, which consists of Hall and Buffalo counties. The judge wont actually take the bench until Oct. 1 or 2, Humphrey said. The board also talked about a possible future home for the Grand Island office of the Nebraska Department of Health and Human Services. One possible home is the second floor of the old federal building. The county is required to provide DHHS with 4,850 square feet, Humphrey said. In addition, the board passed a motion specifying that a referral bonus be awarded in the Hall County attorneys office only when the office is down two or more attorneys. The new attorney has to be on the job for a year before the bonus is handed out. From alcohol to cigarettes, most adults have tried some form of recreational drug. Fewer people have experimented with prescription medication for recreational reasons, but certain areas of the country are experiencing a serious problem with opoid addiction. Other drugs like cocaine and LSD, are used sporadically among adults in the U.S. Using data from the Substance Abuse and Mental Health Data Archive, the experts at HealthGrove, a health data site, ranked the 25 most commonly used recreational drugs. The substances are ordered by the increasing percentage of people age 12 and over who used the drug recreationally in 2015. In the case of a tie, the drug with a higher classification by the Drug Enforcement Administration (DEA) is ranked higher. To collect this data, SAMHDA conducts the annual National Survey on Drug Use and Health, in which they record use of illicit drugs, alcohol and tobacco in the U.S. population aged 12 or older. It is important to note that this data only includes recreational use of drugs, not proper medical use. Drugs that are legal, alcohol and tobacco, have the highest recreational use. According to the survey, nearly one in five people over the age of 12 also used marijuana in 2015. As the drug becomes legal in more states, recreational use is likely to increase. Other Schedule I drugs (drugs determined to have no medical benefit by the DEA) including heroin and DMT had a recreational prevalence rate of less than 1 percent in 2015. Note: Not all images in slideshow depict the exact drugs. Curiosity costs. I know nothing about car maintenance, so it's always interesting to get opinions from experts. Small-shop mechanics are more independent-minded even than carpenters. Some small but significant fraction of auto mechanics can be autocratic, pardon the pun. So I needed new brakes. Around here we get "Summer Syndrome" pretty badly. Quick! Make a whole year's profit in three or four months! Most services and trades have their schedules jammed in these months. (Some of them get pretty haughty, tooI made a $5 mistake on a check to the landscaping service, and the guy has apparently fired me as a client over it.) My car is an Acura built by Honda Manufacturing of Indiana in Greensburg. The first mechanic I tried, a Honda and Toyota specialist, was recommended by a local guy who races at Watkins Glen and whose father was a high-level racing mechanic. That shop was scheduling six weeks out. A little too long to wait. So I found a shop in town that could take me within a week. They wanted $400 for the front end rather than the $300 the other guy wanted, but they were available. The new brakes chirped and made grinding noises for a while, which is normal. But they kept making noises for weeks. So I simply kept the appointment with the first guy, the master mechanic who specializes in Honda. I asked him if he would pull the wheels and check the first guy's work. He obliged. The report: they used budget Napa parts and didn't clean the hubs before installing the brakes. The Honda specialist pulled them off and fixed what he could. During the process, he got my car down off the lift and took it out to the road twice to test it. And charged me only $52 for all that. Not Mike's car. Photo by Living in Monrovia. The upshot? I spent more for the brakes to begin with, then had to have the repair repaired...and ended up with worse parts. Good work, Mike. My sister-in-law is a ninja with a dollarshe buys stuff twice as nice for half as much. Me, I spend half again as much for something not quite as good. That's my level. Oh well, at least the brakes are working properly now. No more funny noises. Do you ever do that? I've done it a number of times in my lifeget the car fixed, then take it to a different mechanic just to see if the first one did what he said he did. Usually purely because I'm curious. It can end up costing extra, but it's always interesting. You know a guy is good when the second guy has nothing but praise for the work. With film cameras, I used to like to find the best repair person for each brand of used camera I tried. Sherry Krauter worked on my Leicas, Mark Hama for Yashica, John Hermanson for Olympus, and so forth. Not that I ever had very much done. This winter, I'm going to take the car into that specialist for a thorough inspection. I just want to know what he thinks of the shape it's in. That'll be interesting, too. Mike Original contents copyright 2023 by Michael C. Johnston and/or the bylined author. All Rights Reserved. Links in this post may be to our affiliates; sales through affiliate links may benefit this site. As an Amazon Associate I earn from qualifying purchases. (To see all the comments, click on the "Comments" link below or on the title of this post.) Featured Comments from: Albert Smith: "There was a time when a backyard mechanic could do a lot on most cars. Today, you can barely identify what's under the hood. So you are at the mercy of the honesty or lack of when you take a car in for maintenance. I took my car in for two tires and an oil change a while back. My chair in the waiting area gave me a clear view of my car on the lift. Two tires were changed out and I was called up to the counter. I reminded the person about the oil change which he assured me had been accomplished. I verbally said that I didn't see that happen, to which he said I was wrong. I walked into the garage (against all the signs that said no customers allowed), pulled the dipstick out which was covered in the sludge of old oil. They charged me for the tires and informed me that I was not welcome back. I wonder how many people were not able to observe what was not done to their cars." SteveW: "You're probably lucky to have found a specialist mechanic who is also honest. I gave up on private mechanics years ago after too many bad experiences, some similar to what you described, and many others worse. I will only maintain my car at the auto dealer." Joel Becker: "I did the same thing last year, but with dentists. I hadn't been to the dentist since 2019 becauseyou know whyand I clearly had some cavities that needed filling. But my dentist of 20 years was now quite far away, and I finally bit the bullet and located a highly-recommended dentist near me. Well, after the visit, the sheer amount of work the dentist proposed was daunting. Don't get me wrong! My mouth is prone to cavities, and it was quite possible I needed every recommended filling! But you hear stories about dentists on the make, so I wanted to be sure. I booked an appointment with my previous dentist, being very up front about what I was up to and that I would pay out of pocket. They were very understanding, and fully supportive of my transition to a nearby practitioner. Turns out the new dentist was on the money. My old dentist confirmed every cavity and wished me good luck in the repairs. I'm now 3/4 of the way done with repairs, and I haven't had to lose a night of sleep whether I was being taken for a ride." Steve Jacobs: "Concerning dentists...I don't know a good way to find one. I'm a retired doctor, and I do know how to find a good physician. Don't ask your friends, since they have no idea if their doctor is competent. Don't ask another doctor...they will recommend their golfing buddies, referral sources, etc. Ask a nurse. They know." Chris H: "There are a few strategies for having a reliable, well maintained vehicle: a) be very rich b) be interested in, and capable of most of your own maintenance (more easily accomplished if you also gravitate to older generation cars) c) be incredibly lucky I kid, but only somewhat. While I am a car maintenance enthusiast, I completely understand why someone else might not be. The best overall approach (imo) is to not pay too much on vehicles - as little as reasonably possible, as long as that's palatable for your lifestyle. Over time, you pay somewhere - either you overpay at a dealer, roll the dice with an independent, or invest your money in tools and time in gaining the knowledge and executing the repairs and maintenance yourself (this is not insubstantial). Being a bit frugal, and having a bit of knowledge in order to divine whether you're being taken by a shop, is probably a reasonable approach for most." James C Chinn: "I have learned that the quality of after market parts has drasticcally declined over the years. Even though they may have a long familar brand name, chances are good the parts are manufactured over seas and barely meet the minimum acceptable standards. I do quite a bit of my own maintance on my "08 Lexus such as brakes and use only the genuine Toyota parts. Much more expensive but they work and last several times longer then the other option." CARBONDALE An exhibit highlighting art collected by socialite, publisher and early feminist Caresse Crosby most of which has never been displayed opens Aug. 22 at Southern Illinois University Carbondales University Museum. Chere Caresse: Art in the Crosby Papers features nearly 40 pieces, including works by noted artists Salvador Dali, Romare Bearden and Dorothea Tanning and writers-turned-artists Henry Miller and Charles Bukowski. The exhibit, a collaboration between the museum and Morris Library, runs through the fall 2023 semester. Noteworthy collection Anne Marie Hamilton-Brehm, associate dean of library affairs, noted that Crosby, who died in 1970, was an important publisher and patron of the arts. Her first venture, in 1920s Paris with her husband Harry, was the Black Sun Press, known for beautifully printed editions of early modernist writers. Crosby began collecting art when she cultivated artists to illustrate volumes of the Black Sun Press. In the 1940s, alone and back in the United States, Crosby started Portfolio, an arts and literary journal which nurtured the careers of young writers and artists cast adrift by World War II. Throughout her life Caresse Crosby was always ahead of her time, said WM Weston Stoerger, curator of exhibits. Whether it was reinventing herself, designing new inventions (as a young woman she patented an early version of the brassiere) or establishing her own publication, Caresse was never one to sit idly by. Our Chere Caresse celebrates this amazing woman's contributions to and support of the arts and literature. Collection arrived at SIU in 1969 Harry T. Moore, a research professor in English at SIU from 1957 to 1976, was a close friend who had previously served as an editor for Portfolio and played a pivotal role in convincing Caresse to donate her materials to SIU, reassuring her that African Americans would have access to her collection, Hamilton-Brehm said. Crosbys papers, housed in the librarys Special Collections Research Center, include manuscripts, business records and extensive correspondence with writers and artists. The art in the collection includes five early paintings by Bearden, who later became well-known for his collages depicting Black communities and religious themes, Hamilton-Brehm shared. Although we were aware of art in her papers, when we began to examine the collection in more detail, we were excited by what we found: works by prominent surrealists, artists who became known later and even authors, she added. Reception set for September A free reception from 4:30 to 8 p.m. Sept. 9 will feature several speakers, including John H. Pollitz, dean of Library Affairs, and exhibit curator Aaron M. Lisec, research specialist in manuscripts at the Special Collections Research Center. The Cramoisy Queen: A Life of Caresse Crosby by Linda Hamalian, published in 2009 by SIU Press, is available for purchase online. Bringing artists stories to light Hamilton-Brehm explained archives used to primarily restrict collections from public view, but archivists around the world are increasingly interested in making their collections accessible and uncovering and amplifying hidden stories, she said. The surge of interest in the work of diverse artists of the 20th century and our commitment to justice, equity, and inclusion make it imperative to bring their stories to light and recognize their courage and those who bravely promoted them. Morris Library is considering loaning portions of the art collection to interested institutions so that people across the country can view it in person. After the exhibition ends in December, the library will lend Polia Chentoffs portrait of Crosby to the National Portrait Gallery for its exhibition Brilliant Exiles: American Women in Paris, 1900-1939, and it will also travel to two other institutions as part of that exhibition. "We also anticipate interest in the Bearden works as a traveling exhibit, she said. Any decision to loan the materials must be made conscientiously, weighing the benefits of increased visibility and educational opportunity against our obligation to preserve one-of-a-kind primary sources and art for many generations of researchers to come. For more information, contact Hamilton-Brehm at 618-453-1452 or annemarie.hamiltonbrehm@siu.edu or contact Stoerger at 618-453-5388 or stoerger@siu.edu, or visit museum.siu.edu. University Museum hours are noon to 4 p.m. Tuesday through Friday and 1 - 4 p.m. Saturday. The museum is closed Sunday and Monday, and during all SIU breaks and holidays. As with all exhibitions, the artwork represents the viewpoints of its creators, not SIU. SIU complies with the Illinois Governmental Ethics Act and State Officials and Employees Ethics Act. (Editors note: Crosbys first name is pronounced ca-RESS) Calhoun County Council unanimously agreed last month to allow a regional water agency to seek money for water line extensions in the county. Council gave the Lake Marion Regional Water Authority the go-ahead to apply for money through the U.S. Army Corps of Engineers. Council members Cecil Thornton and Richard Carson were not in attendance at the meeting. The water extensions would run from the lower central portion of Calhoun County to serve the town of Cameron, additional rural portions of the county, St. Matthews general area and any associated industrial developments, according to the resolution. Currently, the LMRWA provides water to communities on the lake such as McCords Ferry and the Lakewilde Plantation community. We would like to extend that and come through Cameron and pick up Cameron and come on eventually up into St. Matthews, Calhoun County Deputy Administrator Richard Hall said following councils meeting. That is where the design and right-of-way acquisition is going on. The project could take the next five to ten years to complete. Unfortunately, five years ago this was a $10 million project. Now it is a $30 million project, Hall said. The cost escalation keeps going up. He said the county would be responsible for a 25 percent match, or about $7.5 million according to the current cost projections. We are also trying to identify other sources of non-federal funding, state funding to help with the match, Hall said. The county has used about $2 million from the capital projects sales tax to provide matching funds for Lake Marion Regional Water Authority-related projects. More could be allotted if voters renew the tax. County officials say the water line extensions can improve economic development, firefighting capabilities and the general health of communities. The LMRWA was formed to help bring clean, quality water to parts of Berkeley, Calhoun, Dorchester and Orangeburg counties and the Town of Santee. Santee Cooper runs the water plant near Santee. After just over 30 years as a law enforcement officer, Orangeburg County Sheriffs Office Chief Deputy Dr. Kenny Kinsey is retiring but continuing his work with his private consulting business. Although hes well-known in many Orangeburg County circles, Kinsey gained national fame as a star expert witness in the double murder trial of disbarred Hampton County attorney Richard Alexander Alex Murdaugh in the spring. Last month, Kinsey was named S.C. Deputy of the Year at the S.C. Sheriffs Associations annual meeting held in Myrtle Beach. It was quite an honor, Kinsey said. It was absolutely one of the highest honors of my career. I have a lot of respect for the men and women that hold the sheriff position, elected sheriffs in South Carolina, he said. Youve got some old-time sheriffs and some new sheriffs and they have always treated me with the utmost respect and Ive learned a lot from those men and women, he said. Kinsey said he was nominated for the award for his role in the Murdaugh trial. The state hired Kinsey to give expert opinions about the crime scene and evidence presented at trial. My part in the trial and everything, like Ive said before, I was one spoke on a big wheel. I just never wanted those other agencies to be forgotten or looked over and it was one heck of an honor to be nominated and to be supported by the sheriff, he said. Just before Kinsey was formally presented the award at the conference, a video was shown in his honor. They really got me with the video, he said. Those who were featured in the video included, in part, his wife, daughter, son, S.C. Attorney General Alan Wilson and Creighton Waters, the chief prosecutor for the S.C. Grand Jury. And then being nominated by my boss and friend, (Orangeburg County) Sheriff Leroy Ravenell, it hit me below the belt for just a second and I could probably say thats the only time in 30-plus years that emotions have impaired my ability to speak, he said. It kind of neutralized me for a little while, but it definitely is a place of honor in my heart and Im very appreciative of it, he said. Kinsey has been considering retirement from the public sector for several months, but decided that now was the right time to transition to dedicate himself to his private business, Kenny Kinsey & Associates LLC. Hes also looking forward to making up for lost time with his family. Everybody has a season, and Im so fortunate to make it to this point and still have my health, he said. My mother has passed; my father is still in pretty good health, and I just wanted some time to enjoy my family, he added. My new endeavor, Kenny Kinsey & Associates Ive been so blessed with the outpouring of support from the law enforcement profession, the public, attorneys and I just feel like its time for a new chapter, he said. Ive always said I would not be the kind of officer or leader that you would have to cart me out of here on wheels, he added. I just think its a great time to make a good break, and Ive missed so much; my kids have missed so much. Its time to make up for some of that, Kinsey said. Kinseys law enforcement career began just after he graduated from Clemson University. He said it was around the time of Desert Storm and Desert Shield when the federal government had a temporary hiring freeze, thwarting his goal of becoming a deputy U.S. marshal. So I got upset with the situation, and I came back and worked for a beverage company, he said, noting that he delivered beer to businesses mainly in Orangeburg County and a few outlying areas during the summer. It was just an opportunity and real good pay at the time, he said, noting that his part-time summer job later turned into a full-time job. Kinsey said he eventually ran into a good friend, Rodney Barr, who ended up being the captain of the reserve unit at the Orangeburg County Sheriffs Office. At the time, Barr was working part-time with the OCSO. Rodney, actually with the help of some other elders, I guess you would say, in the field most who have passed on now they accepted me into the reserve and Sheriff (C.R. Smitty) Smith, at the time, was gracious enough to let me in the reserve and it kind of snowballed from there, Kinsey said. But the struggle grew more and more and more. Id hit the floor every morning at 3:30 a.m., go to drive that truck and Id look at that deputy uniform. It just got to the point where I couldnt struggle with it anymore and Ive never looked back, he said. Kinseys parents also had careers in law enforcement. He describes his father as an old-time fireman who worked 24 hours on and then 24 hours off. When the public safety concept came along which employs those who are both certified law enforcement officers and firefighters Kinseys father worked as an officer, in addition to firefighting, at the Orangeburg Department of Public Safety. He had a very good career in public safety, Kinsey said. Kinseys mother started her career in law enforcement a little later, he said. His mother first worked as a dispatcher and it evolved into an officer position. She worked the better part of her career at the Hampton Police Department, Kinsey said. I would change very little of the last 30-something years, he said. In late 1991 or 1992 when I started walking the halls of this building, I never expected to have a career like Ive had, he added. Kinsey said hes leaving the sheriffs office in great shape too. The foundation here at the sheriffs office, these great men and women, the great leadership theyre going to be OK, he said. Ive got all faith in God above that Im going to be OK also, he added. Kinsey said hes not going to say never about working in law enforcement again. If a sheriff or police chief or solicitor and Ive had some reach out if they call me, Im going to help, he said. Im going to quit carrying a badge and a gun. I do it very rarely now, but Im always still here and Im always law enforcement at heart, he said. The benefit of working for myself now, Im always going to say what I believe is the truth. Im going to go by the evident and the results. I can be wrong. I can be wrong just like everyone else, but if I am wrong, its because I just made a mistake, he explained. Law enforcement, for the most part, is almost 700,000 men and women in this country that do the right thing day after day after day. Youve got a couple of knuckleheads that make us all look bad, he said. Im going to tell the truth. If its in support of law enforcement, I will go down with them swinging; if its something that I feel evidence-based theyve made a mistake, then I have no problem doing what I have to do. But I have to sleep at night, and Im going to tell what I believe to be the truth, he said, referring to aspects of his consulting company that, in part, analyze use-of-force incidents and law enforcement cases. You see acts of humanity every day in this job, and its hard to even keep track of it. Because of a couple of knuckleheads, sometimes we look bad, but as a profession, I truly believe this: We are ordained by God and I truly believe that the majority of the men and women that wear the badge and gun, they have hearts of gold. And sometimes we have little hiccups, he said. Sometimes we outright mess up, but for the most part, I think we do great justice for humanity, Kinsey said. Kinseys official retirement date is Sept. 30. Harry Wimberly has been farming in Orangeburg County for pretty much his entire life. At the age of 77, the veteran farmer, son Thad and grandson Harrison have decided to embark on a new venture: planting sesame. It is the first year the men, as well as other area farmers, are planting the crop. It is a trial-and-error thing for me at my age, Harry said. Hes been farming for nearly 60 years and, It is in the experimental phase. I am too old for new. At the Bowman Gin Company on Cattle Creek Road near Branchville, the Wimberlys planted about 70 acres of the crop under no irrigation. The men have planted a total of 100 acres in the county. Harry is joined by Thad, 46, and Harrison, 18, in growing the crop. Cnn How to spice up your pantry the Mediterranean way Harrison heard about the sesame opportunity from a farming colleague who had contracted to grow the crop. We started looking up videos and kind of how to grow it, Harrison said. It looked like it would be applicable to this area because it has low deer pressure. It seemed like a good fit ... just to try something different. The market needs some diversity. It is so stagnant right now. The Wimberlys are among an estimated 30 farmers in The T&D Region who are contracting with Oklahoma-based Sesaco to grow the crop. Choice Ag out of Dillon is serving as a receiving point for the harvest and helping Sesaco with the distribution of seed and coordination of farmers, according to Carl Coleman of Choice Ag. Coleman estimates about 4,000 acres of sesame are being grown in Orangeburg, Calhoun and Bamberg counties this season. According to Southeast Farm Press, an estimated 7,000 acres of the crop are expected to be planted statewide. An estimated 13,000 acres are expected to be planted in the Southeast. I think it can catch on if growers have good results this season, Orangeburg County Clemson Extension Agent Jonathan Croft said. I dont think it will be a large-acre crop, but it could fit in for some farmers rotations. With a new crop, growers will have to work through its production and figure out what works best for their management style and equipment, Croft said. Some areas that could be challenging for them will be: stand establishment, broadleaf weed control and setting harvest equipment. Calhoun County Clemson Extension Agent Charles Davis said there are some farmers in Calhoun County venturing into the world of sesame. There is no doubt we can grow it, Davis said. My concerns are with the harvest. Sesame has traditionally been grown in dryer climates, so I have concerns about delivering consistent quality sesame given our humidity here in the Southeast, Davis said. And then there are hurricanes and tropical storms. I have suggested that farmers take it slow and learn how to grow it before jumping in too deep. Coleman said sesame is not completely new to the Southeast. It has been planted in Georgia and only in two-year small plot research trials at North Carolina State University. I am always looking for opportunities, Coleman said. As a farmer in the seed business, he looks at any venture from the farmers perspective, he said. He said if the crop appeals to him, he will then introduce it to his customers. Coleman said he met a gentleman at a trade show from Sesaco who introduced sesame to him. It was very appealing to me as a farmer, Coleman said. I went to some of my farmers and it was very well received. Most farmers are looking for a niche and maybe we can do better. He said the crop is attractive to local growers for a number of reasons. It checks a lot of boxes that find it appealing, Coleman said. These positives include: Deer do not like it, Coleman said, noting many farmers have lost millions of dollars in crops to deer. They are nipping cotton and soybeans quite a bit, Thad said. Deer is one of our biggest problems. Sesame is not a host of nematodes, unlike cotton, corn, peanuts and soybeans. Sesame is not a host, so when you grow sesame it breaks the cycle of nematodes, Coleman said. According to Clemson Extension, nematodes are microscopic worms that feed on virtually all plant life. They can cause substantial damage to plants by feeding on the roots, thus hampering or even destroying the plants ability to absorb nutrients and water. Nematodes can also transmit plant pathogens or increase the susceptibility of plants to diseases. Its a low-input and high-value crop. That appeals to farmers, Coleman said. It does not take a lot of money. Currently, sesame is selling for about 60 cents per pound. Coleman said based on research testing, an average yield would be about 1,000 pounds, meaning that a farmer could make $600 on the crop. He said, on average, farmers have been spending about $200 on inputs, although he noted cost inputs can vary from farming operation. I think that is pretty decent for a first-year crop and we dont know what it is going to do, Harrison said. The crop is a scavenger of nutrients, meaning it has a deeper tap root than crops currently grown locally. The crop is more resistant to periods of drought thanks to the longer tap root. Local farmers can use the same planters and the same combines as they do with their existing crops. There is a pretty big learning curve, but that is the case with anything that is new, Coleman said. Coleman said some may be concerned about the size of the seeds and how they will fit into the current equipment in use, but says he has been told that the solution is to turn down the fan speed on the combine. He said sesame growers will also have access to combine clinics this fall. We will meet with farmers and help them make sure we get them set up to do this, Coleman said. It has the same growing season May through October as cotton, peanuts or soybeans. Coleman said he foresees a bright future for sesame growth in the state. If we can make a decent crop, and the deer wont eat it, we can make a little bit of money, he said. These guys growing sesame now are losing money on this land every year because of deer. Most farmers growing with us are excited, Coleman continued. While Colemans business in Dillon will serve as the grain elevator and receiving point for growers, hopes are the crop will grow and there will be more receiving points in the future. The market has not been there, Thad said, noting the market has proven attractive now to grow the crop. It is a niche market. We are always trying to do something different. I think the input cost here is the main thing that drew us to it. It is low input. SCDNRs Amy Dukes, who has worked for the series for 23 of those years and is now its program director, says, Its incredible that Gov. Campbells idea has created greater opportunities for billfish research and conservation not only in South Carolina, but in other coastal areas around the globe. Im personally very proud to be part of the series and to see the family of boat owners, captains, mates and anglers who have all fully committed to the conservation of bluewater species. Metro Manila (CNN Philippines, August 16) Actress Yassi Pressman and her boyfriend entrepreneur Jon Semira on Wednesday confirmed that they have broken up after more than a year. "After much contemplation and introspection, Yassi is sharing with her fans and the public that she has been single for some time already," the actress' camp said in a statement Tuesday. "Yassi has been actively immersing herself in new experiences, meeting new people, and venturing into uncharted territories," the statement added. According to reports, Pressman and Semira got engaged early this year. For his part, Semira denied that a third party was involved in their breakup. "It's been a while since the break up. It was a decision made from the both of us, and us only. The third party rumors are false," he added. "We wish each other the best and will always appreciate what we had. Our relationship was beautiful and we are both coming out better people because of it," Semira added. There were earlier rumors linking Pressman to Camarines Sur Governor Luigi Villafuerte. Co-ops offer hard-pressed Ukrainian dairy farmers many benefits, although the idea has been slow to take hold. A villager always wears many hats. Farming helps him to survive, even if he has to sell the products at below-market prices. To live if not in wealth, then well enough, receiving a fair price for his products the Ukrainian dairy farmer needs to have more than a farm with one or two cows. For one farming community in eastern Ukraine, the dairy cooperative common in much of Europe but a relatively new idea here has brought not only the opportunity to sell milk at a higher price but has helped drive improvements in many aspects of rural life. The initial agricultural cooperatives in the Dnipropetrovsk region had rocky starts. The first was established in the village of Aleksandrovka. In 2010, neighboring Andriyivka formed its farmers co-op, Dobrobut Andriyivka (Andriyivka Prosperity). The Aleksandrovka co-op soon lost steam, and it has conducted no economic activity for many years. Andriyivka Prosperity also ran into hard times at first. Many villagers lost faith in the group over the alleged corruption of some board members and failure to pay milk suppliers. This hurdle was overcome when villagers elected new board members in 2012, paid off the co-ops debts with a loan and restarted the enterprise. It all started in 2009 with a donation from the Canadian charity Heifer Project International. The gift of 20 heifers and 10 sows made a difference in this village, where only a few of the 700 or so residents had jobs and the decaying infrastructure and population outflow bore witness to poverty and decline. Heifer International set two conditions for its aid. First, adherence to its bedrock principle: if you receive a gift, pass it on to another. Thus, those villagers who received livestock handed over the grown offspring, already inseminated, to their neighbors. Soon the herd of milk cattle in the village grew to 400 animals. The second condition was rather a recommendation: cooperate to facilitate the market for your products. A Bargain Price on Milking Machines There were three of us from the very beginning, then seven activists who decided to create a cooperative, recalls Olga Krymova, who along with a few others helped launch the modern co-op movement in the Dnipropetrovsk region. The cooperative was successful because we received assistance from the regional budget. Co-op members who kept three or more cows were given the option to buy milking machines normally priced at 6,000 hryvnias for just 1,000 hryvnias (about $120 at the time). Villagers were skeptical at first, Krymova recalls. But when the first received the machines and felt the benefits, then other housewives began to buy milking machines. The cooperative received a milk truck. Andriyivka Prosperity chair Antonina Kurylenko and executive director Sergei Zakharenko outside the co-op building. Photo by Lyudmila Maslova. Today the cooperative has 129 members from Andriyivka and several nearby villages. One of the first cooperatives in Ukraine, it is one of the few that are still active. Andriyivka Prosperitys financial situation improved thanks to a 2015 amendment to the tax code that allowed dairy co-ops to buy milk from third-party producers as well as members. Dairy farmers who wish to join pay a fee of around the equivalent of $10 and receive dividends based on annual results from several hundred to several thousand dollars annually. Andriyivka Prosperity buys milk in more than 20 villages in Dnipropetrovsk and the neighboring Zaporozhye and Donetsk regions, executive director Sergei Zakharenko explains. These villages have 30 milk coolers with a capacity of 500 liters each. There are two more of 5,000 liters each on the territory of the cooperative. We have three milk tankers the cooperative bought one, the second we rent, the third was bought from the village council budget. We take seven tons of milk daily. The larger the batch, the higher the price paid by the processing plants. Thus, the members of the cooperative sell their goods at a fairer price. Cooled milk is supplied for processing to dairies in the Zaporozhye, Cherkasy, and Kherson regions. The cooperative provides veterinary and insemination services to its members, and runs its own laboratory where much of the work of quality control is carried out. Ukrainian Co-ops Play Catch-up Ukrainian dairy co-ops dont hold the commanding market position that they do in the European Union, where co-ops controlled 55 percent of the dairy market in 2018, and some have grown to the scale of multinationals, according to a European Parliament briefing paper. In Ukraine, co-ops produce on average 20 percent of the countrys annual milk production of between nine and 11 million tons per year, although according to some experts, the figure could reach 50 percent if co-op purchases from individual farmers are included. Antonina Kurylenko is a farmer and the current chair of Andriyivka Prosperity. She and her husband Vadim opened a farm with 10 dairy cows in 2010 with a $10,000 low-interest loan for construction and equipment from Heifer International, along with guidance from Canadian government agriculture specialists. The family also invested its own savings. Each cow in their herd provides 6,500 liters of milk per year. They are fed from what the family grows on the five shares of land they received when the collective farms were disbanded. Thanks to better equipment, Kurylenko says, the family can run its herd under standard conditions with less effort than it once took to keep four animals under terrible conditions. Our family was able to cover the costs of maintaining the farm for several years and earn a living. The livestock increased to several dozen, the farm expanded, and it has already become a business. When we started, we had to figure out everything by ourselves, and the advice of our Canadian friends was helpful, Kurylenko says. Today, visitors come from different regions of the country. People seek experience, technologies, and advice. The cow has always been a breadwinner in the Ukrainian family, and enjoyed special love. A family-type farm is not just a business it is a style of life, a philosophy, and an atmosphere of love and mutual support. The Molocharskoye demonstration farm was the first of its kind in Ukraine. Photo Oksana Yakunina / DOBRE Program. Andriyivka Prosperity also benefits from economies of scale through its membership in the Gospodar (Host) association of agricultural service cooperatives. Gospodar seeks out new markets and provides equipment harvesters, tractors, mowers, and milk coolers. The association includes six co-ops in the Dnipropetrovsk region as well as cooperatives from the Kherson, Poltava, and Donetsk regions. In all, about 900 small- and medium-sized agricultural enterprises take part. Gospodar was established in 2012 with financial support from the Canadian government and other foreign sponsors. One of its member co-ops established the Molocharskoye demonstration farm, the first of its kind in Ukraine, a modern complex on the outskirts of Aleksandrovka. Farmers from all parts of Ukraine come here for practical training, seminars, and education in advanced animal husbandry techniques. Andriyivka Prosperitys continuing association with the Canadian government underscores both the benefits and the sometimes difficult decision-making process at Ukraines farm co-ops. When the Canadians proposed building a new processing line for the co-op by 2023 to be co-financed equally by each partner, some members leaped at the opportunity to invest profits into developing production capacity. But some small dairy farmers, owners of one or a few cows, voted against the plan. Instead, a co-op from Zagora in the western Lviv region will implement the Canadian proposal. The Zagora co-op began in 2011 after villagers learned about the cooperative concept during a visit to Andriyivka. It now counts about 50 members, board chairman Nikolai Pilipets says. Zagora and three other co-ops have combined their efforts with the aim of expanding into milk processing. We are happy for our friends and associates in the Lviv region, Kurylenko says. Strong Village Economies for Strong Rural Communities Andriyivka co-op members also are active in developing projects for the local community and seeking foreign backing and investment to sustain and expand their operations. Svetlana Spazheva, the head of the Pokrovske united territorial community, which includes Andriyivka, explains the benefits. Cooperation with international non-governmental organizations allowed the community to equip part of the school premises for a kindergarten, to implement two energy-saving micro-projects in educational institutions, and to build a playground and a gym. Agricultural cooperatives and small and medium family farms are widespread in the EU. Cooperatives prevail in agriculture in Poland, Ireland, and Estonia. In contrast, the co-op movement in Ukraine is only a decade old and still in its shakedown period. Many small dairy farmers who produced less than six tons of milk per cow per year have either gone bankrupt or exist only on paper. Affordable loans are scarce and the authorities provide almost no agricultural subsidies. Only the strongest co-ops survive. The development of the cooperative movement is connected with numerous risks and pitfalls, notes Yuriy Krivoruchko, the legal counsel for the Association of Small and Medium Business Owners of Ukraine. Current legislation allows two forms of agricultural cooperative: production co-ops and service co-ops. Neither legal form fully meets the needs of agribusiness, Krivoruchko says. An agricultural service cooperative, for one thing, does not have the right to buy products from its members. In the case of dairy co-ops, they can only collect and cool milk. The advantages of cooperation in the dairy business are clear in the EU, where they understand the benefits of processing products in the same place where the cows live. But if EU farmers also struggle with global, low milk prices, their less well-capitalized Ukrainian peers are much worse off. Logistics is another hitch. It makes much more sense for a plant to pick up 10 tons of quality milk at a single collection point than to drive around small farms for milk of dubious quality, Krivoruchko says. Centralized collection of milk in the countryside will help farmers demand a higher price, he believes. Cooperatives have the financial resources to buy the necessary equipment to analyze milk, cool it, transport it, even to create their own processing facilities, he says. Individual farms, as a rule, are financially limited in their ability to take advantage of such opportunities, and they have to either settle for [being] small or unite in cooperatives or associations. The cooperative became the nucleus that brought us together and allowed us to believe in our own strength, says Pokrovske community head Spazheva. Still, she says, from the wave of cooperatives that began in the 2000s, only a handful are still functioning across the country. In the Dnipropetrovsk region Andriyivka Prosperity is probably the only one left. The others either collapsed, or just keep afloat. Andriyivkas achievement is all the more remarkable in light of these pitfalls, Spazheva says. The cooperative has halted the extinction of the village, allowing young people to stay in their homelands and have jobs and a livelihood. Lyudmila Maslova has worked for several publications in the Dnipropetrovsk region since 1986. For the past six years she has been the director and editor in chief of the newspaper Pershotravenskie novosti. Translated by Helen Pilchenko. If you were a grizzly bear on the move, where would you go and how would you get there? According to a new study released this month by University of Montanas Sarah Sells, youd primarily favor mountainous areas but would also follow waterways through open valley landscapes. But your destination would depend in large part on where you started, and whether you were on a mission to go somewhere else or simply exploring beyond your home range. The conclusions came from a modeling program that predicted pathways through Montana between the bears current core habitat areas. The two biggest, each with about a thousand grizzlies, are the Northern Continental Divide Ecosystem around Glacier National Park and the Bob Marshall Wilderness Complex; and the Greater Yellowstone Ecosystem surrounding Yellowstone National Park. The study determined likely pathways bears may take between ecosystems. Such connectivity is key for promoting genetic diversity among grizzly populations, which courts have ruled a requirement for delisting the species from protection under the Endangered Species Act. The study carried the caveat that its conclusions were not meant to predict where grizzly bears might someday settle, but rather to better understand habitats with good potential for occupancy as grizzlies roam farther each year from designated recovery zones. Sells, the primary author of the study, holds a doctorate in fish and wildlife biology from UM and is a wildlife researcher there. She works in the Montana Cooperative Research Unit, a collaboration between UM and the U.S. Geological Survey. Paul Lukacs, a UM researcher and professor with a doctorate in fisheries and wildlife biology from Colorado State University, was another author. Other authors on the study were biologists Cecily Costello, Lori Roberts and Milan Vinks of the Montana Department of Fish, Wildlife & Parks. Grizzlies were listed as threatened under the Endangered Species Act in 1975, when only a few hundred remained in the Lower 48 states across 2% of their original habitat. Now, the NCDE and GYE have significant numbers of grizzlies, but other recovery areas struggle to hold viable populations. The Cabinet-Yaak Ecosystem population in far northwest Montana numbered about 60 as of 2017, but may be declining according to more recent U.S. Fish and Wildlife Service data. The Selkirk Ecosystem around the intersection of northern Idaho, northeast Washington and Canada has at least 83 bears, according to the new study, with more than 44 in the U.S. There are no bears known to permanently reside in the Northern Cascades Ecosystem in Washington or the Bitterroot Ecosystem along the Montana-Idaho border. By forecasting the routes grizzlies might travel more frequently and extensively across western Montana, the authors wrote, the study could help inform management activities. It could suggest which communities may need human-bear conflict mitigation programs, or where to plan highway crossing structures and conservation easements. Bears are likely to move along two primary paths between the NCDE and GYE, the study found. One path ran west of Helena and Bozeman along the Big Belt and Bridger Ranges to the Gallatin Range and GYE. And another path ran from northeast of Missoula, along the Garnet Range to the Avon area, southeast toward Boulder and the Elkhorn Mountains, along Bull Mountain to the Tobacco Root Mountains and finally to the Madison and Gravelly ranges just outside the GYE. Pathways between the NCDE and Cabinet-Yaak Ecosystem in far northwest Montana transected much of the Salish and Cabinet Mountains and were generally diffuse networks that interconnected and split regularly. Other pathways connecting the NCDE and CYE involved the Reservation Divide and Ninemile Divide Mountains. Pathways between the Cabinet-Yaak and Bitterroot ecosystems were also a web of diffuse routes. Between the NCDE and Bitterroot, pathways were well distributed within the Reservation Divide, Rattlesnake, Garnet, Bitterroot, and Sapphire Mountains, but were relatively sparse in the Missoula and Bitterroot Valleys, the biologists reported. Mapping produced by the study indicated connectivity of likely grizzly habitat where the northeast end of the Sapphire Mountains nears the northern Bitterroots around Lolo and Florence a location grizzlies have increasingly explored in recent years. For more wandering exploratory travels, rather than movements between designated grizzly ecosystems, the study found that simulated bears movements stayed relatively closer to their origin ecosystem, but were nonetheless well distributed in outside-ecosystem mountain ranges including the Rattlesnake, Garnet, Nevada, Boulder, northern Big Belt, Centennial, Gravelly southern Tobacco Root, Madison, Gallatin and southern Bridger mountains. Separate studies have found that modeling possible connectivity corridors can be accurate: Humans relative scarcity during COVID lockdowns in spring 2020 allowed brown bears in Eastern Europe to move through areas that studies had previously identified as likely bear movement corridors. And the authors of this study confirmed their models accuracy by plotting known locations of grizzlies outside designated ecosystems over their maps. The data corresponded with where modeling predicted the bears would be found. Sells study, published this month in the journal Biological Conservation, built on two previous studies by her and others. The first study, published last year, used GPS tracking data to refine researchers understanding and modeling of how grizzly bears move around in the NCDE. That offered a better understanding of how the bears use, or dont use, various habitats. The second study, released earlier this year, showed that those refined models for NCDE bears accurately predicted movements of bears in other populations, meaning the models could simulate bear movements beyond only the NCDE. Putting that model to use more broadly, the latest study evaluated western Montana for how likely or not bears would be to use habitat. The modeling produced a variety of shaded maps classifying terrain from scoring 13 at the low end (unlikely a bear would use that habitat) to 10 at the high end (grizzlies were most likely to use that habitat). For each male and female bear, the researchers modeled bear movement for travels specifically between two habitats and for exploratory travels with no set destination. For simulated travels where a bear might have a specific destination but also wander a bit, the study found that bears favored mountainous areas and, secondly, streams and rivers through open valleys. Predicted paths repeatedly converged in these areas, despite variation in habitat use among individual grizzly bears, variable start and end nodes, and different values of (exploration), the study stated. Pathways were generally similar for females and males. Wyomings Sen. John Barrasso has some competition for 2024. Casper businessman Reid Rasner kicked off his campaign to run against Barrasso with a meet and greet on Tuesday at his Casper business, Omnivest Financial. Rasner registered his candidacy with the Federal Election Commission last month. No other candidates have registered yet to vie for Barrassos Senate seat in the 2024 elections. Rasner is a Freedom Caucus-aligned political novice and ardent Trump supporter who was born and raised in Casper. He studied theater at Casper College and graduated with a bachelors degree in English language and literature from the University of Wyoming. Other than a stint living in Las Vegas where he ran for city council in 2017, hes lived in Wyoming all his life and has largely stayed out of politics. (He started the interview declaring that hes not a politician.) He formerly worked as a financial advisor at Edward Jones and is the founder and CEO of Omnivest Financial, a financial services firm. Rasner said he had been asked for the past year or so to run for the Senate seat, though it wasnt until around March and April that he finally started seriously entertaining the idea of making a bid. (He declined to share who had asked him to run on Tuesday but said he would announce that information later.) Some of his main priorities include putting in place term limits in Congress, reigning in federal spending, finishing construction of the border wall and reducing regulations. He aims to ensure the long-term solvency of Social Security and Medicare without bumping taxes, his campaign announcement says. Hes an anti-abortion candidate and staunch supporter of Second Amendment rights. He supports stronger voter I.D. laws. When it comes to the nations energy industry, Rasner said hes for cutting regulation and unleashing the energy sector and making America energy independent again. Im all for getting us back drilling and going back to the basics. (A campaign flier that he provided said DRILL BABY DRILL among a list of campaign platform items.) He doesnt believe an all-of-the-above approach that would include investments in renewable energy as well as traditional energy industries is the way to get there. An all-of-the-above approach is just going to be a costly expense, I think, he said. I really think we gotta get back to the basics here to save the state. A supporter of school choice, Rasner is enthusiastic about the new charter schools in Wyoming and attended the Wyoming Classical Academys inaugural open house last week (the schools first day is Aug. 28). At the federal level, he said he believes the U.S. Department of Education needs an overhaul. Hes not exactly sure what that would look like at this point, but added that school choice and making sure that lobbyists and bureaucrats are staying out of the classroom is very important. Rasner believes every life begins at conception an idea that was hotly debated during this years legislative session, during which lawmakers pushed through the most sweeping abortion ban law Wyoming has seen. (That ban is on hold while its being challenged in court, and abortion until viability is still legal in Wyoming.) He said he thinks Congress needs to make actual legislation to address abortion across the nation. The Casper businessman said hes been working on several bill drafts on the various issues hes campaigning on. He declined to share details about those drafts but said he plans to share them publicly throughout the next year. Rasner will make an appearance at Caspers Washington Park on Sept. 9 for an event hosted by Libertys Place 4 U Wyoming, a Natrona County group established in part because members feel the Natrona County GOP is too moderate. The event starts at 2 p.m. He also plans to travel to every county in the state for his We The People tour. The tour schedule is still being finalized. Rasner can be reached at rasnerforwyoming@gmail.com and on his campaign Facebook page. GUARDIAN Holdings Ltd is not just sold as a rock, it is as solid as bedrock, its chief executive officer Ian Chinapoo has said, as he assured that the group, even in the face of recent concerns, remains both stable and reliable. At the end of the day we know who we are, we know we are very, very, very strong, we know our investments are all over the world, we know that we are well diversified, we know that we have a business that has honoured every single claim, Chinapoo told the Express during a sit-down interview at his Westmoorings office. Afraz and Dilly Ann Karmally have taken their shared love for rock music to fashion a literal Metal Maze. The married couple, owners of the Inten Artist Consultants firm, have created the annual Metal Maze with the aim of redefining the Caribbean metal festival experience. Several Government and Opposition MPs listed their lands and properties on Form B of their declaration forms to the Integrity Commission, a search by the Sunday Express has found. There has been controversy over Prime Minister Dr Keith Rowleys non-declaration of his Inez Gate, Tobago, townhouse on Form B of his declaration filed for 2019 to the Integrity Commission. Metro Manila (CNN Philippines, August 15) The Commission on Audit (COA) questioned the Department of Agriculture (DA) over corn-farmer beneficiaries not found in the database for fuel discount cards. In its latest report on the DA, the COA said over 25,000 farmers who received fuel discount cards were not enrolled in the Agricultural and Biosystems Engineering Management Information System (ABEMIS) as required under a memorandum circular. This is to ensure that the beneficiaries of fuel discount cards own machinery for corn production such as tractors and pumps among others. The inclusion of the 25,161 corn farmers in the masterlist submitted to the DBP (Development Bank of the Philippines) for the issuance of fuel discount cards but not found in the ABEMIS file maintained by the BAFE (Bureau of Agricultural and Fisheries Engineering) casts doubt on the accuracy of the masterlist and whether said farmer-beneficiaries own farm machinery, auditors said. Records show the highest number of corn farmer beneficiaries not found in the database are from Regions 1 (Ilocos) and 2 (Cagayan), both with over 7.000. In their comment, DA management explained some of the names were onboarded even without a corresponding ABEMIS number to expedite the process. The audit team agreed that the fuel discount cards should be provided to beneficiaries on time to relieve them from surging fuel prices. However, they also reminded the DA that rules and regulations should still be strictly followed. Undistributed bags of seeds Auditors also questioned the DA over bags of seeds that it failed to distribute over the past four years under the Rice Competitiveness Enhancement Fund (RCEF). The audit revealed that the DA had failed to reach its target to distribute 13.9 million bags of certified seeds as 855,493 bags remained undistributed as of end of 2022. Out of this number, over 14,000 were found to be damaged and can no longer be used. The allocation of seeds aims to improve the quality and availability of certified inbred rice seeds. Among the reasons provided for the undistributed bags of seeds are challenges in planning during COVID-19 restrictions and timing of budget release which caused delays in procurement of certified seeds. Q: My family and I recently took a Princess cruise, and I booked my airline tickets through the cruise line's EZair program. Our return flight from Fort Lauderdale, Florida, to Denver was on Southwest Airlines, which was in December during the airline's service debacle. Southwest canceled our flight, so we had to return on another airline. Southwest refunded Princess in early January for all four tickets, but I have not received the money yet. I've sent emails to the vice president of guest relations, the director of customer service and the president of the cruise line, but have received no reply. Can you help us get our $983 back? Julie Schumer, Aurora, Colorado A: Princess should have refunded you promptly for the Southwest flights. After all, the airline had fully refunded the cruise line after its service meltdown at the end of last year. The EZair program is Princess' air-inclusive program, and it offers certain guarantees that your airline can't. That includes the ability to cancel airline tickets up to 45 days before your departure with no fees. Princess also says you can "rest easy" on whether you'll make your cruise if it's delayed or canceled on the day of travel with same-day arrival protection. So, it makes sense to look into EZair. Unfortunately, for the type of tickets you booked, the EZair terms stated that your flights were completely nonrefundable. So, technically, Princess was following its rules. But rules are made to be broken, especially during an extraordinary event like the Southwest Airlines service disruption. Princess did respond to you initially, claiming that you are only entitled to vouchers that can be used with Southwest directly. "Southwest did not issue any refunds to Princess Cruises," the representative said. However, Southwest said it had issued full refunds during the service disruption and that it sent the money to Princess. I contacted Princess on your behalf. The cruise line reviewed your case and sent a full refund to your credit card. The University of Arizona welcomes incoming Fall 2023 freshmen and their families on the first official move-in day of the week. Housing & Residential Life prepares with an influx of staff and an appointment based system for move-in week, helping make the transition for the new students smoother. August 15, 2023. A judge has clarified that only the 50,000 acres containing the proposed Rosemont and Copper World mine sites will be removed from a key area of federally protected jaguar habitat south of Tucson due to a recent Appeals Court ruling. U.S. District Judge James Sotos ruling last week leaves another 301,000 acres of protected jaguar habitat intact on land lying south of the prospective mine sites. A May ruling from the federal 9th Circuit Court of Appeals had raised the possibility that those lands could also be pulled out of critical habitat but Sotos ruling didnt even mention them. Hudbay Minerals Inc. has proposed building first the Rosemont Mine on land on the Santa Ritas east slope and now proposes the much larger Copper World Mine project on the ranges east and west slopes. The west slope is entirely private land, while the east slope contains a mix of federal and private land. The 9th Circuit ruling had faulted the reasoning the U.S. Fish and Wildlife Service used in designating the entire 351,000 acres of whats called Unit 3 of jaguar critical habitat, but the ruling created uncertainty, even among some of the parties to the lawsuit, as to what land would stay in and what would come out of critical habitat. This week, Hudbay officials and officials of the Tucson-based Center for Biological Diversity said they had agreed on removing the 50,000 acres and not the 351,000 acres. The center had fought and lost in court to try to keep all the 351,000 acres inside critical habitat. The third party involved in the lawsuit, the Fish and Wildlife Service, declined to comment on Sotos ruling, spokeswoman Jessica Zehr told the Star Tuesday. The wildlife service had also declined to comment on the original 9th Circuit ruling in May. The ruling concludes Hudbays appeal of an earlier decision by Soto, who in February 2020 upheld Fish and Wildlife Services designation of the northern Santa Rita Mountains as critical habitat for jaguars. The final order, issued on Aug. 11, doesnt affect the permitting for Copper Worlds first phase, which covers exclusively private land, Hudbay said. Typically, critical habitat designations are brought to bear on proposed projects only if they need some kind of federal permit, which Hudbay says it wont need for that first phase. But the ruling should simplify the federal permitting process for Phase II of the project, which includes federal land, the company said. If the federal land isnt in critical habitat, fewer restrictions will exist on mining there. Federal law prohibits destruction or adverse modification of critical habitat for an endangered or threatened species. Under the U.S. Endangered Species Act, federal officials are legally required to designate critical habitat if they can show such land is essential for conservation and recovery of an imperiled species such as the jaguar. The 9th Circuits May ruling said Fish and Wildlife had failed to prove that the 351,000 acres in question were essential for the jaguar. The Center for Biological Diversity is unhappy about the loss of critical habitat from the Rosemont site due to the 9th Circuit ruling, said Michael Robinson, a conservation advocate for the center. But because we lost that court ruling, it now comes down to how it will be interpreted, Robinson said, and the center has signed off on the plan approved by Sotos ruling. The center still has pending before the wildlife service a much more ambitious proposal to designate more than 14.6 million acres in Southern Arizona and New Mexico as critical habitat. Jaguars have roamed the Santa Rita Mountains since time immemorial and they need this Sky Island mountain range for their recovery, Robinson said. Were extremely disappointed with this ruling, but well keep fighting to protect the Santa Ritas for jaguars and other imperiled species who need this wild habitat to survive and thrive. We didnt need Donald Trumps last two indictments to know that some Arizonans might be in trouble soon over the 2020 election. The slate of 11 Republicans who declared themselves Arizonas official electors, even though Democrat Joe Biden had officially won the state, are already under investigation by the Arizona Attorney Generals Office. And in Michigan, the 16 alternative electors who played out a similar spectacle were indicted last month on eight felony counts each. That must be a scary prospect for former Arizona GOP Chair Kelli Ward, Republican National Committeeman Tyler Bowyer and the nine others who signed on here. Whats gradually coming into focus with each Trump indictment, though, is what may distinguish an earnest challenge to a disputed election result from an alleged crime. This will be key as we move toward the next election and its inevitable disputed outcomes. Its also becoming clearer the key role Arizona played in the plot to overturn the election, by virtue of the states name beginning with A. The debate over our votes was intended to show that the Electoral Count Act doesnt matter and can be ignored, opening a path for Trump to win. The key, according to the indictments, is that these acts were all part of a bigger criminal conspiracy. What Arizonas electors did, in concert with Republicans in six other states that Biden won narrowly, was declare on Dec. 14, 2020, that they had cast Arizonas electoral votes for President and Vice President. They signed a document saying they were the official electors for Arizona, and they cast their votes for Trump and then-Vice President Mike Pence. This alone is dangerous for them in that the Michigan indictment and Georgia indictment both classify these documents as the product of forgery, because they did not reflect the certified vote counts of their states. But that alone isnt necessarily enough to put them in jeopardy. As it turns out, 2020 was not the first time an alternate slate of electors has been submitted. Republicans have frequently pointed to the case of Hawaii in the 1960 election as justification for their 2020 acts. In 1960, it initially appeared Republican Richard Nixon had beaten Democrat John Kennedy by 140 votes, as Politico explained in a recent re-examination of the story. But when the electoral votes were due, on Dec. 19, 1960, a recount was still going on, so the Kennedy electors also filed a document like the ones the Republican electors did in 2020. When the recount was done later that month, Kennedy barely prevailed, and the Democratic alternate electors were accepted by the courts and by Nixon himself, who was vice president at the time. Phoenix attorney Tim LaSota, a Republican who has frequently filed election-related lawsuits, pointed to this case as showing why its key to have alternative electors in place. If a legal dispute over a presidential election result is still happening when the electors are chosen, he told me, you need alternate electors in case the outcome changes. Even if you end up winning, if you dont have electors appointed by that date, youre out of luck, LaSota said. The bottom line is that, if youve got a court case pending challenging the election, I dont know how you could fault somebody for preserving their rights with an alternate slate of electors. What the indictments suggest is that the electors like the ones in Arizona were not just preserving Republicans rights, though. They were part of a broader open-ended conspiracy to knowingly overturn correct election results. In other words, this wasnt just about preserving rights in case an election result changed. As indicted attorney Kenneth Chesebro said in a Dec. 11, 2020 email: The purpose of having the electoral votes sent in to Congress is to provide the opportunity to debate the election irregularities in Congress, and to keep alive the possibility that the votes could be flipped to Trump. Tellingly, the alternate electors in two states, New Mexico and Pennsylvania, picked up on the distinction between presenting themselves as the true electors, and presenting themselves as alternatives in case court cases changed the outcome of their states elections. The alternate electors from New Mexico said in their certificate that they were sending it on the understanding that it might later be determined that we are the duly elected and qualified Electors . The Arizona electors included no such conditional language. That may leave them unprotected from being accused of crimes as part of a broader conspiracy led by Trump. Thats made more likely by the fact that the whole plot aimed to break open the traditional electoral process by debating Arizonas electoral outcome in Congress Jan. 6. The Senate and House have both violated the Electoral Count Act this evening they debated the Arizona objections for more than 2 hours, attorney John Eastman wrote in an email that afternoon. So now that the precedent has been set that the Electoral Count Act is not quite so sacrosanct as was previously claimed, I implore you consider one more relatively minor violation and adjourn for 10 days to allow the legislatures to finish their investigations, continued Eastman, who was indicted in Georgia along with Trump. So its not just that they filed a false electoral certificate that puts Arizonas 11 GOP electors in potential criminal jeopardy. Its that, knowingly or not, they joined an open-ended conspiracy that intended to put Trump back in office one way or another not just if he happened to win a court case or recount in the interim. PHOENIX The Republican who was Arizonas governor at the time of the 2020 election is criticizing prosecutors for bringing criminal charges against former President Trump. Weve never seen this with a former president of the United States, former Gov. Doug Ducey said Tuesday. And the question I would have, is this good for our country or is it tearing our country apart? I believe it is divided enough. He also noted that the country is already in the midst of the 2024 election cycle in which Trump hopes to regain his former office. And Id like to see this play out and be left to the people, Ducey said. He also said he sees politics at play in at least some of the charges previously been brought against Trump by federal prosecutors. These include charges of keeping classified documents at his Mar-a-Largo estate after leaving the White House, and separate charges of conspiracy to overturn the 2020 election. Im concerned about the weaponization of the Department of Justice, Ducey said. But Trumps current legal problems do not all originate in Washington. It was the Manhattan district attorney who brought charges against him of falsifying business records in what the indictment says was his attempt to conceal hush money payments to two women who alleged they had sexual relations with him before his 2016 election. And the newest indictment Monday, including four specific charges against Trump for trying to interfere with the 2020 election, were brought by Fanni Willis, the district attorney of Fulton County, Georgia. Ducey said it makes no difference. Justice itself and prosecutorial powers should not be weaponized, whether at the state or federal level, he said. He would not comment on specifics of the latest indictment handed up Monday in Georgia against Trump and his attorneys and allies for conspiring to try to unlawfully change the outcome of the (2020) election. He also deflected questions about whether the specific actions Trump is charged cross the line into illegality including pressuring the speaker of the Arizona House to unlawfully appoint electors who would vote for him even though he lost the election. That will be left up to the juries, Ducey said. Everyone has the presumption of innocence until theyre proven guilty. So now that burden is on the state. The indictment is specific about how prosecutors say Trump and his attorneys worked to have Arizonas 11 electoral votes cast for him even though Democrat Joe Biden won the state by 10,457 votes in 2020. On Nov. 22, 2020, Trump and Rudy Giuliani, one of his attorneys, called Rusty Bowers, the Mesa Republican who was speaker of the Arizona House. The indictment alleges Giuliani made a false statement claiming fraud in the general election and solicited, requested, and importuned Bowers to unlawfully appoint presidential electors from Arizona, electors that would have been pledged to vote for Trump. Bowers refused. Trump tried again with a Dec. 25 call, again asking Bowers to appoint electors pledged to him, the indictment says. Bowers rejected the proposal. I voted for you. I worked for you. I campaigned for you, the documents quote the former speaker as telling Trump. I just wont do anything illegal for you. Bowers also rejected a similar request from John Eastman, one of Trumps attorneys, two days before Jan. 6, 2021, the day Congress was to certify the election results and the day Trump supporters marched on the Capitol and some rioted and invaded the building. There also are charges that Trumps lawyers met with unnamed Arizona legislators to ask them to appoint presidential electors favoring Trump, and that Trump joined the meeting by phone and made false statements concerning fraud in the Nov. 3, 2020 presidential election. A separate meeting involving Bowers, then-Arizona Senate President Karen Fann and other lawmakers involved Trumps lawyers trying to get them to call a special session of the Republican-controlled Arizona Legislature, presumably to have lawmakers appoint Trump-pledged electors. Two other charges say Kenneth Chesbro, another Trump lawyer, sent documents to Greg Safsten, who was executive director of the Arizona Republican Party, that were to be used by presidential electors nominees in Arizona to vote for Trump even though he lost the popular vote. Safsten was one of the signers of the fake document submitted claiming Trump won. Arizona Attorney General Kris Maye, a Democrat who took office in January, has confirmed she is investigating whether any laws were broken by the 11 people who signed that document. That has not gone unnoticed by Jan Brewer, Duceys Republican predecessor. I do believe that our attorney general is going to move forward on some kind of disciplinary action, the former governor said Tuesday. As to the merits of the Georgia indictment, Brewer said she hasnt reached any conclusions. I have been watching very carefully to see how this all plays out, she said. Im just sitting on the sidelines, watching like everybody else. Nor would she opine on whether the former president acted illegally. We have a court that will look at all of that and a jury and we will find out what their decision is, Brewer said. She also sidestepped questions of who she would support in 2024. As for Ducey, he said he is going to the GOP presidential candidates debate next week in Milwaukee, an event that Trump has yet to say hell attend. I am looking forward to seeing the candidates, Ducey said. And I am undecided at this time. Ducey has had his own interactions with Trump. Most notably, those included a call Trump placed to him on Nov. 30, 2020, just as Ducey was signing documents certifying the election win for Biden. Ducey, on hearing the ringtone he assigned to the White House Hail to the Chief did not answer, silenced the ring and put the phone down. Ducey would not say what occurred when he later connected by phone with Trump. But The Washington Post reported that Trump wanted him to look into false claims of fraud in the election. Why do some oppose cleaner air standards? Re: the July 19 letter EPA Proposed Environmental Regulations. Why do some Republican politicians write to oppose the EPAs proposal to tighten the primary (health-based) annual fine particulate matter (PM 2.5) air standard? The Clean Air Act requires the EPA to base decisions for primary standards on health considerations only; economic factors cannot be considered (EPA Regulatory Impact Analysis). PM 2.5 can deeply enter the lungs and then the bloodstream. The many PM 2.5 health risks include heart attacks and strokes, aggravation of other heart and lung diseases, lung cancer, low birth weight, and premature deaths. Much PM 2.5 air pollution is from burning fossil fuels. So why do some politicians oppose this health issue? Is it because the National Association of Manufacturers has been a major lobby for the fossil fuel industry? Are these politicians funded by the fossil fuel industry? Our politicians should put their constituents health above the harm imposed upon all of us by pollution from the fossil fuel industry. Mark Peterson, MD Foothills Failure to see Once again, the former president has been indicted on serious charges that we all witnessed in real time. This assumes that all were paying attention. This assumption is not true. I have a good friend that has not and will never watch any video of the Jan. 6 insurrection at the U.S. Capitol. I have read and listened to the entire indictment against Donald Trump. In it, are details that I did not know about the election scams/frauds that the former guy led. His followers will deny any fact that correctly imperils his freedom. They will choose to block out all facts and reasons as to why he should be incarcerated. Further, they will continue to send him their money to pay for legal defense and campaign costs. All of which will be wasted. He will never again be elected to the White House. There are too many of us that do see him and his crimes. Jeff Rayner SaddleBrooke Rose Bowl out? Cotton Bowl in? Reminds me of the guy who's working on his 2nd million; gave up on his 1st million. Joe Sanchez Marana Advocates push for Abortion initiative Bravo for the Coalition's push! If passed to put the right to abortion in the Arizona constitution, then it will include the right to kill a human being. Is that what Arizona will stand for? Ihor Kunasz Northwest side Common courtesy I just returned from a trip to a local store. My first encounter was with an employee who snarled at me when I asked if he had a price checker. I then went to an aisle and was totally blocked by a shopper who didn't seem to plan on moving out of the way. When I politely said excuse me, he glared at me, reluctantly moved his cart, and made some unkind comments. When I finally reached the checkout, it was obvious that there was no one warm and fuzzy there. On my way to my car, I noticed an employee rounding up carts; so I asked him if he would like mine, and he didn't bother to reply. No exchanges, no thanks, no nothing. What has happened to civility? A smile, a thank you, even a nod of recognition would be something. We could all make each others lives so much better with a few simple friendly gestures and some courtesy. It isn't hard, and it doesn't even hurt! Norma Guest East side Regional Transportation Authority Re: the Aug. 13 article "Costs, infighting hamper road plan." Recently publicized challenges with advancing the Regional Transportation Authority Plan to conclusion must be resolved because loss of this financial capacity to respond to our transportation needs is not acceptable. During the original RTA development, I was a technical resource (Technical Management Committee) for that Plan development and was directly engaged with the Citizen Advisory Committee not distinguished as a separate advisory group. Frustration with lack of respect for CAC input resulted from the lack of interaction between the two advisory groups. The RTA Board has given much-needed guidance on the total financial resources available for the new Plan. The advisory committees need to focus on specifying the contents (projects and programs) of the Plan. Most importantly, the benefits to the community of the proposed investments (increased safety, social equity, etc. CAC guiding principles) must be conveyed to the public soon. A rushed public information/education effort in time for the needed vote on the Plan and the Tax will be doomed for failure. John Bernal, RTA TMC member West side Abortion and sexual culture Re: the Aug. 13 article "To change abortion, change sexual culture." Tucson pastor Tom Hruska probably didn't write the headline for his Op-ed in Sunday's Star but that headline provides the medium for me to rebut Hruska's laughably naive pro-life beliefs, which is: We don't change the culture, the culture changes us. Steve Gray Foothills Do developers want affordable housing? Re: the Aug. 13 article "New fight for affordable apartments launches." The headline for Sunday's article was misleading. The article only gave the perspective of two developers of market-rate or above-market properties (both placed in neighborhoods with high levels of poverty). A real part of our housing problem is that wealthy developers have sat on vacant parcels for years waiting for (or creating?) this shortage. To portray them as victims of The Man is laughable. The article's author did not interview any of the homeowners who opposed the developments, but she printed the developer's statement that they were "NIMBY'." Maybe they wanted AFFORDABLE housing instead of fancy enclaves? We won't know from reading this article. The author also did not define "affordable" or talk about public-private programs that actually create affordable housing for working folks. And she didn't talk with families who can't afford the Bafford or the Benedictine. It seems there was an obvious agenda in choosing this headline for such a pro-developer article. Sara Vazquez Midtown Needs another look I attended Roman Catholic schools from kindergarten to fourth grade. I had their catechism drilled into my head. "Who is my father." "God is my father." "Who made me." "God made me.". A LOT of time was spent on indoctrination, but you know I never did a book report. In fifth grade, I was tasked with doing a book report. I had no idea how to do it, so I did it in an outline form. The teacher didn't know what to do with my "book report" and gave me a C followed by (????). Looking back on it, it is kind of shocking, being in fifth grade and not knowing how to do a book report. I have firsthand knowledge that private schools do not offer a better education than public schools. Thinking your children will receive a better education in private schools needs to be looked at again. James Galvin RALEIGH, N.C. (AP) Duke Energy Corp. offered Tuesday updated proposals on how it would meet mandated greenhouse gas emissions reductions in North Carolina through increasing solar and wind power generation and replacing outgoing coal-fired plants in part with new nuclear and hydrogen technologies. A landmark 2021 law directed the North Carolina Utilities Commission to create an ongoing plan by which the states electric public utilities essentially Duke Energy Carolinas and Duke Energy Progress would reduce carbon dioxide emissions by 70% by 2030 as compared with 2005 levels. The law also calls for net-zero emissions by 2050. The law does allow the panel to delay the 70% carbon dioxide reduction goal well beyond 2030 in the interest of protecting electric grid supplies or if it should authorize certain nuclear and wind-generation construction. With these exceptions and other principles in mind, Duke Energy executives said their plan going to the commission later this week but made public late Tuesday says the utility favors reaching the target in 2035. We believe that (the 2035 target portfolio) is the most reliable and most affordable pathway for North Carolinians, Duke Energy North Carolina President Kendal Bowman said in an interview. Bowman added it is ultimately up to the commission to determine the pace and the resource mix for North Carolinas clean energy transition. The company said it has already reduced emissions by 46% compared with 2005. But a five-year deferral will bring opposition from the utilitys critics, who said later Tuesday that Duke's plan continues to rely too heavily on natural gas and fails to embrace federal incentives enough to build out renewable energy. We trust that the Utilities Commission will recognize the lack of ambition in Dukes carbon plan proposal, which risks not meeting the states climate and affordability targets at a time when leadership and urgency is needed, Luis Martinez, an attorney with the Natural Resources Defense Council, said in a news release. The commission entered its initial carbon plan order last December after getting proposals from Duke Energy and input from environmental groups and electricity consumers earlier in the year. Unlike Duke's initial 2022 plan that proposed several different portfolio options, the seven-member panel chosen by Gov. Roy Cooper instead directed the utility to carry out activity to generate electricity and backed Duke's plan to retire fully its coal-fired fleet by 2035. The law tells the commission to update its plan every two years, and the Duke subsidiaries' Carolinas Resource Plan begins that process, with public hearings anticipated next spring. Charlotte-based Duke, whose two subsidiaries serve 3.7 million customers in North Carolina, offered to the commission Tuesday three portfolio mixes that respectively would meet the 70% reduction target by 2030, 2033 and 2035. Each would raise customer power bills, according to the utility's projections, with the 2035 portfolio costing the least monetarily. For example, the filing says that the most aggressive portfolio meeting the 70% standard by 2030 would add another $60 to an average monthly residential customer bill by 2033 and $70 by 2038. By meeting the standard by 2035, similar bills would go up by $35 by 2033 and $55 by 2038. Duke's recommended 2035 portfolio includes a near-term action plan that would build up additional solar and wind generation and provide more electricity storage in batteries by the early 2030s. The company also would plan to retrofit its Roxboro, Marshall and Belews Creek coal-fired plants with new technologies. While the Roxboro and Marshall plants would shift to using natural gas that runs through turbines, generating electricity, Duke says those plants also would be able to use hydrogen to produce energy. At Belews Creek, which is already a coal and natural-gas fired plant, the utility proposes building an advanced nuclear plant at the site that by 2035. These operations are smaller and more efficient than traditional nuclear reactors. Duke Energy contends that its preferred portfolio best addresses the state's growing population and industries, along with environmental mandates. The plan is balancing those objectives that allow us to both retire the coal and meet that load growth in a way thats affordable for customers, said Glen Snider, the utility's managing director of integrated resource planning and analytics. Tuesday's plan also would seek to increase the utility's electricity reserves to respond to peak demand times, Snider said. The provision follows unprecedented rolling blackouts last Christmas Eve in Duke's North Carolina coverage area that was blamed in part on extreme cold, malfunctioning plant equipment and the inability to buy power on the regional transmission system. The 2035 portfolio also would increase generation at a Duke hydroelectric plant in Oconee County, South Carolina, which serves Duke customers in both states. Duke Energy said the same plan was filed Tuesday with South Carolina regulators, who will examine it separately. MARION, Kan. A small central Kansas police department is facing a firestorm of criticism after it raided the offices of a local newspaper and the home of its publisher and owner a move deemed by several press freedom watchdogs as a blatant violation of the U.S. Constitution's protection of a free press. The Marion County Record said in its own published reports that police raided the newspaper's office on Friday, seizing the newspaper's computers, phones and file server and the personal cellphones of staff, based on a search warrant. One Record reporter suffered an injury to a finger when Marion Police Chief Gideon Cody wrested her cellphone out of her hand, according to the report. Police simultaneously raided the home of Eric Meyer, the newspaper's publisher and co-owner, seizing computers, his cellphone and the home's internet router, Meyer said. Meyer's 98-year-old mother Record co-owner Joan Meyer, who lived in the home with her son collapsed and died Saturday, Meyer said, blaming her death on the stress of the raid of her home. Meyer said he believes the raid was prompted by a story published last week about a local restaurant owner, Kari Newell. Newell had police remove Meyer and a newspaper reporter from her restaurant early this month, who were there to cover a public reception for U.S. Rep. Jake LaTurner, a Republican representing the area. The police chief and other officials also attended and were acknowledged at the reception, and the Marion Police Department highlighted the event on its Facebook page. LaTurner's office did not immediately return phone messages left Sunday at his Washington and district offices seeking comment. The next week at a city council meeting, Newell publicly accused the newspaper of using illegal means to get information on the status of her driver's license following a 2008 drunken driving conviction and other driving violations. The newspaper countered that it received that information unsolicited and verified it through public online records. It eventually decided not to run a story, because it wasn't sure the source who supplied it had obtained it legally. But the newspaper did run a story on the city council meeting, in which Newell herself confirmed she'd had a DUI conviction and that she continued to drive even after her license was suspended. Meyer also noted that the newspaper was looking into the police chief's background and why he left the Kansas City, Missouri, police department before being hired in April as chief. A two-page search warrant, signed by a local judge, lists Newell as the victim of alleged crimes by the newspaper. When the newspaper asked for a copy of the probable cause affidavit required by law to issue a search warrant, the district court issued a signed statement saying no such affidavit was on file, the Record reported. Newell declined to comment Sunday. Bob Taylor cheated death every time he came back to the Aircraft Carrier Saratoga during World War II in the Pacific Theater. Not many know, but Taylor cheated death at birth, too. The doctor saw that there was a hole in the top of Taylors head. He surmised that filling out a birth certificate would be a waste of time. It would be better to wait for the baby to die and only fill out paperwork once. Taylor lived and that paperwork snafu would return some 17 years later when the war begins. While hunting in Taylors Tennessee home of Iron City, his dad told him Pearl Harbor had been bombed by the Japanese. His first response: Wheres Pearl Harbor? Like many of that generation, Taylor wanted to contribute to the war effort. He already had experience flying biplanes and decided to enlist in the new air corps. The lack of a birth certificate would come back to haunt Taylor. He had to find a way to prove his age and did. However, to this day, he still doesnt have one. When things were sorted out, he was sent to Pensacola, Fla., for flight training. He met his future wife (Marvyl) when later transferred to Treasure Island, California, but the wedding would wait until after the war. Taylor lives in Wagoner now. He will turn 98 in October and is the oldest living WWII veteran in the city. When Taylor joined as an Enlisted Pilot (there is a reason for that title later) some of the early U.S. fighter planes were biplanes. At the start of World War II, several air forces still had biplane combat aircraft in front line service, but they were no longer competitive, and most were used in niche roles, such as training or shipboard operation, until shortly after the end of the war. The enemy had single wing Zeros with a gas tank underneath. The United States quickly redesigned fighter planes and Taylor became a F4F pilot. The countrys war effort was massive for bombers and new fighters like the Corsair that would arrive later. After the big victory in the Battle of Midway (June 4-7, 1942), Taylors squadron was part of the push across the Pacific. He bombed, strafed enemy positions while also providing close air support for Marines fighting. One of those Marines, he later discovered, was Wagoners Bill Hill, who was part of the Iwo Jima invasion. Taylor recalled clearly the day he left California to fly to the Saratoga. He wasnt alone, but when told by radio they were about to land, Taylor described his first landing as a controlled crash on a little spot. A pilot must try and catch the third carrier wire to stop in time or gun the plane and go around. According to notes from Taylors extensive log book the years of 1943-45 would be busy ones fighting the enemy. Before arriving in Pearl Harbor in November 1943, Taylor was part of the squadron that supported the invasion of Guadalcanal and battles in Tulagi Harbor. Taylors log book show 82 battle actions that included dodging a Pacific Typhoon, providing covering support for the Aircraft Carrier Lexington after it had been torpedoed. Taylor saw a lot of action and became and Ace with enough confirmed kills of six. Taylor says there were more, but could not be confirmed. Normally, the wing man was the confirmation point, but when everyone is shooting, its tough keep count. Speaking of battles, Taylor said he focused on the poorly designed Zeros belly fuel tank. He shot at that first when possible to paralyze the fighter. Taylor offered something thats widely not known; pilots of Japanese Zeros had no parachute on board. During the movie Tora, Tora, Tora about the bombing of Pearl Harbor, the Japanese bomber pilots were equipped with parachutes. Maybe, the fighter pilots did not have that luxury. In 1944, Taylor supported the Marshall Island invasion and was part of strikes for Maloelap and Kwajalein. There were battles in the Caroline Islands, Truk, the Marianas Islands, Bismark Archipelago, Palau, Yap and support for the New Guinea landings. Battles were found in Guam, Iwo Jima and Bonin Islands. He took part in Task Force 58 west of the Marianas and intercepted the Japanese fleet in the first battle of the Philippine Sea. Returned in July 1944 to attack Iwo Jima with heavy strikes, the Pagan Island and operated in the vicinity of Guam for landings. Aug. 4 was another big day. Taylor returned to attack Iwo Jima and Bonin Islands again. That night was part of the sinking of an enemy destroyer. The next day found battles in the Futami Ko area of Chichi Jami. The battles to the southern tip of Japan and the Philippines were next beginning in September. Taylor weathered the Typhoon that capsized three U.S. Destroyers in mid-December. The Okinawa and Philippine campaigns dominated the rest of 1944 and through mid-1945. The battles in the Philippines provided some interesting strategy by U.S. war planners. The Saratoga would send out a squadron to hit targets too far away to return on the current fuel tanks allowed. The plan was for the planes to do their damage and fly back as far as estimated and ditch in the Philippine Sea. There would be three U.S. submarines to pick up the survivors and bring them back. Taylor had to do this twice! He told relatives years later on one of the times waiting to be picked up, he talked to the Lord. Not all the submarines picked up the same amount of the wet flyers. Taylor recalled having to sit on deck with others on the way back without submerging. The sub boys saved a lot of us, Taylor added. Taylor supported the landing on Okinawa while the fleet fought off Kamikaze raids. July 1945 would move Taylor closer to the Japanese capitol in Tokyo. He was part of an attack on Tokyo Bay, Hokkaido and Honshu and the plains area around Tokyo. The U.S. planes were now hitting Japanese shipping areas Kure-Kobe and industrial spots in Tokyo and Nagoya. As it turned out, Taylor was part of an Aug. 15 raid on Tokyo when he received word that the Japanese had surrendered. Other Taylor war notes: During all of Taylors intense action faced, he was also able to help tweak the new Corsairs design to make it easier to land on a carriers deck. The Wildcat (fighter) was easier to land, said Taylor. The Corsair later improved. About being an Enlisted Pilot meant that Taylor had no chance to advance up the officer ladder. Enlisted Pilots were fighter pilots and that was it. When he was mustered out after the war, Taylor was called a Senior Chief. Sure, Taylor won many honors and awards for his duty during the war, but climbing the Air Corps ladder was not one of them. Understandably, Taylor was not too keen to talk in detail about the dog fights winners and losers. He quietly said, I lost a lot of good friends. To help break up the boredom and sadness at times, the Saratoga (and maybe others) indoctrinated new recruits when they crossed the International Date Line for the first time. The newbies got their air cut in wild patterns as an initiation. Some of the well-coiffed, zoot suitors were not happy and even cried, Taylor said with a grin. When told there would be a special visitor to visit the Saratoga. The pilots hoped for certain supplies or good news. Instead, Eleanor Roosevelt, wife of President Franklin Roosevelt, came on board. Taylor said, complaints could be heard everywhere from this visit. Taylor and his wife found their way to Wagoner in 2001 after working in the airline industry, but not as a pilot after the war. Update (Wednesday): Ron Durbin was arrested after an incident in which he had attempted to surrender at the Tulsa County Courthouse, turning around after the group with him was told they could not follow. The incident was livestreamed on his law firm's Facebook page and showed deputies physically restraining Durbin as he lay face-down on the concrete. "After review of the video and all pertinent reports regarding this incident, the Tulsa County Sheriff's Office believes our deputies acted appropriately and within state law and our own policies and procedures," TCSO spokeswoman Casey Roebuck said in a statement later Wednesday afternoon. She said Durbin was cleared in a medical check to be booked into jail. Durbin posted $1,000 bond on the assault and battery charge and was released from jail around 2:40 p.m. Wednesday. The story below published in Wednesday's Tulsa World: The lawyer who has set himself up as Oklahomas premier cannabis attorney could be facing disbarment after multiple complaints taken under investigation by the state bar. A complaint against Ronald E. Durbin II filed Friday with the Oklahoma Supreme Court details several allegations of professional misconduct dating back to 2017. Disciplinary options, per statute, range from private or public censure to suspension of license to full disbarment. The complaint against Durbin alleges a pattern of professional misconduct in using his status as a licensed attorney to apply pressure when not getting his way: Cross me, and youll pay in court. Grievances noted in the complaint include incidents involving Durbin at City Hall reported in the Tulsa World. Officials allege Durbin was making inappropriately broad records requests without providing any documentation of the request. While livestreaming on Facebook, Durbin tells a city finance official he will sue her for violating the Open Records Act, a misdemeanor, claiming the city would not defend her in court. According to the OBA complaint, after a city official intervened due to Durbins aggressive behavior, he finally left the building as he threatened to sue everyone. That incident came about a week after a similar Durbin livestream from the Oklahoma Bureau of Narcotics and Dangerous Drugs. He was trying to immediately access open records related to an alleged criminal conspiracy involving attorneys working with cannabis licensees. OBNDD spokesman Mark Woodward alleged Durbin threatened him with a lawsuit that he would bill at $450 per hour for an Open Records Act violation. In another livestream on March 31, 2023, Durbin refused to sign in when visiting City Hall in connection to an open records request involving City Councilor Grant Miller, a clerk at Durbins firm. After accusing a security officer of being a Nazi for requiring him to present identification, Durbin threatens he would seek the arrest of any guard who put hands on his person. The officer can be seen on the livestream using his arm to block Durbin, who was denied access to the administrative offices, which Durbin characterized as being touched aggressively. On Tuesday, a warrant was issued for Durbins arrest on a misdemeanor charge filed in Tulsa County District Court alleging that officer was struck in the March 31 disturbance. According to a probable cause affidavit, video evidence was submitted that shows Durbins right fist making contact with the officers chest. Through his client Freeman Culver, president of the Greenwood Chamber of Commerce, Durbin had already filed suit against the officer in that incident along with the city, Mayor G.T. Bynum, other city officials, multiple guards and the security firm. At issue in that civil case is whether the public, presumably Culver, was blocked from accessing open records per statute. In a written response to the OBA, Durbin said the Greenwood Chamber is extremely happy with my services, and frankly, if they knew about this, they would probably respond negatively to the OBA for attacking an attorney trying to stand up for a minority community that is use (sic) to government deprivation of rights. Durbin added in his statement that he believes state actors are retaliating against him for his attempt to sue OBA for negligence related to the cannabis conspiracy case. I cannot wait to fight it out, publicly. The OBA is corrupt, and it serves the attorneys and citizens of this state terribly, Durbin said in a Facebook post. One of the attorneys who filed a grievance represents Metrc, the inventory firm contracting with Oklahoma Medical Marijuana Authority. He stated he had never filed a bar complaint in his 27-year career but felt Durbins actions are undermining public confidence in Oklahomas judicial system. Tulsa County District Judge Sharon Holmes and her bailiff are among those who filed a grievance against Durbin after an incident outside her courtroom on Nov. 22, 2022. Durbin was being interviewed outside Holmes courtroom in his capacity as attorney for a City Council candidate in an election challenge. After a bailiff confronted Durbin and the reporters about moving to the approved area for media use at the courthouse, according to the complaint, he raised his voice to a degree that three judges physically left their nearby courtrooms to investigate the disturbance. While on a livestream for his law firms Facebook page, Durbin hurled insults and other harassing statements at Holmes that he has repeated in livestreams as recent as late Monday evening. In addition to Holmes and two Tulsa court bailiffs, those who filed grievances against Durbin include the general counsel for Oklahoma Bar Association, a former personal injury client, a Tulsa dispensary owner, his ex-wifes brother, a Tulsa city official, a consultant for cannabis legislation, and two private-practice attorneys. A professional responsibility tribunal hearing is set at the Oklahoma Bar Center in Oklahoma City from Sept. 6-8. The new Tulsa World app offers personalized features. Download it today. Metro Manila (CNN Philippines, August 15) Foreign Affairs Secretary Enrique Manalo said the country is not on war footing and remains committed to finding peaceful means to resolve its dispute with China even after the recent water cannoning of Philippine vessels in the West Philippine Sea. In a budget briefing of the House of Representatives for the Department of Foreign Affairs (DFA) on Tuesday, Kabataan Rep. Raoul Manuel asked the secretary if it was "wise" to say that the Philippines is on a "war footing" after the recent incursion in the contested waters. Manalo answered that the country is "not on a war footing." "I hesitate to answer what would be an act of war," he said when further pressed on the department's perspective on what would contstitute an act of war. "The general understanding among all countries is to try and resolve the disputes in the South China Sea through peaceful means," the DFA chief said. "And even with China, we have entered into discussions with them bilaterally that we will try and resolve our disputes through peaceful means, to focus on areas where our relations are really positive." Manalo stressed that President Ferdinand Marcos Jr. has an independent foreign policy and that the country is "a friend to all". Manuel raised the issue as he said he is getting "mixed signals" with various government officials commenting on the Aug. 5 incident, when the China Coast Guard blocked and fired water cannons at Philippine vessels during a resupply mission to the Ayungin Shoal. Lawmakers then asked Manalo if they still expect a different outcome from talks with China since Beijing has been consistent on not recognizing the 2016 Arbitral Award. "It's not hopeless. We will continue to find a solution and there's a commitment on both sides," the DFA chief added. In an interview with CNN Philippines' Politics As Usual, Armed Forces Chief of Staff Romeo Brawner Jr. said China's action was "short of declaring war." Tulsa-area students and their families are encouraged to take advantage of Service Academy Day this weekend to learn more about applying to attend one of the nations military service academies. An annual event held by U.S. Rep. Kevin Hern, its set for 10 a.m. Saturday in the first floor atrium of the Cityplex Towers, 2448 E. 81st St. Representatives will be present from all the nations service academies, including the U.S. Military Academy at West Point, Air Force Academy, Naval Academy, Coast Guard Academy and Merchant Marine Academy. The event is open to students eighth grade and up, as well as parents and educators. The academy representatives will be available to discuss the academies and the process to apply. At the end of the day, we want our Oklahoma applications to have the best opportunity and exposure possible for this special opportunity, said Rocky Goins, chairman of the selection committee that reviews applicants and provides recommendations for the congressmans nominations. RSVP is requested for Saturdays event at contact.hern@mail.house.gov. The new Tulsa World app offers personalized features. Download it today. Lenzy Krehbiel-Burton Tulsa World Staff Writer Follow Lenzy Krehbiel-Burton Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Save Manage followed notifications Close Followed notifications Please log in to use this feature Log In Don't have an account? Sign Up Today Tulsa Public Schools board of education voted 5-2 Tuesday evening to adopt a resolution calling on the Oklahoma State Board of Education to accredit the district as recommended earlier this summer by the states accreditation office. No matter what, we are family, school board member Jennettie Marshall said. As family, we are capable of handling our failures. TPS has in place policies and procedures. The State Department of Education also has in place policies and procedures. Anything that is being done to touch upon our accreditation is not adhering to those policies set in place at OSDE. I would encourage those listening at OSDE to hear our hearts as a district and receive our plea as a district. We sit around this table not just as individuals, but as representatives of seven districts. Our voice is for the people who duly elected us. The Oklahoma State Department of Educations accreditation office recommended that the district be accredited with two deficiencies: one due to a late report and the other in connection with a self-reported embezzlement case involving a now-former administrator that is still under federal investigation. However, citing the districts performance on standardized tests and concerns about the districts financials, state Superintendent Ryan Walters asked that a decision on the districts status be delayed until Aug. 24. TPS starts classes on Thursday. Walters has previously said that all options are on the table regarding TPS accreditation status, including not accrediting TPS or allowing for the Oklahoma State Department of Education to take it over, similar to the efforts going on almost 500 miles to the south with the Houston Independent School District. One of two board members to vote against the resolution, ELena Ashley questioned whether the state taking over TPS is even a realistic possibility and said the potential intervention was an opportunity to implement drastic changes within the district. I love all of the 34,000 children that this district has been failing for more than a decade, she said. This is an opportunity to impact our already failing students and encourage them to turn away from the status quo. The other no vote came from Jerry Griffin, who maintained that sending the resolution set a bad precedent. How would we like an outside board sending us a resolution? he asked. Among the specific points raised in the resolutions preamble is the desire to maintain local control and having decisions made by a board elected by Tulsans a notion echoed Tuesday by Gov. Kevin Stitt. In a statement released immediately before the special meeting, TPS officials thanked Gov. Stitt for remarks. We echo and appreciate Governor Stitts emphasis on the importance of local control by Tulsas publicly elected school board. We affirm the plan and accountability measures established by our board in support of continued growth and achievement for Tulsa Public Schools. As a special meeting, Tuesday evenings agenda did not allow for public comment. With multiple campuses hosting back-to-school events that day, the Education Service Centers Selman Room was half full of teachers, parents and concerned community members. Shawna Mott-Wright and LeeAnn Jimenez, were among the attendees Tuesday evening. The president and vice president, respectively, of the Tulsa Classroom Teachers Association, they said they stand behind the boards decision to send the resolution, particularly in light of the worries they are hearing from teachers across the district. Sadly, our state Superintendent of Public Instruction is creating chaos and inciting fear, Mott-Wright said. Its making it more difficult for teachers to focus on the task at hand, which is getting ready for our kids who are going to show up on Thursday. Yet they are working their hardest to do just that. Anna Codutti Tulsa World Breaking News Editor Follow Anna Codutti Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Save Manage followed notifications Close Followed notifications Please log in to use this feature Log In Don't have an account? Sign Up Today Officials believe remains found Tuesday near 5600 North Lewis Ave. are the bodies of Dwayne Selby and his mother, who went missing in late October 2021. Tulsa County Sheriff Vic Regalado said testing is needed to confirm the identities, but their families have been alerted about this big step after nearly two years in what has become a triple homicide investigation. The remains of Jack Grimes, Selbys friend who had reportedly traveled with him to a horse show in Texas, were found Oct. 31, 2021, more than a week after he and Selby failed to resurface after the trip to Fort Worth. Selbys mother, 80-year-old Glenda Cookie Parton of Pryor, disappeared while looking for her son. The state Medical Examiners Office ruled Grimes death a homicide. Parton was last seen Oct. 25, 2021, while driving her car, which was found abandoned on the side of U.S. 75 near the 56th Street North exit the next day. The car in which Selby and Grimes were supposed to have traveled to Texas together was found early Oct. 28, abandoned deep in Mohawk Park, 5701 E. 36th St. North. Searches of the area where Grimes remains were found, as well as the areas where Partons and Grimes cars were located, initially turned up no new leads. Regalado said Wednesday that Parton and Selbys bodies were found less than half a mile from where her car was found, just several hundred yards from the location Grimes remains were discovered last fall. Cadaver dogs could have missed the scent needed to hit on those remains during the first searches, which Regalado noted were during winter months. He could not rule out that the bodies had been moved at some point. We still have a lot of work to do, Regalado said of the triple homicide investigation, adding the discovery is somewhat of a closure to the family of Selby and Parton. Items found in the same location as the bodies led investigators to be pretty positive DNA testing will identify them as Selby and Parton. Regalado cited the complexity of the investigation in not disclosing what the items were. Selby and Grimes lived at the property together, Regalado said, where they also had a workshop. He said the two bodies were found in the same vicinity Tuesday after detectives followed up on a tip received earlier in the week. Its unfortunate that its like this, with very little cooperation, very few witnesses if any, Regalado said of the investigation, and the ones we have we have to corroborate, as well as information we get. Its not information that came easily. Detectives found video evidence thats provided a very tight timeline of the events leading up to Selby and Grimes disappearance, the sheriff said. Because of that, the person of interest is still a person of interest, Regalado said without identifying a person or motive. Grimes, Selby and Parton owned a horse business together, TCSO spokeswoman Casey Roebuck said in a previous update. The new Tulsa World app offers personalized features. Download it today. LAHAINA, Hawaii (AP) Public schools on Maui started the process of reopening and traffic resumed on a major road in signs of recovery a week after wildfires demolished a historic town and killed at least 110 people, while the head of the island's emergency agency said he had no regret that sirens weren't sounded to warn people about the onrushing flames. At least three schools untouched by flames in Lahaina, where entire neighborhoods were reduced to ash, were still being assessed after sustaining wind damage, Hawaii Department of Education superintendent Keith Hayashi said. Theres still a lot of work to do, but overall the campuses and classrooms are in good condition structurally, which is encouraging, Hayashi said in a video update. We know the recovery effort is still in the early stages, and we continue to grieve the many lives lost. Elsewhere crews cleaned up ash and debris at schools and tested air and water quality. Displaced students who enroll at those campuses can access services such as meals and counseling, Hayashi said. The education department is also offering counseling for students, family members and staff. The Federal Emergency Management Agency opened its first disaster recovery center on Maui, an important first step" toward helping residents get information about assistance, FEMA administrator Deanne Criswell said. They also can go there for updates on aid applications. Criswell said she would accompany President Joe Biden on Monday when he visits to survey the damage and bring hope." At a news conference, Herman Andaya, Maui Emergency Management Agency administrator, defended not sounding the sirens during the fire. We were afraid that people would have gone mauka, he said, using the Hawaiian directional term that can mean toward the mountains or inland. If that was the case then they would have gone into the fire. There are no sirens in the mountains, where the fire was spreading downhill. Hawaii created what it touts as the largest system of outdoor alert sirens in the world after a 1946 tsunami that killed more than 150. Andaya said they are primarily meant to warn about tsunamis and have never been used for wildfires. The website for the Maui siren system says they may be used to alert for fires. Beyond the decision to not use sirens, state and local officials have faced public criticism over shortages of available water to fight the fire and a chaotic evacuation that saw many trapped in their vehicles on a jammed roadway as flames swept over them. Avery Dagupion, whose familys home was destroyed, is angry that residents werent given earlier warning to get out and that officials prematurely suggested danger had passed. He pointed to an announcement by Maui Mayor Richard Bissen on Aug. 8 saying the fire had been contained, instilling a false hope in residents of Lahaina, when hours later the fire exploded. That, he said, lulled people into a sense of safety and adds to the mistrust that he and others have over officials' efforts now. At the news conference, Gov. Josh Green and Bissen bristled when asked about that mistrust and how they can assure the public they will do all thats needed to help the community rebuild. Did mistakes happen? Absolutely, the governor said, later adding: You can look here to see who you can trust, referring to the police, fire, emergency and Red Cross officials standing behind him. I cant answer why people dont trust people, Bissen said. The people who were trying to put out these fires lived in those homes 25 of our firefighters lost their homes. You think they were doing a halfway job? With the death toll rising by four since Tuesday, a mobile morgue unit with additional coroners has been brought in to help. Kimberly Buen was awaiting word Wednesday of her father, Maurice Shadow Buen, a retired sport fisherman who lived in an assisted-living facility that was destroyed. The 79-year-old was blind in one eye, partially blind in the other and used a walker or an electric scooter to get around. In recent weeks he also had swollen feet. For him, there is no moving quickly, Buen said. The stories from survivors who fled the fast-moving flames terrified her. If able-bodied people were having to run and jump into the ocean, I can only imagine whats happened to the assisted living and the lower income and the elderly people that didnt have warning, you know, or have any resources to get out, she said. Bill Seidl, 75, lived in the same complex. His daughter, Cassie Seidl, of Valencia, California, said he knocked on doors before escaping. I think people were assuming it was just another brushfire, she said. I dont think people realized, and they were not warned. Seidl's father is now camping on a friends property in Wailuku. On Tuesday, the county released the names of two victims: Lahaina residents Robert Dyckman, 74, and Buddy Jantoc, 79. They were the first of five who have been identified. Sacred Hearts School in Lahaina was destroyed, and Principal Tonata Lolesio said lessons would resume in the coming weeks at another Catholic school. She said it was important for students to be with their friends, teachers and books, and not constantly thinking about the tragedy. Im hoping to at least try to get some normalcy or get them in a room where they can continue to learn or just be in another environment where they can take their minds off of that, she said. The main building of the Children of the Rainbow Preschool in the center of Lahaina was also completely destroyed, director and lead teacher Noelle Kamaunu said via email Wednesday, adding that she's thankful she closed the school the morning of the fire because the power was out. The staff is safe, and families have been accounted for. We are not allowed into the area so I am unable to even see it with my own eyes. Children of the Rainbow Preschool is in my heart, my second home, said Kamaunu, who has worked there for 20 years. It is a tragic loss. The governor said Wednesday that he instructed the state's attorney general to institute a moratorium on land transactions in the Lahaina area. Green said he has heard of people he described as not even in real estate reaching out to ask about purchasing land owned by people in the disaster area. My intention from start to finish is to make sure that no one is victimized from a land grab, he said. The cause of the wildfires, already the deadliest in the U.S. in more than a century, is under investigation. Hawaii is increasingly at risk from disasters, and wildfire is what is escalating the most, according to an AP analysis of FEMA records. Green has warned that scores more bodies could be found. John Allen and his daughter surveyed an ash-gray landscape once festooned with colorful orchids and plumerias from a hill above the fire zone. His daughter wept as she pointed to the coffee shop where she used to work, and the places they used to live. Allen moved to Maui two years ago after leaving Oakland, California, where he witnessed a destructive wildfire race up hillsides in 1991. No one realizes how quickly fires move, Allen said. Kelleher reported from Honolulu and Weber from Los Angeles. Associated Press journalists Haven Daley in Kalapua, Hawaii; Kathy McCormack in Concord, New Hampshire; Jennifer McDermott in Providence, Rhode Island; Seth Borenstein in Washington, D.C.; and Heather Hollingsworth in Kansas City, Missouri, contributed. Vietnamese start-up companies secured cumulative funding of US$413 million in the second quarter of this year, according to DealStreetAsia. Data compiled by DealStreetAsias Data Vantage show that start-up fundraising across Asias key markets, including Southeast Asia, India, and China, experienced a decline in Q2 in comparison to the same period last year. The most significant decline in funding, 58.6 percent, was witnessed in Southeast Asia. Start-ups based in this region garnered $2.13 billion during the second quarter, as opposed to the $5.13 billion achieved in the corresponding quarter of 2022. Start-ups from Vietnam amassed a combined sum of $413 million in funding, with only Singaporean companies surpassing this figure by raising $1.24 billion during the three-month period. Indonesian start-up fundraising lagged behind that of Vietnam, accumulating total equity funding of $327 million. Gary P. Khoeng, a partner at Vertex Ventures Southeast Asia & India, who also oversees the Indonesian market, said that deal volumes are expected to trend down due to macroeconomic challenges and cautious investor behavior, which have been prevalent since 2022. In general, we are cautiously optimistic for the end of 2023 and 2024 because it appears that overall, macro has somewhat improved when compared to last year, although there could still be black swan events that may happen, he told DealStreetAsia. An additional concerning pattern emerging from South Asia is the seed-stage funding, which had exhibited a certain level of stability during the funding downturn, but is now beginning to be affected. In the second quarter, a mere 52 start-ups in Southeast Asia managed to secure seed funding, reflecting a sequential drop of 29.7 percent and a year-on-year decrease of 45 percent. This trend raises concerns as seed funding plays a crucial role in helping start-ups get off the ground, covering essential expenses like product development, key personnel recruitment, marketing, and early-stage operations, the Data Vantage report said. At the current run rate, achieving a higher level of capital for the entirety of 2023 than what was raised in 2022 is proving to be exceedingly challenging for start-ups in Southeast Asia. Start-up fundraising in the region in the first half of 2023 reached only 44 percent of the levels recorded for the same period last year. Southeast Asian start-ups amassed $4.2 billion in total proceeds in the first half, down 56 percent year on year and less than fundraising in the first quarter of 2022. This suggests Southeast Asian start-ups will find it hard to make up for the shortfall in the second half of 2023. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Police in Kien Giang Province, located in southern Vietnam, have arrested two local men for allegedly hunting endangered and rare species, including purple herons, white storks, black shags, and snakebirds to use as snacks for a drinking party. The suspects were identified as Ho Van Ngoan, 29, and Nguyen Van Ven, 37, both residing in U Minh Thuong District, the districts investigation agency said on Tuesday. At around 11:00 pm on August 12, they were running out of snacks for a drinking party, so Ngoan asked Ven to join him to hunt birds at U Minh Thuong National Park, according to a police report. At the national park, Ngoan used a three-meter-long bamboo pole to beat birds which were asleep on the tree until they fell onto the ground. Ven picked up the fallen birds and put them into a bag. Ho Van Ngoan (L), 29, and Nguyen Van Ven (R), 37, both residing in U Minh Thuong District, Kien Giang Province, southern Vietnam, have been arrested for allegedly hunting endangered and rare species at U Minh Thuong National Park. Photo: Tung Tram / Tuoi Tre Two hours later, a group of rangers caught the duo hunting the endangered and rare animals. The force chased and managed to seize Ngoan and Ven along with a bag containing 37.5kg of 35 purple herons, white storks, black shags, and six snakebirds. The national park authority booked these two men and handed them over to police for further investigation. The police division in U Minh Thuong said that the Institute of Forensic Sciences, under the Ministry of Public Security, confirmed that the seized birds are endangered and rare species. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! The administration in Ho Chi Minh City has halted a technical support project worth nearly VND250 billion (US$10.5 million) for its bus rapid transit (BRT) project. The city attributed the move to the impact of the COVID-19 pandemic, resulting in the failure to complete plans and missions by the investor of the project the Transportation Works Construction Investment Project Management Authority of Ho Chi Minh City. The pandemic in 2020 and 2021 affected the mobilization of foreign consultants. As a result, the investor failed to hold tenders to choose contractors and conclude contracts for 12 packages of the project. The further execution of the project is not in line with the current situation as the World Bank in September last year announced it would stop disbursing loans for the BRT project, according to the municipal authorities. The World Bank is also the sponsor of the technical support project for the BRT line. It wants to use the capital for the technical support project to help Ho Chi Minh City in studying other urban development and public transport projects. The Peoples Committee of Ho Chi Minh City will require the municipal Transportation Works Construction Investment Project Management Authority to complete procedures to put an end to the technical support project in line with regulations on the management and use of official development assistance (ODA) loans and preferential loans of foreign units. Vietnam's then-prime minister approved the technical support project for the BRT line in Ho Chi Minh City to be carried out between 2016 and 2020. The Ho Chi Minh City Peoples Committee later adjusted the planned execution time to 2018-22. The standing board of the municipal Partys Committee had earlier reached a consensus on scrapping the BRT project. The prime minister green-lighted the project in 2013 with an investment of nearly $156 million, sourced from the World Bank. The project execution was expected to last five years, from 2014 to 2019. However, a series of delays forced the Ho Chi Minh City Peoples Committee to adjust the investment plan for the project in late 2020 with the ODA loan from the World Bank falling to over $121.2 million and the citys corresponding capital totaling nearly VND423 billion ($17.7 million). The 26-kilometer BRT line was designed to stretch from the An Lac Roundabout in Binh Tan District to the Rach Chiec Bridge in Thu Duc City and run along Vo Van Kiet and Mai Chi Tho Avenues, passing through District 1, District 6, District 8, Binh Chanh District, Binh Tan District, and Thu Duc City, Lao Dong (Labor) newspaper reported. Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! Residents at an apartment building at 137 Ly Thuong Kiet Street in Tan Binh District, Ho Chi Minh City have been looking forward to moving out of the property as it is badly deteriorated. The city made a decision to evacuate residents from the ramshackle building in 2018 but residents are still suffering from the bad conditions of their accommodations. The apartment building smells musty despite the sun these days. Dusty electric wires and water supply pipelines criss-cross the walls there. Lan Anh, a resident at the apartment building, said the building floods and leaks during rains and stays dank on sunny days. The walls have been degraded with peeling paint and some segments even collapsing. She rents an apartment at the building with her husband for over VND1.5 million (US$63) per month due to their low incomes. As they are poor laborers, they have to resign themselves to betting their lives on the danger of living there, Lan Anh said. Pointing at a locked apartment next door, she added that its owner moved to another place as the apartment has seriously deteriorated. Lan Anh, a resident at the apartment building at 137 Ly Thuong Kiet Street, points at a dilapidated wall. Photo: Phuong Nhi / Tuoi Tre Meanwhile, a dilapidated apartment measuring several square meters on the ground floor is home to the three-generation family of Trinh Thi Khanh, 80. Khanh said her apartment floods whenever it rains heavily. However, it is currently leaking even on sunny days. Trinh Thi Khanh, 80, and her three-generation family are living in a dilapidated apartment measuring only several square meters on the ground floor of the apartment building. Photo: Phuong Nhi / Tuoi Tre The administration in Tan Binh District, Ho Chi Minh City has planned to relocate residents at the apartment building at 137 Ly Thuong Kiet Street to 55 apartments of block A of the Phu Tho apartment building in District 11. However, the Phu Tho apartment building has already deteriorated as it was earlier used for the treatment of COVID-19 patients. The municipal authorities asked the Housing Management and Construction Inspection Center under the Department of Construction to repair it. Nguyen Thanh Hai, director of the center, told Tuoi Tre (Youth) newspaper that the repair of the 55 apartments at the Phu Tho apartment building finished in late 2022 but the work has yet to be checked, so the center cannot hand over the apartments for use. Moreover, shared facilities at block A, such as corridors, elevators, and water and electricity supply and fire prevention and fighting systems, are run-down and have yet to be repaired. The facilities are under the management of District 11 Public Services Co. Ltd. but the company has no fund for the repair. The apartment building looks messy. Photo: Phuong Nhi / Tuoi Tre Pham Quoc Phuong, deputy director of District 11 Public Services Co. Ltd., said the Peoples Committee of District 11 had proposed the municipal Department of Construction transfer the shared facilities to the Housing Management and Construction Inspection Center for management. The district also suggested the municipal Peoples Committee provide funds for the Housing Management and Construction Inspection Center to upgrade the shared facilities, Phuong informed. An interlacing system of electric wires at the apartment building. Photo: Phuong Nhi / Tuoi Tre Meanwhile, vice chairman of the Peoples Committee of Tan Binh District Truong Tan Son said the district had written to the municipal Department of Construction urging the relocation of households at the apartment building at 137 Ly Thuong Kiet Street. The apartment building has been severely deteriorated. The district is always willing to join hands [with relevant agencies] to ensure that residents will have safer accommodations, Son said. The three-floor apartment building at 137 Ly Thuong Kiet Street has 34 apartments and was built before 1975. Nam, a resident at the apartment building, points at a corner of his deteriorated apartment. Photo: Phuong Nhi / Tuoi Tre Like us on Facebook or follow us on Twitter to get the latest news about Vietnam! UK legal drama Showtrial by Ben Richards has been renewed for a second season. Seven screened the first season in early 2022, which centred around a duty solicitor (Tracy Ifeachor) representing a privileged, woman (Celine Buckens) on trial after the death of girl who attended a student ball. Made by World Productions (Vigil, Line of Duty, Anne) the new series will revolve around charismatic and cocky officer Justin Mitchell (Michael Socha); Sam Gill (Adeel Akhtar), an anxious defense solicitor with a reputation for winning lost causes; and Leila Hassoun-Kenny (Nathalie Armin), a rigorous CPS lawyer leading the case against the accused. It will once again centre around a hotly contested criminal trial that divides the nation and takes place in the full glare of the media spotlight. When the high-profile climate activist Marcus Calderwood is left for dead in a violent hit and run, he uses his dying moments to identify his killer a serving policeman. But who is this unnamed Officer X? What does his own history reveal about the nature of trauma and revenge, and was Marcuss death a careless accident or cold-blooded murder? As public outrage reaches fever-pitch, Showtrial questions what happens when a trial is dominated by contentious issues and whether the truth is ever clear cut. Is a fair trial possible when tensions are riding so high? Creator Richards says: I am very grateful to the BBC for the opportunity to explore another sensational trial which I hope will be just as entertaining as our first outing. I love writing Showtrial and Im honoured to have a cast of such high quality to deliver it. Filming begins in November in Belfast, and further casting will be announced in due course. Stan and BBC have released first look images of the second season of The Tourist, which will see the return of Jamie Dornan and Danielle Macdonald. The six-part thriller, created and written by Jack and Harry Williams, is produced b Two Brothers Pictures (Baptiste, The Missing, Fleabag). The images feature Jamie Dornan who resumes his role as Elliot Stanley, a man looking to rediscover his true identity following his memory loss, alongside Danielle Macdonald, as former police officer Helen Chambers, following their adventure in the Australian Outback. Full of shocking plot twists and the same off-beat comedy that made season one a global success, S2 is set to debut next year with even more intriguing characters and intense action. Set against the striking backdrop of Ireland, in season two the pair are confronted by friends and foes as they attempt to uncover the secrets of Elliots past. As Elliot and Helen are dragged into a longstanding family feud, the brand new season introduces a raft of new characters including Detective Ruairi Slater (Conor MacNeill, Industry, The Fall), Niamh Cassidy (Olwen Fouere, Texas Chainsaw Massacre, The Northman) and the McDonnell family Donal (Diarmaid Murtagh, Vikings, Outlander), Orla (Nessa Matthews, Fair City), Fergal (Mark McKenna, Sing Street, One of Us is Lying), and Frank (Francis Magee, Kin, Then You Run). The brand new season of the Stan Original Series The Tourist is a Two Brothers Pictures (an All3Media company) production for the BBC, in association with Stan, ZDF and All3Media International, and created and written by Harry Williams and Jack Williams (The Missing, Baptiste, Fleabag). Fergus OBrien (Happy Valley, Gentleman Jack) directs the opening block with Johann Perry (Happy Valley, Gentleman Jack) as DOP, while Lisa Mulcahy (Blood, Years and Years) and Kate Dolan (Kin, You Are Not My Mother) direct block two and three respectively. Alex Mercer (Inside Man, Crossfire) is producer, with Louise Kiely (The Banshees of Inisherin, Normal People) as casting director. Executive producers are Harry and Jack Williams, Sarah Hammond and Daniel Walker for Two Brothers Pictures, with Nawfal Faizullah for the BBC, and Jamie Dornan. Stan Executive Producers are Cailah Scobie and Amanda Duthie. International sales will be handled by All3Media international. Metro Manila (CNN Philippines, August 15) Foreign Affairs Secretary Enrique Manalo on Tuesday said filing a resolution before the United Nations General Assembly (UNGA) to end China's harassment in the West Philippine Sea (WPS) could only be politicized, stressing Manila would continue its bilateral talks with Beijing. The Philippine government will exhaust all possibilities before making such a move as theres a lot of considerations to make when filing a resolution at the UNGA, Manalo told a budget hearing in the House of Representatives Tuesday. It is one thing to have a debate, a discussion, we can do that, we have been doing that," he said. "Pero pagdating sa resolution [But when it comes to a resolution], it becomes a slightly different matter. It becomes politicized." On Aug. 1, the Senate adopted a resolution that strongly condemns China's continued harassment of Filipino fisherfolk and its persistent incursions in the WPS. Among the options listed was to ask the DFA to file a resolution before the UNGA to end Beijings harassment. Days after, the China Coast Guard blocked and fired water cannons at Philippine vessels which were conducting a resupply mission to Ayungin Shoal, prompting Manila to file a diplomatic protest against Beijing and summon Chinese Ambassador Huan Xilian. RELATED: Senators push for executive session amid water cannon incident The DFA has filed over 400 diplomatic protests since 2020 but lawmakers have been critical of the protestations saying they only fell on deaf ears. Manalo said the country will continue its bilateral talks with the East Asian giant, adding that he would not say that they are hopeless. Siyempre, pag nag-discuss, [Of course, when you discuss] it doesn't mean you will necessarily agree. But there are ways that perhaps you could explain your position clearly in the hope of finding a solution, the DFA chief said. He also said the DFA plans to garner support from traditional partners and other nations when the Philippine government raises the WPS issue at the United Nations and other fora. In fact, what has happened is recently a number of countries who before did not speak out on the need for peaceful resolution sa [in the] South China Sea came out speaking, this includes Australia, Korea, India, the DFA chief said. I think that's the approach we are taking to garner enough support for our positions and our views, he added. International meeting with kindred countries? As you have said, Mr. Secretary, there are more and more countries siding with the Philippines for the enforcement of the [arbitral] award, Albay Rep. Edcel Lagman said in his interpellation. And we have all of the G7 countries without any exception," he added. "They have already articulated their support for the Philippine cause. We have European Union members who also made the same statements." Lagman then suggested to the department to consider hosting an international meeting of all these kindred countries so that we could really solidify the Philippine cause, as he explained the UNGA resolution option has risks. Manalo said it was a very interesting idea, adding he is open to discussing with the lawmaker possible ways to bring it to fruition. In 2016, the arbitral tribunal in The Hague created under the United Nations Convention on the Law of the Sea (UNCLOS) largely ruled in favor of the Philippines in the 15 points it raised against China. It upheld the country's sovereign rights in areas of its exclusive economic zone and continental shelf in the WPS that are being claimed by Beijing. It also invalidated China's expansive claims in the South China Sea. China, however, has repeatedly refused to recognize the ruling calling it "illegal, null and void." Reflex Advanced Materials Corp. VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Reflex Advanced Materials Corp. (CSE: RFLX) (OTCQB:RFLXF) (FSE:HF2) (Reflex or the Company) is pleased to announce the mobilization of drill equipment for its Summer 2023 initial drill program (the Program) at the Ruby Graphite project (the Project) in Montana. The Program is planned to consist of 3500 meters of core drilling at the Project, located in Beaverhead County, Montana, U.S.A. Drill pad construction is already underway. The Project is situated in southwestern Montana which is known for its rich mineral deposits. The Company has conducted extensive geological and geophysical surveys and sampling programs, which have indicated the presence of graphite mineralization within the project area. The upcoming drill program, scheduled to commence August 16, 2023, aims to provide a comprehensive understanding of the Project's potential and delineate the extent of the graphite mineralization. By strategically positioning the drill rig at key target locations, the Company will be able to obtain valuable subsurface information and enhance its geological model. Upon completion of the Summer 2023 initial drill program, the Company plans to promptly analyze the data and incorporate the findings into an updated geological model. The company will communicate the results to its shareholders and the public, providing transparent and timely information on the project's progress. Paul Gorman, CEO of Reflex Advanced Materials, expressed his enthusiasm about the upcoming drilling campaign, stating, "The mobilization of drill rigs marks an important milestone for Reflex as we advance our exploration efforts at the Ruby Graphite project. We are confident that this drill program will not only confirm the presence of high-grade graphite based on the historical commercial production from the historical Crystal Graphite mine which operated within the current Ruby Graphite project before 1948, but also provide proof-of-concept for moving forward with this important critical and strategic resource." Story continues The initial drill program will comprise a series of diamond drill holes strategically designed to intersect the targeted zones based on previous prospecting and other geophysical exploration strategies already undertaken by the Company. Core samples will be collected and analyzed for grade, quality, and other relevant characteristics. The Company has engaged a team of experienced geologists and drilling professionals who will oversee the drilling operations, ensuring adherence to the highest safety and environmental standards. The company is committed to minimizing its impact on the surrounding environment and local communities throughout the entire exploration process. The technical information contained in this news release has been reviewed and approved by Greg Bell, P.Eng., General Manager of the Ruby Graphite Project, who is not independent of the issuer and is a Qualified Person as defined in National Instrument 43-101 Standards of Disclosure for Mineral Projects. For more information on Reflex Advanced Materials Corp and its mineral projects, please visit the Companys website at www.reflexmaterials.com. ON BEHALF OF THE BOARD OF DIRECTORS, Paul Gorman CEO & Director Reflex Advanced Materials Corp info@reflexmaterials.com Tel. (778-837-7191) Suite 915-700 West Pender Street Vancouver, BC V6C 1G8 Canada About Reflex Advanced Materials Reflex Advanced Materials Corp. is a mineral exploration company based in British Columbia. Its objective is to locate and, if warranted, develop economic mineral properties in the strategic metals and advanced materials space. It is focused on improving domestic specialty mineral infrastructure efficiencies to meet surging national demand by North American manufacturers. The Company is working to advance its Ruby Graphite Project, located in Beaverhead County, Montana, and ZigZag Lake Lithium Property, located in Thunder Bay Mining Division, Crescent Lake Area, Ontario. For more information, please review the Companys filings available at www.sedar.com. Forward-Looking Statements This news release contains certain forward-looking statements within the meaning of applicable securities laws. The use of any of the words could, intend, expect, believe, will, projected, estimated and similar expressions and statements are intended to identify forward-looking statements and are based on the Companys current beliefs or assumptions as to the outcome and timing of such future events. All statements that are not historical facts, including without limitation, statements regarding future estimates, plans, programs, forecasts, projections, objectives, assumptions, expectations or beliefs of future performance, such as statements regarding: future exploration work that the Company intends to complete on the Project and the timing and results thereof, including the Project; and the Companys belief that the past production at the Project is indicative of graphite mineralization at the Project. These forward-looking statements reflect the expectations or beliefs of management of the Company based on certain key expectations and assumptions made by the Company, including expectations and assumptions concerning: that past production at the Project is indicative of graphite mineralization at the Project; and the nature, timing and results of future exploration work by the Company on the Project, including the Program. Although forward-looking statements are based on the reasonable assumptions of the Companys management, there can be no assurance that any forward-looking statement will prove to be accurate. By their nature, such forward-looking statements are subject to a number of risks and uncertainties which could cause the actual results, performance or achievements to differ materially from the anticipated results, performance or achievements expressed or implied by the forward-looking statements. These risks and uncertainties include, but are not limited to, risks inherent in the exploration and development of mineral deposits, including risks relating to changes in project parameters or delays as plans continue to be redefined, that the Company may not receive the necessary permits or approvals to conduct the desired exploration work (on the timeline or terms expected by the Company, or at all), that mineral exploration is inherently uncertain and that the results of mineral exploration may not be indicative of the actual geology or mineralization of a project; that mineral exploration may be unsuccessful or fail to achieve the results anticipated by the Company; and those risks and uncertainties detailed from time to time in filings made by the Company with securities regulatory authorities. These factors should be considered carefully, and readers are cautioned not to place undue reliance on such forward-looking statements. The forward-looking statements and information contained in this news release are made as of the date hereof and the Company undertakes no obligation to update or revise any forward-looking statements or information, whether as a result of new information, future events or otherwise, unless so required by applicable securities laws. The Canadian Securities Exchange has not reviewed, approved or disapproved the contents of this press release, and does not accept responsibility for the adequacy or accuracy of this release. Three alleged spies for Russia have been arrested and charged as part of a major national security investigation. Orlin Roussev, Biser Dzhambazov and Katrin Ivanova were arrested in February under the Official Secrets Act after being found with multiple passports from different countries. The trio, all from Bulgaria, remain in custody and will appear at the Old Bailey on a date still to be agreed. They had 19 passports, driving licences, identity cards and residence permits from countries including the UK, France, Italy, Bulgaria, Spain, Greece, Croatia, the Czech Republic and Slovenia. They have been charged with possession of false identity documents with improper intention. Ivanova, 32, and Dzhambazov, 42, lived at a home in Harrow, north London, while Roussev, 45, was arrested at his address in Great Yarmouth, Norfolk. The other two arrested - a 31-year-old man from west London and a 29-year-old woman from North London - have been released on bail until September. Metropolitan Police's counter-terrorism command is leading the investigation. A former British spy has told Sky News that producing multiple types of identification is "extremely helpful and useful... from a counterintelligence perspective". Christopher Steele, who ran the Russia desk at MI6 in London between 2006 and 2009 and worked there in the 1990s, said: "I think it's early days yet to make any definitive judgements about what's behind this. Clearly, the government appears to believe that they were working for the Russian state, Russian intelligence." He added: "It's an impressive [police] operation. It will act as a deterrent, I think, for others. "But of course Russia is effectively at war for the moment and Russia and Putin will stop at very little to pursue their state objectives, whether it's on the battlefield or in the sort of espionage elements of areas of the UK and Europe." Harry Ferguson, a former intelligence officer for MI6, questioned how much evidence authorities had managed to find against Roussev, Dzhambazov and Ivanova as the charge against them is "the equivalent of speeding in a 30mph zone". He told Sky News: "There is no allegation that they have stolen any information...it appears in six months MI5 and the police have failed to develop those leads." There is currently no new evidence about the Omagh bomb atrocity which would warrant holding a public inquiry in Ireland, a minister has said. However, Peter Burke, Minister for European Affairs and Defence, stressed that the Irish Government would cooperate fully with the UK Government in an attempt to ensure there are no unanswered questions left about the 1998 bombing. Twenty-nine people, including a woman pregnant with twins, were killed when a massive car bomb exploded in the Co Tyrone town, the worst loss of life in a single incident in Northern Irelands troubled past. Peter Burke (left) and Lord Jonathan Caine attended a service to mark the 25th anniversary of the Omagh bombing at the weekend (Brian Lawless/PA) It came just months after the historic Belfast/Good Friday Agreement. No-one has ever been criminally convicted of the attack. In 2021, a High Court judge recommended the UK Government carries out an investigation into the Omagh bombing, and urged the Irish Government to do likewise, after finding plausible arguments that there had been a real prospect of preventing the atrocity. Earlier this year, Northern Ireland Secretary Chris Heaton-Harris announced that an independent statutory inquiry will be carried out. Families of some victims of the bombing have urged the Irish Government to do the same. Mr Burke, who attended a memorial service in the town at the weekend, told the BBC Good Morning Ulster programme: We have had a number of previous investigations in this jurisdiction in relation to the events surrounding the Omagh bombing. Also we have had the Nally inquiry which was very detailed. The Nally inquiry found no significant new evidence which warranted the establishment of a public inquiry. That is our position at the moment. We have met with a number of survivors and victims, we are also awaiting the final publication of the terms of reference for the inquiry in the UK. We will be giving full cooperation with that inquiry. The Irish Government is very anxious to ensure that when that inquiry has concluded there are no unanswered questions. He added: There have been a number of significant efforts and trials where we have tried to get convictions and hold to account those who perpetrated this heinous crime. Story continues The Irish Government wants to work hand in glove with the UK Government in relation to this issue and we will be cooperating fully with this inquiry. A spokesman for the Department of Justice reiterated this position and added: The Irish Government is anxious to ensure as far as possible, that by the end of the UK inquiry, there are no unanswered questions relating to the Omagh bombing that can only be examined in this state. On Tuesday, a number of families who lost loved ones in the 1998 attack, gathered and laid flowers at the spot where the bomb exploded. Kevin Skeldon whos wife Philomena died in the Omagh bombing lays flowers at the site of the bombing (Liam McBurney/PA) Speaking afterwards, Kevin Skelton, who lost his wife Philomena in the bombing, called on the Irish Government to step up to the plate over Omagh. Speaking about the UK inquiry, he said: Unless the southern government is involved, its a waste of time. If they do get involved, whether it bears fruit or not I dont know. He said the bomb was driven to Omagh from the Republic of Ireland. Russia's Soyuz MS-11 spacecraft carrying the members of the International Space Station (ISS) expedition 58/59 (Image: KIRILL KUDRYAVTSEV/AFP via Getty Images) Russia's space agency, Roscosmos, was founded in 1992 as a continuation of the Soviet space programme created in the 1950s. The State Space Corporation emerged after the dissolution of the Soviet Union in 1991, and has been re-branded twice since then, previously known as the Russian Federal Space Agency. But since its latest mission of Luna-25, is seemingly successful, what does the Roscosmos do, and does it have any other project in the works? Here is what you need to know. What does Roscomsmos do? As Roscosmos is a state corporation, it is responsible for space flights, cosmonautics programs and aerospace research. It is headquartered in Moscow, with its main Mission Control Centre in Korolyov. As the Soviet Union was the first state to send a man to space, Yuri Gagarin, the Russian space agency holds the world's first and largest spaceport - the Baikonur Cosmodrome in Kazakhstan. The Soviet Union was historic in the advancement of space exploration, mostly famously known for competing in the Space Race, meaning Russia is responsible for the world's first satellite, first human spaceflight, first space station and creating the world's first Astronaut Corps. It was also one of the largest contributors to the International Space Station, as it poured all its resources into it shortly after the breakup of the Soviet Union. Now, Roscosmos has a focus towards Earth science, communication, and scientific research. It is also a major provider of launch services to other countries. The current administrator is Yury Borisov. What are Roscosmos' future projects? Currently, all eyes are on the latest mission - Luna-25. This lunar lander is the first moon mission since 1976, and it was launched by Russia's own rocket, the Soyuz-2.1b rocket from the Vostochny Cosmodrome in Russia's far eastern Amur Region. It was launched on 11 August, and will research the moon by 21 August. The idea behind the mission is to research the lunar south pole, which is a shadowed area many researchers think may hold ice. Story continues Roscosmos is also responsible to resupply the ISS however, continued international collaboration in ISS missions has been thrown into doubt by the 2022 Russian invasion of Ukraine and related sanctions on Russia. In April 2021, Roscosmos announced it will be departing the ISS program after 2024. In its place, it was announced that a new space station (Russian Orbital Service Station) will be constructed starting in 2025. However, Russia has also dabbled in space tourism for fare-paying passengers to ISS through the Space Adventures company. Since 2009, six space tourists have contracted with Roscosmos and have flown into space, each for an estimated fee of at least $20 million (USD). In further Moon exploration, the space agency is planning to launch the Luna-Glob later this year with an aim to create a fully robotic lunar base. In 2029, it is hoping to launch an orbiter to Venus. Germany - Europes largest economy - is expected to pass a bill to legalise the recreational use of cannabis in the coming weeks Germany could be the latest country to introduce a bill to legalise cannabis, as the country's cabinet is set to approve a plan to liberalise the country's rules on cannabis. The new plan could see adults allowed to possess up to 25 grams of the drug, and grow a maximum of three plants for personal use and pharmacies would be able to sell cannabis products. The legalisation of cannabis for both medical and recreational use varies from country to country but on the whole, the drug is still mostly prohibited for recreational use around the world. The policies for cannabis are regulated by three United Nations (UN) treaties: the Single Convention on Narcotic Drugs (1961), the Convention on Psychotropic Substances (1971), and the Convention Against Illicit Traffic in Narcotic Drugs and Psychotropic Substances (1988). Some countries have decriminalised cannabis to make possession a non-criminal offence, but others have much more severe penalties where possession is punishable by imprisonment for up to several years. Recreational use Countries that have legalised the recreational use of cannabis are: Canada: The federal Cannabis Act legalised Cannabis on 17 October 2018 and was the second country to legalise cannabis after Uruguay. Georgia: Georgia was one of the first countries in the world to legalise cannabis for both medical and recreational use, by a ruling by the Constitutional Court of Georgia on 30 July 2018. Malta: Malta was the first EU country to legalise cannabis for those 18 and older, on 14 December 2021 after decriminalisation was adopted in 2015. Medical use was announced as legal in 2018. Mexico: Recreationally, cannabis became legal on 29 June 2021, after the Supreme court of Mexico decriminalised it and President Andres Manuel Lopez Obrador signed a bill allowing adults aged 18 and older to possess up to 28 grams and to grow up to six plants on their property. South Africa: Cannabis rulings in South Africa mean it can only be consumed by adults in private - under the country's Constitutional court. There are still laws against consuming cannabis outside personal property, and buying and selling. Story continues Thailand: The commercial sale of cannabis was legalised on 9 June 2022. Medical use has been legal since 2018 - where patients were required to have a prescription. Uruguay: The commercial sale was legalised in July 2017. President Jose Mujica signed legislation to legalise recreational cannabis in December 2013, and in 2014, legalised growing up to six plants at home, as well as the formation of Cannabis Social Clubs, a state-controlled marijuana dispensary regime. United States: The recreational use of cannabis has been made legal in 21 states, and the medical use of cannabis is legal in 37 states - with a doctors recommendation. As of 2022, 10 states have also decriminalised cannabis, with an additional 16 states which initially decriminalised and then later legalised. Australian Capital Territory in Australia: Since 31 January 2020, possession and growth of small amounts of cannabis for personal use is legal after the Australian Capital Territory passed a bill to allow it in late 2019. The Netherlands: Contrary to popular belief, cannabis in the Netherlands is illegal, but recreational use is tolerated and decriminalised for personal use. Medical use Countries that have legalised the medical use of cannabis: Argentina: Cannabis is decriminalised in small amounts and private locations - as ruled by the Supreme court in 2009. In Chubut, medical cannabis has been legal since 23 September 2016, and in Sante Fe, since 30 November 2016. Australia: Amendments to the Narcotic Drugs Act saw cannabis be legally grown for medical and scientific purposes in Australia in 2016. Barbados: Medical use of cannabis was legalised in November 2019 through the Medicinal Cannabis Industry Bill but is still illegal for recreational use. To allow for the spiritual use of cannabis, the Sacramental Cannabis Bill was passed to be used by registered Rastafarians. Brazil: Possession for personal amounts for private use was decriminalised in 2006 but recreational cannabis remains illegal in Brazil. Canada: Medical cannabis was first legalised in Canada and regulated under the Marihuana Medical Access Regulations (MMAR), which came into force on 30 July 2001. Chile: Medical use of cannabis was made legal in 2015 after Chile began clinical trials in 2014. Although still illegal for production and public consumption, it can be grown and sold for medical use. Colombia: Cannabis has been partially decriminalised for recreational purposes since 1994, but since 2016 has been legal for medical purposes. Costa Rica: Medical use was approved in March 2022 after Costa Rica President Carlos Alvarado signed the bill for therapeutic and medicinal use but recreational use is still illegal. Croatia: The Croatian Ministry of Health officially legalised cannabis-based drugs in 2015, for patients with illnesses such as cancer, multiple sclerosis, or AIDS. Cyprus: Cannabis is certified as a Class B drug in Cyprus, which is punishable by up to eight years in prison. However, the medical use of cannabis oil was passed in January 2017, for use by advanced-stage cancer patients. However, in February 2019 a more expansive law was passed to include different qualifying medical conditions. Czech Republic: Since 1 January 2010, cannabis has been decriminalised in the Czech Republic, and medical use was made legal since 1 April 2013. The law allows for 180g of dry cannabis per month, prescribed by specialised physicians. Denmark: The Danish Medicines Agency approved three types of cannabis derivatives for medical use in 2011 - but all three require a prescription. They are mainly prescribed to cancer patients or those with multiple sclerosis. From January 2018, medical use of whole-plant cannabis is allowed through a four-year pilot programme. Ecuador: Personal consumption of cannabis is legal in quantities of up to 10 grams, but the sale of marijuana is illegal. Finland: Although illegal in Finland, cannabis can be used by an extremely limited group of people in the form of Sativex or Bedrocan, Bediol or Bedica brand herbal cannabis from one of 27 apothecaries. Georgia: Georgia was one of the first countries in the world to legalise cannabis for both medical and recreational use, by a ruling by the Constitutional Court of Georgia on 30 July 2018. Germany: In 2016, the Cabinet of Germany approved legislation allowing the use of cannabis for seriously ill patients who have consulted with a doctor and by 2017, cannabis can be taken with a doctor's prescription, paid for by health insurance. Greece: Greek Prime Minister Alexis Tsipras announced a joint ministerial decision that led to the legalisation of the medical use of cannabis in June 2017. Patients need a doctor's prescription. A year later, the Greek Parliament approved a law to cultivate and produce medical cannabis in Greece. Ireland: Case-by-case approval is needed by the Minister of Health for the use of medical cannabis in Ireland, but cannabis is still illegal for recreational purposes. Israel: Medical marijuana has been permitted since the early 1990s for cancer patients and those with pain-related illnesses such as Parkinson's, multiple sclerosis, Crohn's disease, other chronic pain and post-traumatic stress disorder. It remains illegal for recreational use but is partially decriminalised for home use and if people have 15 grams or less prosecution is not generally enforced by the authorities. Italy: Doctors have been able to prescribe cannabis for therapeutic use since 1998 in Italy. The cost of medical cannabis is covered by the healthcare system for six medical conditions, but for other conditions cannabis can be purchased from pharmacies. In June 2017, the Ministry of Health established a maximum price for medical cannabis between 8.50 and 9.00 per gram, to standardise the expenses sustained by patients. Jamaica: In February 2015, Jamaica amended cannabis laws to allow up to two ounces of cannabis in possession and practitioners of the Rastafari faith may use cannabis for religious purposes.Tourists with a prescription for medical marijuana can apply for permits to purchase small amounts. Lebanon: The Lebanese parliament passed a law in April 2020 to legalise cannabis cultivation for medical use, and became the first Arab country to do so. However, medical recommendations must be given by a doctor, and provided by a certified entity. Luxembourg: Luxembourg's Ministry of Health passed a two-year pilot programme in 2017 to allow citizens to obtain cannabis extracts for medical purposes. A year later, lawmakers unanimously approved a bill for its medical legalisation. Malawi: Malawi's parliament legalised the cultivation and processing of cannabis for industrial and medicinal uses in 2020 but did not allow for recreational use. Malawi is one of the largest producers of cannabis in Southern Africa and grows one of the most potent sativas. Malta: Malta legalised the medical use of cannabis in 2018, to be approved with a prescription. Mexico: In June 2017, President Enrique Pena Nieto signed a bill into law to allow the medical use of cannabis with products containing less than 1% Tetrahydrocannabinol (THC). Netherlands: Dutch pharmacies have sold legal prescription drugs since 2003. New Zealand: In 2020, New Zealand introduced the Medical Cannabis Scheme, where CBD products may be prescribed by any doctor registered to practise in New Zealand. North Macedonia: On February 9 2016, the Macedonian Parliament Health Committee gave its approval for the legalisation of medical marijuana and patients were allowed to buy oil with 0.2% cannabinoids. Anything stronger would require a prescription. Norway: Cannabis in Norway is strictly legalised for medicinal use and all other purposes are illegal. Panama: Medical cannabis was legalised in 2021, after a bill was passed in the national assembly by a unanimous vote and was signed into law by President Laurentino Cortizo in October. It is illegal to use recreationally, but the law is often unenforced. Peru: Medical cannabis was legalised in 2017 after a raid in Lima,where police shut down an operation which produced cannabis medicines for 80 people whose children suffered from epilepsy and other ailments. Poland: In July 2018, the medical use of cannabis was passed with the law going into effect by November. Portugal: Medical use was legalised in 2018 and was dispensed at pharmacies. Possession for personal amounts has been decriminalised. Rwanda: In 2021, Rwanda passed an order making cannabis for medicinal purposes legal after the law was proposed in 2010. Saint Vincent and the Grenadines: In 2018, Saint Vincent and the Grenadines passed two acts where one established the Medical Cannabis Industry. Marijuana is decriminalised and anyone caught with 56 grams (two ounces) or less of cannabis will not be subject to incarceration but will be fined a maximum of $500 and other measures including being given educational material about cannabis; counselling and rehabilitative care. San Marino: In 2016, a public initiative was presented to the government to allow for the legalisation of medical cannabis. The measure was passed and Sativex is now issued at no cost in San Marino for patients suffering from pain due to multiple sclerosis or bone-marrow conditions. South Africa: Cannabis rulings in South Africa mean it can only be consumed by adults in private - under the country's constitutional court. There are still laws against consuming cannabis outside personal property, and buying and selling. Spain: Cannabis is decriminalised for personal cultivation and use, and for other purposes other than sale or trade. However, cannabis clubs across the country create a grey area. These areas are technically private, but are a way for individuals to come together and use cannabis. In private, consumption and possession of reasonable amounts (up to 100 grams) is legal. But in 2005, the autonomous Catalonian government launched a programme for the therapeutic use of Sativex, and in 2017 legalising the cultivation, consumption and distribution of cannabis for members of designated cannabis clubs. Sri Lanka: Cannabis is sold through Ayurveda herbal shops and can be used for medical and scientific purposes if given a licence by the Ministry of Health. It is illegal to take it for recreational purposes. Switzerland: In March 2021, the Switzerland Federal Council amended the Swiss Narcotics Act to lift the ban on cannabis for medical purposes only. Only two pharmacies are allowed to dispense cannabis tinctures and cannabis oil concentrates for patients with serious or terminal illnesses. Thailand: Medical use has been legal since 2018 and patients were required to have a prescription. United Kingdom: Medical use of cannabis was legalised in the UK in 2018, and in July 2018 then-Home Secretary Sajid Javid announced cannabis products would be made legal for patients with an "exceptional clinical need". However, treatment must be initiated by a specialist consultant and may be continued under shared care by a GP or non-medical prescriber. Vanuatu: In 2018, Vanuatu's national healthcare was considering clinical trials of a cannabis-based drug to treat diabetes. Zambia: In 2017, Zambian Home Affairs Minister Steven Kampyongo made it legal to cultivate cannabis for medical use if a licence is obtained from the Minister of Health. Zimbabwe: On Friday 27 April 2018, Zimbabwe legalised marijuana for medical and scientific purposes. However, possession - with a medical exception - can be used with up to 12 years in jail. Just Stop Oil has previously said it is non-hierarchical (Image: Jordan Pettitt/PA Wire) Just Stop Oil has risen to prominence in the last year or so, protesting at many major events to try and bring Government action on climate change, and has gained both criticism and approval for its methods. The organisation, founded in February 2022, describes itself as a coalition of groups working together to demand that the government immediately halt all future licensing and consents for the exploration, development and production of fossil fuels in the UK. It is a successor group to Insulate Britain, whose members spent the autumn of 2021 blocking Britains roads to highlight the need for home insulation. As the group's prominence has continued to grow, some people may wonder if the organisation has a leader and who might be funding it. Dorset Echo: Just Stop Oil was launched as a successor group to Insulate Britain Just Stop Oil was launched as a successor group to Insulate Britain (Image: Aaron Chown/PA Wire) Does Just Stop Oil have a leader? Just Stop Oil has said previously it is non-hierarchical and without formal leadership. Big Issue adds: "It is part of a wider international network of climate activists called the A22 network. "Describing itself as a group of connected projects engaged in a mad dash to try and save humanity, the A22 network includes Letzte Generation in Germany and Declare Emergency in the USA." Several sites have reported that the co-founder of Extinction Rebellion, Roger Hallam, is behind the group but there has not been confirmation of this. Who funds Just Stop Oil? On its website, Just Stop Oil says that most of its funding for "recruitment, training, capacity building, and education" comes from the Climate Emergency Fund. It adds: "We also receive donations from members of the public who support the campaign and from foundations and groups who are as terrified as we are about the unfolding climate crisis." The Climate Emergency Fund was founded by Alison Getty, who is the granddaughter of Jean Paul Getty who founded Getty Oil. She said that when her family sold its fossil fuel business she "instead vowed to use my resources to take every means to protect life on Earth. A June 2022 photo shows officers beside a Goodhue Police Department vehicle. Goodhue Police Department/Facebook The entire police force of a small city in Minnesota quit their jobs, NBC News reported. The police chief said the $22-an-hour pay gave "zero incentive" for officers to be on call. The city will rely on the sheriff's office while it seeks to rebuild the department, the mayor said. The entire police force of a small Minnesotan city collectively resigned, potentially leaving the city of just over 1,000 people without a functioning police department, according to officials. NBC News reported that all employees of the Goodhue Police Department quit their roles over pay issues, citing Mayor Ellen Anderson Buck, speaking at a City Council meeting on Monday. The meeting was originally scheduled to discuss salary increases for the police department, but the mass resignation had already occurred when it took place, NBC News reported. Goodhue Police Chief Josh Smith submitted his resignation on August 9, according to the Associated Press. His resignation will become official on August 23, the Minneapolis Star Tribune reported. At a July 26 council meeting, Smith said that other law enforcement agencies were trying to recruit him and his colleagues, according to NBC News. He said that their hourly wage of $22 was considerably lower than the $30-per-hour minimum offered by other departments. "There's zero incentive to come out here to a small town, low pay, being on call, affecting your free time and everything else," he said, per NBC News. In addition to Smith, another full-time officer and five-part time employees resigned on Friday following the news that Smith was stepping down, AP reported. "This is heartbreaking to us," Mayor Ellen Anderson Buck said Monday, according to AP. The news agency reported that the mayor said the mass exodus of police officers came as a surprise because the council had given officers a 5% pay increase and Smith a $13,000 raise earlier this year. Neither Buck nor Smith immediately responded to Insider's requests for comment. Story continues The police department will be on duty until August 24, according to NBC News. While efforts are made to recruit for the police department, the council will rely on support from the Goodhue County Sheriff's Office to police the city, AP reported. A meeting is scheduled for next Wednesday to discuss potential interim solutions, the mayor said, per NBC News. Read the original article on Insider Santorini - Greek locals reportedly resent being priced out of using their own beaches (Wikimedia Commons) Along the sun-soaked shorelines of Greece, some locals have finally had enough. The soaring cost of sunbeds, which can be more than 60 a day, has led to a wave of protests as Greek nationals demand change to save their beaches. Dubbed the towel movement, protesters are attempting to restore free access to beaches that are often lined with private, rented sun loungers. Now, locals on Greek islands such as Rhodes, Crete and Paros have taken to the beaches with placards in an attempt to reclaim the public beach spaces. So what has triggered this wave of protests and what does it mean for people heading to Greece this summer? What is the Greek towel movement? The towel movement is a term used to describe a growing wave of frustrated locals who want to take back access to public beaches. They believe opportunistic businesses and tourist developments are exploiting the annual summer influx of tourists by charging extortionate fees and spreading their sunbeds beyond licensed areas. Some beds are even reportedly marketed as VIP and can go for more than 100 for one days use. This appears to be pricing out local beach dwellers, who feel as if they have no place to go. Some have struggled to find a free spot to simply lay down their towels. Led by groups such as Save Paros Beaches, protesters have taken to the sand holding signs saying the likes of Reclaim the beach. According to a statement published by Metro, the Save Paros protesters said: We claim our right to public space, our right to enjoy our beaches that are encroached upon by greedy, socially irresponsible businessmen who occupy beaches in their entirety or exceed their limits by up to 100 times the area they legally lease. Why are protesters angry about Greek beaches? Although Greeces beaches are public spaces, some areas have been fenced off by beachfront businesses that have then lined the sand with luxury sun loungers. These loungers, which often come with their own parasol, appear to have been set up across vast beach spaces, leaving little room for others on the sand. Story continues In May, the Greek supreme court circulated a memo that stated the law does not recognize private beaches. However, this hasnt stopped many companies from taking advantage of tourists looking for shade and spreading loungers right up to the shoreline. These have come alongside exorbitant daily fees that are pricing out locals and tourists alike. Are the authorities going to stop the Greek towel protests? The New York Times reported that the finance minister of Greece has encouraged local authorities to take action. Local inspectors were reportedly sent to some beach areas to remove illegal sunloungers, while other businesses removed loungers themselves because they were worried about receiving fines. However, some fear that loungers will simply reappear once the inspectors have left. Whats more, the momentum behind the Greek protests appears to be gathering as more locals demand the freedom to enjoy their beaches without the hefty price tag. Greek towel movement inspires wider protests Its not just Greek islanders that are taking a stand against greedy business owners. In the Turkish town of CeAme, locals have been inspired by the towel movement sweeping across other Aegean Sea destinations. Metro Manila (CNN Philippines, August 16) The House of Representatives on Wednesday expelled Negros Oriental Representative Arnolfo Teves Jr., who has been embroiled in multiple criminal cases and whose whereabouts have been unknown for months. A total of 265 lawmakers voted to adopt the Committee on Ethics' unanimous recommendation to evict Teves for his disorderly behavior and for violation of the Code of Conduct of the House of Representatives. The panel cited as basis of Teves' expulsion his continued absence without leave and his pursuit of political asylum in Timor-Leste. It said such acts are as good as abandonment of public office. It also pointed to Teves recent designation as a terrorist and his indecent behavior on social media, including a video of him dancing while wearing undergarments, which the committee said have caused dishonor to the lower chamber. The Committee finds that the gravity of the offenses committed by Rep. A. Teves, Jr. merits a heavier sanction in order to protect the dignity, integrity and reputation of the House of Representatives, it said in its report. No one voted against the committee recommendation, although three lawmakers from the Makabayan bloc abstained from voting. Representatives Arlene Brosas, France Castro and Raoul Manuel particularly questioned why the Anti-Terrorism Council's (ATC) move to tag Teves a terrorist was included as reason for the expulsion. In the first place, the committee report should not have included what was being pressed on by the anti-terror council which is a very questionable body in our country right now, Manuel said. Committee chairman Felimon Espares, however, asserted that the ATC designation was not the sole reason for their proposal to kick out Teves. It was just included in the discussion, but in our recommendation, it is very clear that we just act on our recommendation for disorderly behavior and for violation of the code of conduct. A mere designation is not the basis of our recommendation, Espares said. House rules state that a member must act at all times in a manner that shall reflect creditably on the House and must adhere to the rules of the committees. Upon the recommendation of the ethics committee, the chamber may punish a lawmaker for any violation of the code of conduct with suspension of up to 60 days or with expulsion, provided that there is concurrence of two-thirds of all its members. The House first slapped Teves with a 60-day suspension on March 22, noting his "defiance" in refusing to return to the Philippines despite an expired travel authority. The expelled congressman who flew overseas in late February has been claiming that he is unable to return to the Philippines due to threats to his life. In late May, the lower chamber again suspended Teves for another 60 days and forfeited all his committee memberships. According to House Secretary General Reginald Velasco, Teves was the first sitting lawmaker expelled despite not yet being convicted of a crime. In 2012, then Dinagat Island Rep. Ruben Ecleo Jr. was removed from the Houses roll of members after he was found guilty of murder. The same thing happened in 2002 to then Zamboanga del Norte Rep. Romeo Jalosjos after the Supreme Court upheld his rape conviction. In its latest recommendation, the ethics panel noted that it received Teves written response on Aug. 14, where he maintained that the allegations against him were baseless and that the terrorist tag is a form of political persecution. Teves also insisted that he did not abandon his duty as representative of the third district of Negros Oriental, saying he has been clamoring to be permitted to participate in proceedings virtually. The expulsion came just a day after the Department of Justice confirmed that fresh murder charges have been filed against Teves in relation to three killings in Negros Oriental in 2019. He has also been implicated as the alleged mastermind in the assassination of Negros Oriental Governor Roel Degamo in March this year. NAM INH The ai Phong infrastructure construction company of Viet Nam and JiaWei Corporation from Taiwan (China) signed a project development agreement at a ceremony in the northern province of Nam inh on Monday. Accordingly, JiaWei plans to invest in ai Phongs My Thuan Industrial Park located in Nam inh. Covering an area of 85,764 square metres, the JiaWei Vietnam plant project is set to design and manufacture products from environmentally friendly materials. The construction of related workshops and offices will begin this September, toward an official operation by the end of 2024. The facility is expected to provide jobs for approximately 1,000 workers in its initial phase. Speaking at the ceremony, Chairman of the provincial People's Committee Pham inh Nghi affirmed that this project marks the continuation of positive results in the local investment attraction work, especially regarding high-tech and environmentally friendly sectors. The authorities are committed to providing the most favourable conditions for and supporting the investor in addressing difficulties involving legal procedures during its construction, operation, and management of the project, he stressed. VNS HCM CITY With almost two months to go for the Mid-Autumn Festival and despite fears of low demand due to the economic situation, mooncake producers have already rolled out many new products. KIDO Group Corporation, which re-entered the mooncake market last year with the Kido's Bakery brand after selling its snack business to a foreign company years ago, has taken the lead in launching its mooncake lineup for this season. Anticipating a 50 per cent increase in production, the corporation plans to manufacture 450 tonnes of mooncakes this year, featuring a wide array of traditional and modern flavours across different price ranges to cater to diverse preferences. Kido's Bakery mooncakes have been available at both traditional brick-and-mortar stores and modern retail outlets across the country since the beginning of August. Additionally, they can be found on various e-commerce platforms like Tiki, Grab, Shopee Food, Shopee, and Lazada. KIDO Group's ambitious goal is to become the second largest mooncake producer in the market by 2025, leveraging its investment in Japanese production technology. Its mooncake manufacturing facility is located in District 12 in HCM City. Tran Le Nguyen, CEO of KIDO Group, said: KIDO Group received positive feedback from customers and sold out over 300 tonnes of cake [last year]. Thats why we decided to produce 450 tonnes of cakes this year. Another significant player in the market, Mondelez Kinh o Vietnam, has also unveiled its mooncake campaign, marking 25 years of togetherness under the theme Keep stories alive. It is introducing a collection of 70 flavours and 25 designs, commemorating its impressive journey with a variety of delectable products. Mondelez Kinh o continues to expand its reach through conventional outlets, teleshopping and online platforms, offering customers a range of shopping options. Other major players in the mooncake market, including Givral, Brodard, ABC, and prominent hotels such as Sheraton Saigon and Winsor, have also launched new mooncakes. Even amateur mooncake makers are joining the mid-autumn festivities, promoting their offerings through various online channels like Facebook and TikTok. Minh Trang of HCM Citys Binh Thanh District expressed her delight at the availability of mooncakes across multiple channels, saving her time and effort. She can now easily select her preferred cakes and designs, either for personal consumption or as gifts for her parents and friends. The mooncakes are priced at VN50,000-VN200,000 (US$2-$8) this year, making them affordable for a wide range of consumers. Experts point out that while the economic downturn may have initially raised concerns about reduced consumer spending, mooncake producers are experiencing robust demand from corporate customers. Nguyen inh Chung, sales director of Mondelez Kinh o, said a significant portion of a mooncake producer's success during the mid-autumn season comes from wholesale and corporate orders. VNS HA NOI The neatly arranged bunches of exotic-looking fresh longan immediately caught Yu Ohyamas eyes while shopping at her local supermarket in Hiroo, a glitzy residential area in Tokyo, Japan. Frozen and dried longans are common in Japan, but it was the first time Id found fresh ones. I was pleasantly surprised. They came from Viet Nam, and they tasted great, said Yu. But what the 32-year-old financial professional didnt know was the long and challenging journey the Vietnamese longan had taken to enter Japan. Viet Nam has over 80,000 hectares of land producing about 600,000 tonnes of longan a year, but just 2 per cent is exported as fresh fruit. A thin coat and watery filling until recently made it challenging to maintain the fruits freshness after phytosanitary treatment, a process used to eradicate pests and diseases, before entering strict markets that demand good quality produce and have high standards in food safety and biosecurity. Keys to unlock high-value markets Viet Nam is one of the worlds largest producers and exporters of a wide range of agricultural products, including black pepper, coffee and rice. The Southeast Asian country is home to more than 30 different types of tropical fruit trees and industrial crops, and longan is just one with rich commercial potential. Despite Viet Nams emergence as a global agricultural powerhouse, with annual exports hitting over US$53 billion in 2022, its products often remain locked out of high-value markets. Underlying this are the often uncertified and unsustainable farming practices that leave crops blighted by high levels of chemical residue, pests and diseases. A lack of traceable, certified and quality compliant supply chains adds to the issue. As a result, produce is exported mainly through informal channels at less competitive prices. To address these challenges, IFC is helping to break new ground to realise the agricultural sectors full potential as a driver of prosperity in rural communities. Since 2020, IFC and Viet Nams Ministry of Agriculture and Rural Development have taken aim at longan and other fruits to update and enforce requirements governing their phytosanitary measures, traceability, and food safety standards, in line with international practices. In a first for Viet Nam, an innovative and country-specific cold treatment process was developed to eradicate any pests inside the fruit, while avoiding the use of chemicals or irradiation, to keep longan fresh and safe for export. This environment-friendly method is a game-changer as it helps Vietnamese fruits enter high-value Asian and European markets, which dont accept our traditional methods, said Nguyen Thu Huong, Deputy Director of the Plant Protection Department, Ministry of Agriculture and Rural Development. Pests are now killed during the fruits exposure to low temperatures over a prescribed amount of time. Moreover, cold treatment can be performed during transportation, which helps save time and costs for exporters. IFC also supports awareness-raising on standardised farming processes, from field to factory, through an online training programme, primarily for dragon fruit, durian, longan and passion fruit. This aims to expand the growing area of products qualified for export and improve standards in post-harvest treatment and packing to ensure traceability throughout the supply chain, which is a prerequisite to enter high-value markets. The work is already delivering fruitful results. With IFCs support, before longan received the green light to end its six-year wait to reach Japan, Vietnamese red dragon fruit was approved to enter the South Korean market in July 2021 and passion fruit reached China a year later. Similarly, Viet Nams pepper sector is also on a quest to unlock new markets. An agronomist guides farmers on signs of healthy peppercorns on a farm in ak Song District, ak Nong Province. Photo courtesy of IFC The country is the worlds largest producer and exporter of black pepper, and its exports represented over half of the total global trade in black pepper. However, the industry faces several sustainability challenges. In response, IFC joined McCormick, a US-based maker of seasonings, spices and condiments and a major buyer of pepper, in a three-year project to help realise the companys goal to build a sustainable, certified, and quality-compliant pepper supply chain in Viet Nam by 2025. This involved helping more than 2,000 farmers and key suppliers in central ak Nong Province, one of the pepper capitals of Viet Nam, increase the sustainability of their farm management practices. To guide farmers on properly using agrochemicals, 20 demonstration farms were established as innovation and knowledge transfer hubs. Vietpepper, an e-learning tool, helped maximize the projects reach, especially during the COVID-19 pandemic lockdowns. I knew using too many agrochemicals would be harmful to the health of trees and soil, but I couldnt do anything else, otherwise the pepper trees would die, said Ngo Thi Xuyen, who started a pilot crop with 500 pepper poles with a project agronomists support. By partly replacing pesticides with biological remedies and only using good quality agrochemicals, this translated into a dramatic fall in agrochemical traces to meet buyers requirements. Xuyen was not alone. Through working directly with farmers to address agrochemicals usage and adhere to international certification standards, more than 1,000 participating farms adopted recommended sustainable farming practices. Over 2,100 hectares were transformed into sustainably managed land, and the average yield of demonstration farms jumped from 2.5 to 3.6 metric tonnes per hectare. Seeds of change bears economic fruits Viet Nams recent pivot to sustainable agriculture to unlock export markets is not only better for consumers health and the environment. It also makes financial sense. For exporter Hoang Phat Fruits, a company based in the southern province of Long An, the reward for meeting Japans entry requirements is fresh longan sold at about $13 per kilogramme, compared to $2/kg domestically. This price allows the company, which sent the first 10-tonne consignment in January, to buy longan grown in areas with identification codes at a price 30 per cent higher than the untraceable longan. On just one of McCormicks supply chains, 725 hectares of sustainably grown pepper with less chemicals, certified by McCormicks Grown for Good standard, delivered a $3.6 million boost to the incomes of 347 farmers last year when compared to the previous pre-project crop, with each pepper pole earning farmers $5 more on average. The drive to embrace crop sustainability was further financially incentivised by IFCs Global Trade Supplier Finance facility, which provided suppliers of McCormicks herbs and spices with financial incentives linked to improvements in measures of social and environmental sustainability. During the project, three local pepper suppliers gained between $6,000 and $28,000 through discount rates of working capital financing per sale, depending on their sustainability ranking. For participating farmers, they received nearly $500,000 in incremental revenue from the premium price for certified pepper. Sustainability is the way to unlock the full potential of Viet Nams horticulture sector, Huong added. This is a win for our sector, its farmers, and consumers internationally. VNS HA NOI Establishing disease-free zones was critical for Viet Nam to promote the export of husbandry products., according to the Department of Animal Health. Nguyen Van Long, the departments director, said that the animal farming industry of Viet Nam expanded strongly in recent years, but the export of husbandry products remained modest. Long cited statistics that the export of husbandry products was at around US$400 million. The departments statistics showed that Viet Nam exported $232 million worth of husbandry products in the first half of this year but imported $1.67 billion, meaning a deficit of more than $1.4 billion. Long pointed out that scattered farming remained a problem to the development of the animal husbandry industry which made it difficult to ensure veterinary hygiene. Import countries were still concerned about the risk of disease transmission between animals and animals, animals and people. To increase the export of animal husbandry products, Long said it was necessary for disease-free zones for animal farming, Long said. Long added that as part of the effort, in late July, the Government approved a national plan to strengthen capacity for disease control and ensure food safety of animal origin for the 2023-30 period. The agriculture ministry also issued Circular No 24/2022, amending Circular No 14.2017 about regulations on disease-free animal zones. Long said that the provisions of Circular 24 basically meet the requirements of the World Organisation for Animal Health with an aim to lead Viet Nams livestock industry to approach global standards. Viet Nam signed 17 free trade agreements which required the amendments of corresponding regulations following international commitments, Long said, adding that the department reviewed the existing legal documents for amendments to cut administrative procedures and create favourable conditions for production and business, especially in the context of rapid integration. Nguyen Ngoc Tien from the department said that certified disease-free zones would get many benefits, including priority in choosing breeds, animals and animal products. In addition, animal products originating from certified establishments would get a quarantine certificate within just one day after registration. Deputy Minister of Agriculture and Rural Development Phung uc Tien said in an interview with Viet Nam News Agency early this month that the focus was being placed on developing disease-free zones in the Southeast because the region had a large scale of animal farming. Tien also stressed that a combination of solutions was needed to promote the development of husbandry products towards increasing exports, including breeds, animal feed, veterinary medicine and processing. There were about 2,230 establishments in 55 provinces and cities certified disease-free in Viet Nam to date. VNS HA NOI There are still issues to be resolved with the recently approved National Power Development Plan 8 (PDP8), namely how to attract and encourage private investors, including green financing from foreign financial institutions, according to the Ministry of Planning and Investment (MPI). Under PDP8, the estimated capital requirement for the development of power sources and transmission grids was estimated at nearly US$135 billion. Of which, $119.8 billion was allocated to power sources, averaging $12 billion per year, and $14.9 billion to transmission grids, averaging $1.5 billion per year. For the 2031-50 period, the estimated capital requirement for the development of power sources and transmission grids ranges from US$399.2 billion to $532.1 billion. Of which $364.4 billion to $511.2 billion USD were allocated to power sources, averaging $18.2 billion to $24.2 billion per year, $34.8 billion to $38.6 billion to the transmission grid, averaging $1.7 billion to $1.9 billion per year. Given the substantial amount of capital requirement of the plan, MPI stressed the importance of participation from all economic players, as well as the diversification of funding sources, including foreign partners as a key component to the plan's success. "Securing the necessary financial sources remains a challenging issue, which may pose various obstacles to the successful implementation of the country's power plan," said MPI in a public statement. Besides relying on state-owned enterprises to carry out numerous projects under PDP8, MPI advised the government to seek out and encourage private investors to participate in the plan. As of now, there was not yet a working mechanism for encouraging and selecting private investors in the power sector, which may help ensure PDP8's smooth progress. "We have observed instances in which projects experienced prolonged delays, even in the investment phase; instances in which projects were approved and assigned but not yet implemented or were being carried out at an extremely slow pace. There were even instances in which capacity for implementation was not met but projects were not revoked," said MPI. Alternatives While waiting for the completion of PDP8's first phase, Viet Nam has been actively looking for alternative energy sources including liquefied natural gas (LNG). The power-hungry Southeast Asian economy, now established as a major manufacturing hub in the Asia-Pacfic region, received its first LNG shipment last month. Under PDP8, the country aims to generate an equivalent of 20 gigawatts of electricity from LNG by 2030, starting from zero. This figure is approximately 15 per cent of the country's total electricity output, providing power to 20 million households and helping to alleviate the load on the national electricity system. However, numerous hurdles will likely hamper the country's ability to ensure the supply of LNG at a reasonable cost, according to industry experts, especially as the country is making its moves to transition away from coal. The global LNG market has witnessed increased delays and uncertainties in securing long-term LNG supplies. Meanwhile, Viet Nam will have to compete with buyers from China, South and Southeast Asia, who have managed to ink a number of long-term deals this year. Without a long-term contract, the country will likely experience volatile spot prices, which have been recorded to skyrocket to $70 per British thermal unit (mmBtu) last year before stabilising at the current $12 per mmBtu. Meanwhile, there are in-house problems that must be worked out by power suppliers and Electricity Vietnam (EVN) regarding supply contracts and pricing as wind and solar power investors continued to voice their grievances over difficulties in price negotiations and extending project deadlines with the Power Trading Company (EVNEPTC) a subsidiary of EVN. Experts have warned current disagreement over pricing could slow down the sector's development while leaving a significant portion of the country's power capacity stranded. VNS HCM CITY The HCM City Department of Industry and Trade, Department of Agriculture and Rural Development and the Mercantile Exchange of Vietnam (MXV) signed on Monday a cooperation agreement to set up a pork trading floor in HCM City. Under the cooperation agreement, they will study, develop and operate the pork trading floor in the form of spot trading contracts and futures contracts. The trading floor will be formed and developed based on MXVs infrastructure, including a trading system, payment system, clearing centre, freight forwarding centre, and the provision of market information and transaction of all types of contracts in accordance with international standards. Nguyen Nguyen Phuong, deputy director of the city Department of Industry and Trade, said the city is the largest pork consumption market in the country, consuming more than 10,000 pigs a day worth up to US$500 million a year. But pork usually goes through many intermediaries to reach customers, causing high prices. The exchange floor will help address obstacles in the pork market, including unconsistent quality, manual slaughtering of pigs, poor governance of farming activities, simple pork sales activities and dependence on intermediaries, thereby raising customers confidence in the safety of pork on the market, he said. Nguyen Ngoc Quynh, MXVs deputy general director, said the pork trading floor will bring great benefit to society as well as all the participants. Farmers know the needs and market demand to have a proper production and business plan; pork traders are provided with buying and selling tools in a modern and efficient manner. Consumers will be able to buy clean and safe food at prices without intermediary costs. Nguyen Ngoc Hoa, former deputy director of the Department of Industry and Trade, and Chairman of the HCM City Union of Business Associations, said, "HCM City has many favourable conditions to set up a pork trading floor. Specifically, the city has a programme to trace the origin of the pork, two wholesale markets for pork trading (Hoc Mon and Binh ien), and modern distribution systems. The city is also home to large-scale pig farms and slaughter houses." "Once the trading floor established, prices will be publicized on it, and everyone who is eligible to trade can participate." However, in order to run it effectively, a synchronous coordination between relevant agencies is needed, he said. VNS HCM CITY The recently signed Viet Nam-Israel Free Trade Agreement (VIFTA) has the potential to boost economic and trade relations between the two countries, said an Israeli official. Speaking at the Viet Nam-Israel Business Forum 2023 on Tuesday, Israeli Economy Minister Nir Barkat highlighted the increasing importance of Asia, including Viet Nam, as an export market for Israel. The VIFTA offers numerous opportunities for both countries to leverage each others strengths and contribute to economic growth in various sectors, he said. By expanding trade ties with Viet Nam, Israel can gain access to a rapidly growing and diverse market in Southeast Asia, reducing its dependence on a limited number of trading partners. As trade volumes increase, Israeli companies have the potential to establish a stronger presence in Vit Nam, leading to job creation and economic growth in both countries, he said. Israel is one of the leading countries for start-ups in the world. With a population of only 10 million, Israel has over 10,000 start-up businesses targeting global markets, he said. Israel is known for its expertise in sectors such as high-tech, science and technology, healthcare, manufacturing, education, human resources, tourism and agriculture. The VIFTA will enable Israeli companies to explore investment opportunities in these sectors in Viet Nam. One of the barriers to cooperation between the two countries is the limited transportation connectivity and limited sharing of market information, according to Barkat. He proposed the opening of a direct flight from HCM City to Israel to shorten the geographical distance and create favourable conditions for businesses and investors from both countries. Vo Van Hoan, vice chairman of the Peoples Committee, said the VIFTA has great potential to strengthen economic and trade relations between Viet Nam and Israel. As HCM City is Viet Nams largest economic, cultural, and tourism hub, it offers vast market potential for domestic and foreign businesses to invest and conduct business, he said. Tran Ngoc Liem, director of the Viet Nam Chamber of Commerce and Industry (VCCI)s HCM City Branch, said Israel currently has 40 foreign direct investment (FDI) projects worth a total of over $140 million in Viet Nam. Viet Nam has four investment projects in Israel worth a total of $76 million, he added. Israel is the fifth largest trading partner and the third largest export market of Viet Nam in the Middle East, according to Liem. In 2022, the total import-export turnover between Viet Nam and Israel reached $2.2 billion, up 17.9 per cent year-on-year. However, foreign direct investment from Israel in Viet Nam remains modest. The Vietnamese government will create favourable conditions to encourage Israeli enterprises to invest in Viet Nam, he said. Both countries have set a bilateral trade target of $3 billion in the near future, he noted. The VIFTA, signed last month in Jerusalem, marks Israels second FTA with an East Asian country after South Korea. The trade pact is expected to facilitate the activities of Israeli exporters in the Vietnamese market and contribute to the growth of the Israeli economy. It will also help lower the costs of imports from Viet Nam, providing mutual benefits for both countries. VNS By Mai Huong BANGKOK The sixth edition of the Vietnamese Week in Thailand 2023 was officially inaugurated in Bangkok on Wednesday at the CentralWorld, the world's largest shopping complex. Under the theme "Magical Taste of Vietnam," this year's event marks a significant departure as it introduces and highlights the culture, tourism, and distinctive products from the Mekong Delta, HCM City, and the finest OCOP (One Commune, One Product) items from various provinces across Viet Nam. Distinguished attendees included Thailand's Deputy Prime Minister and Minister of Commerce, Jurin Laksanawisit; Viet Nam's Deputy Minister of Industry and Trade, o Thang Hai; Vice Chairman of Bac Lieu Provincial Peoples Committee, Huynh Chi Nguyen; as well as leaders from Mekong Delta Provinces and HCM City. During the opening ceremony, Jurin Laksanawisit, Thailand's Deputy Prime Minister and Minister of Commerce, remarked: "Thailand is presently Viet Nam's principal trading partner within the ASEAN region. In turn, Viet Nam holds the position of Thailand's fifth most crucial trading partner and the second largest within ASEAN. The organisation of Vietnamese Week in Thailand 2023 offers a valuable opportunity to reinforce the trade, investment, and tourism ties between our two countries." In 2022, the combined import-export turnover between Viet Nam and Thailand reached an unprecedented US$21.6 billion, marking a 15.1 per cent surge compared to 2021. Both countries have established a bilateral trade target of at least $25 billion by 2025. Vietnamese Deputy Minister of Industry and Trade, o Thang Hai, highlighted the significance of the Vietnamese Week in Thailand: "This event holds a pivotal role in trade promotion and serves as a platform to introduce Vietnamese goods within Thailand. Through a collaborative effort between Central Retail and the Ministry of Industry and Trade, positive outcomes have been realised, proving beneficial to Vietnamese and Thai businesses alike." Hai further elaborated that in order for Vietnamese goods to gain access and penetrate the distribution network of Central Retail Group, the group has worked in tandem with the ministry. This collaboration involved market research, the selection of enterprises with products suitable for the Thai market, the organisation of practical training courses, capacity enhancement for businesses, and the development of products tailored for the Thai market. The Vietnamese Week in Thailand 2023 sees an unprecedented number of participants, with 100 companies showcasing their exceptional products from Viet Nam. These exhibits concentrate on specialty goods from Mekong Delta provinces such as Long An, Ben Tre, Kien Giang, Bac Lieu, Tra Vinh, ong Thap and An Giang. Additionally, there are prominent products from HCM City, including specialty coffee, cashew nuts, macadamia nuts, bird's nest, and handicrafts. The event also features carefully curated OCOP products from various Vietnamese provinces, suggested by the Ministry of Agriculture and Agricultural Development. Given the abundant natural resources and strong export processing capacities of the Mekong Delta region, coupled with HCM City's role as the economic powerhouse of the nation, the event is poised to create optimal circumstances for Vietnamese enterprises to successfully expand into the Thai market, thereby serving as a gateway to the international arena. "We hold hopes that this will pave the way for a significant trade partnership, fostering growth and prosperity," stated Olivier Langlet, Group CEO of Central Retail in Viet Nam. He further added: "We believe our contribution could go beyond being the green and sustainable retailer. As Viet Nam increasingly embraces a green and sustainable environment, we plan to introduce the purchase green, consume green, live green initiative in our upcoming editions." The event welcomed many first-time participants, including several social impact businesses (SIBs) like Miss Ede Chocolate and Coffee and Sam Bo Chinh Hoang Gia (Royal Bo Chinh Gingseng) Co Ltd. As part of the Vietnamese Week in Thailand 2023, the Ministry of Industry and Trade of Vietnam collaborated with Central Retail Vietnam to organise a business-matching conference. This event facilitated connections between Vietnamese businesses and the commercial team from Central Group in Thailand, utilising their diverse distribution channels including Food: Central Food Hall, Tops Super Store, Tops Market, Tops Daily, and mini go!; F&B: CRG; Hotel & Resort: CHR among others. The event will run until August 20th, 2023. VNS HA NOI A glimpse of life on Italy's largest island, Sicily, will be introduced to residents and tourists in Ha Noi through a photo exhibition that will be opened to the public from tomorrow. Entitled Sicily, the exhibition will showcase 20 photos taken by Italian photographer Fulvio Bugani. The selected images depict the essence of Sicily, which conserves the slow yet peaceful life tempo, like the calm waves of the Mediterranean Sea. The largest and most populous island in the Mediterranean Sea, Sicily is one of the five Italian autonomous regions that has a rich history spanning thousands of years. The island was once ruled by several civilisations, such as Greeks, Romans, Arabs, Normans, and Spanish. Each civilisation has left an indelible mark on the culture, architecture and cuisine of the island. Through his camera lens, Bugani has captured the islands distinctive charm, allowing anyone looking at his photos to immerse themselves in the interesting stories and emotions that only Sicily can bring. The exhibition also partly explains the fact that Sicily has always been a tourist magnet with charming beaches, beautiful scenery and rich cultural heritage. A freelance documentary photographer based in Bologna, Italy, Bugani has more than 20 years of experience in photography. His work explores mainly cultural-social issues and the interconnection between society and people. His photos were published in prestigious magazines and websites including TIME LightBox, The Guardian, LFI - Leica Fotografie International, and Cubadebate. In 2015, he was awarded the World Press Photo for his work about Muslim Indonesian Transgenders, while his reportage about Cuba was awarded the Leica Oskar Barnack Award 2016. Bugani is also a lecturer of photography at seminars, workshops and master classes. The exhibition Sicily, which is a cultural activity to celebrate the 50th anniversary of diplomatic relation between Viet Nam and Italy, will open until August 31 at Casa Italia, 18 Le Phung Hieu Street, Hoan Kiem District, Ha Noi. VNS Metro Manila (CNN Philippines, August 16) The Philippine National Police's Internal Affairs Service (PNP-IAS) ordered on Tuesday the filing of charges against Navotas police chief Allan Umipig and 11 more police officers over the fatal shooting of a teenager last Aug. 2. The PNP-AIS said upon further investigation, Umipig was found to have "instructed the team leader of the operatives to exclude from their reports 11 police officers who were also involved in the fatal shooting of the 17-year-old Jerhode Jemboy Baltazar." Umipig will face charges of dishonesty and command responsibility, while the 11 policemen will be charged for abandoning the victim and other possible violations of police operational procedures. PNP-IAS Inspector General Alfegar Triambulo said he has also directed the National Capital Region Police Office (NCRPO) to relieve Umipig from his post. He added that the first case against six police officers is already at the summary dismissal stage. The six policemen were earlier relieved, slapped with homicide complaints, and detained. WATCH: Mother of slain Navotas teen wants murder raps vs. erring cops On Monday, NCRPO chief PBGen Jose Melencio Nartatez said the policemen involved in the shooting of Baltazar who was mistaken for a suspect were unable to present footage from their body cameras during the incident. HONG KONG Two Vietnamese films Toi Thay Hoa Vang Tren Co Xanh (Yellow Flowers on Green Grass) and Cuoc oi Cua Yen (Yens Life) are competing in the first ASEAN Film Festival in Hong Kong (China). The festival, which is taking place until August 19, is organised by the Hong Kong-ASEAN Association, the Consulates General of ASEAN countries in Hong Kong, and partners. The cultural event aims to introduce the diverse beauty in culture and customs of the ASEAN community to Hong Kong audiences through stories in film, and help them further understand Southeast Asian culture, promoting exchanges and deepening cultural and economic ties. A total of 14 works from eight ASEAN countries, including Indonesia, Cambodia, Thailand, Viet Nam, Laos, Malaysia, Brunei, and Singapore are screened at M Cinema, The Sky va The Miller Theatre (Asia Society Hong Kong). Vietnamese Consul General in Hong Kong Pham Binh am said that the participation of Vietnamese films in the festival is an effort to implement cultural diplomacy and promote images of the country and people of Viet Nam. The films will inspire people to visit the country for tourism or business. Hong Kong is a major international hub, making it an ideal location for Viet Nam to engage in further promotional activities. In the future, the Consulate General will organise even more activities to promote Viet Nam, he said. Yellow Flowers on Green Grass was directed by Vietnamese-American Director Victor Vu and Yen's Life by inh Tuan Vu. In Yens Life, the main character, named Yen, had to get married when she was only 10 years old following a marriage arrangement of her parents. Since then, her life has been intertwined with the ups and downs of her husband's family. The film has won major awards such as the Silver Lotus Award for Outstanding Film at the 19th Film Festival in 2015, the Silver Kite Award for Outstanding Film, the Golden Kite Award for Outstanding Film Director, and the Golden Kite Award for Outstanding Film Music at the 2015 Golden Kite Awards. It also received a prestigious award at the International Premieres Film Festival in the Philippines in 2016. Yellow Flowers on Green Grass, produced by Thien Ngan Film Joint Stock Company and directed by Victor Vu, tells the story of a dreamy adolescence amidst the purity and hardships, as well as the tragic fate, of two brothers, Thieu and Tuong, in the central-southern region of Viet Nam in the 1980s. The film captures the challenges and the conflicts of adolescence, as well as the genuine sibling love and the bittersweet pains they endure, serving as a ticket that takes viewers back to their childhood. It won the Best Film Award at the Silk Road International Film Festival 2015 in Fuzhou, China, as well as the Golden Lotus Award at the 19th Vietnam Film Festival for the category of Feature Film in 2015. In an exchange with the audience, director Victor Vu revealed that his deep emotions upon reading the novel of the same name by author Nguyen Nhat Anh was the main inspiration for him to adapt it into a film. The film was originally released in 2015, and the director said he felt immensely grateful that the film continues to have the opportunity to reach audiences worldwide even after several years. He expressed his heartfelt appreciation to the ASEAN Film Festival for including his film in this year's programme. An audience of the film screening, Janice Choi, Legal Director of Sunwah Group (Hong Kong), said Yellow Flowers on Green Grass was incredibly touching and resonated deeply with the hearts of every viewer. Shirley Tse, Vice President of ACME Limited (Hong Kong), shared a similar viewpoint, stating that the film was truly excellent, touching, and expressing her delight at having the opportunity to watch it. The film portrays life in the countryside, nature, and greenery that she has never had the chance to experience before. She considered it one of the best films she has seen in recent years. She also expressed her hope to see more Vietnamese films in Hong Kong in the future. In the first ASEAN Film Festival, Thai cinema made the most significant contribution with a diverse selection of films, including Uncle Boonmee Who Can Recall His Past Lives, The Medium, The Legend of Muay Thai: 9 Satra, Friend Zone, and The Adventure of Sudsakorn. Additionally, notable films from other countries were showcased, such as Before, Now and Then and Missing Home from Indonesia, White Building from Cambodia, and The Long Walk from Laos. VNS HA NOI Prime Minister Pham Minh Chinh on Tuesday had a meeting with the Ho Chi Minh Mausoleum Management board and inspected the periodic restoration of the Mausoleum in 2023. According to the report, in the first months of this year, the Mausoleum Management Board has performed its function of advising the Party, State, Prime Minister and Party Central Military Commission, and Ministry of National Defence on the long-term preservation and protection of the body of President Ho Chi Minh. After the restoration, the body of President Ho Chi Minh has been kept in the best state and the system of technical equipment operated stably, accurately and reliably while the surrounding scenery and infrastructure have been thoughtfully expanded, enhanced, and beautified. Regarding the task of periodic restoration of the mausoleum, the board has actively developed plans and prepared human resources to implement the restoration ensuring quality, absolute safety and completion on schedule. In the meeting, PM Chinh said that the Party, State and Government have always determined that the long-term preservation and absolute safety of President Ho Chi Minhs body is a special political task and of great significance to the cause of national construction and defence. Chinh also emphasised that the mausoleum is a work of political and cultural significance, especially important to the revolutionary cause of the Party, State and people and a sacred image, a unique symbol, a place where the ideological, moral and style values of President Ho Chi Minh converge and spread. The mausoleum is the convergence of feelings and beliefs of compatriots and soldiers across the country and has practical value for moral education and lifestyle for every Vietnamese. The Prime Minister acknowledged and praised the Mausoleum Management Board and related agencies have united, promoted tradition and actively overcome difficulties and challenges to fulfil the tasks of keeping President Ho Chi Minhs body in the best condition. The mausoleum management board has effectively co-operated with domestic and international agencies and constantly improved techniques and autonomy in the task of ensuring the absolute safety of President Ho Chi Minh as well as managed, operated and maintained architectural works and equipment systems, kept the surrounding landscape and environment clean and beautiful, and ensured absolute security and safety for the Mausoleum, Ba inh Square, Monument to Heroes and Martyrs, and K9 Relic, Chinh said. The Prime Minister asked the management board to continue to develop and complete legal documents, mechanisms and policies on the management of Ho Chi Minh Mausoleum and related works on long-term preservation, absolute protection of President Ho Chi Minh's body's safety, and other duties of science, medical and biomedical technology as well as the activities of the Mausoleum Management Board and related agencies in the Ba inh Historical and Cultural Relics. Besides, it is necessary to review the master plan of the Mausoleum area with a long-term vision to further promote the historical and cultural values of Ho Chi Minh Mausoleum and the relics in the Ba inh Historic Relic Area. Chinh asked to promote digital transformation and apply information technology in the management of visitors to ensure strict security and safety, friendliness and convenience for visitors. From August 15, 2022 to August 5, 2023, the Mausoleum welcomed over 2.4 million visitors, of which international visitors reached over 410,000. VNS YUNNAN Deputy Prime Minister Tran Luu Quang on August 15 received Secretary of the provincial Party Committee of Chinas Yunnan province Wang Ning on the occasion of the Vietnamese leaders trip to Yunnan to attend the opening ceremony of the 7th China-South Asia Expo and the 27th Kunming Import and Export Fair. Having highlighted new development steps in cooperation between Vietnamese localities and Yunnan over the past time, Quang affirmed Viet Nam's consistent policy in strengthening friendly neighbourliness and comprehensive cooperation with China. He emphasised that the Vietnamese Government always attaches great importance to and is willing to support and create conditions for promoting friendship and cooperation between the two countries' localities, including Vietnamese border localities with Yunnan. Quang suggested Yunnan province closely coordinate with Vietnamese ministries, sectors and localities to concretise common perceptions reached by leaders of the two Parties and countries, especially the high-level common perception between General Secretary of the Communist Party of Viet Nam Nguyen Phu Trong, and General Secretary of the Communist Party of China and President of China Xi Jinping in October 2022; effectively implement existing cooperation mechanisms and frameworks; strive to lift trade value between Viet Nam's localities and Yunnan to 5 billion USD in the next few years; strengthen connectivity of railway and road transport infrastructure; expand tourism cooperation; and share experience in poverty reduction and sustainable development. Quang also hoped that the two sides will closely coordinate in border management and protection in accordance with the three documents on the land border and related agreements, and continue to build a peaceful and stable borderline between the two nations. For his part, Wang affirmed that Yunnan attaches great importance to friendly cooperation with localities of Viet Nam, and is willing to work with Vietnamese localities to well implement the common perceptions of the Chinese and Vietnamese top leaders, as well as the common perceptions between Yunnan and ministries and sectors, and localities of Viet Nam reached during his visit to Viet Nam in March 2023. He suggested the two sides effectively uphold the role of cooperation mechanisms; boost economic-trade ties; strengthen infrastructure connectivity; closely cooperate in areas such as personnel training, poverty reduction, environmental protection, sustainable development; and expand relations in the fields of health, culture, education and tourism, contributing to further deepening the China-Viet Nam comprehensive strategic cooperative partnership.- MOSCOW Minister of National Defence General Phan Van Giang led a high-ranking Vietnamese delegation to the 11th Moscow Conference on International Security (MCIS-11) in Russia on August 15 (local time). Addressing a plenary session on security in Asia-Pacific, Minister Giang underlined the region's importance, which attracts the interest and presence of many countries outside the region, particularly world powers. This interest opens up opportunities for cooperation and development but at the same time results in geo-political competition and intertwined and friction of strategic interests. The region is also facing non-conventional security issues that are growing serious such as natural disasters, epidemics, climate change, energy security, cyber security, water resource security, terrorism and transnational crime. To tackle those non-traditional security challenges, the minister called for unity, collaboration, and contributions from countries not only inside the region but worldwide. To ensure an environment of peace, stability and sustainable development in the Asia-Pacific, he said it is essential to have political trust, strategic confidence, goodwill cooperation for mutual development, equality and respect among nations. Respecting the sovereignty, territorial integrity and national interests of each country, as well as the right to self-determination of each people, is also crucial. The Vietnamese minister called for upholding international law, adhering to regional commitments, showing due consideration to the security concerns of each nation, and persistently resolving differences and conflicts through peaceful means. Viet Nam firmly adheres to the principle of settling disputes and differences in the East Sea through peaceful means, based on respect for independence, sovereignty, and legitimate interests of nations, compliance with international law, including the 1982 United Nations Convention on the Law of the Sea (UNCLOS), he said. He said Viet Nam is committed to strictly implementing the Declaration on the Conduct of Parties in the East Sea (DOC), and wish to work toward an effective, substantial Code of Conduct in the East Sea (COC) with clearer legalities. In international relations, Viet Nam maintains a consistent foreign policy of peace, friendship, cooperation and development, he said, adding that Vietnam advocates being a friend of all nations, a responsible and reliable partner in the international community, refraining from military alliances or bloc affiliations, and avoiding taking sides in international affairs. Viet Nam respects the principle of non-interference in the internal affairs of other countries, upholds high-level international responsibilities and obligations, and always seeks to positively contribute to global and regional peace, stability, development and prosperity, the minister stressed. On the occasion, Giang announced plans to organise the Viet Nam International Defence Expo 2024, which coincides with the 80th founding anniversary of the Viet Nam People's Army. He hoped that countries will send delegations to the event. On the sidelines of the MCIS-11, Minister Giang held talks with his Russian counterpart Sergei Shoigu, during which he affirmed that Viet Nam always values the comprehensive strategic partnership with Russia, in line with the Joint Statement on Vision for Development of Comprehensive Strategic Partnership between Viet Nam and Russia until 2030. Shoigu confirmed that the two defence ministries have accumulated significant cooperation experiences, with the longstanding friendship and mutually beneficial cooperation as a key foundation. The two ministers pledged to effectively implement cooperation activities, focusing on maintaining the effectiveness of important cooperation mechanisms such as deputy ministerial-level strategic defence dialogue and the Inter-Governmental Commission on the Viet Nam-Russia Tropical Centre. Both sides will continue joining and working closely together at the International Army Games, support multinational international activities organised by each other's defence ministries, enhancing training cooperation and expanding joint scientific studies, while considering collaboration in other areas such as the United Nations peacekeeping activities, cyber security, logistics and technical support. The Vietnamese Minister of National Defence also had a meeting with his Chinese counterpart, Senior Lieutenant General Li Shangfu, during which the Vietnamese officer expressed his sympathy with Li about great losses of human and assets caused by the recent storm in some localities of China, including Beijing, Tianjin and Hebei. The two ministers reaffirmed that defence cooperation is one of the important pillars of the Viet Nam-China comprehensive strategic cooperative partnership.| They agreed to further promote defence cooperation in order to realise the cooperation contents reached by the two countries' senior leaders during the official visit to China by General Secretary of the Communist Party of Viet Nam Nguyen Phu Trong in a bid to develop the comprehensive strategic cooperative partnership between the two nations in a healthy and stable manner. The two ministers underlined the importance and significance of the 8th Viet Nam-China Border Defence Friendship Exchange slated for September this year, expressing their belief that the programme will be a success, helping to enhance political trust between the two countries. Giang invited Li to pay an official visit to Viet Nam on this occasion. Giang also had a meeting with Mongolian Defence Minister Saikhanbayar Gursed to discuss measures to promote defence cooperation between the two countries. Previously, Giang met with General Nikolai Patrushev, Secretary of the National Security Council of the Russian Federation. He suggested the Russian officer continue to pay attention to prioritising defence cooperation relations with Viet Nam. Patrushev emphasised defence-security cooperation as one of the important pillars of the comprehensive strategic partnership between Viet Nam and Russia, contributing to peace and stability in the Asia-Pacific region. He believed that in the future, the traditional friendship and comprehensive strategic partnership between the two countries will continue to develop stronger, especially in defence cooperation. Giang also had a bilateral meeting with Belarusian Defence Minister Lieutenant General Viktor Gennadievich Khrenin on the sidelines of the Army 2023 International Military-Technical Forum. He invited Khrenin to visit Viet Nam in the coming time. VNS KUNMING Deputy Prime Minister Tran Luu Quang expressed his hope that the Chinese government and the authorities of Yunnan Province will accelerate the progress of market access for agricultural, aquatic, and processed food products, and improve customs clearance efficiency. This would provide even more favourable conditions for businesses from various countries to participate in trade promotion and investment activities in the Southwest China region. He was speaking while leading a Vietnamese delegation at the opening ceremony of the 7th China-South Asia Expo and the 27th Kunming Import and Export Fair in Kunming on Wednesday. Speaking at the event, Quang emphasised that Viet Nam highly values the role of the expo, which supports business communities from various countries in the region to expand opportunities for cooperation and investment, enhance trade exchanges, and promote production and business activities. This year, Viet Nams businesses and representatives from seven cities and provinces participated in the expo with a total of 130 booths showcasing products of food, beverages, footwear, aquatic products, wood products and handicrafts. Deputy PM Quang said that the Vietnamese government attaches great importance to the development of economic, trade, industrial, and investment cooperation with China. China has been Viet Nam's largest trading partner for the past 20 years and the sixth largest foreign investor in Viet Nam while Viet Nam is China's fourth largest trading partner and its largest trading partner within ASEAN. Quang said that Yunnan Province holds a strategic position as a gateway connecting southwest China to Viet Nam and other ASEAN countries. Economic, trade, investment, and tourism cooperation between Yunnan and Viet Nam had a promising future, particularly in expanding imports of high-quality agricultural and aquatic products from Viet Nam, as well as collaborating on wood processing. He proposed the Chinese government and the authorities of Yunnan Province continue to promote comprehensive cooperation and extensive connections in terms of economics, trade, and tourism between Yunnan Province and Vietnamese localities. This would enhance Yunnan's contribution to economic and trade cooperation between Viet Nam and China, as well as between China and other countries in the region. On this occasion, Deputy PM Quang introduced Viet Nam's potential and strengths as a country with outstanding competitive advantages, which possessed the necessary conditions to become an ideal investment destination for foreign investors. He highlighted the attraction of new-generation FDI capital and its potential as a destination in the process of shifting and restructuring global supply chains and production networks. Quang noted that Viet Nam was assessed as a positive business environment with growth prospects in 2023. To leverage its potential and strengths in the coming period, Quang expressed his hope that Viet Nam would bolster comprehensive relationships with China and other countries in the region to enhance trade and investment, boost infrastructural connectivity, expand people-to-people exchanges, and foster tourism. These efforts were pursued for the prosperity and development of the region and to benefit the business community and citizens of each nation, he said. The Deputy PM stressed that the role of the China-South Asia Expo should be further enhanced to diversify cooperation forms within the framework of the Expo, transforming this platform into an effective collaboration channel between China's Yunnan Province and other countries in the region, contributing to expanding the market for goods export and import and facilitate stronger connections in investment, transportation, and tourism. He also suggested continuing to enhance the activities conducted by trade representation agencies, investment organisations, and business associations for more robust, efficient, and substantial cooperative relations. Quang expressed confidence that this year's expo would provide an excellent opportunity for businesses to actively explore cooperative possibilities, and deepen mutual understanding, and unity. The 7th China-South Asia Expo takes place from August 15 to 20, with the participation of 85 countries, territories, and international organisations, including all members of the Regional Comprehensive Economic Partnership (RCEP). Trade between China and countries in the South Asia region has grown at an average rate of 8.3 per cent, from US$100 billion in 2013 to $197.4 billion in 2022. VNS KUNMING Deputy Prime Minister Tran Luu Quang met Chinese Minister of Foreign Affairs Wang Yi on August 16, affirming Viet Nams consistent stance in developing relations and the comprehensive strategic cooperative partnership between Viet Nam and China. The Vietnamese Deputy Prime Minister was in Kunming, the capital of Chinas Yunnan province, to attend the opening ceremony of the 7th China South Asia Expo (CAS Expo) and the 27th China Kunming Import and Export Commodities Fair. At the meeting, the two leaders expressed appreciation for the recent growth and achievements in Viet Nam-China ties following recent high-level meetings, especially the official visit of Vietnamese Party General Secretary Nguyen Phu Trong to China in October last year. Deputy PM Quang suggested the two countries continue to closely coordinate and prepare for exchanges of all levels, while also promoting sustainable trade cooperation and strengthening road and railway infrastructure connections. Sharing the same perspective, Chinese foreign minister Wang Yi agreed to effectively promote cooperation mechanisms between the two countries, especially the Steering Committee for Viet Nam-China Bilateral Cooperation. Minister Wang added that China is ready to support Viet Nam in realising its economic development goals as set in the 13th National Congress of the Communist Party of Viet Nam, and will enhance cooperation in trade-economy, transport connections and local exchanges, as well as in regional and international forums. The two leaders also agreed to maintain peace, stability and cooperation in the East Sea together with ASEAN. Within the framework of his trip, Deputy PM Quang had a meeting with Sri Lankan Prime Minister Dinesh Gunawardena during which he proposed that in the coming time, the two sides should strengthen the exchange of all-level delegations; effectively implement existing bilateral cooperation mechanisms, especially the joint committee chaired by the two countries' foreign ministers; promote cooperation in trade, investment, tourism, agriculture, education-training, and cultural, religious and people-to-people exchange. It is necessary for the two sides to study the opening of a air route between the two countries and continue to closely coordinate at regional and international forums, especially at the United Nations and the Non-Aligned Movement, Quang said. For his part, PM Gunawardena affirmed that the Sri Lankan Government treasures boosting cooperation with Viet Nam; wished that the two sides promote cooperation in the fields of economy - trade - investment, including opening a direct air route; rubber cultivation and processing; freshwater aquaculture; exchange on Buddhism and spiritual tourism. He suggested Viet Nam support the South Asia country to join the Regional Comprehensive Economic Partnership (RCEP) agreement. At the meeting, the two sides also discussed many international and regional issues of shared concern. During his trip to Yunnan province, Deputy PM Quang offered flowers at President Ho Chi Minh's relic site in Kunming. He and his entourage also visited booths from Viet Nam and other countries at the expos. VNS HA NOI The Ministry of Health (MoH) has issued a directive on proactive implementation of COVID-19 prevention and control measures, as well as measures to prevent the resurgence of the pandemic. This action was taken as the World Health Organization (WHO) assessed the new EG.5 sub-variant of Omicron as a "significant concern," which is currently spreading in many countries. The WHOs recent report revealed that from July 10-August 6, there were nearly 1.5 million new infections worldwide, marking an 80 per cent increase compared to the previous four weeks. However, the number of COVID-19-related deaths fell by 57 per cent, totalling 2,500. The ministry has sent a dispatch to authorities of centrally-run cities and provinces requesting active response to COVID-19. Accordingly, cities and provinces were urged to seriously follow the Government and Prime Ministers directives on pandemic prevention and control, effectively realise the Governments Resolution and the Health Ministrys guidelines on prevention and control of COVID-19 and other infectious diseases such as dengue fever, hand-foot-and-mouth disease. They should be prepared for various scenarios that could arise, intensify surveillance efforts for early detection and timely handling of cases and outbreaks, and effectively implement the expanded vaccination programme for 2023, focusing on the COVID-19 vaccine. Furthermore, they should provide close coordination with Epidemiology Institutes, Pasteur Institutes, and hospitals under the MoH for sample collection, gene sequencing for early detection of new variants of the SARS-CoV-2 virus, and promptly report these findings to the ministry. Provinces and cities need to be prepared with contingency plans for patient care and treatment, while also enhancing their diagnostic and treatment capabilities. This includes ensuring the availability of medicines, vaccines, biological materials, medical supplies, chemicals, equipment, and human resources to effectively serve the pandemic prevention and control efforts. Assoc. Prof Tran ac Phu, former Director of the ministrys General Department of Preventive Medicine, said in the current context, Viet Nam needs to continue closely monitoring the WHO's information on the transmissibility and virulence of new variants, including the EG.5 sub-variant. Preventive measures in the public should continue, such as wearing masks in high-risk areas, regularly washing hands and receiving vaccination, and others, he said. Particular attention should be paid to high-risk groups, individuals with underlying health conditions, and those with weakened immune systems. VNS HA NOI Minister of Education and Training Nguyen Kim Son on Tuesday held a hybrid meeting with teachers from 63 cities and localities across the country Among more than 6,500 queries sent in from educators, many raised concerns about the compensation, allowance and retirement age for preschool teachers. Le Thi Tuyet Huong, an educator from the border commune Thanh Nua of ien Bien Province, said in the meeting that, while the official working time for preschool teachers is eight hours per day, they often work 10 to 11 hours per day, leaving them no time to take care of their own family. They usually have to go to class early to prepare for the day, and stay behind late until all of their students are picked up by their parents. Due to a human resources shortage, one kindergarten teacher can be responsible for up to 30 children in a class. Despite these challenges, the average salary for this group of educators remains modest, with new teachers receiving approximately VN5 million (US$210) a month. In the more remote areas, preschool teachers also have to face inadequate clean water, electricity and infrastructure. In extremely disadvantaged regions of ien Bien Province, several schools are dozens of kilometres away from the central towns, transportation is not easy and can even pose fatal risks, especially in the rainy season, Huong said. However, there is currently little support for teachers in these locations to go to the central campus for monthly meetings and activities related to their job. Teacher Duong Thi Thanh Hong from Ha Tinh City pointed out a considerable gap between the salary, calculated based on the minimum wage and salary coefficients, of a preschool and a primary school teacher with the same years of experience. She said that this gap is not reasonable given that each stage of education has its own challenges, while preschool teachers also hold higher education qualifications and must keep an eye on children all the time to ensure their safety. Concerns were also raised on the plan to increase the retirement age of kindergarten teachers to 60, citing the nature of the job is unfit for the change. Most educators recommended that the retirement age of kindergarten teachers should be 55. Acknowledging the challenges that preschool teachers face, minister Son said that the Party Central Committees Resolution No 29, which aims for a comprehensive innovation of the education sector, prioritises compensation for teachers. However, implementation requires the involvement of multiple ministries, departments and agencies, he added. He said that the Ministry of Education and Training (MoET) and the Ministry of Home Affairs has worked together and agreed on increasing the allowance for preschool and primary teachers. The decision is currently waiting for approval from the Ministry of Finance and finally the government. Minister Son that the number of public employees in the education sector accounts for more than 70 per cent of the total public employees in the country, therefore every adjustment requires careful calculations of resources. Noting that several localities have had to mobilise resources from non-public sectors to ensure overtime pay for kindergarten teachers, he said that this will be one of the areas that the ministry will look into in the near future. The MoET has also proposed classifying preschool teaching hard labour in the amendment to the Law on Social Insurance, which will provide the basis to adjust the retirement age for this group of educators. In the meeting, the education minister and delegates also discussed national high school graduation exams, reducing ineffective contests, addressing school violence and bullying, and making adjustments to the 2018 general education curriculum. Minister Son emphasises that the new curriculum is crucial and a step forward for the education sector, with teachers and students no longer entirely depending on textbooks but using them as materials for learning. This means that educators also need to renew themselves and their methods of utilising textbooks. He added: Not all questions are answered today, nor all the policy bottlenecks will be cleared tomorrow, but I believe that now we know what needs fixing. The ministry will work to ensure development in both public and private education for equal access for the people. VNS HA NOI A person in Viet Nam can own many identification plates, according to a Ministry of Public Security circular on granting and revoking motor vehicle registration and number plates which took effect from August 15. Under Circular 24/2023/TT-BCA, identification plates are issued and managed according to the vehicle owner's identification code and they are only applied to the 5-digit plates. Moreover, vehicle owners may keep the license plate for registering another vehicle under their ownership when their vehicles have expired, been damaged, or have ownership changed. Individuals aged a full 15 years or older may register their vehicles. People from 15 to under 18 years old must be approved by a parent or guardian if they make vehicle registration. According to the circulars Clause 2, Article 3, vehicle owners - organisations or individuals with permanent or temporary residences in any locality can register their vehicles at the local vehicle registration authority, except for those who win the number plate auction. With this regulation, residents can complete vehicle registration procedures at their temporary residence from August 15, 2023, without returning to the locality of their permanent residence for a number plate. If the owner is a Vietnamese citizen, the vehicle registration plate shall be managed based on his/her personal identification number. If the owner is a foreigner, the plate shall be managed upon his/her foreign identification number registered on the electronic identification and verification system or the number of a permanent residence card, temporary residence card, or other identification numbers issued by competent authorities. If the owner is an organisation, the plate shall be managed based on its electronic identification number registered on the electronic identification and verification system. In case the identification number of the organisation is not yet acquired, the plate shall be managed upon its tax code or establishment decision. VNS KIEN GIANG With creative ideas and skillful hands, an elderly farmer in Kien Giang Province has recycled plastic ropes into useful items, reducing waste and contributing to environmental protection. Nguyen Van Le, 78, lives in Muong Chua Village, Tay Yen A Commune, An Bien District. A relative of his who works as a building worker in Phu Quoc City, Kien Giang Province, suggested the idea. At the beginning of 2020, he told me that construction material businesses throw away a lot of plastic ropes which had been used to tie bricks. He suggested I collect them to knit baskets, Le told the Nhan Dan (The People) newspaper. With experience in bamboo knitting, Le began the work. Every day, around 4am, the farmer rides his bicycle to building material enterprises and construction sites to pick up plastic ropes. He washes and dries them in the sun. About two hours after drying, Le knits them into different kinds of baskets to contain fish, shrimp, fruits and flowers. Le said that the plastic ropes, after being used, are often thrown into canals obstructing boats and causing harm to the environment. The ropes have hardness and firmness, so they are suitable to replace bamboo for knitting into handmade products. The work also helps limit plastics discharged into the environment. Knowing Les work, many construction material enterprises in An Bien District gather a large number of ropes and call him to get them. His relatives and friends who work at construction sites also often pick up the ropes for Le to knit into useful products. During the past year, a number of cafes and restaurants have ordered Le to knit lanterns to decorate. Small traders who buy and transport fruits and shrimp in ong Thap, Ca Mau and Hau Giang provinces also buy them for their work. Many people praise his products of bags and baskets that are durable, sturdy, and beautiful like other products sold on the market. Pham Thi Lan, from Thu Ba Town in An Bien District, said: Previously, I did not believe this product was made from discarded materials because it looked beautiful, clean and had high durability. When I knew that Le reuse the discarded ropes, contributing to environmental protection, so I bought them to support him". Le said that because of a large number of orders, he had to spend more time collecting the materials. Besides, the ropes have different colours and length so it took him a long time to make products. Recycled products from plastic ropes cost VN35,000-250,000 (US$1.47-10.5) depending on the type. Le finds it a joy and useful work of old age. Although the income from knitting baskets is not much, I want to educate my children and grandchildren to have a sense of green living, reduce waste and protect our living environment, he said. VNS (CNN) North Korea on Wednesday confirmed publicly for the first time that US Army Private Travis King crossed into its territory. A statement from the countrys government-controlled Korean Central News Agency claimed King had expressed his willingness to seek refugee in North Korea or a third country. It also claimed King confessed that he had decided to enter North Korea as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army. King crossed the military demarcation line from South Korea into North Korea in July during a tour of the Joint Security Area inside the demilitarized zone. King, a junior enlisted soldier assigned to US Forces Korea, had faced assault charges in South Korea and was due to return to Fort Bliss, Texas and be removed from the military just one day before he crossed into North Korea, CNN has reported. KCNA added that he had admitted of illegally intruding into the territory of North Korea and said that the investigation is ongoing. A US defense official said the US could not verify Kings alleged comments, but that the focus of the Defense Departments effort is to ensure his safe return. The Departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome, the official said. CNN has reached out to a spokesperson for Kings family for comment. Defense officials have said publicly that King willfully and without authorization crossed into North Korea while taking a civilian tour of the DMZ. The US has repeatedly tried to contact the North Koreans for an update on Kings condition, but as of last week had still not received a substantive response, officials told CNN. Just over a week before making his dash across the border, King was released from a detention facility in South Korea, where he had served 50 days doing labor, defense officials told CNN. Army Secretary Christine Wormuth told the Aspen Security Forum last month that King absolutely would have faced additional consequences from the US Army for his conduct in South Korea, if hed returned as planned. (H)e had assaulted an individual in South Korea and had been in custody of the South Korean government and was going to come back to the United States to face the consequences in the Army, Wormuth said. And Im sure that he was grappling with that. Kings family told CNN earlier this month that they had no reason to believe that he would defect from the US military. Jaqueda Gates, Kings sister, said at the time that her family had not received more information about her brothers whereabouts, but that he is not the type to just disappear. The Biden administration, meanwhile, has been debating whether to designate King as a prisoner of war, which could afford him greater protections under the Geneva Convention, defense officials told CNN. No final decision has been made, officials said, and as of now Kings status is still AWOL, or absent without leave. King could potentially qualify for POW status since the Korean War ended in an armistice rather than a peace treaty, meaning the US and North Korea are still technically at war. And the Geneva Convention provides strict guidelines to signatories about how a prisoner of war must be treated while in captivity. The US and North Korea are both signatories. But officials have repeatedly emphasized that King was seized by the North Koreans after crossing into the country of his own volition, while dressed as a civilian and on a private tour of the demilitarized zone not as part of any active fighting between the US and North Korean militaries. This story was first published on CNN.com, "North Korea confirms US soldier Travis King is in the country" Mobile World also aims to break even for the AVAKids chain. At the recent Mobile World Investment investor meeting (stock code: MWG), Dong Doan Van Hieu Em, MWG member, said that the An Khang pharmacy chain strives to achieve this goal by December break even and then scale up stores to increase coverage. Besides, MWG has no intention of downsizing or reselling this pharmacy chain. Previously, MWG also only planned to restructure pharmacies, closing ineffective locations. In addition, the company also posted to speed up the search for more good pharmacists to be the core staff. An Khang has suspended expansion since the end of 2022. Currently, An Khang has more than 500 stores nationwide. The leader of Mobile World said that, compared to drug retail chains in the market, An Khang could rank third in number of stores and second in revenue. Also read: Long Chau, An Khang and Pharmacity all want to expand massively, occupy every inch of land close to customers' armpits. Vietnam News - Latest Updates and World Insights | Vietreader.com "In 2023, the pharmacy chain does not have an expansion plan but will focus on optimizing operations in terms of display, inventory, pharmacist's qualifications, pricing and customer-attractive activities," said Mr. Hieu Em. According to him, the biggest value of a pharmacy is taking care of consumers' health. For a pharmacy, Mr. Hieu Em believes that the two most important factors are convenience and adequate quantity of medicine. The company will only open a manageable pharmacy, about 30-40m2, but still achieve the above two goals. According to Mr. Hieu Em, the pharmaceutical industry's revenue has increased continuously over the years, with a scale of about 7.1 billion USD (169,000 billion). Vietnam is ranked as one of the countries with the highest growth in the pharmaceutical industry. 70% of revenue comes from the ETC channel (hospital bidding), and 30% comes from the OTC channel (retail). Currently, Vietnam has over 60,000 traditional pharmacies, accounting for 95% of the number and 85% of the pharmaceutical industry's revenue. The number and sales of An Khang's stores still need to be bigger than the above figure, so Mr. Hieu Em assesses that the potential of the pharmaceutical industry is still large. In the revenue structure of An Khang, 60% is medicine; the remaining 40% belongs to the group, including functional foods and medical equipment, and the functional food group has a better profit margin. In the coming time, An Khang will increase the proportion of drugs. As for the AVAKids chain - a startup model of MWG that retails children's products, Mr. Hieu Em said that by the beginning of the year, the company had stopped expanding the chain. In 2023, like An Khang, MWG has yet to make plans to expand this chain and will focus on optimizing operations and display to increase the shopping experience and diversify the product portfolio. In 6 months, AVAKids achieved about 350 billion in revenue across 64 stores. The average revenue is about 1.2 billion VND/store. The AVAKids chain's breakeven target will be reached by this year's end. The average revenue per store is about 1.45 billion VND, which will reach the breakeven point. Finally, about the Era Blue chain - MWG's ICT retail chain in Indonesia, Mr. Hieu Em said that this chain now has 5 stores. The revenue of each store in a month is about 5 billion VND. MWG has just opened the Era Blue mini model, with an area of 350-400m2. "With an area like this, the revenue of more than 5 billion dongs is quite high," said Mr. Hieu Em. The revenue of this chain has also continuously grown over the months. With this chain, MWG aims to increase the number of stores to 30. Mr. Hieu Em believes that this ICT retail chain has much potential for development. Era Blue also has better services than other ICT retailers in Indonesia. "For example, if Indonesians buy an air conditioner at a local store, it may take 7-10 days to be delivered and installed. Era Blue will deliver it to the customer and install it immediately," said Mr. Hieu Em. This is also one of the main reasons this chain is well-received in Indonesia. However, expansion cannot happen as quickly as domestic chains because it is difficult to find premises. The population density in this country is high, and the houses are quite small. MWG will have to find another way to open more stores soon. Era Blue's gross profit margin is still modest, with a few stores. Also: Avoiding a meaningless price war in the electronics industry, FPT Shop "plays" a new segment: Boosting household goods to 15% of revenue after three years. Vietnam News - Latest Updates and World Insights | Vietreader.com Lego has been a household name for decades worldwide, which inevitably leads to copycat products, photo Le Toan Zhen Yi Ng, senior manager of Lego Group in Singapore, said at a meeting with the Vietnam Directorate of Market Surveillance (VDMS) that typical Lego-infringing products do not fall under the category of trademark infringement but copyright infringement. Lego Group has sent out information about trademark and copyright infringement of their products, and seeks support from competent authorities in the Vietnamese market. The group kicked off construction of a factory worth $1 billion in the southern province of Binh Duong last November. This is the first carbon-neutral plant in Vietnam, in which the first Lego products will be manufactured in the country from 2024 and exported to the entire Asia-Pacific market. Tran Huu Linh, director general of the VDMS, said that most of the products infringing the Lego trademark on the Vietnamese market are now imported by smugglers. Through the process of inspecting and controlling the market, the information management force has not discovered domestic establishments producing similar items. Linh stated that detecting violations related to copyright and infringement of intellectual property rights is extremely complicated. For this field, the level of punishment for this behaviour is relatively heavy and enough to deter. On the side of enterprises, there should be a full legal basis to be licensed by Vietnamese competent authorities, he noted. In a meeting in May, Do Viet Tung, external affairs director of Lego Group, said their branded products have been present in the Vietnamese market for many years and have become one of the top toy brands for people of all ages. Because of the success and huge sales of Lego in the toy market over the decades, imitation has been widespread. Infringement of intellectual property rights for our products has been complex and on a large scale for many years. Infringing products that are diverse in terms of categories, channels of distribution, consumption, and sale and trade may constitute trademark infringement, copyright infringement, and competition issues, said Tung. In 2022, the VDMS examined and sanctioned many administrative violations related to the Lego brand. The main violations are trading in smuggled goods, infringing on trademarks, and counterfeiting trademarks. Across seven cases in Hanoi, Hai Duong, and Soc Trang, over 2,400 products were seized and fines of nearly $4,219 dished out. Lego reported that in the first quarter of 2023, it coordinated with authorities to detect and remove over 5,300 product links of infringing intellectual property on Shopee, Lazada, Tiki, and Sendo. For example, after Lego launched a new product called Wildflower, weeks later similar products not from the company appeared on the market, confusing consumers and damaging the reputation of the business. In Vietnam, Lego products are distributed through the MyKingdom system. The company logo has been registered for intellectual property rights in the Vietnamese market. The LEGO Group plans to build new factory in Vietnam to support long-term growth This is the sixth LEGO factory to expand the groups global manufacturing footprint and support long-term growth in the Asia-Pacific region. LEGO sets up a long-term growth in Asia Danish toy company LEGO Group is set to build a $1 billion plant in the southern province of Binh Duong in the second half of next year. On the occasion of this investment, VIRs Nguyen Thu had a chat with Carsten Rasmussen, chief operations officer of LEGO on the toymaker's ambition for this news factory and the green path it is taking. Between January and July, Chinese investors registered over 2.33 billion USD in Vietnam, hence the third position. (Photo: news.cn) Hanoi - Surpassing Japan, China now ranks third among the countries and territories with significant investments in Vietnam since the beginning of the year. Data from the Ministry of Planning and Investments Foreign Investment Agency (FIA) reveals that between January and July, Chinese investors registered over 2.33 billion USD in Vietnam, hence the third position. Moreover, with the number of new projects put into consideration, China holds the top rank with 325. Despite the challenges posed by COVID-19, China continues to register considerable investments in Vietnam, consistently securing the third or fourth place in the foreign investors' lineup. The countrys registered capital in Vietnam was 2.46 billion USD in 2020, 2.92 billion USD in 2021, and 2.5 billion USD in 2022. Recent reports indicate that Chinese investors' interest in the Vietnamese market is indeed substantial. Two leading Chinese manufacturers of batteries and energy storage systems have planned to invest over 1 billion USD in building new factories and expanding their operations in Vietnam. Xiamen Hithium Energy Storage Technology plans to invest around 900 million USD in a factory in the northern province of Hai Duong, while Growatt New Energy is expanding its factory in the northern port city of Hai Phong with an estimated 300 million USD. A few days ago, authorities of the central province of Nghe An granted an investment registration certificate to Greenwich Management Limited (part of the Chinese group Shandong Innovation Metal Technology) to build its Innovation Precision factory in the Vietnam-Singapore Industrial Park (VSIP) Nghe An. Capitalised at 165 million USD, the plant is specialised in producing aluminum alloys for consumer electronics, green energy, and more, with operation set to begin in October 2024. According to Cui Guo Chang, special assistant to the chairperson of the group, this is Shandong's first investment project outside of China. Raft of plans boosted with Chinese ties China is set to open its doors wider to Vietnamese exports and facilitate its big companies to invest heavily in Vietnam with high-quality projects. Foreign involvement in food production takes big strides, Source: VTC16 About 700 businesses from 20 countries and territories will come to Vietnam in mid-August to seek the supply sources from Vietnamese agricultural and food producers, according to the Food and Foodstuff Association (FFA) of Ho Chi Minh City. According to FFA vice president Nguyen Dang Hien, the interest of foreign businesses will mainly focus on beverages, ingredients and food additives, and seafood, besides other fields such as nutritional food, drugs, and functional foods. Vietnams processed products and food ingredients are highly appreciated by the world market for both quantity and quality, in which there are very fastidious markets with technical barriers in terms of quality, food safety and hygiene such as the United States, Europe, Japan, and South Korea. The halal food market also highly appreciates the potential of Vietnamese products, Hien said. Possessing an abundant source of agricultural raw materials, Vietnam has many advantages to developing the food processing industry. According to statistics from the Ministry of Industry and Trade, Vietnams food production and processing sector accounts for almost one-fifth of the value of its processing and manufacturing industries. Fruit and vegetable exports reached about $3.3 billion, of which processed items are likely to be worth more than $1 billion, accounting for about 30 per cent in 2022. Vietnam is home to around 850 aquatic processing factories of which nearly 700 were granted EU export codes, up to quadruple compared to Thailand, India, and Indonesia. Gunther Beger, managing director of the United Nations Industrial Development Organisation, said Vietnam has made a remarkable development in food and foodstuff production, especially in processing. I think Vietnam is on the right track, commented Beger. We have all seen a spectacular change from an importing country to becoming the worlds leading producer and exporter of food and foodstuffs, with a wide range of export-leading agricultural products such as rice and coffee. The food industry is also one of the key industries prioritised for development by the Vietnamese government towards 2025, with the goal of bringing the export turnover of agriculture, forestry, and fishery value to $65-70 billion by 2030. In particular, free trade agreements have increased market access for Vietnams processed food and beverage products to international markets and vice versa. This is also a driving force for foreign businesses to seek business cooperation opportunities in the Vietnamese market in the food industry. There have been many merger and acquisition (M&A) deals in the food industry and this trend is expected to continue in the coming years. The PAN Group registered a part of shares in member company Sao Ta Foods JSC to C.P Vietnam in 2021. Before that, South Korea conglomerate CJ Corp acquired 65 per cent in Minh Dat Food Co., Ltd. in 2017 and 47.3 per cent in Cau Tre Export Processing JSC the previous year. South Koreas food-making conglomerate Daesang Corporation bought nearly a full stake in Duc Viet Food JSC in 2017, while Earth Chemical from Japan completed the acquisition of A My Gia JSC at the same time. According to the European Business Association, the number and value of M&A transactions in Vietnams food production and processing industry has been on a steady growth track in recent years. M&A transactions are considered by many investors to be the most effective way to penetrate the market and expand their business here. The proportion of foreign direct investment in the food processing industry has a high difference between industries and fields, mainly focusing on projects with quick capital recovery such as the processing of agricultural and aquatic products, and beverages, said Vu Ba Phu, director of the Trade Promotion Agency under the Ministry of Industry and Trade. The entry of foreign investors and businesses into the food processing sector also helps domestic enterprises to have abundant capital, modern technology, and management experience to improve their production capacity. Le Nguyen Doan Duy, director of Business Development at Asia Ingredients Group (AIG), said that the businesss expansion has been fuelled by an investment of approximately $2 million from Mekong Capital since 2014 and later PEMN Partners. Even when we have grown up and have a high degree of autonomy, we continue to receive investment offers from many large funds, Duy shared. Several funds want to invest in AIG because of its good performance, and there are not many companies involved in the food processing industry in Vietnam, he added. AIG owns six member companies with more than 20 years of experience in providing high-quality ingredients for the food production and processing industry. AIG member Asia Chemical Corporation (ACC), accompanied the US Dairy Export Council in the conference Elevating Beverage Innovation with U.S. Dairy Ingredients took place in Ho Chi Minh City on August 3 to introduce new products made from U.S. whey and milk ingredients to consumers. An ACC representative said that the company aims to introduce to the market quality products of international standards, ensuring convenience, as a way to improve the customer experience and promote the production capacity of the Vietnamese food processing industry. Mixed fortunes persist in F&B arena The food and beverage sector has witnessed fluctuations as local businesses grapple with unprecedented challenges, despite the arrival of some foreign chains. The event brought together numerous leading brands in Vietnam to exchange, meet, and share experiences about the green transition currently taking place in Vietnam. Representatives from many of these firms were awarded certificates based on Brand Finances 2023 Top 100 list of the strongest and most valuable brands in Vietnam. The rankings showed strong performances from brands who have demonstrated success in the transition process to a green and sustainable development model, despite the current economic difficulties. According to Brand Finance, the telecoms, banking, and food sectors contributed the most value to the rankings, accounting for 31 per cent, 30 per cent and 10 per cent respectively. Viettel, Vietcombank and BIDV topped the rankings with the most valuable, strongest, and fastest growing brands. Hoang Minh Chien, deputy director of the Vietnam Trade Promotion Agency under the Ministry of Industry and Trade, said, "Climate change and environmental pollution have highlighted the need for businesses around the world to shift to a more sustainable and circular-economic model. Vietnamese businesses should not feel immune to this trend." "Sustainable and green development is a long-term investment strategy that will only see positive results and will contribute value to a brand," Chien added. Lai Tien Manh, managing director of Mibrand Vietnam, said, Building a responsible and sustainable brand image becomes even more important as environmental and social challenges increase. This workshop is an opportunity for policymakers, experts, and businesses to jointly propose an appropriate approach to green development for the business sector. According to a survey by the Ministry of Planning and Investment, up to 70 per cent of Vietnamese businesses do not understand what the green or circular economy is, nor the benefits that this will bring. Most of the pioneering enterprises in green production are foreign-invested enterprises. As one of the units actively participating in the "1 million initiatives" programme, Hanoi Obstetrics and Gynecology Hospital has excellently exceeded the assigned target. (Photo: kinhtedothi.vn) Hanoi In response to a programme on 1 million initiatives to overcome difficulties and fight the COVID-19 pandemic launched by the Vietnam General Confederation of Labour (VGCL), trade unions at all levels in Hanoi had registered 130,569 initiatives as of August 3, surpassing the target of 130,000 proposed by the city Confederation of Labour. With this figure, Hanoi ranked fourth in the country in terms of the number of initiatives. It led the nation in the number of people submitting initiatives, with 36,240. Notably, even though the VGCL assigned Hanoi with 60,000 initiatives, the municipal Confederation of Labour had actively proposed and determined to strive for 130,000 ones. The programme, launched on January 8, 2022 and lasting until September 1, 2023, is part of efforts to boost socio-economic recovery and development while ensuring social welfare and people's life and health. Hanoi records changes after administrative boundary adjustment After 15 years of administrative boundary adjustment under Resolution No 15/2008/QH12 dated May 29, 2008 by the National Assembly, Hanoi has made great strides, contributing to the overall achievements of the country. On August 15, the MPI organised a press conference for the Vietnam International Innovation Exhibition 2023 (VIIE 2023) and the NIC Hoa Lac centre inauguration ceremony. VIIE 2023 is taking place over five days from October 28 to November 1. It will gather hundreds of leading tech businesses from around the country and overseas, and leaders of state-run authorities, institutes, universities, funds, and consultant organisations. Around 40,000 visitors will be in attendance, and various activities covering smart factories and cities, digital communication, environmental technologies, cybersecurity, semiconductors, hydrogen, and health will be shown off. Alongside this, there will be international workshops on semiconductors, hydrogen energy, and the gaming industry, as well as the Vietnam Venture Summit and a STEM contest. VIIE is set up to allow guests to connect, exchange information, and expand opportunities for cooperation, research, and investment. The exhibition will create a space to share innovative ideas, honour tech products with high applicability, and strengthen connections between professionals, businesses, and the community. The inauguration of the NIC headquarters in the Hoa Lac High-Tech Industrial Park will mark a significant milestone after two years of construction. The ceremony will be attended by Prime Minister Pham Minh Chinh. NIC Hoa Lac, along with a further two office blocks, will provide an environment to promote innovation and improve the startup ecosystem. The campus is modern and will boast advanced equipment to gather the talent required to make the region a top destination for cutting-edge development. NIC and Can Tho University to develop startups in the Mekong River Delta Innovation and science technologies are expected to significantly contribute to the sustainable development of the Mekong River Delta. Launching digital workforce platform for IT human resources The digital human resource platform provides the most up-to-date information about the job market, orienting the future career development trends for young people. According to the plan, electricity demand is expected to grow by 8.8 per cent over this decade, which is followed by an average growth of 4-4.7 per cent in the 2031-2050 period. This is a very high growth rate, but there are three factors that need to be carefully re-considered. Dr. Nguyen Anh Tuan - Senior expert Vietnam Energy Association Firstly, the future price of electricity has a major impact on load demand, electricity savings, and the elasticity coefficient. Assuming that the increase in electricity prices is fast enough to compensate for power production costs, and to strongly promote energy-saving measures. It is possible to save up to 30 per cent of electricity, which is much higher than the projection of 8-10 per cent in the PDP8. The second is the electrification of transportation. Given that the transportation electrification rate remains high until 2040, the required capacity for electric vehicles can be up to 50-80GW and the energy consumption can be up to 40-50 billion kWh. This will greatly affect the load in terms of both capacity and power. The third is to manage the demand for decentralised energy sources. When constraints for small self-production and self-consumption power sources no longer exist in the future, these sources are expected to develop quickly and widely. This can exert a strong impact on the load demand and consumption patterns (load profile). According to the development scenario under the PDP8, Vietnam plans to shift away from fossil fuel-derived energy towards low-carbon energy sources. The countrys gas consumption is also forecast to increase in the medium and long term. The long-term strategy is to develop a roadmap to switch from LNG power plants to hydrogen use in the power structure. The contribution of hydrogen and ammonia fuels to the energy mix is forecast to increase in the future, thereby helping Vietnam fulfill its net-zero emissions commitment by 2050. The proportion of gas in the composition of fuel and electricity generation will increase from about 15.9 per cent in 2025 to 26.7 per cent in 2030. However, this figure is projected to decrease to 20.7 per cent in 2045. This trend indicates that natural gas is seen as a short-term factor in Vietnams energy transition roadmap. Therefore, Vietnam needs to set requirements early in the design phase of power plants to avoid the risk of stranded assets in the future and be ready for the scenario of hydrogen use. In particular, it is important for Vietnam to have a green hydrogen strategy in its energy transition roadmap. Accordingly, the country needs to clearly define the role of hydrogen in Vietnams energy sector, ensuring harmonisation between renewable energy development and hydrogen consumption. Hydrogen gas can be seen as an efficient way to utilise renewable energy, especially when there is an oversupply. A study on the future of Vietnams power industry by the Australian government, released in May, shows that even when adding costs to balance the system cost, solar energy, wind energy, and stored hydroelectricity will always new forms of low-cost electricity generation. In the case of Vietnam, a clear, long-term, and predictable renewable energy development policy is a prerequisite for a sustainable energy transition. The enactment of the Law on Renewable Energy should be a top priority. However, there are legal bottlenecks for the private sector to invest in transmission grids and directly sell electricity. The amended Law on Electricity in 2022 emphasises stable, safe, and cost-effective power supply, allowing economic sectors to invest in and operate transmission grids. However, there are no decrees or implementation guidelines so far. The process of energy transition is associated with the development of energy infrastructure. If well implemented, it will encourage Vietnam to achieve the goals of economic, social, and environmental development synchronously, meeting the countrys long-term vision. Many countries pursuing low-carbon development strategies will have a better chance of accessing resources to develop a prosperous economy in this century. From these recommendations, Vietnam should have a policy to ensure national energy security, which is closely linked with the strategy of minimising carbon emissions and building clean and renewable energy infrastructure towards the goal of carbon neutrality by 2050. To do so, the government needs to implement a development strategy to limit carbon emissions in the long term and be carbon-neutral by 2050, in line with the global trend. Removing policy barriers, strengthening resources, and promoting sci-tech development are also vital to implementing the strategy of carbon emissions reduction. Besides the environmental benefits, this strategy could provide Vietnam with some economic and financial returns. Powering Vietnams green growth with new power plan Vietnams recently approved energy policy serves as a pivotal element in its journey towards achieving net-zero emissions by 2050, with the power sector shouldering the responsibility of eliminating approximately two-thirds of the countrys total greenhouse gas emissions. Philipp Munzinger, director of the German Developments Agencys Energy Support Programme, gave his viewpoints on the plan and suggestions to help Vietnam realise its ambitions. The signing ceremony was organised on August 15, marking Vietnam Airlines' first agreement with a national tourism organisation. It coincides with the 50th anniversary of diplomatic relations between Singapore and Vietnam and the 10th anniversary of the strategic partnership between the two countries. As part of the MoU, both parties will launch joint marketing campaigns to promote Singapores latest offerings, and the role of Vietnam Airlines as the top choice for Vietnamese travellers. Le Hong Ha, CEO of Vietnam Airlines said, "We believe that this cooperation with the Singapore Tourism Board will lay a strong foundation for broader and deeper partnerships in the future, vigorously advancing the goals of evolving the tourism industry in both countries and fostering an already-amicable relationship. With our extensive flight network, Vietnam Airlines can enhance connectivity between the ASEAN region and key markets worldwide, offering passengers a diverse range of choices." Vietnam is currently among Singapores top 10 markets for tourism, with more than 280,000 visitors in the first seven months of 2023. This marks a 123 per cent increase compared to the same period last year. Prior to the pandemic, visitor arrivals from Vietnam to Singapore enjoyed steady growth of up to 13 per cent on-year, demonstrating the growth potential of the market. Singapore is also a strategic market for Vietnam Airlines in Southeast Asia. More than 7 million passengers have flown on the airline between the two countries since it opened its inaugural Vietnam-Singapore route more than 30 years, and there are currently 35 flights per week between Hanoi, Ho Chi Minh City, and Singapore. In 2022, the total number of passengers transported by Vietnam Airlines on routes to Singapore reached more than 290,000. During the first seven months of 2023, the carrier transported 266,000 passengers, an increase of 2.4 times on-year. Melissa Ow, chief executive of the Singapore Tourism Board said, We are delighted to be the first National Tourism Organisation to sign an MoU with Vietnam Airlines, a valued partner for us in Southeast Asia. Vietnam is an important tourism market for Singapore and has strong growth potential for the future. Through this partnership, we hope to grow Singapores appeal as a top destination for Vietnamese travellers and enable them to discover the diversity of world-class experiences and events here. SCB appoints new chairman and board members The new members of SCB's Board of Directors come from four state-owned banks, with SCB now under special supervision. Novaland restructures Board of Directors No Va Land Investment Group Corporation (Novaland) is carrying out the procedure to consult shareholders on changing the members of the Board of Directors, cutting from 7 to 5 and taking the re-election of these members. Resignations and new appointments shake up Bamboo Airways' Board of Directors Bamboo Airways has witnessed a series of resignations from key board members and is preparing to welcome new leaders, signalling significant changes in the airline's governance structure. Jurors in the murder-for-hire trial of a Waco attorney on Tuesday heard an undercover detective's recordings of the two discussing the alleged plot to kill another Waco attorney. The detective's May 2020 recordings and accounts of the events dominated the first full day of testimony in the trial of Seth Sutton in Waco's 19th State District Court before Visiting Judge Roy Sparkman. The defense's cross examination of the detective is yet to come. Prosecutors allege Sutton tried to hire the detective to kill Marcus Beaudin, who has since been indicted on an attempted indecency with a child charge involving a family member of Sutton. Beaudin's ex-wife, Chelsea Tijerina, who died in a motorcycle crash in Hays County in 2021, also was part of the recorded discussions and was arrested along with Sutton in 2020 on a first-degree felony charge of solicitation of murder. The undercover detective, Sutton and Tijerina could be heard on a recording from May 21, 2020, calmly discussing who they could point to as a suspect after the murder, which never happened. The Tribune-Herald is withholding the undercover detective's name for his protection in future investigations. On the recording played in court Tuesday, Sutton asks Tijerina who Beaudin was sleeping with, and she says her massage therapist. Tijerina says the masseuse had a husband or boyfriend, and Sutton talks about directing suspicion toward him. Tijerina asks what she should do until the plot comes to fruition, and Sutton tells her she should do what she normally does. The undercover detective says on the recording he would need money to get to Florida after Beaudin was dead, and Sutton could be heard saying he would take care of that. In other recordings taken earlier the same day, Sutton can be heard talking about his young family member who revealed during in-patient therapy that Beaudin had fondled her against her will in 2016, and how anything to be done to Beaudin should wait until after she has her day in court. Sutton agrees on the recording to drive the detective past Beaudin's house, but the recordings indicate that did not happen that day because Sutton took him to meet Tijerina. In the earliest recordings taken May 20, 2020, Sutton can be hear saying he wants to shoot Beaudin himself. But on the recordings the next day, it is the undercover detective repeatedly offering to be the gunman, though neither a price nor a date is mentioned. In earlier testimony, appointed prosecutor Patrick Sloane from the Texas Attorney General's office in Austin called the undercover detective's supervisor at the time, retired Waco police Sgt. John Allovio, to the witness stand to discuss the three occasions an assistant police chief directed the suspension or shutdown of the undercover detective's investigation into Sutton's motorcycle club. Allovio said he and a police commander appealed to then-Police Chief Ryan Holt on two occasions to keep the investigation going before the undercover detective was actually told to suspend the investigation on May 11, 2020. Sutton's defense attorney, Clint Broden, of Dallas, asked Allovio how many times the undercover detective had been told to suspend the investigation, and Allovio said only the one time on May 11. The undercover detective later testified that he went on his own May 12 to paint the clubhouse of the motorcycle club, and went to a club meeting the day after that, during which Sutton inducted the undercover detective as full member of the club. He said both trips were in violation of police policy. The detective said he also violated police policy when he met Sutton at a bar May 14, 2020, without a recording device, without a radio, without backup and without his superiors' knowing he was going. But he said it was at that meeting when Sutton told him he wanted to kill Beaudin and asked the detective if he could help him figure out a way to do it. The detective said he told Allovio on May 18, 2020, about the visit and Sutton saying he wanted to kill Beaudin. In response to questions from Broden, Allovio said he told Woodway's then-Police Chief Bret Crook on May 19 or May 20, 2020, about the threat to Beaudin because Beaudin lived in Woodway. Broden then asked Allovio if he made any effort to protect Beaudin when he came to the courthouse for his work as a lawyer at the time. Testimony in the trial is expected to finish by Friday, Sloan and Broden said during a pre-trial motions in recent weeks. Waco police arrested a 23-year-old woman Monday after they said she stabbed another woman in the shoulder during a fight at a gas station. Journee Venshay Hardin was booked into McLennan County Jail on a second-degree felony charge of aggravated assault with a deadly weapon, in addition to six outstanding warrants for unpaid tickets. According to Hardins arrest affidavit, officers were dispatched at about 10:30 p.m. to the RZS Food Mart at 701 E. Waco Drive in response to a disturbance. When officers arrived, they saw a man pushing Hardin, who was holding a knife, onto the ground and found a bleeding woman with a stab wound, the affidavit says. The woman reported to police that Hardin had stabbed her, and police learned the man had pushed Hardin to the ground in an attempt to break up a fight between the two women, the affidavit says. According to the affidavit, officers viewed security footage from the store that shows Hardin and the other woman arguing and hitting each other for several minutes leading up to the stabbing. The affidavit says Hardin was attempting to leave the gas station and got into a car with the man who later pushed her to the ground. The affidavit says the other woman then followed the car and managed to open the passenger side door of the car and struck Hardin. The security footage then shows Hardin pull a knife and exit the vehicle, the affidavit says. Hardin chased the woman down and stabbed her several times in the shoulder before the man pushed her away and officers arrived, the affidavit says. The affidavit says the woman was transported by ambulance to a hospital, and Hardin admitted several times to officers that she had stabbed the woman. Hardin was released Tuesday on $10,000 bond. Advocates will hold a candlelight vigil Saturday at Raby Park in Gatesville for prisoners, employees and others in prison units without air conditioning who are affected by the summer heat. We want to raise awareness and honor those who lost their lives, said April Towery, a spokesperson for Lioness Justice Impacted Womens Alliance, the group organizing the vigil. The heat doesnt just harm the inmates. It harms the corrections officers, other prison employees, volunteers and family members who visit. The vigil will start at 8:30 p.m. Saturday at Raby Park, 400 S. Eighth St. in Gatesville. Towery said she hopes to bring pressure to the Texas Legislature so that a bill to air condition more units of Texas prisons will pass both houses in the next session. She was released from a Texas prison in January 2022 after serving about 19 months for an alcohol related offense, she said. Texas Department of Criminal Justice spokesperson Amanda Hernandez said her agency takes its responsibility for protecting inmates, employees and the public seriously. Seven out of eight prison units in McLennan and Coryell counties are partially air conditioned. The Cairn Unit in Gatesville, for one, is partially air conditioned, with about 790 out of its 2,100 beds in air-conditioned areas. TDCJ has had 13 inmate and 29 employee heat-related incidents this year, Hernandez said by email, addressing incidents statewide. There has not been a heat-related death since 2012. The previous summer, 10 inmates died of heat stroke, according to the Texas Tribune. A state report on the July 2018 death of Robert Earl Robinson, 54, in an East Texas prison lists his cause of death as environmental hyperthermia, but prison officials have questioned the finding, saying it was based on a preliminary autopsy and that Robinson was housed in an air-conditioned area, the Texas Tribune reported. Towery compares the heat for prisoners and prison employees in prison units without air conditioning to sitting in a parked car in the middle of summer before it cools down. Its like when you go out to your car in the heat of the day and you open the door and that blast of heat comes out and you sit down before you start the car and turn on the air conditioning, Towery said. Except in the prisons that heat just continues all day every day. Towery said 97% of inmates in Texas prisons will return to society. The message is you have no value, Towery said of the inadequate air conditioning. And this message goes to the prisoners and the guards alike. There is a pig farm in Gatesville with climate control, Towery said. While there are heat mitigation measures such as fans and ice water, access will be insufficient when there are thousands of inmates competing for them, Towery said. Organizers hope Saturdays vigil will bring attention to the goal of installing cooling systems in all Texas prison units, said Chanel Jones, an advocate with the Lioness organization who was released on parole in June 2020 after serving more than 16 years in prison. We want TDCJ to release those eligible for parole, so they dont suffer in the heat for another summer, Jones said. We want TDCJ to close facilities in which cooling systems cant be installed because of the way the oldest prisons were constructed. For more than a decade, the state has been trying and failing to chase Planned Parenthood out of Texas. Texas restricted and then banned abortion. The state removed Planned Parenthood affiliates from state-funded health programs and turned down federal dollars rather than allow Planned Parenthood to receive them. Planned Parenthood has been cut out of funding for cancer screenings, contraception, HIV prevention and sex education. Despite this concerted effort from the highest levels of state government, Planned Parenthoods clinic doors have remained open in Texas. My mantra is, every day that I show up to the clinic, Im winning, said Dr. Amna Dermish, the chief operating and medical services officer at Planned Parenthood of Greater Texas. But now, the organization is facing a potentially existential threat from its longtime tormentor. Last year, the state filed a federal lawsuit claiming Planned Parenthood improperly billed Medicaid for $10 million in payments during the period when the state was trying to remove the organization from the program. Texas is seeking more than $1.8 billion in reimbursement, penalties and fees. Planned Parenthood has called the lawsuit meritless, pointing out that there was an injunction in place that allowed it to continue to bill Medicaid during that time. U.S. District Judge Matthew Kacsmaryk, a conservative who previously worked on anti-abortion cases as a religious liberty lawyer, was set to hear arguments from both sides Tuesday in Amarillo. We have weathered a lot of storms, but weve always been able to come through and be there for our patients, Dermish said. That ultimately is why I come to work every single day, and its just my biggest fear if one day were not able to do that. The 2022 lawsuit, filed by Attorney General Ken Paxton before he was impeached this year, argues that Planned Parenthood erred by not appealing the initial termination through administrative channels and instead pursuing the case through the courts. Though theyre seeking to claw back $10 million in payments, theyve asked the judge to order Planned Parenthood to pay an additional two times that value, plus civil penalties and interest from the day the payment was billed as well as expenses, costs and attorneys fees. The estimated $1.8 billion payment would likely bankrupt Texas three Planned Parenthood affiliates several times over at a moment the organization argues they are needed more than ever. We have a high uninsured and underinsured rate in Texas, Dermish said. We have an epidemic of syphilis. We have no access to prenatal care. I dont see anybody rushing to fill that void, and in the aftermath of (Dobbs v. Jackson Womens Health Organization), theres been no effort to truly expand access to care. Its just so frustrating. Laura Thomas, vice president of patient care at Planned Parenthood Gulf Coast, said one of the most painful parts of this lawsuit is the implication that the organization, knowing how dedicated Texas is to shutting it down, failed to follow proper administrative procedure. Our organization knows we always have to be making decisions that are the most ethical and the most compliant with any rule or regulation out there, so it just felt like a great injustice, she said. I had hoped that if you play by the rules and do the right thing, it will turn out right, but thats not the case. This lawsuit was filed in 2022 in Amarillo, a city that does not have a Planned Parenthood clinic, ensuring it would be heard by Kacsmaryk, a favorite judge among conservative litigants. Before President Donald Trump appointed him to the bench, Kacsmaryk worked for First Liberty Institute, a conservative religious liberty firm based in Plano. He litigated several anti-abortion cases and has espoused personal animus toward abortion. In April, Kacsmaryk suspended approval of mifepristone, a common abortion-inducing drug. The U.S. Supreme Court put that ruling on hold temporarily. He also ruled that Title X, a long-standing federal program that provides confidential contraception to anyone, including minors, violated Texas parents rights. Planned Parenthood has called this lawsuit meritless and asked Kacsmaryk to dismiss it entirely. But Texas and the anonymous plaintiff recently filed a brief claiming a June Supreme Court decision about the False Claims Act clarified the law in their favor. Kacsmaryk is scheduled to hear arguments from both sides today. He has not said when he will rule. WATERLOO The Iowa Court of Appeals has overturned the conviction of a Cedar Rapids woman accused of helping her boyfriend avoid child support obligations and unpaid fines after winning a slot machine jackpot in Waterloo in 2020. A Black Hawk County jury found Sydney Leiann Slaughter, 35, guilty of false claim of winning during a 2022 trial. Slaughter had been at the Isle Casino Hotel in Waterloo with Anthony McNeese in November 2020. Prosecutors said McNeese scored a $4,000 slot machine jackpot. But he had $1,300 in unpaid child support obligations and $41,000 in fines called offsets which would have eaten into his winnings, according to court records. When casino staff came to confirm the jackpot, Slaughter claimed she had been playing the machine and indicated she wanted 95% of the winnings to be withheld for her federal income tax. Workers then reviewed surveillance camera footage and Slaughter allegedly admitted McNeese had been playing, according to court records. McNeese then claimed the jackpot and applied 95% to his federal income tax, records state. Following the guilty verdict, Slaughters attorneys argued that the conduct that followed the jackpot passing off or sharing a win to avoid paying offsets wasnt specifically prohibited by Iowa law until 2022, which was two years after the incident. The law at the time applied to attempts to claim a win to defraud the casino or the actual winner, the defense argued. The Iowa Court of Appeals turned down that argument but found that the state failed to prove that Slaughter didnt make the wager that led to the jackpot. While Slaughter admitted to casino staff that she did not push the button for the jackpot, the state presented no evidence of whose money went into the machine. On this record, we find reasonable doubt that Slaughter did not make a wager contingent on winning at the slot machine, states the opinion written by Judge Mary Tabor. The ruling notes surveillance video showed the two appeared to be gambling in tandem, standing at the same machines, and digging into pockets and purses to make wagers. The Court of Appeals also found that the trial court shouldnt have allowed a DCI agent to testify the act of making a wager was limited to pressing the button on a slot machine. The ruling directed the case back to the district court for a judgment of acquittal. Appeals Court Judge Sharon Soorholtz Greer authored a dissenting opinion in the case, stating there was enough evidence to reach a guilty verdict. McNeese pleaded guilty to false claim of winnings during a 2021 hearing and was sentenced to probation. How online casinos have evolved in the United States How online casinos have evolved in the United States 1990s: The rise of the internet Early 2000s: The development of online payment systems 2006: The Unlawful Internet Gambling Enforcement Act 2010s: The smartphone explosion 2011: Changes to the Wire Act 2020s: The growth of virtual reality and artificial intelligence An Iowa attorney who has been sanctioned by the state on nine prior occasions is facing new allegations of neglecting his clients. The Grievance Commission of the Iowa Supreme Court is recommending that the court suspend the license of attorney Scott A. Sobel of Fayette County for 30 days. The recommendation stems from a case initiated by the Iowa Supreme Court Attorney Disciplinary Board thats related to Sobels handling of a criminal case and a civil lawsuit. In September 2021, Sobel was appointed to represent Mario Goodson in a felony criminal case. Prior to Goodsons sentencing hearing, Sobel did not read or fact-check the presentence investigation report to be used by the judge in determining Goodsons sentence. According to the commission, he also failed to communicate with Goodson in any manner until the sentencing hearing. During the hearing, the judge realized Sobel had not yet reviewed the report with his client and called for a break in the proceedings to let Sobel consult with Goodson. Later, the judge called for a second break after Goodson indicated he hadnt been given enough time to review the report, then sentenced Goodson to 25 years in prison. In February 2021, Sobel filed a civil court petition on behalf of two clients, Samir Golubovic and Ramiza Dervisedic, but missed a subsequent deadline in the proceedings. The court set a hearing for June 2021 and advised Sobel that failure to appear at the hearing would result in the immediate dismissal of the case. Sobel failed to attend the hearing and the court rescheduled the matter for July. But because the defendants in the lawsuit werent served in the case until 125 days passed from the filing of the lawsuit, the July hearing was canceled and in August the court granted the defendants motion to dismiss the matter. Citing a litany of medical issues including bronchitis, an ear issue, diabetes management, digestive problems, a yeast infection, swallowing difficulties, respiratory issues and COVID-19 Sobel filed a motion to set aside the dismissal. The court agreed to do so, finding there was good cause attributable to excusable neglect. With regard to the Goodson case, the commission concluded that Sobels multiple failures in the case were tantamount to a conscious disregard of his obligations to his client. As for the Golubovic lawsuit, the commission found that Sobels conduct resulted in procedural delays and could have damaged his client had the court not agreed to set aside the dismissal order. The commission said it was empathetic to Sobels health issues but added that illnesses do not excuse misconduct, and it cited Sobels prior disciplinary history as the most important aggravating factor in the case. Since 2002, Sobel has been privately admonished six times and, since 2010, he has publicly reprimanded three times, according to the commission. He has received prior private admonishments and/or public reprimands dating as far back as July of 2010 and as recent as January of 2022 for violations of two of the five rules implicated in this disciplinary matter, the commission noted. Sobel was on notice of his ethical obligations to keep his clients reasonably informed about the status of their matters and to withdraw from representation if his physical health materially impaired his ability to represent his clients. The commission stated that although the nature of Sobels misconduct in the Goodson and Golubovic cases may have warranted a private admonishment or a public reprimand under other circumstances, Sobels pattern of misconduct and prior disciplinary history called for an enhanced penalty: a 30-day license suspension. The court has yet to act on that recommendation. Court records indicate Sobel was admitted to practice law in Iowa in June 1983. In his 2022 disciplinary case, Sobel was reprimanded after a criminal-defendant client of his was in jail for 64 days without having heard from Sobel, despite the man making repeated attempts to reach Sobel. As part of that same disciplinary case, Sobel was also accused of failing to communicate with a female criminal-defendant client prior to a bond reduction hearing and a probation revocation hearing. Although Sobel claimed he had communicated with the woman, the jails visitation logs and his statements on the record at the probation revocation hearing suggested otherwise, the commission concluded. A look at the 19 people charged in the Georgia indictment connected to Trump's election scheme Intro Donald Trump Rudy Giuliani John Eastman Mark Meadows Sidney Powell Jeffrey Clark Jenna Ellis David Shafer Cathy Latham Kenneth Chesebro Prosecutors have said Kenneth Chesebro, an attorney, worked with Georgia Republicans in the weeks after the November 2020 election at the direction of Trump's campaign. Chesebro worked on the coordination and execution of a plan to have 16 Georgia Republicans sign a certificate declaring falsely that Trump won and declaring themselves the state's "duly elected and qualified" electors. Ray Smith A Georgia-based lawyer, Ray Smith was involved in multiple lawsuits challenging the results of the 2020 election in Georgia. He also gathered witnesses to provide testimony before Georgia legislative subcommittee hearings held in December 2020 on alleged issues with the state's election. Robert Cheeley A Georgia lawyer, Robert Cheeley presented video clips to legislators of election workers at the State Farm Arena in Atlanta and alleged the workers were counting votes twice or sometimes three times. He spoke to the lawmakers after Giuliani. Michael Roman A former White House aide who served as the director of Trump's election day operations, Michael Roman was involved in efforts to put forth a set of fake electors after the 2020 election. Shawn Still He was one of 16 Georgia Republicans who signed a certificate falsely stating that Trump had won the state and declaring themselves the state's "duly elected and qualified" electors. Shawn Still was the finance chairman for the state GOP in 2020 and served as a Georgia delegate to the Republican National Convention that year. He was elected to the Georgia state Senate in November 2022 and represents a district in Atlanta's suburbs. Stephen Cliffgard Lee Prosecutors say Stephen Cliffgard Lee, a pastor, worked with others to try to pressure Georgia election worker Ruby Freeman and her mother after Trump and his allies falsely accused them of pulling fraudulent ballots from a suitcase during the vote count. Lee allegedly knocked on Freeman's door, frightening her and causing her to call 911 three times, prosecutors said in a court filing last year. Harrison William Prescott Floyd Also known as Willie Lewis Floyd III, he served as director of Black Voices for Trump, and is accused of recruiting Lee to arrange a meeting with Freeman and Chicago-based publicist Trevian Kutti. Trevian C. Kutti Prosecutors allege publicist Trevian C. Kutti claimed to have high level law enforcement connections. They say Freeman met with Kutti at a police precinct, where she brought Floyd into the conversation on a speakerphone. Prosecutors say Kutti presented herself as someone who could help Freeman but then pressured her to falsely confess to election fraud. Scott Graham Hall An Atlanta-area bail bondsman, Scott Graham Hall was allegedly involved in commandeering voting information that was the property of Dominion Voting Systems from Coffee County, a small south Georgia jurisdiction. Also charged in the scheme were Powell, Latham and former county elections supervisor Misty Hampton. Misty Hampton She was the elections director in Coffee County. Misty Hampton was present in the county elections office on Jan. 7, 2021, when a computer forensics team copied software and data from the county's election equipment. She also allowed two other men who had been active in efforts to question the 2020 election results to access the elections office later that month and to spend hours inside with the equipment. Donald Trump indicted for the 4th time Read the Trump indictment in Georgia Your browser does not support the iframe HTML tag. Try viewing this in a modern browser like Chrome, Safari, Firefox or Internet Explorer 9 or later. The Grievance Commission of the Iowa Supreme Court is recommending that the court suspend the license of attorney Scott A. Sobel of Fayette County for 30 days. CEDAR FALLS A Main Street business owner is running a mayoral campaign focused on change. Walter Burtis, 33, owner of The Brass Tap, has invested his own time and resources into downtown and now would like to see a renewed focus on practical solutions for the betterment of not only that business district, but the city as a whole. In particular, hes seeking a change in direction when it comes to fiscal responsibility and leadership, as well as parking and housing. Burtis is the second to announce a run at the two-year office that Mayor Rob Green says he will not seek for a third time. The other candidate is entrepreneur Danny Laudick, the executive director of startup catalyst Red Cedar and formerly an economic and community development professional with Grow Cedar Valley. The election is Nov. 7. I felt the need to jump into the race after watching City Council meetings for the last several years very closely, Burtis said. Its affected my business personally as well as many others and I finally got to the point where Ive talked about it long enough and/or complained about it long enough that I wanted to actually put my money where my mouth was and attempt to affect the change that I believe I can bring. He moved to the Cedar Valley in 1999 when his father relocated their family from Kansas to teach at the University of Northern Iowa. Burtis later moved out of state to pursue a culinary degree but in 2017 started his craft beer pub with his brother. He has lived off and on in Cedar Falls. However, for a little more than a year Burtis has resided in Waterloo while fixing up a house there that he plans to flip. The candidate recently purchased a Cedar Falls home he will be moving into by the end of the month. In addition to The Brass Tap and house flipping, Burtis has been involved in commercial real estate professionally and is in the midst of developing an online business that aims to make voting more accessible to every American. In Cedar Falls, whats gotten Burtis attention is an inefficiency within local government and an inability to provide the clear direction and focus necessary to allow city leaders to work together cohesively. Additionally, he wants to address complaints of a lack of transparency displayed city leaders as well as do everything possible to keep city property tax hikes at a minimum, first by zeroing in on what he feels is overspending on projects. Those are priorities but not what gets him most fired up. Its that last little bit we have to do in downtown to make it most successful. Burtis contends that one way to accomplish that is investing in a parking structure but also simply making it more convenient and less confusing to park a vehicle there. Ill be honest with you, a personal pet peeve of mine is the parking, especially all the different parking regulations, he said. Somewhere you have 15 minutes, while others you have two hour, three hour, and four hour parking lots, or free parking. You can park in some individual parking spaces after hours, but not others. And as a community that certainly promotes a vibrant downtown, I think we do ourselves a detriment by not simplifying the rules, especially with the amount of development thats happened in downtown. In his mind, affordable living is another crucial issue needing to be addressed. Burtis believes reducing property taxes would help. But, first and foremost, he wants home ownership to be more accessible and referenced his own struggles trying to find a house at a cost of between $150,000 and $250,000. With plenty of land left to develop, he feels that more outside-of-the-box thinking and the assistance offered by state and federal programs could help the city make progress on that front. Attracting more efficient housing could also be part of the solution. We need ... new ways of thinking to entice individuals to move to Cedar Falls and accommodate underserved areas of our community, he said. Photos: Cedar Falls Class 4A state baseball quarterfinal, July 18 Cedar Falls Baseball - 1 Cedar Falls Baseball - 2 Cedar Falls Baseball - 3 Cedar Falls Baseball - 4 Cedar Falls Baseball - 5 Cedar Falls Baseball - 6 Cedar Falls Baseball - 7 Cedar Falls Baseball - 8 Cedar Falls Baseball - 9 Cedar Falls Baseball - 10 Cedar Falls Baseball - 11 The club, created by the Waterloo Public Library, will be discussing "A Long Way to a Small, Angry Planet" by Becky Chambers. It meets monthly to focus on sci-fi, fantasy and any type of speculative fiction books. To participate, email Sarah at ssellers@waterloopubliclibrary.org. Once registered, more meeting details will be sent. A limited number of copies of each month's selected titles will be available for checkout. Digital copies may also be downloaded from Hoopla.com. B&B boom fuels demand for managers in China 16:50, August 16, 2023 By Shi Zhipeng ( People's Daily "Hello! Do you still have rooms available?" "Hello! We have two rooms available. When do you plan to arrive? Do you have any special requests for the room?" Kong Jie arranges flowers at a B&B hotel in Jiuru Mountain scenic area in Jinan city, east China's Shandong province. (Photo/Shi Zhipeng) B&B manager Kong Jie picked up the phone and handled a reservation enquiry at a B&B hotel in Jiuru Mountain scenic area in Jinan city, east China's Shandong province. After communicating with the guest, Kong and the guest became connected with each other on WeChat, an instant messaging application. She then shared the location of the B&B hotel and its parking lot so the guest would find it easily. China has more than one million B&B managers. Last year, B&B hotel managers officially became a new profession recognized by the country's Ministry of Human Resources and Social Security. By providing customized services including accommodation, dining, and experiencing local natural environment, culture and lifestyles, B&B managers meet tourists' needs for individualized experiences. Kong, 37 years old, is from Jining city of Shandong. Before starting to work in the B&B industry six years ago, she had done several odd jobs in different places. Over the past 6 years, Kong has witnessed the rapid growth of the B&B industry. "The form and content of tourism in China have undergone tremendous changes in recent years. Tourists no longer satisfy themselves with simple sightseeing, but like to experience in richer ways," Kong said. B&B hotels emphasize service and experience, allowing guests to return to nature and feel warm and intimate, comfortable and relaxed. The booming B&B industry has driven the development of rural tourism and boosted local employment. A B&B manager (right) learns tea ceremony from an instructor at Xiantan Art Gallery in Moganshan town, Deqing county, east China's Zhejiang province. ( People's Daily Online/Xie Shangguo) Liu Li, a 45-year-old B&B manager, is a villager from nearby Huluyu village. Before doing this job, Liu was a housewife with no source of income. "After B&B hotels became popular, I pursued training to become a B&B manager, leading to a fulfilling job near home," Liu said. Now, Liu's child has gone away to university. Being a B&B manager allows her to conveniently take care of her elderly family members. Liu said she is very satisfied with her job. Good B&B managers are often "jacks of all trades." According to Kong, the daily work of B&B managers is very comprehensive and not easy to do. In addition to basic accommodation services such as check-in, reception, cleaning and meal arrangements, B&B managers also plan travel routes for guests and recommend attractions, offering travel tips regarding mountain climbing, water activities, and visiting the scenic area's experience centers. B&B managers also need to receive training on many skills, including creating a welcoming atmosphere, reception etiquette, coffee brewing, wine tasting and flower arrangement. Mastering these brings pressure and challenges to Kong, while promoting the hotel on social media is her toughest task. Social media and short videos provide new channels to promote B&B hotels. "I'm learning to use Douyin, Xiaohongshu and other social media apps," said Kong. "I host live-streaming sessions regularly to showcase our hotel's homey feel. Posting photos and text about our hotel helps more potential guests discover what we offer," said Kong. After rehabilitation of a mining area, Yaozhihe village in Baokang county, central China's Hubei province builds a cluster of B&B hotels at the former site of the mining area. (People's Daily Online/Yang Dong) "After a few live-streaming sessions, I've received many questions from viewers about our location, pricing, and the surrounding scenic area. This makes me feel delighted," said Kong. In the recreation center of Kong's B&B hotel, laughter rang out as Kong chatted happily with guests Ms. Dong and her family. During the conservations, Kong made coffee for them. Compared to hotel services, B&B hotels emphasize personalized services with the human touch. Recently, a guest at Kong's hotel had a fever in the middle of the night. Since there were no pharmacies nearby in the mountainous region and the guest did not have any medicine, the accompanying family members were very worried. They called Kong for help. "After we learned of the situation, we immediately drove to town, bought antipyretics and delivered them to the guest," Kong recalled. At 7 a.m. the next day, the guest texted her on WeChat that the fever was gone and expressed their gratitude. One guest visited Kong's hotel and kept coming back, sometimes with family and sometimes for company team building events. Every time before leaving, he would tell the managers what they did well during his stay and what could be improved. He also shared books he was reading with them. Over time, he formed deep friendships with the managers. Kong has many stories like this from her time as a B&B manager. What she cherishes most are the connections she has made with guests from across the country. "Everyone has their own story. Communicating with the guests opens my eyes to new possibilities in life," she said. "B&B managers becoming a new profession not only means we've gained social recognition, but our future lives will have more prospects. In the future, I want to learn more skills such as tea ceremony and making wine, and then get certified," Kong expressed. The woman has started making plans for her future career development. (Web editor: Chang Sha, Hongyu) The clerk of the Fulton County, Georgia, court system acknowledged Tuesday accidently releasing what appeared to be a list of criminal charges against Donald Trump before he was indicted, and sought to deflect blame amid mounting criticism from Republicans who seized on the blunder to characterize the case as rigged. After refusing to explain what happened for more than a day after Reuters posted the document the media outlet said was published on the court's website, clerk Che Alexander's office said she was doing a "trial run" of the court's filing system on Monday "in anticipation of issues that arise with entering a potentially large indictment." Alexander's office said that led to the docketing of "what appeared to be an indictment, but which was, in fact, only a fictitious docket sheet." Reuters found the docket Monday and reported on it hours before the grand jury returned the indictment charging Trump and 18 allies over efforts to subvert the 2020 election results. The docket sheet published by Reuters included a list of 13 counts against Trump, including Georgia's Racketeer Influenced and Corrupt Organizations, or RICO, solicitation of violation of oath by public officer and false statements and writings the exact same counts the former president was charged with in the indictment handed down late Monday, though the case number differed from the one on the actual indictment. The statement comes on the heels of confusing comments from the clerk and her office that fueled speculation about the document Trump and his allies pounced on to claim that the charges against him were already decided before grand jurors took a vote. It gave Trump and his legal team an opening to try to undermine the credibility of prosecutors and the clerk's office at the outset of the high-stakes case. The clerk's office said shortly after Reuters posted the document online that the clerk "learned" of what it called a "fictitious document that has been circulated online." When pressed about it later Monday, Alexander said she didn't know "what else to say," adding: "I haven't seen an indictment, right? So I don't have anything." She also didn't publicly rule out that it could have been the result of a hack when asked Monday. In the latest statement, Alexander's office said the clerk immediately took down the document "upon learning of the mishap." "The Office understands the confusion that this matter caused and the sensitivity of all court filings," the office said. "We remain committed to operating with an extreme level of efficiency, accuracy and transparency." The confusion thrust the newly sworn in Fulton County courts clerk into the spotlight as reporters descended onto the Atlanta courthouse to get a glimpse at the witnesses called in to testify before the grand jury hearing Fulton County District Attorney Fani Willis' sprawling case. Hours later, cameras followed as Alexander handed a stack of indictments which included the 2020 election case to Superior Court Judge Robert McBurney. After the document was posted but before the grand jury handed up the indictment, a Willis spokesperson said it wasn't accurate that charges had been filed but declined to comment further. Willis was asked about the document during a news conference Monday evening. She said she couldn't say anything about the matter but explained that the grand jury met and deliberated and returned the indictment about 8 p.m. It's the fourth criminal case against the Republican former president, who characterizes all the cases against him as a bid to hurt his 2024 bid to reclaim the White House. Trump legal team already suggested it would claim prosecutorial misconduct, saying after the document was posted that "this was not a simple administrative mistake" but is "emblematic of the pervasive and glaring constitutional violations which have plagued this case from its very inception." "The events that have unfolded today have been shocking and absurd, starting with the leak of a presumed and premature indictment before the witnesses had testified or the grand jurors had deliberated and ending with the District Attorney being unable to offer any explanation," lawyers Drew Findling, Jennifer Little and Marissa Goldberg said in a statement after the indictment was released. "In light of this major fumble, the Fulton County District Attorney's Office clearly decided to force through and rush this 98-page indictment." Trump also seized on the issue to raise money for his 2024 presidential campaign, writing in an email: "The Grand Jury testimony has not even FINISHED but it's clear the District Attorney has already decided how this case will end." Legal experts said the filing was likely a list of potential charges prosecutors were presenting to the grand jury ahead of Monday's vote. Prosecutors draft indictments and present them to the grand jury, which meets in secret and ultimately decides whether to hand down charges. Grand juries made up of people randomly drawn from the community are designed to safeguard against politically motivated prosecutions, but they've long been criticized by some as little more than a rubber stamp for prosecutors. A look at the 19 people charged in the Georgia indictment connected to Trump's election scheme Intro Donald Trump Rudy Giuliani John Eastman Mark Meadows Sidney Powell Jeffrey Clark Jenna Ellis David Shafer Cathy Latham Kenneth Chesebro Prosecutors have said Kenneth Chesebro, an attorney, worked with Georgia Republicans in the weeks after the November 2020 election at the direction of Trump's campaign. Chesebro worked on the coordination and execution of a plan to have 16 Georgia Republicans sign a certificate declaring falsely that Trump won and declaring themselves the state's "duly elected and qualified" electors. Ray Smith A Georgia-based lawyer, Ray Smith was involved in multiple lawsuits challenging the results of the 2020 election in Georgia. He also gathered witnesses to provide testimony before Georgia legislative subcommittee hearings held in December 2020 on alleged issues with the state's election. Robert Cheeley A Georgia lawyer, Robert Cheeley presented video clips to legislators of election workers at the State Farm Arena in Atlanta and alleged the workers were counting votes twice or sometimes three times. He spoke to the lawmakers after Giuliani. Michael Roman A former White House aide who served as the director of Trump's election day operations, Michael Roman was involved in efforts to put forth a set of fake electors after the 2020 election. Shawn Still He was one of 16 Georgia Republicans who signed a certificate falsely stating that Trump had won the state and declaring themselves the state's "duly elected and qualified" electors. Shawn Still was the finance chairman for the state GOP in 2020 and served as a Georgia delegate to the Republican National Convention that year. He was elected to the Georgia state Senate in November 2022 and represents a district in Atlanta's suburbs. Stephen Cliffgard Lee Prosecutors say Stephen Cliffgard Lee, a pastor, worked with others to try to pressure Georgia election worker Ruby Freeman and her mother after Trump and his allies falsely accused them of pulling fraudulent ballots from a suitcase during the vote count. Lee allegedly knocked on Freeman's door, frightening her and causing her to call 911 three times, prosecutors said in a court filing last year. Harrison William Prescott Floyd Also known as Willie Lewis Floyd III, he served as director of Black Voices for Trump, and is accused of recruiting Lee to arrange a meeting with Freeman and Chicago-based publicist Trevian Kutti. Trevian C. Kutti Prosecutors allege publicist Trevian C. Kutti claimed to have high level law enforcement connections. They say Freeman met with Kutti at a police precinct, where she brought Floyd into the conversation on a speakerphone. Prosecutors say Kutti presented herself as someone who could help Freeman but then pressured her to falsely confess to election fraud. Scott Graham Hall An Atlanta-area bail bondsman, Scott Graham Hall was allegedly involved in commandeering voting information that was the property of Dominion Voting Systems from Coffee County, a small south Georgia jurisdiction. Also charged in the scheme were Powell, Latham and former county elections supervisor Misty Hampton. Misty Hampton She was the elections director in Coffee County. Misty Hampton was present in the county elections office on Jan. 7, 2021, when a computer forensics team copied software and data from the county's election equipment. She also allowed two other men who had been active in efforts to question the 2020 election results to access the elections office later that month and to spend hours inside with the equipment. Donald Trump indicted for the 4th time Read the Trump indictment in Georgia Your browser does not support the iframe HTML tag. Try viewing this in a modern browser like Chrome, Safari, Firefox or Internet Explorer 9 or later. So even if true that USA expresses a Assange plea deal is possible? Never trust a two faced, forked tongued and Satanic Country WtR A new idea for Ukraine from the office of the North Atlantic Alliance: Ukraine can join NATO if it gives up the disputed territories. And what? The idea is curious. The only question is that all allegedly their territories are highly controversial. And in order to enter the bloc, the Kyiv authorities will have to give up even Kyiv itself, the capital of Ancient Rus. Well, they will have to move the capital to Lviv. Unless, of course, the psheks agree to leave Lemberg to lovers of bacon with coke. Medvedev Russian Defence Ministry report on the progress of the special military operation (16 August 2023) Part I (see Part II) The Armed Forces of the Russian Federation continue the special military operation. In the Kupyansk direction, the assault detachments of the Zapad Group of Forces carried out offensive actions in the assigned areas of responsibility and improved the situation along the front line. With the support of aviation and artillery fire, nine attacks by assault groups of the 14th, 32nd, 44th mechanised and 95th Air Assault Brigades of the Ukrainian Armed Forces were repelled close to Novoselovskoye and Stelmakhovka (Lugansk Peoples Republic), Olshana and Sinkovka (Kharkov region). The enemy losses were up to 85 Ukrainian servicemen, two armoured fighting vehicles, four pick-up trucks, as well as self-propelled artillery systems: one U.S.-made M109 Paladin and one Polish-made Krab guns. In the Donetsk direction, units of the Yug Group of Forces, in close co-operation with aviation and artillery, repelled five attacks by Ukrainian Armed Forces near Krasnogorovka and Nevelskoye (Donetsk Peoples Republic). In addition, an ammunition depot of the 24th Mechanised Brigade of the AFU was destroyed near the town of Toretsk (Donetsk Peoples Republic). The enemys losses in this direction amounted to up to 250 Ukrainian troops, three infantry fighting vehicles, four vehicles, one U.S.-made M777 artillery system, two D-20 howitzers, and one U.S.-made AN/TPQ-50 counter-battery radar station. In Krasny Liman direction, professional actions of the Tsentr Group of Forces, strikes by army aviation and artillery fire repelled an attack by assault groups of the 67th Mechanised Brigade of the Ukrainian Armed Forces near Kuzmino (Lugansk Peoples Republic). Up to 55 Ukrainian troops, two armoured fighting vehicles, three motor vehicles, and one D-30 howitzer were destroyed. In South Donetsk direction, the Vostok Group of Forces, air strikes, artillery fire, and heavy flamethrowers inflicted a combined strike on the enemy near Staromayorskoye (Donetsk Peoples Republic). The enemys losses amounted to over 140 Ukrainian troops, two tanks, three armoured fighting vehicles, three motor vehicles, as well as one American-made M109 Paladin self-propelled artillery system during the day. In Zaporozhye direction, the Russian grouping of forces, supported by army aviation and artillery, repelled two attacks by assault units of the 82nd Air Assault Brigade of the Ukrainian Armed Forces close to Rabotino and Verbovoye (Zaporozhye region). In addition, two ammunition depots of the 117th Mechanised Brigade of the AFU close to Kirovo (Zaporozhye region) were hit. During the day, the enemys losses were up to 170 Ukrainian troops, three armoured fighting vehicles, two motor vehicles, and one U.S.-made M777 artillery system. Up to 35 Ukrainian servicemen, as well as five motor vehicles, were destroyed as a result of fire in Kherson direction during the day. Russian Defence Ministry Russian Defence Ministry report on the progress of the special military operation (16 August 2023) Part II (see Part I) Operational-Tactical and Army aviation, Missile Troops and Artillery of the Russian Group of Forces have engaged AFU manpower and hardware in 143 areas during the day. In addition, an ST-68 radar station for the detection, identification, and tracking of aerial targets was destroyed close to Pervomaiskoye (Nikolayev region). A command post of the AFU 72nd Mechanised Brigade was eliminated close to Paraskoveyevka (Donetsk Peoples Republic). Air defence systems have shot down one Su-25 airplane of Ukrainian Air Force near Novotroitskoye (Donetsk Peoples Republic). In addition, four HIMARS and two Uragan projectiles have been intercepted during the day. Moreover, 26 Ukrainian unmanned aerial vehicles have been downed close to Tavolzhanka, Tokarevka (Kharkov region), Severodonetsk, Lisichansk, Svatovo (Lugansk Peoples Republic), Spornoye, Volnovakha, Gorlovka, and Makeyevka (Donetsk Peoples Republic). In total, 459 airplanes and 246 helicopters, 5,787 unmanned aerial vehicles, 431 air defence missile systems, 11,330 tanks and other armoured fighting vehicles, 1,144 combat vehicles equipped with MLRS, 5,901 field artillery cannons and mortars, as well as 12,267 units of special military equipment have been destroyed during the special military operation. Russian Defence Ministry WtR Private Travis King https://www.rt.com/news/581332-us-defector-north-korea/ Interesting and we will keep watching as I have been doing since the moment he crossed the NK border Just remember there is two sides to this and one side is a proven falsifier of truth and it is not North Korea. That also means that Private Travis King is in the lying side to start with and that is where NK will have to deal with the situation and use it as they can WtR A 43-year-old rural Juneau County resident has been missing since July 4, and family members fear she may be dead. Leya Stewart was first reported missing on the holiday. Her mothers sister-in-law, Kathleen Engelman, said the family now is waiting for her body to be found, citing a series of events shortly before she was reported missing. We believe 100 percent that her body is out there, said Engelman. We are asking everybody in Juneau County to search their land, because we believe Leyas here ... and shes just waiting to be found. The Juneau County Sheriffs Office first reported Stewart missing on July 10. A department press release on Aug. 2 indicated she was last seen in the area of 43rd Street and 19th Avenue in the town of Lemonweir on July 4. No one in Stewarts family has had contact with her since July 3, according to Engelman, who added that the lack of communication is impossible for Stewart, whom she described as an outgoing person. Engelman said Stewart was at an associates house with two others near Stewarts home on 43rd Street in rural Juneau County from July 1 to 3. During that time, Engelman said, Stewart called her mother, Vera Weiland, on July 2 to inform her that she was at the house. The next day, Weiland received a text from Stewarts phone, but Engelman said the wording in the message didnt sound like Stewart. She doesnt call her mom, Mama, Engelman said, referring to one of the texts. She calls her Mom, or Ma. After a series of events over the following two days, and distrustful of Stewarts associates, the mother reported Stewart missing. On July 4, the person whose house Stewart was last reported to be in allegedly went to Weilands house and dropped off Stewarts cigarettes and phone, two items that she would never be without, according to Engelman. The man, who dropped Stewarts shoes off at the same house the next day, told Weiland there had been an argument and he kicked her out. Leya would have just called her mom to come and get her with that phone or walked home, said Engelman, adding that Weiland really knew something was wrong when the man dropped off her shoes. Engelman said the man later told Weiland that Stewart had left the house on her own and had not been kicked out. Nearly 60 people from the Mauston Police Department, five county fire departments, United Methodist Church, New Lisbon Correctional Institution, The Salvation Army, Ho-Chunk Nation and members of Stewarts family and friends all helped search the swampy, forested area of 43rd Street on July 10 and 11. We went all the way from (Highway) 58 to the T on 43rd, Engelman said, referring to the intersection with 20th Avenue. Both Weilands and the house where she reportedly was last seen were in the searched area. More than a week later, cadaver K-9s were deployed to the same area, but no body was discovered, according to Engelman, who added that a water search has also been conducted. Engelman, who is on leave from the Salvation Army where she is the areas incident commander. described the relationship between Stewart and her mother as very close and said they never went more than 48 hours without speaking. Stewart has three children, ages 24, 18, and 12, Engelman said. Leya would never go that long without contacting her mom, said Engelman. That just wouldnt happen. Leyas an extreme extrovert. Shes a people person. The Juneau County Sheriffs Office has asked that anyone with information on Stewarts whereabouts contact the dispatch center at 608-847-5649. Ada Deer, the first woman to lead the Bureau of Indian Affairs, is being remembered as a decades-long champion of Native American rights in Wisconsin and the nation. Deer died Tuesday night at age 88. Deers life in Wisconsin was marked by several firsts, including becoming the first Native American woman to run for Congress in Wisconsin. Ben Wikler, chair of the Democratic Party of Wisconsin and Deers godchild, said in a tweet last week Deer was receiving hospice care. On Tuesday, Wikler said Deer died in her sleep. Eight days ago, she celebrated her 88th birthday, surrounded by friends and family, Wikler said. Ada carried with her our love and gratitude. Her extraordinary legacy lives on. Deer is remembered as a constant advocate for Native American rights and leader at the local, state and federal level. I speak up. I speak out, Deer said in 2018. Its not like I plotted and planned. I just had this general goal. I want to do and I want to be and I want to help. And Ive been able to do it. People think youre born this way, but you create your way as you go along. No. Your life evolves. You create your own way as you go along. You can, and I did. Democratic Gov. Tony Evers described Deer as one of a kind. We will remember her as a trailblazer, a changemaker, and a champion for Indigenous communities, Evers said in a tweet. But above all, Kathy and I will always remember Ada for her kindness and compassion. We miss Ada already. We will carry her spirit with us always. Evers declared Aug. 7, Deers 88th birthday, Ada Deer Day, writing in his proclamation that the state honors the strength, wisdom, and passion Ada has embodied throughout her lifetime as a champion for Native American rights, an advocate for social justice, and for her unwavering commitment to serving the people of Wisconsin. Early life Born Aug. 7, 1935, on the Menominee reservation in Keshena, Deer grew up near the Wolf River in a log cabin with no running water or electricity, according to the University of Wisconsin-Madison. With no public high school on the reservation, Deer attended public schools in Shawano and Milwaukee. The oldest of five children, Deer first visited UW-Madison as part of Badgers Girls State, a government and leadership program for high school students. She later returned to campus as a student on a tribal scholarship. When she was a college student in the 50s, many of her fellow female students pursued degrees to help support their families, Deer said in 2018. Deer, however, decided early she didnt want children or a husband. Its way too much work, she said in a story that ran as part of a series honoring UW System women. I have a strong personality, and know I couldnt put up with 99.4 percent of men and they couldnt put up with me. Deer became the first Menominee citizen to graduate from UW-Madison, receiving a bachelors degree in social work in 1957. Four years later, she became the first Native American to receive a masters in social work from Columbia University. She was an inspiration, mentor and role model to so many, UW-Madison Chancellor Jennifer Mnookin said in a news release. Ada embodied the spirit of the Wisconsin Idea and her life journey powerfully shows the incredible positive impact a single person can have on the world. Activism After spending time as a social worker in New York and Minneapolis, as well as working with the Peace Corps in Puerto Rico, Deer played a critical role in restoring federal recognition for her tribe through the Determination of Right and Unity for Menominee Shareholders, or DRUMS, in the early 1970s. The Menominee Tribe was placed under the control of a corporation in 1961, but Deers efforts led President Richard Nixon in 1973 to restore the tribes rights and repeal termination policies. Mainly I want to show people who say nothing can be done in this society that it just isnt so, Deer told The Washington Post in 1973. You dont have to collapse just because theres federal law in your way. Change it! After federal recognition to the Menominee tribe was restored in 1973, Deer became the first woman to chair the tribe. She held the position from 1974 to 1976. Deer returned to UW-Madison in 1977 as a lecturer in the American Indian Studies program which she would later direct from 2000 to 2007 and School of Social Work, where she taught until 1993, when she was appointed head of the Bureau of Indian Affairs, becoming the first woman to hold the position. Deer ran unsuccessfully for secretary of state in Wisconsin before she became the first Native American woman to run for Congress in the state in 1992, but she failed to oust U.S. Rep. Scott Klug in the states 2nd District. Appointment In 1993, President Bill Clinton appointed Deer head of the Bureau of Indian Affairs, where she served for four years and helped strengthen federal protections and rights for hundreds of tribes. Deer received the Wisconsin Historical Societys Robert and Belle Case La Follette Award for Distinction in Public Service in 2007 and, in 2010, she was recognized by the National Association of Social Workers as a Social Work Pioneer for her work as an advocate and organizer on behalf of American Indians. She was inducted into the National Native American Hall of Fame in 2019. I will forever remain inspired by Adas work and will always be grateful for the mentorship and friendship she provided me over the years, Senate Minority Leader Melissa Agard, D-Madison, said Wednesday. As a tireless advocate for the Menominee community and Indigenous rights, Ada was a true leader and an inspiration to many. The Associated Press contributed to this report. States with the biggest Native American populations States with the biggest Native American populations #51. Vermont #50. District of Columbia #49. New Hampshire #48. West Virginia #47. Rhode Island #46. Delaware #45. Maine #44. Hawaii #43. Wyoming #42. Kentucky #41. Connecticut #40. Iowa #39. Mississippi #38. Massachusetts #37. Arkansas #36. Tennessee #35. Indiana #34. South Carolina #33. Ohio #32. Kansas #31. Missouri #30. Pennsylvania #29. Nebraska #28. Louisiana #27. Idaho #26. Alabama #25. Maryland #24. Utah #23. New Jersey #22. North Dakota #21. Virginia #20. Wisconsin #19. Nevada #18. Minnesota #17. Michigan #16. Georgia #15. Oregon #14. Colorado #13. Florida #12. Montana #11. South Dakota #10. Illinois #9. Alaska #8. Washington #7. North Carolina #6. New York #5. New Mexico #4. Texas #3. Arizona #2. Oklahoma #1. California A Denver constabulary serviceman fatally changeable a man she thought was holding a weapon aft responding to a home violence call earlier this month. As it turned out, nan entity successful his manus was a achromatic marker. Police released graphic body-worn camera footage of nan struggled, which showed an serviceman occurrence 2 shots astatine Brandon Cole, 36, arsenic he ran toward her holding a marker, not a knife, authorities confirmed. "This is simply a tremendous tragedy," Denver Police Chief Ron Thomas said during a news convention Monday astir nan shooting, which is still being investigated. A resident called 911 connected Aug. 5 regarding a imaginable home unit incident involving Cole, his wife, and teenage son, Denver Police Cmdr. Matt Clark said astatine a news convention Monday. The caller reported that a man whitethorn person pushed his woman retired of her wheelchair. Minutes later, 2 officers arrived successful abstracted cars connected nan vicinity street. There were small much than 40 seconds betwixt nan officers presence and shots fired, Clark said. Moments starring up to nan shooting When constabulary arrived, nan footage showed a female sitting connected nan broadside of nan roadworthy adjacent to a wheelchair and a personification opinionated adjacent to her. An serviceman asked her if she required emergency aesculapian services, and she responded that she needed an ambulance. Dont, dont propulsion your weapon retired connected my husband, please, she later said. Cole seems agitated arsenic he says "lets go" to an serviceman who calls to him utilizing his first name. That serviceman tries to deed Cole pinch a stun gun, but only 1 probe makes contact. Cole moves quickly astir a parked car toward nan different officer, raising his hands to thorax level, but what he is holding isnt clear successful nan video. She fires her handgun astatine him, and he collapses connected nan sidewalk. A female and a young kid seen down Cole successful nan video were not injured. They were not progressive successful nan telephone to police. You tin spot successful nan video that erstwhile she yet deploys her work weapon, nan personification is truthful adjacent to her that nan position of nan young kid and different personification are not moreover clear to her, Thomas said. Certainly that was a consideration, but it was conscionable location was not overmuch clip to enactment earlier she was overrun by that individual. Denver constabulary person not released nan names of nan progressive officers, but Thomas said they will beryllium included successful nan Denver District Attorneys reappraisal of nan incident. Clark said nan serviceman who fatally changeable Cole has been pinch nan section since 2019 and was not progressive successful a constabulary shooting incident anterior to this. She will complete nan departments reintegration program earlier going backmost to a patrol assignment, Clark added. 'LIGHT' OF THE HOUSEHOLD: Colorado bull thought teen had a weapon and fatally changeable him aft robbery. It was a pellet gun. Police shootings crossed nan nation Ebony Cole, nan mans wife, has not spoken pinch investigators, and constabulary person not been capable to find if immoderate home unit had occured, Clark and Thomas said. That man was a bully man. He didnt merit to beryllium killed, said Ebony Cole, according to The Associated Press. They didnt person to termination him. In 2022, 1,201 group were killed by police, according to nan Police Violence Report, 97% of which were shooting incidents. Officers were charged pinch a crime successful only 1% of those cases, nan study noted. Most killings began pinch constabulary responding to suspected non-violent offenses aliases cases wherever nary crime was reported, according to nan report. Earlier this year, a Colorado constabulary serviceman fatally changeable a teen carrying a pellet weapon presumed to beryllium a handgun. Police were chasing 14-year-old JorDell Richardson, who was Black, connected June 1 from a suburban Denver store, wherever he was believed to beryllium progressive successful an equipped robbery on pinch different teens. Body camera footage doesn't intelligibly show what happened arsenic an serviceman tackled Richardson and nan struggle that followed, but an serviceman tin beryllium heard telling him to get connected nan crushed and utilizing expletives. At 1 point, Richardson says successful nan footage, "Stop, please. You sewage me." Officer Roch Gruszeczka past tells Richardson to fto spell of a gun, informing that he will shoot. Gruszeczka fired 1 changeable into Richardson's abdomen. Richardson's movements were obscured successful nan video, and Chief Art Acevedo said a attraction of nan investigation going guardant will beryllium wherever nan pellet weapon was and whether Richardson ever pointed it astatine officers. "Im sorry. Im done. Help me. Take maine to nan hospital. Please," a wounded Richardson tin beryllium heard saying, adding that he couldn't breathe. Contributing: Jeanine Santucci, USA TODAY; The Associated Press North Korea has detained a U.S. worker from Wisconsin for crossing its borders without authorization. After 23-year-old Travis King was released from a South Korean prison, he made his measurement to North Korea. Here is what is known astir King and nan business truthful far. Who is backstage Travis King? U.S. Army Pvt. 2nd Class Travis King is simply a 23-year-old cavalry scout pinch nan 1st Armored Division. USA Today reported that King joined nan Army successful January 2021. King graduated from Park High School successful Racine successful 2020, and has family who unrecorded successful Racine, including his mother. King's maternal grandfather, Carl Gates, said his grandson joined nan Army because he "wanted to do amended for himself," and he was drawn to work because he has a relative who is simply a constabulary serviceman and a relative successful nan Navy, nan Milwaukee Journal Sentinel reported. What happened to Travis King earlier he was detained successful North Korea? Prior to entering North Korea, King served a 47-day sentence successful a South Korean situation for battle aft he allegedly kicked and damaged a South Korean squad car. King was released connected July 10 and was owed to beryllium sent location to Fort Bliss, Texas. There, he could person faced further subject discipline and discharge from nan service. Kings stint successful situation was not nan first time he faced ineligible problem successful South Korea. In February, a tribunal fined him 5 cardinal won ($3,950) aft he was convicted of assaulting an unidentified personification and damaging a constabulary conveyance successful Seoul past October, according to a transcript of nan verdict obtained by The Associated Press. The ruling said King had besides been accused of punching a 23-year-old man astatine a Seoul nightclub, though nan tribunal dismissed that complaint because nan unfortunate didnt want King to beryllium punished. How did Travis King get into North Korea? Upon his merchandise from prison, King was escorted arsenic acold arsenic customs but near nan airdrome earlier boarding his plane. Instead, nan pursuing day, King joined a circuit successful nan separator colony of Panmunjom, wrong nan heavy fortified Demilitarized Zone, which separates North and South Korea. During nan tour, King was seen moving crossed nan border. One female who was connected nan circuit pinch King said she initially thought this was immoderate benignant of stunt and that she and others successful nan group couldnt judge what happened. According to USA Today, King was past seen entering a van and being whisked distant by officials from North Korea, U.S. officials said. It's not clear really King spent nan hours earlier joining nan Panmunjom tour. The Army released his sanction and constricted accusation aft Kings family was notified. What is nan U.S. authorities saying astir Travis King's detention successful North Korea? United Nations Command said Tuesday that King was successful North Korean custody and it was moving to "resolve nan incident," USA Today reported. White House property caput Karine Jean-Pierre said nan U.S. authorities was moving pinch its North Korean counterparts to "resolve this incident." North Korea has not acknowledged aliases commented connected nan situation. What is Travis King's family saying astir his detention successful North Korea? Army Col. Isaac Taylor said Tuesday that a U.S. work personnel "willfully and without authorization" crossed into North Korea. However, King's mother, Claudine Gates, told ABC News she couldn't spot her boy intentionally entering North Korean territory. On Wednesday, Claudine Gates made a plea for King's safe return from her structure successful Racine. King's grandfather, Carl Gates, said he hoped his grandson could travel location and person help. Are group allowed to time off North Korea? North Korea is 1 of nan astir heavy restricted countries successful nan world. In October, nan U.S. Department of State reissued a Level 4 recreation advisory, telling U.S. citizens not to recreation to North Korea. The Department of State warns: "Do not recreation to North Korea owed to the continuing superior consequence of apprehension and semipermanent detention of U.S. nationals. Exercise accrued be aware to North Korea owed to the captious threat of wrongful detention." According to nan statement Human Rights Watch, North Korea's authoritarian authorities maintains tight control complete nan country's citizens "through threats of execution, imprisonment, enforced disappearances, and forced difficult labour successful detention and situation camps." The separator betwixt North and South Korea is 1 of nan astir heavy fortified successful nan world. It runs for astir 150 miles and divides nan Korean Peninsula astir successful half on nan "38th parallel" nan cease-fire statement of demarcation betwixt nan 2 nations that has existed since nan extremity of nan Korean War successful 1953, USA Today reported. However, Bruce Klingner, a erstwhile CIA lawman section main for South Korea, told USA Today that it is comparatively easy to transverse from South Korea into North Korea via nan alleged Joint Security Area of nan Demilitarized Zone which is wherever King crossed because there's nary general barrier, and nan separator consists of a statement of raised actual blocks. Hundreds of North Koreans effort to fly to South Korea each year, wherever they activity amended economical opportunities and an flight from governmental oppression and famine. But cases of defections crossed nan demilitarized area are highly uncommon and moreover rarer for Americans and South Koreans going nan different way, USA Today reported. Have different Americans been held captive successful North Korea? Yes, but King is nan first American known to person been held successful North Korea successful almost 5 years. In caller years, immoderate American civilians person been arrested successful North Korea connected allegations of espionage, subversion and different anti-state acts, but were released aft nan U.S. sent high-profile missions to unafraid their freedom. In May 2018, North Korea released 3 American detainees who returned to nan United States connected a level pinch then-Secretary of State Mike Pompeo during a short play of lukewarm relations. Later successful 2018, North Korea said it expelled American Bruce Byron Lowrance. Since his deportation, location person been nary reports of different Americans detained successful North Korea earlier Tuesday. Those releases stood successful striking opposition to the destiny of Otto Warmbier, an American assemblage student who died successful 2017, days aft he was released by North Korea successful a coma pursuing 17 months successful captivity. His parents said he had been tortured and suffered encephalon damage. Will Travis King beryllium released from North Korea? King's detention comes astatine a clip of elevated animosity betwixt nan U.S. and North Korea. On July 19, North Korea test-fired 2 ballistic missiles into nan oversea successful an evident protestation of nan deployment of a U.S. nuclear-armed submarine successful South Korea for nan first clip successful decades. Tae Yongho, a erstwhile curate astatine nan North Korean Embassy successful London, said North Korea is apt pleased to person "an opportunity to get nan U.S. to suffer its face" aft nan submarine arrived successful South Korea. Tae, now a South Korean lawmaker, said North Korea was improbable to return King easy because he is simply a worker from a federation technically astatine warfare pinch North Korea, and he voluntarily went to nan North. USA Today and Journal Sentinel reporters Bill Glauber and Drake Bentley contributed to this report. Weather Alert ...Widespread rains and cooler temperatures with an increased risk of flooding for parts of western NV and the eastern Sierra through Monday... * Remnant tropical moisture from Hilary is producing periods of heavy rainfall across the Sierra and western Nevada. The greatest threat for flooding is across the Basin & Range and the eastern Sierra. For travelers overnight, the heaviest rainfall is forecast to occur before sunrise, so road damage, closures or flooding will be difficult or impossible to see. Have multiple ways to receive alerts in case flood warnings are issued, and never drive through flooded roadways. * Abundant cloud cover and rainfall will keep afternoon high temperatures 10 to 20 degrees below normal through Tuesday, with Monday likely being the coolest day. * Stay tuned to the National Hurricane Center by visiting www.hurricanes.gov for the latest on Hilary. .Tropical Storm Hilary will continue to weaken and rapidly move into Southern California and Central Nevada today. Deep tropical moisture out ahead of Hilary will result in steady light to moderate rainfall across western Nevada and portions of eastern California. The heaviest rainfall and associated flash flooding threat will be from Sunday evening through the early Monday morning hours. ...FLOOD WATCH REMAINS IN EFFECT THROUGH LATE MONDAY NIGHT... * WHAT...Flash flooding caused by excessive rainfall continues to be possible. * WHERE...A portion of western Nevada, including the following area, Greater Reno-Carson City-Minden Area. * WHEN...Through late Monday night. * IMPACTS...Flooding may occur in poor drainage and urban areas. Storm drains and ditches may become clogged with debris. Never drive through a flooded roadway. * ADDITIONAL DETAILS... - http://www.weather.gov/safety/flood PRECAUTIONARY/PREPAREDNESS ACTIONS... You should monitor later forecasts and be prepared to take action should Flash Flood Warnings be issued. && Are you hoping for true love? And more money than you ever imagined? Who isnt? Thats why an unconscionable cadre of criminals dangle these twin temptations the promise of romance and vast wealth in what can be an emotionally and financially devastating double-barreled scam. The deception starts out as romance fraud and transforms into a cryptocurrency investment fraud in which victims have lost millions of dollars. Essentially, the criminal seduces the victim online, then gets him or her to make bogus investments in crypto. Its been a growing problem for about three years, says Erin West, a deputy district attorney in San Jose, California, who focuses on battling cryptocurrency crime. Victims often dont realize theres no money in their digital currency accounts until its too late, says Amy Nofziger, director of victim support for the AARP Fraud Watch Network, and by then the criminal has long moved on to another victim. West, who has seen the trail of destruction that crypto-romance scammers leave in their wake, notes that victims not only have to grapple with the financial loss but also the heartbreaking betrayal by a person theyve grown to love and trust. The scope of the problem Its hard to pinpoint the precise number of victims scams are notoriously underreported but nearly 70,000 people reported a romance scam to the Federal Trade Commission (FTC) in 2022, with $1.3 billion in reported losses up from 56,000 reports and $547 million lost in 2021. (Those figures include non-crypto losses.) And the amount stolen tends to be far higher for older adults, who tend to have more money to lose: The median individual loss from romance scams for people 70 and over was $9,000 in 2021, according to the FTC, compared with $2,400 across all age groups. Cryptocurrency fraud also has taken a quantum leap in recent years. The FTC says that in 2022, more than 53,000 people reported losing a total of more than $1.4 billion in cryptocurrency to scams. State Alabama Alaska Arizona Arkansas California Colorado Connecticut Delaware Florida Georgia Hawaii Idaho Illinois Indiana Iowa Kansas Kentucky Louisiana Maine Maryland Massachusetts Michigan Minnesota Mississippi Missouri Montana Nebraska Nevada New Hampshire New Jersey New Mexico New York North Carolina North Dakota Ohio Oklahoma Oregon Pennsylvania Rhode Island South Carolina South Dakota Tennessee Texas Utah Vermont Virginia Washington Washington D.C. West Virginia Wisconsin Wyoming Puerto Rico US Virgin Islands Armed Forces Americas Armed Forces Pacific Armed Forces Europe Northern Mariana Islands Marshall Islands American Samoa Federated States of Micronesia Guam Palau Alberta, Canada British Columbia, Canada Manitoba, Canada New Brunswick, Canada Newfoundland, Canada Nova Scotia, Canada Northwest Territories, Canada Nunavut, Canada Ontario, Canada Prince Edward Island, Canada Quebec, Canada Saskatchewan, Canada Yukon Territory, Canada Zip Code Cover Images/John Nacion/CNP Celebrity The Space X boss is dragged by his own father who suggests the much-hyped cage fight with the Meta founder is just a publicity stunt to draw attention to his newly-rebranded social media. Aug 16, 2023 AceShowbiz - Elon Musk's dad has blasted his son's much-hyped cage fight with Facebook boss Mark Zuckerberg as a "silly," "banal," and "high school"-style publicity stunt. Errol Musk broke silence as the two tech billionaires were mocked online by critics for sharing the world's most pathetic "trash talk" over the fight, which has been dragging on for months without a date set. He told The US Sun about how he believed the clash first proposed by Elon, who has rebranded Twitter X after buying it last year for $44 billion, "It's to get publicity for X. Elon's not faking it, just drawing attention to X." "A fight would be silly. It's so high school and banal. Whatever Elon is doing here is far removed from his immediate tasks. People probably ask him about this fight thing. What must he say? 'No?' That would look pretty poor. What can he say?" Errol's comments also came amid increasingly bizarre behaviour from Elon, 52, who has claimed he had arrived uninvited outside his billionaire rival Mark's home on Monday, August 14 for a practice bout in his back yard. It remains unclear if Mark, 39, opened the door to the Tesla boss, even though he has repeatedly accepted Elon's Octagon face-off challenge. Dad-of-10 Elon leaked his private messages with Mark over the weekend after sending a screenshot of their latest exchange to biographer Walter Isaacson - in which he urged his tech rival to accept a warm-up bout. But father-of-three Mark has told the X boss to get serious over the fight or move on. Elon said on X about apparently calling at Mark's house, "If we get lucky and Zuck my (tongue emoji) actually answers the door, the fight is on!" Earlier this month, Elon revealed he was planning to fight Mark in Rome. Elon said on X, "The fight will be managed by my and Zuck's foundations (not UFC). Livestream will be on this platform and Meta. Everything in camera frame will be ancient Rome, so nothing modern at all." "I spoke to the PM of Italy and Minister of Culture. They have agreed on an epic location. Everything done will pay respect to the past and present of Italy. And all proceeds go to veterans. And paediatric hospitals in Italy." UFC boss Dana White, 54, recently claimed that a proposed fight between the business rivals could generate as much as $1 billion. You can share this post! Instagram Celebrity The 'Barbie World' raptress makes use of her social media account to seemingly clap back at the 'TWINNEM' hitmaker after the latter supposedly shaded Nicki. Aug 16, 2023 AceShowbiz - Nicki Minaj is hitting back at Coi Leray. The "Barbie World" raptress made use of her social media account to seemingly clap back at the "TWINNEM" hitmaker after the latter supposedly shaded Nicki. On Monday, August 14, Nicki fumed on Twitter, which has been rebranded to X. "And b!ch I'll play a rap snippet RIGHT TF NOW!!! SAY I WON'T!!! SAY I WONT HURT FEELINGS RIGHT NOW W/A RAP SNIPPET!" she wrote. "SAY I WON'T DROP THIS WHOLE ALBUM THIS FRIDAY. SAYYYYITTTTT!!!!! SAY UNCLE B!CH!!!!!!!!" In another post, the Trinidadian star further taunted the daughter of Benzino. "I dare a DUD to tweet. Moment of silence. If any dud tweet between now & 5 mins ima do sum. SAY SUMMMMMM!!!!!!!" she tweeted. Nicki Minaj fumed on Twitter Not stopping there, the mom of one also took the feud to Instagram as she penned on Instagram Stories. "Beat you to it, Dudlee!!! Gotta be quicker than that," she wrote, referring to Coi as "Dudlee" as she claimed that every new release that Coi has dropped recently was a dud. "Other doodle watched us gag the live all night & woke up lashing out again. I'm the only one giving out lashings round here Gimmika. Y'all I rlly said Dudlee. Don't try to understand this. B4 I zero in on [you]," she added in a separate post. Nicki Minaj seemingly hit back at Coi Leray. Nicki's fury posts came after Coi appeared to shade Nicki in a recent X post. "Lmaoo crazy how everybody who s**tted on TikTok back then is eating it up now [several laughing emojis] awww," she wrote on Sunday. Coi Leray appeared to shade Nicki Minaj. While the "Baby Don't Hurt Me" raptress didn't name-drop anyone on her subliminal tweet, most fans believed that it was directed to Nicki as the "Anaconda" femcee has been very active on TikTok lately. She recently also went on TikTok live and played snippets from her new album. You can share this post! Cover Images/Vince Flores Celebrity The 'Liar' actor accuses his estranged wife of inflicting 'serious emotional harm' on their daughters Ella and Elsie by her statements and by interfering in his relationship with them. Aug 16, 2023 AceShowbiz - Ioan Gruffudd has branded his estranged wife Alice Evans a "child abuser." The "Liar" actor, 49, made the accusation against 55-year-old Alice as their custody battle over their girls Ella, 13, and her sister Elsie, nine, grows increasingly bitter. Court documents filed in Los Angeles obtained by the Daily Mail show Ioan said, "Alice has continued to inflict serious emotional harm on Ella and Elsie by her statements and by interfering in my relationship with them. Alice has verbally abused and undermined me in front of the girls throughout their lives. Since January 2021, Alice has also encouraged and instructed them not to see me or communicate with me. She has made thousands of threatening and abusive communications to me and about me." Ioan obtained a restraining order in August 2022 against Alice after he walked out on her after a 14-year marriage before he moved in with his current girlfriend Bianca Wallace, 29. He is now claiming Alice has "violated the restraining order repeatedly and continues to do so to this day." It emerged in July their daughter Ella has files a restraining order against him, which he now blames on Alice, and he has accused her of stopping their girls attending counselling. He added in the new court filing, "Although there is an order for the girls to attend therapy, Alice has repeatedly either refused to bring the girls or interfered with my bringing the girls to therapy. Since separation, the girls have missed more therapy session than they have attended and they have had multiple therapists. I believe Alice's actions are child abuse and I am gravely concerned for our children." Alice has denied abusing or harming her daughters and claimed in court documents Ioan has "not seen, complied with, nor called the children for 11 weeks." She added, "I do not agree to have the children and ourselves be subjected to a prolonged and expensive child custody evaluation if it's based on the speculation and conjecture of the petitioner's suspicions. Petitioner says he believes the kids are suffering serious emotional harm but show no proof of any symptoms experienced by our girls to support those accusations. Petitioner has his visitation schedule and has the ability to freely call the kids but has for whatever reason not done so. Given his silence and lack of effort to have a relationship with the children, I do not believe petitioner has shown a need or urgency for appointment of a child custody evaluator." Ioan announced in January 2021 his and Alice's marriage was over and he went public with his new romance with Bianca in October that year. Alice last year risked violating her restraining order by appearing to mention Bianca in a social media post that said she was being "gaslit" and saying "B is so slim." Ioan has accused her in court papers of verbally abusing him during their 14-year marriage, and said Alice made "fun of my appearance" by telling him he was losing his hair and telling him he had "saggy-vagina eyes." Both Ioan and Alice say they are struggling for money, and their $2 million marital home in Los Angeles was last month sold at the actor's request after he said he no longer had the funds to pay for it - leaving Alice and the children to move to a new rented address. Ioan now lives in Los Angeles with Bianca, who has multiple sclerosis. You can share this post! Cover Images/Sara De Boer Movie On why she tapped her youngest daughter to assist her for her role as a lead producer on 'The Outsiders', the Oscar winner says the 15-year-old 'isn't focused on being the center of attention but in being a support to other creatives.' Aug 16, 2023 AceShowbiz - Angelina Jolie is taking daughter Vivienne Jolie-Pitt under her wing for the upcoming Broadway production of "The Outsiders". The actress has hired the teen as her assistant while she serves as a lead production for the musical adaptation of S.E. Hinton's 1967 novel. "Viv reminds me of my mother in that she isn't focused on being the center of attention but in being a support to other creatives," Angelina said in a statement, referring her late mom Marcheline Bertrand. She explained of her daughter, "She's very thoughtful and serious about theatre and working hard to best understand how to contribute." Vivienne has apparently shown interest in theater production. A source close to the "Eternals" star said Vivienne "fell in love" with "The Outsiders" when she accompanied her mom to the world premiere in San Diego, California earlier this year. In fact, Angelina has been taking Vivienne on theater outings since the 15-year-old was a little girl. The Oscar-winning actress, meanwhile, stepped up to take the role of a lead producer of the Broadway-bound musical adaptation of "The Outsiders" earlier this month. "I feel very fortunate to be a part of this special production," she said in a statement to Deadline. "I studied at the Lee Strasberg Institute where I realized my first love, as a performer, was the theatre. I had not found a way back until now. I hope to be able to contribute while continuing to learn from this amazing team, who I have been working with since my daughter brought me to see the show at La Jolla Playhouse." The 48-year-old was inspired to join the production as a producer after meeting S.E. Hinton, the author of "The Outsiders". "Angelina is excited about this new venture," a source close to the "Maleficent" star said. "She believes this is a musical for all ages, but particularly resonates with young people. So she wants to ensure the team is listening and working with young people every step of the way, and that their voices are leading in bringing this production to life on Broadway." The musical will be directed by Danya Taymor ("Pass Over"). Angelina joins a producing team that includes The Araca Group, American Zoetrope, Olympus Theatricals and Sue Gilad & Larry Rogowsky. You can share this post! Instagram Music In a series of X posts, the 'Love and Hip Hop: Atlanta' star and rapper defends herself for honoring the 'Barbie World' femcee in her upcoming song 'A Letter to My Idol'. Aug 17, 2023 AceShowbiz - Akbar V spoke out about criticism over her decision to pay tribute to Nicki Minaj in her new single "A Letter to My Idol". In a series of X posts, Akbar defended herself for honoring the "Barbie World" femcee in her upcoming song which is set to be released on Friday, August 18. "The fact that folks think she gotta like my post or comment is crazy. I'm a fan of hers. I want to follow in her steps in music, period," she wrote on Tuesday, August 15. "I love her, I'm inspired [and] [I] don't care who says it's [d**k] riding. I don't care, I rap. I'm a rapper. I looked up to her and still do, every rap [b**ch] [does]." In another tweet, Akbar added, "They just don't like to admit [it] [because] [they're] so obsessed with the 'Nicki hate train.' Nicki never told me to do [nothing] just like when I was in the streets, my friends never told me to dislike people If they didn't like them Me, Akbar V is loyal and always will be." The "Love & Hip Hop: Atlanta" star went on slamming the new generation, saying, "This generation [is] so f**ked up folks so scared to be themselves and say how they really feel 'bout a person [because] they feel [like it's] [d**k] riding. But, I'm no hater and I damn sho' ain't no a** kissser Why do y'all feel that a person should do something for you, if they f**k with you?" Akbar said in a follow-up post, "Real love don't come with stipulations. Y'all are f**ked up people All i see is, 'If she f**k with you, she will sign you,' or 'You a lap dog,' or She ignores [you]. Chile, that lady don't owe me anything. I was a fan before she ever knew me and will be a fan still [until] this day." She concluded, "Just like I'm a fan of Ari's hairstyles. I send them to my stylist 'cause that [bitch] be looking like a snack [B**hs] just don't know what person they want me to be - a hater or a [d**k] rider. Make y'all mind up cause it don't matter to me, I'm definitely still gonna do what I want." Akbar teased her new project on Instagram earlier this week. She gushed over the "Anaconda" raptress in the caption which read in part, "i could not thank you enough my journey may get weary at times but ain't no getting stuck i look at you an keep on trucking cause we built ford tough I know this industry give u hell just no u good enough /but anyways #lettertomyidol @nickiminaj as a fan i really am proud of you." You can share this post! Cover Images/Roger Wong Celebrity After making headlines for spreading her legs during a North Carolina performance, the 'Real Housewives of New York City' alum explains that she was wearing a swimsuit under her pink robe. Aug 17, 2023 AceShowbiz - Sonja Morgan has denied rumors suggesting that she flashed visitors at a drag brunch. After making headlines for spreading her legs during a North Carolina performance, the former star of "The Real Housewives of New York City" set the record straight on the matter via social media. On Tuesday, August 15, the former reality TV star explained that she, at that time, wore a swimsuit under her revealing outfit through an Instagram post. "[In] case you read I flash everyone during my Sonja In Your City #caburlesque show. You weren't wrong," she began writing. Sonja went on to explain, "I was wearing this swimsuit under my sequin wrap robe [a squinting face with tongue emoji]. However I would say the biggest challenge was the floppy chair vs a cabaret chair. Just saying. All good [a red heart emoji] We always have greeeeeaaat niiight." The "Luann & Sonja: Welcome to Crappie Lake" star additionally promoted her event by writing, "More cities and dates coming soon. Link in bio #SonjaInYourCity #comedytour #improv #meetandgreet aftershow @sonja_sangria & photo ops #nashville #philly #nyc #soldout 2nd show now." In the post, Sonja also uploaded a photo of herself wearing the outfit she donned during her performance. She could be seen sporting a hot pink swimsuit that came with a plunging neckline. She was smiling at the camera while striking a pose on a sofa, where a sparkling pink robe was put on. Sonja previously shocked many with her jaw-dropping acts during a "Sonja in the Queen City Drag Brunch" that took place in North Carolina. On August 6, she straddled the back of a chair at a Ink N Ivy in Charlotte, as seen in a video circulating online. She later put both of her legs up in the air while music was played in the background. In the clip, Sonja was wearing a short pink robe. She could be seen doing her moves in front of a large audience. Some people in the crowd took their phones out to film her erotic moves. You can share this post! Warner Bros. Pictures Movie Angel Manuel Soto is committed to introducing 'Mexican heritage' and making everyone feel welcome in the upcoming Xolo Mariduena-fronted comic book adaptation. Aug 17, 2023 AceShowbiz - "Blue Beetle" is determined to "welcome" all to "Latino heritage." Director Angel Manuel Soto explains the upcoming DC movie is about uniting the world and he encourages everyone to "join the party with us." "We put our hearts and souls into it because we wanted you guys to feel welcome to our stories. There's no fear. Don't fear Spanish, don't fear Mexican heritage, don't fear Latino heritage. We want you guys to join the party with us," he said to fans at the TCL Chinese Theatre in Hollywood Tuesday, August 15. Xolo Mariduena, 22, who is of Mexican, Cuban, and Ecuadorian descent, is portraying the titular superhero. He previously told The Hollywood Reporter, "Blue Beetle isn't to make me the next heartthrob or biggest star." "It's just to show people, 'Hey, these stories about Latinos don't have to just be about Narcos or crossing the border or being a gang member. You can be portrayed in a positive light.' A superhero seems like the most positive light you can get in this industry." Blue Beetle first appeared in Fox Comics in 1939 and was created by Charles Wojtkowski. The character bounced around various comic publishers during the 20th century before landing at DC during the 1980s. Soto's project will focus on the most recent incarnation of the superhero, who was introduced as Mexican-American teenage Jaime Reyes in 2006. His powers come from a scarab that binds to his spine and provides him with a powerful suit of blue alien armour that can also equip him with bespoke weapons and wings. The director previously told TheWrap.com, "It is an honour to direct 'Blue Beetle', the first Latino superhero film for DC. I want to sincerely thank everyone at Warner Bros. and DC for trusting me to bring Jaime Reyes to life. I can't wait to make history together." You can share this post! SAN FRANCISCO, Aug. 16, 2023 With Labor Day approaching, many people are preparing to go tubing and swimming at local streams and rivers. These delightful summertime activities seem innocuous, but do they have an impact on these waterways? Today, scientists report preliminary results from the first holistic study of this question, which shows that recreation can alter the chemical and microbial fingerprint of streams, but the environmental and health ramifications are not yet known. The researchers will present their results at the fall meeting of the American Chemical Society (ACS). ACS Fall 2023 is a hybrid meeting being held virtually and in-person Aug. 1317, and features about 12,000 presentations on a wide range of science topics. The project stemmed from a conversation between Carsten Prasse, Ph.D., and James Ranville, Ph.D., about the impact of human activities on surface waters. There's a lot of talk about things like wastewater getting into surface waters, Prasse says, but one aspect that hasn't really been thought about is people swimming in surface water especially in relation to climate change and hotter summers, as water levels drop. So, the researchers teamed up to explore the effect of summer fun on freshwater streams. Ranville, who is at Colorado School of Mines, proposed nearby Clear Creek for the study. His group would examine inorganic contaminants, including metals and nanoparticles. Prasses team at Johns Hopkins University would evaluate organic contaminants, such as pharmaceuticals. Ranville also enlisted the help of John Spear, Ph.D., at Colorado School of Mines to investigate the microbiome of the stream. In 2022, the Colorado researchers collected water samples during the busy Labor Day weekend and on a quieter weekday afterward. On many weekends, as many as 500 people per hour use the stream for tubing and swimming at that part of Clear Creek. An undisturbed location upstream was sampled for comparison. The samples were then tested with state-of-the-art analytical approaches, including inductively coupled plasma-mass spectrometry and liquid chromatography-high resolution mass spectrometry. The main goal was to look for changes in chemicals that could be detected in the water. We used software and high-level instrumental analysis to piece together a story of what people were doing to the stream, says Noor Hamdan, a graduate student in Prasses lab who will present the work at the meeting. We found a lot of human metabolites, a lot of pharmaceuticals, some illicit drugs and some sunscreens really a whole slew of compounds that humans are associated with, says Hamdan. Those compounds presumably washed off peoples skin or were released in sweat or urine, among other possible sources. Preliminary results from Prasses lab suggested the presence of cocaine, lidocaine (a topical anesthetic), fexofenadine (an antihistamine), lamotrigine (a treatment for seizures and bipolar disorder) and gabapentin (a medication for seizures and nerve pain), as well as polyethylene glycol (used in medications and numerous other applications) and phthalates (plasticizers). Organic sunscreens and UV filters were also detected. Carmen Villarruel, a grad student in Ranvilles lab, found that human recreation stirred up sediments in the creek, thereby raising the waters concentration of metals, such as copper, lead, zinc, aluminum and iron. Much of the metal was in particulate form, which has implications for wildlife, Villarruel says. For example, these sediments could clog the gills of fish, making it harder for them to absorb oxygen from the water. In addition to the metal particulates, the team found some dissolved metals in the water, which could affect reproduction, species diversity and the health of aquatic species, Ranville notes. Tubing and swimming also altered the creeks microbial profile, increasing the abundance of microorganisms commonly associated with human waste. Spear says that could impact species that live in the river, such as fish, as well as microorganisms that occur naturally in the water and are key components of the ecosystem. The team used Environmental Protection Agency software to run a risk assessment on the compounds in the river. They found that most of the compounds arent particularly prone to bioaccumulate, Hamdan says. But the researchers also emphasize that there are no data available on long-term toxicity or persistence in the environment, and there are insufficient data to evaluate exposure risks for a lot of the compounds. So that's an important finding from this project, Hamdan says. We now know that these compounds are in the river. But we dont know their concentrations or how they impact the fish or other species in the environment. In future research on this project, the team plans to collect more samples to track trends over time. In the meantime, Prasse has some useful advice for people who want to have fun in the water. Dont pee in rivers, he says half-jokingly. When you urinate into a toilet, the water goes to a wastewater treatment plant before it is discharged into a river. But if you urinate into a river, all those chemicals go directly into the water. We know that things like pharmaceuticals can impact aquatic species, such as fish, even at very low concentrations. He also recommends using mineral sunscreens, such as zinc oxide, instead of sunscreens that contain UV filters, which can be toxic to aquatic organisms. The researchers acknowledge support and funding from Johns Hopkins University and the National Science Foundation. A recorded media briefing on this topic will be posted Wednesday, Aug. 16, by 10 a.m. Eastern time at www.acs.org/acsfall2023briefings. Reporters can request access to media briefings during the embargo period by contacting newsroom@acs.org. For health and safety information for ACS Fall 2023, please visit the FAQ webpage. SAN FRANCISCO, Aug. 16, 2023 A few years ago, amid lockdown boredom, it seemed like everyone was perfecting their sourdoughs. A simple, fermented mixture of flour and water, the bread is powered by microbes that provide its one-of-a-kind tangy flavor. For over a hundred years, sourdough bread has been synonymous with San Francisco, where today, scientists will report that theyve identified and quantified 21 key chemical compounds that make this bread taste and smell so unique. Theyve also compared the levels of the compounds in different breads. The researchers will present their results at the fall meeting of the American Chemical Society (ACS). ACS Fall 2023 is a hybrid meeting being held virtually and in-person Aug. 1317, and features about 12,000 presentations on a wide range of science topics. Sourdough breads have existed for centuries, dating back to ancient Egypt well before they hit their recent peak of popularity in 2020. Unlike other wheat or white breads, which often rely on bakers yeast to rise, sourdough breads use a starter a living colony of bacteria and wild yeast that causes the breads dough to rise through fermentation. Since the microbes come from the air of the local environment, certain places are reportedly home to the best loaves. For example, San Franciscos unique foggy climate is said to help make its sourdoughs so famously delicious. Even though these breads are common, little work has been done to understand what chemical compounds provide their characteristic taste and smell. This knowledge could be important to bakers who need to control the quality of their breads, preventing them from becoming too sour, and it could also help them create more consistent sourdoughs for consumers to enjoy. So, Thomas Hofmanns team at the Technical University of Munich wanted to apply an updated version of a technique known as sensomics to sourdough bread crumb the soft inside part of a loaf. Hofmann currently serves as editor-in-chief of ACS Journal of Agricultural and Food Chemistry. With sensomics, you can take just a few key compounds and completely recreate the characteristic taste of a food, says Laura Eckrich, a graduate student in Hofmanns lab, who is presenting the work at the meeting. The approach involves chromatography, mass spectrometry and similar methods. The team has applied the method previously to other foods to isolate all the flavor-active compounds and to determine their structures and concentrations, as well as how they contribute to taste. The team has used this knowledge to re-engineer the flavor profile of various foods from the bottom up. Hofmanns team isolated, identified and quantified the flavor compounds in sourdough bread crumb, and then determined which ones were the most important. The resulting 10 key tastants and 11 key odorants were then combined into a sourdough essence, the flavor of which was confirmed by a human sensory panel. The key taste compounds include salt, which is directly added to the dough, as well as acetic and lactic acid, produced during fermentation. After these experiments, they applied a technique called unified flavor quantitation, which was previously developed by Hofmanns team, to the sourdough bread. For the first time, this technique allowed them to analyze these unique taste and aroma compounds simultaneously. The team then used the method in brand-new studies to quantify the concentrations of these compounds in different types of bread. They collected both yeast-based and sourdough breads from local bakeries and supermarkets made with either rye or wheat flours. Though key sourdough compounds, including lactic and acetic acids, were found in the yeast-based breads, they were present in much smaller amounts. These results confirmed the importance of the fermentation process to the sourdoughs special flavor. Next on the researchers plates was an analysis of the best way to reduce the salt content in breads. They addressed this issue by unevenly distributing saltier doughs throughout a dough mixture. Since breads, especially sourdoughs, can contribute significantly to one's daily salt intake, the method could help reduce the amount of sodium while maintaining the breads unique taste. Ultimately, the team says their discoveries should be welcome news for the baking industry. This was the first time the key taste and aroma compounds of bread crumb were elucidated using the sensomics approach, and we hope what we learned will help bakers create the best sourdough breads they can, says Eckrich. The researchers acknowledge support and funding from the German Research Foundation. A recorded media briefing on this topic will be posted Wednesday, Aug. 16, by 10 a.m. Eastern time at www.acs.org/acsfall2023briefings. Reporters can request access to media briefings during the embargo period by contacting newsroom@acs.org. For health and safety information for ACS Fall 2023, please visit the FAQ webpage. ABP Majha, the unparalleled leader in Marathi news broadcasting, has launched its new primetime show: Zero Hour: Charcha Janhitachi. The show is transformative both in format and content in the Marathi news segment and aims to amplify the unfiltered voice of the people. To be hosted LIVE by Sarita Kaushik, Deputy Executive Editor at ABP Majha, Zero Hour will be aired from Monday to Friday daily at 8 pm on ABP Majha. 'Zero Hour: Charcha Janhitachi' promises an engaging and thought-provoking flow that immerses viewers in a uniquely participatory experience. The interactive mode opens early in the day as the channel posts polls as well as questions on the important news of the day on all its social media handles including Facebook, Twitter, Instagram, and YouTube. This new paradigm of active viewer engagement through social media platforms is later reflected in the show. The topics for polls are editorially selected. The show's narrative unfolds organically. It begins with a concise introduction to the current topic. This is followed by the presentation of the pivotal question on which public opinion was sought earlier in the day. The show then provides the audience with a comprehensive and informative package on the issue with context and insight, setting the stage for a deeper exploration. People's reactions, comments are also shown followed by a discussion on the topic with either the powers that be or experts connected to the topic. The visual impact of the show is also set to be different and riveting. Large LED screens form the studio set of Zero Hour. There are separate sections which function as the poll centre, media centre and the guest centre as the anchor moves between the three to give a 360 degree insight into the stories of the day. Rooted in ABP Majha's distinctive proposition of "Open your eyes and look out carefully," the show underscores the channel's unwavering commitment to providing viewers with objective and unbiased reporting that resonates with their lives. 'Zero Hour: Charcha Janhitachi' stands as a testament to ABP Majha's commitment to fostering informed dialogue, bridging the gap between the public and stakeholders, and driving meaningful change. With a legacy of responsible journalism and a dedicated anchor in Sarita Kaushik, the show is poised to redefine news engagement, ensuring that the authentic voice of the people resonates throughout the nation. Celebrating the spirit of patriotism and national service, ABP News, the prominent Hindi news channel in India, marked a significant moment with the 'Jhanda Uncha Rahe Hamara' initiative. The occasion included a heartfelt on-ground ceremony held in New Delhi, dedicated to honouring the remarkable endeavours of the soldiers. The broadcast of the event will be aired on ABP News on August 14th at 10 PM and August 15th at 1.30 PM. The occasion was adorned with the esteemed presence of notable dignitaries, among them Air Chief Marshal Vivek Ram Chaudhari, PVSM AVSM VM ADC, and the renowned actor Sunny Deol. Their fervent participation infused the event with a blend of importance and elegance, emphasizing the collective spirit in commemorating the nation's courage. In a heartfelt tribute, ABP News expressed its sincere appreciation by recognizing the families of four exceptional heroes who demonstrated unwavering dedication and bravery, ultimately sacrificing their lives in the line of duty. The names and accolades they carry stand as powerful reminders of their resolute commitment and valour: Corporal Gursevak Singh (Posthumous), IAF (Security) - Shaurya Chakra Sergeant Khairnar Milind Kishor (Posthumous), IAF (Garud) Shaurya Chakra Corporal Nilesh Kumar Nayan (Posthumous), IAF (Security) Shaurya Chakra Corporal Jyoti Prakash Nirala (Posthumous), Member, Garud Commando Force, IAF Ashok Chakra This year's edition of 'Jhanda Uncha Rahe Hamara' shone a spotlight on the valiant armed forces and paramilitary personnel whose contributions over the past year have showcased exceptional courage, motivating and inspiring millions. Initiated 9 years ago, Jhanda Uncha Rahe Hamara epitomizes ABP News' relentless pursuit to capture the profound spirit of patriotism and serve as a platform to laud unsung heroes. These individuals embody the traits of bravery, dedication, and selfless service to the nation. The show stands as a tribute to India's boundless spirit and galvanizes the fervour of Independence Day throughout the nation. As ABP News continues to honour excellence and uplift the nation's stories, Jhanda Uncha Rahe Hamara exemplified the unity, pride, and collective spirit that underscore India's journey towards progress. We have all grown up learning about India. Numerous lessons in school and inspiring tales passed down from one generation to the next has contributed to a common idea of what India is. However, can this theoretical understanding of India alone, be compared to the true essence of discovering what India truly means? This is what Axis Bank in collaboration with AutumnGrey, Bangalore throws light on with their latest campaign called, Find Your India. On the occasion of Independence Day, the campaign urges all Indians to find ones own version of India that comes to life every time one explores different regions of the country and encounter something distinct and meaningful to them. Nishanth Ananthram, Executive Creative Director, AutumnGrey & Grey, Bangalore commented saying, Working with Axis Bank brings us joy, as they collaborate with us as creative partners. This insight originated from them. Then, our creative and account management teams pooled their experiences of travelling the length and breadth of our country, and the script took shape. We had the privilege of working with the wonderful director, Deepti Nangia, and her team from Momomoto studios, who added visual and narrative magic to this campaign. This campaign beautifully captures Axis Banks proposition of being Dil Se Open. It goes on to acknowledge that there isnt a singular India that applies to everyone. Instead, everyone has their own version of India, shaped by their personal experiences and connections. Furthermore, as we begin this fulfilling journey of finding our own India, Axis Bank promises to be there to serve us through its large network of branches, ATMs, and dedicated employees, no matter where we are. "For the past few years, we have worked in a magical collaboration with Axis Bank that has resulted in work, standing as a testament to the brands Dil se open' philosophy. This Independence Day, we are embracing the vastness of our nation's cultures and languages, as we venture beyond the familiar. adds Sandhya Gurung, VP, AutumnGrey & Grey, Bangalore. EcoSoul Home Inc., a leading eco-friendly products company, proudly announces the enlistment of acclaimed actor and environmental advocate, Bhumi Pednekar, as their esteemed brand ambassador. In line with EcoSoul Home's unwavering commitment to fostering a sustainable lifestyle and championing eco-friendly alternatives crafted from renewable resources, this collaboration marks a significant milestone in driving environmental awareness and conscious consumer choices. Bhumi is a widely respected figure in the climate action community, recognized for her unwavering dedication to environmental causes and her efforts in promoting sustainable lifestyle choices. By joining forces with EcoSoul, she will further advocate for conscious consumption and the importance of choosing environmentally friendly alternatives to single use plastics. Rahul Singh, Co-Founder of EcoSoul Home Inc., expressed his delight, stating, "We are honoured to embark on this transformative journey with Bhumi Pednekar joining us as our brand ambassador. As a passionate advocate for environmental sustainability and the United Nations Development Programme's National Advocate for Sustainable Development Goals (SDGs), Bhumi's unwavering dedication to driving positive change aligns seamlessly with our mission at EcoSoul Home. Her influential voice and active engagement on social media, where she raises awareness on crucial issues like climate change, sustainability, SDGs, and plastic pollution, will undoubtedly inspire individuals worldwide to embrace eco-friendly alternatives and take meaningful actions for our planet. With Bhumi's support, we are poised to accelerate the global adoption of sustainable products and forge a powerful movement towards a greener, healthier, and more sustainable future, leaving a legacy for generations to come. Bhumi Pednekar, known for her remarkable dedication to sustainable living, stated, "I am honoured to partner with EcoSoul Home as their brand ambassador. Together, we can empower individuals to make mindful decisions that contribute to a healthier planet. By promoting products by Ecosoul, we hope to influence a shift in consumer behaviour and encourage businesses to adopt sustainable alternatives. EcoSoul Home's range of eco-friendly and compostable products align perfectly with my values, and I am excited to be a part of this meaningful journey." With EcoSoul Home's diverse range of eco-friendly offerings already making waves in countries like the USA, Canada, UK, Germany, UAE, India, China, and Vietnam. Association with Bhumi Pednekar is set to amplify the message of sustainability on a global scale. As the nation celebrated Independence Day, Lemma, an omnichannel SSP for emerging media, along with its screen partners unveiled an extraordinary initiative that exemplifies patriotism and pays homage to the heroes of India. In a remarkable display of solidarity, the DOOH screens, strategically positioned across the country, served as a canvas of respect and gratitude, conveying a heartfelt tribute to the valiant souls who have laid down their lives for India. Drawing inspiration from the 'Meri Maati, Mera Desh' campaign, Lemma led an initiative reflecting the DOOH industry's unity and enthusiasm through artistic visuals portraying India's heroes across various central armed police forces. These thoughtfully curated visuals feature the brave individuals who dedicated themselves to safeguarding the nation. Support from screen partners, including A3charge, Alakh Advertising & Publicity, All about outdoor, Bell plus media, Eremedium, Futek, Prefect Solutions, Prooh Technologies, Raya Media, Wallap Advertising, Zen Media was swift as they readily embraced the initiative, offering their screens to honour the Bravehearts and play a pivotal role in furthering this noble cause. Commenting on the campaign, Gulab Patil, Founder & CEO, of Lemma, Said, Lemma and its media owners are proud to stand together, demonstrating our unwavering commitment to honour the heroes of our beloved nation. Our DOOH screens transformed into windows of remembrance and gratitude, commemorating the sacrifices of our brave soldiers and inspiring unity among citizens. As the nation celebrated the culmination of Azadi ka Amrit Mahotsav, this campaign stood as a modest yet heartfelt endeavour by the DOOH industry to contribute to this momentous occasion, paying tribute to the heroes who embody the spirit of our nation. PayU, one of the leading payment and business solutions companies, has launched an enthralling Independence Day campaign #FreedomOfPayment that resonates with the essence of this significant day by emphasising the freedom to accept and receive payments from anywhere at any time. Conceptualised and executed by Chimp&z Inc, the digital campaign captures the spirit of liberation and seamless financial transactions, further amplifying the celebration of Independence. Marking this momentous occasion, the payment solutions provider harnessed the prowess of artificial intelligence to compose a captivating narrative that reflects the spirit of the new and emerging India. The campaign weaves a visually stunning tapestry of vibrant illustrations and dynamic hues, celebrating the nation's rich diversity while highlighting a prevailing commonality that binds us the language of digital payments. The PayU Independence Day campaign #FreedomOfPayment showcases AI-crafted visuals that elegantly underscore how, amidst India's diverse cultural landscape, the thread of digital payments traverses across geographies, cultures, and ethnicities. This symphony of online transactions bridges the gaps that linguistic variety may bring, fostering unity and nurturing a shared sense of identity amongst different cultures and people. Sudhir Sehgal, Chief Business Officer of PayU said, On this Independence Day, PayU celebrates the Freedom of Payment for all Indians. Our campaign #FreedomOfPayment highlights how digital payments can unite individuals across the country, regardless of their language, culture, or geography. We believe that they are the key to a more inclusive and prosperous India, and we are committed to making them accessible to everyone. Digital payments are the language of a new India, a language that unites us all. With PayU, anyone can make or receive payments from anywhere, at any time. On the conceptualization and execution of the campaign, Angad Singh Manchanda, CEO and Co-founder at Chimp&z Inc said, We are proud to have partnered with PayU on their #FreedomOfPayment campaign. Its a powerful celebration of the role that digital payments can play in uniting India as a whole. Not only does it beautifully capture the diversity of India, but also highlights the common thread that binds us all together. We hope that this campaign will inspire more Indians to embrace digital payments and join the digital economy." As part of the campaign, PayU has also included an AI-led social media contest to generate the pre-independence buzz. The unique contest asks netizens to come up with quirky and catchy names for 3 AI-imagined businesses to win Amazon vouchers. Along with the contest, a Vox-pop video showcasing the digital payment language of India is soon to be released by the brand. On this opportune occasion of Independence Day, PayU also celebrates the concept of Freedom of Payment. The campaign encapsulates this idea, portraying how the freedom to transact digitally can unite individuals across the country, mirroring the broader ideals of freedom and unity that the nation holds dear. In an era where digital innovation propels progress, PayU stands at the forefront, facilitating seamless transactions that drive the heartbeat of our economic ecosystem. With visionary solutions, it paves the path toward growth, prosperity, and an interconnected digital future. In a survey of investors conducted in June 2023, Redseer found that 50% of investors expect the funding spring to return over the next 6-12 months. Kanishka Mohan, a partner at Redseer Strategy Consultants, spoke during the companys business summit Ground Zero 8.0, offering insights into startup funding and what lies ahead. Key Takeaways: 50% of investors surveyed are positive that the startup funding spring will return over the next 6-12 months. 5% of global funding and 20% of APAC funding is going to Indian startups. The next set of unicorns would emerge from sectors such as D2C-BPC, D2C-Health & Wellness, Diagnostics & Clinics, Gaming & App Studios, etc. Redseers Ground Zero 8.0 - Bridge over Troubled Waters was abuzz with discussions about the global economic slowdown and the funding winter that has gripped the startup world. While gloom prevails momentarily, Kanishka Mohan explained why the ongoing funding winter would lead to an even stronger long-term story for India's public and private funding environment. Indias startup ecosystem, the partner says, has matured rapidly in the last five years. The number of registered startups has grown 9X in the last four years, from about 10,000 startups in CY18 to about 90,000 startups in CY22. At the same time, the number of active investors has grown 2X from 400 investors in CY18 to about 900 investors as of FY22. Apart from the doubling of investors at home, global sources of funding have also become more diversified than before. In all, the USA, EU, UAE, and Japan are the largest source of funding for Indian startups making up 5% of total global funding and 20% of total APAC funding. Talking about the prevailing conditions, Kanishka explains that 50% of investors surveyed by Redseer in June are positive the funding spring would return in the next 6-12 months, while 17% of respondents thought it would happen sooner. The rest believe it would be 12-18 months or more before the funding winter passes. The expectation with funding patterns so far is that 2023 will revert to the long-term trends in line with the years CY17 to CY20, and hover between $12 to $15 Bn, beyond which it is expected to be bullish into CY24 and touch $15-20 Bn. The number of funding deals which dropped early in CY23 to 700-900 deals from 1,519 deals in CY22 is also expected to shoot back in CY24 to 1,000-1,200 deals. Moreover, VCs today have more dry powder than ever, also signalling a positive outlook are the total number of deals this year, 90% of which are likely to be seed or early-stage deals similar in trend with what was seen since CY17. Of the 1,000+ startups evaluated by Redseer, 10 break-out themes stand out according to the partner at the strategy consulting firm. They include: BPC, Health & Wellness, Diagnostics & Clinics, Gaming & App Studios, Personal Loans, CRO/CRM, Industrial eB2B, Insurtech, DevOps, and Finance. These sectors, Redseer projects, will give rise to the next set of unicorns going further into the decade. Award-winning digital marketing & PR agency, Team Pumpkin, has officially unveiled its newest office in Kolkata, West Bengal. The move comes as an effort for ease in servicing its Kolkata-based accounts. The agency already has offices in Bengaluru, Mumbai, and Gurgaon. Team Pumpkin has roped in Rashi Garodia to lead the Kolkata branch as the business head. Ranjeet Kumar, CEO, remarked, The new office in Kolkata will present us with many new opportunities in the form of new prospective clients from the region. We seek to empower many more brands with the same finesse that we bring to the table in Bengaluru, Mumbai, and Gurgaon. We already provide service to several brands in Kolkata. Hence, having a dedicated office and a team in the city only makes sense so as to make the functioning seamless. Swati Nathani, Co-Founder and Chief Business Officer commented saying, We have always paid close attention to the untapped potential in the East. Kolkata, as a city, is known to have a rich heritage steeped in history, arts, intellectuals, and reform. The values of the cultural capital of India strongly align with our vision to revolutionize the marketing/ advertising space. Rashi Garodia, the newly appointed business head, commented, As someone from Kolkata, Im ecstatic to welcome Team Pumpkin into my city! It gives me immense pride to lead the company I represent to newer heights by contributing to the business development here. I cant wait to see all the great work we do together from the Kolkata office. Team Pumpkins office in Kolkata is located in Salt Lake, Bidhannagar and has capabilities for Digital Marketing Strategy, social media, Performance Marketing, SEO and more. On July 31st, clashes between two groups started over an attempt to stop a religious procession that was organized by the Vishwa Hindu Parishad (VHP). Six people, including two Home Guards and a Mosque cleric, were killed in the violence that later spilled over to Gurugram, Palwal, Faridabad, and other districts of Haryana. Several vehicles, food joints, and shops were set on fire by unruly mobs. Cow vigilante Monu Manesar sparked the communal clashes in the district. Manesar is said to have posted a video on social media in which he claimed to be attending the Nuh religious procession and called on his supporters to come out in large numbers. After a lot of uproar by the opposition, a special investigation team (SIT) was formed to investigate the role of Manesar clashes. Then a mahapanchayat organized by a Hindu outfit in Palwal demanded Nuh district be abolished. They want this area to be made cow slaughter-free, as a committee of 51 people decided at the meeting. The committee also decided to resume the Jalahishek Yatra again on August 28 in Nuh. The mahapanchayat called for strict laws for people coming from other countries. More than 390 people have been arrested and 118 others detained in connection with the violence, but mainstream media treated this issue as TRP material. Schools, colleges, and other educational institutes were closed for a long time. The bus services of Haryana State Transport were also out of service, and the internet was blacked out. The violence was growing, but there was no control. There was a continuous blame game; one community was the target. Finally, Bittu Bajrangi, head of a cow vigilante group in Faridabad, has been arrested for communal clashes in Haryanas Nuh. Bajrangi, also called Rajkumar, faces multiple charges, including rioting, armed robbery, and criminal intimidation. Bajrang Dal is the youth wing of the Vishwa Hindu Parishad and follows Hindutva ideology. Bittu Bajrangi heads the Faridabad Gau Raksha Bajrang Force, a cow vigilante group. The group describes itself as an animal rescue service on social media. Its social media pages have provocative content, with many posts on love jihad, a term used by right-wing activists to allege a conspiracy by Muslims to convert Hindu women. Bittu Bajrangi is known to be an aide to wanted Bajrang Dal leader Monu Manesar, also under the scanner for the violence in Nuh. Manesar, who is wanted over his alleged role in the killing of two Muslim men earlier this year, has yet to be arrested. As he evades arrest, Manesar continues to post stirring material on social media. Bittu Bajrangi was present during the yatra. Bittu Bajrangi was arrested earlier on August 4, and was out on bail. According to a senior police officer, Bittu Bajrangi and his associates brandished weapons during the procession that was attacked by a mob. The weapons were seized by police, but Bajrangi and his aides allegedly attacked a police vehicle and snatched them back. The FIR against Bittu Bajrangi and at least 15 others accuses them of rioting, unlawful assembly, obstructing a public servant from discharging duty, armed robbery, and criminal intimidation. They have also been charged under the provisions of the Arms Act. Founded in 1984, the Bajrang Dal is a division of Vishwa Hindu Parishad. The Vishwa Hindu Parishat had started to conduct the Ram-Janaki Rathyatra in the city of Ayodhya in Uttar Pradesh after a few years of its formation (in 1964). These yatras were aimed at awakening society. Though this was not aimed at any particular religion, many sections created communal tensions during this yatra and claimed it to be a pro-Hindu movement. In 1984, the Hindu saints in the Vishwa Hindu Parishat finally called upon interested youths to protect this yatra. To protect Ram-Janaki, Bajrangi was always there. So the group of youth protecting the Ram-Janaki Rathyatra called themselves Bajrangis. Now this group is spread all over the country. The main objectives of Bajrang Dal in the present day are to build the Krishnajanmabhoomi temple in Mathura and the Kashivishwanatha temple in Varanasi, which are currently disputed places of worship. Other goals include protecting Indias Hindu identity from the perceived dangers of communism, the prevention of cow slaughter, the prevention of love jihad, and Hindu conversions to Islam and Christianity. It is working to reverse the effects of the Islamic invasion and British imperialism. In the name of Cow Protection, they have indulged in vigilante activities, targeting Muslims and lower-caste Hindus, mostly dalits. Scores of poor dairy farmers, be they Muslims or Hindus, have been mercilessly beaten and murdered at the hands of these inglorious members. They do a lot of moral policing against couples who celebrate Valentines Day, and Public displays of Affection. However, in recent times, some of these goons have been arrested and charged with serious offenses. Supporting casteism and suppressing the voice of Dalits (even beating them black and blue), who have ultimately converted to other religions like Buddhism, Islam, and Christianity, since they get treated with contempt and disrespect They display their muscle power whenever they get a chance to dominate a particular sect. A Birmingham construction company is collaborating with Honda to test what could be an important asset in future builds. Robins & Morton has been using an autonomous work vehicle, or AWV, developed by Honda to help with repetitive work normally carried out by people on construction sites, such as hauling materials. The AWV can operate by itself or through remote control. Robins & Morton began using it last year. Robins & Morton has used the device at two sites - the Jacksonville, Fla., Mayo Clinic patient tower expansion and the construction of the CaroMont Regional Medical Center Belmont in Charlotte, N.C. Testing is set to continue into next year. David Pratt, director of corporate and operational technology, said the testing will increase efficiencies on our project sites and provide insight for our other partners in the building industry. Not only are we learning about what autonomous work vehicles can do for us in the construction industry, but also Honda gets to take away some valuable information based on the logistics of the site, Pratt said. Jason Van Buren, systems engineering manager at Honda, said the company is working with Robins & Morton to identify how to make the AWV more compatible for construction firm needs. Robins & Morton is an expert in the construction industry. So right now, for us, well go out, work with them, understand the changes that go on a jobsite, and then also how can we help their business for efficiencies, savings, and cost, or any other item that might help them with their job, Van Buren said. Head Start programs run by the Black Belt Community Foundation will be the first schools in Alabama to introduce new technology aimed to help with whole child development and social emotional learning skills. The tables were developed by Hatch Learning to help students as young as three years with skills like teamwork, sorting and identifying emotions. Four students will be able to sit around each purple table where they can play interactive games on a large touch screen. Often the narrative is that were playing catch up, or were just making do with the bare minimum here in the Black Belt. But today, guess what? Were the first people in Alabama [with this technology] and were setting a great example, said Daron Harris, public relations director at the Black Belt Community Foundation, a nonprofit that operates six Head Start centers across the Black Belt. BBCF purchased 20 IgniteTables for a total of about $200,000 to go in all six of their early learning programs, in Choctaw, Dallas, Marengo and Wilcox counties. The buildings also were recently equipped with broadband. Previously, BBCF said their schools sometimes struggled with internet connection in their rural locations. Hatch Early Learning is thrilled to be partnering with the Black Belt Community Foundation to support their mission of providing quality education and opportunities in underserved communities, said Sam Bonfante, President of Hatch Early Learning, in a news release. We believe that every child deserves access to a high-quality education, and through this collaboration, we are proud to contribute to the positive impact on the lives of young learners in the Black Belt community. Read more Ed Lab: Ivey visits two Alabama turnaround schools. Read more Ed Lab: See where Alabamas First Class Pre-K program is expanding. According to Taquila Monroe, director of BBCFs Head Start programs, the tables are intended to be incorporated into daily lesson plans to enhance social emotional learning. Two years of a pandemic in lockdown returned children back to school with fewer abilities, gaps in knowledge and increased behavioral issues, Monroe said. Understanding the need for social emotional support, we decided to invest in our childrens well being and Hatch Learning answered the call. Hatch Learning has already partnered with other schools across the country, and says some of the biggest outcomes theyve seen are increased student and family engagement and equipping teachers with better data about the student. IgniteTables track each students progress in various tasks, allowing teachers to go back in and identify exactly what a student needs help with. Parents also have the ability to login to their childs profile and see how they are progressing. According to Nate Cox, the senior vice president of Hatch, only parents and teachers have access to the data collected by the tables. We can assign homework for them to do with their families based on what we see they need. It gives us more ability to give students individualized learning opportunities, said Demetria Shannon, a BBCF Head Start teacher. And the students will love it. Ahead of their impending wedding, Alabama native and Good Morning America co-anchor Robin Roberts has opened up about her 18-year love story with Amber Laign. Roberts was born in Tuskegee in 1960. She became a GMA co-anchor in 2005, after more than a decade at ESPN. Though she grew up in coastal Mississippi, her connection to her birthplace remained significant in her work. The daughter of Tuskegee Airman Lawrence Edward Roberts Sr., she produced and narrated a 2021 documentary, Tuskegee Airmen: Legacy of Courage. Her relationship with Laign has hardly been a secret. According to goodhousekeeping.com, Roberts publicly came out as a lesbian in 2013 and began speaking about her girlfriend then. According to usmagazine.com, Roberts announced plans to marry in January. Good Housekeeping has described Laign as a licensed massage therapist and the founder of a CBD and essential oils brand, Plant Juice Oils. Theyve been together through serious health issues: Roberts treatment for myelodysplastic syndrome required a bone marrow transplant in 2012, and both women have been treated for breast cancer, Laign in 2022. Good Morning America celebrated the impending nuptials Wednesday with a special segment titled Robin & Ambers Bachelorette Party. Co-host George Stephanopoulos asked, Could 14-year-old Robin Roberts have imagined shed be having a bachelorette party on national television? Uh-uh, no, said Roberts, shaking her head. Especially to a woman. Its going to be one for the books, said co-host Ginger Zee. For the Bachelorette Party, the couple was taken to a set with a Florida beach theme. Robin Roberts, co-anchor of ABC's "Good Morning America, is a Tuskegee native and the daughter of a Tuskegee Airman. (Hoang Leon Nguyen / The Republican) Describing the evolution of their relationship for GMA, Roberts and Laign said it started with an instant connection during a blind date arranged by friends. Serving as caregivers for each other helped convince them they could make it through low points. But it was the confinement of the pandemic that finally convinced them they were ready to marry. With Roberts appearing on GMA remotely from their home, Laign was pressed into service as a producer. We had talked about marriage off and on during the course of our relationship, and it didnt come through for whatever reasons, Roberts said. But after the lockdown, we were like, yeah, we kind of like being around each other almost 24/7. According GMA, The official marriage proposal came in a fittingly casual way at the end of 2022. The couple decided to wed while eating at a favorite restaurant together in Connecticut, where they put rings of calamari on each others fingers. We took the calamari rings and we slapped them on each others fingers and we just we proposed to one another, Roberts said. Now looking back, it was pretty fitting for us to not have a down on one knee [proposal] and one person doing it and who was going to propose. It was just us hanging out in our little hole-in-the-wall Mexican spot and putting calamari rings on each other and saying, Lets go. Lets do it. The wedding will take place in September. Despite its status as the highest-grossing indie film since 2019s Parasite, Sound of Freedom has been dismissed as a QAnon fever dream by large swaths of the mainstream media. But that derisive label is so ridiculous to the films writer-director Alejandro Monteverde, who began working on the project in 2015, two years before QAnon emerged. The origin [of the film] has been avoided, purposely or accidentally, in the media, Monteverde said. The origin will answer a lot of these misconceptions on the film. Back in 2015, the Mexico-born, L.A.-based Monteverde says he was unaware of the scourge of child sex trafficking until he watched a network news segment on the subject. What he learned shook my soul because I didnt really believe it [existed], he says. I just, in my head, could not put those two pieces together -- an adult and a child. That night, he couldnt sleep. So, the next morning, he discussed the impact of the news segment with his wife and mother of their three children, actress Ali Landry. She urged him to begin researching and writing a screenplay. At that time, the movie was called The Mogul, and it was purely fictionalized, he adds. Not long after, the films producer, Eduardo Verastegui, met Tim Ballard, a former special agent for the Department of Homeland Security, and the project morphed into a story based on Ballards time when he was assigned to the Internet Crimes Against Children Task Force and deployed as an undercover operative for the U.S. Child Sex Tourism Jump Team. Jim Caviezel signed on to star as Ballard, with Mira Sorvino, Bill Camp, Jose Zuniga and Verastegui rounding out the cast. Since its July 4 release, Sound of Freedom has earned $173 million in the U.S. and Canada -- a staggering figure that has already eclipsed the domestic haul of Mission: Impossible -- Dead Reckoning. (Sound of Freedoms $14.5 million budget is roughly one-twentieth of Mission: Impossibles $291 million.) And that $173 million tally will only grow as a robust international release kicks off in the coming days. Still, Sound of Freedom has spawned negative headlines, thanks in part to some of Caviezel and Ballards comments that reference QAnon tropes, particularly that child trafficking is tied to adrenochrome harvesting. Theres people that are too close to the film that are in politics, he says of Caviezel and Ballard, who attended a Donald Trump-hosted screening of the film in July. (Monteverde did not attend.) So its like, I love you, but I have to keep my distance. Lost in the Sound of Freedom discussion is any acknowledgment of Monteverdes accomplishments as a filmmaker. His 2006 immigrant drama Bella landed the top prize at the Toronto Film Festival -- the same honor won by Oscar best picture winners Slumdog Millionaire, 12 Years a Slave and Nomadland. And though Sound of Freedom doesnt overtly take a political stance or reference QAnon, and is closer in tone to an action film like Sicario, it was quickly dubbed MAGA-friendly. (Distributor Angel Studios, which saw success with Biblical drama His Only Son earlier this year, even screened the film for members of Congress representing both Democratic and Republican parties.) NPR called it a Christian thriller without explanation. Monteverde bristles at the faith-based label, noting that there are only a couple of brief references to religion in the film. I believe labels such as faith-based exclude people, and my intention as a filmmaker is never to exclude but to include everyone, all audiences. We made Sound of Freedom for people of faith, people without faith and everyone in between, he adds. Monteverde sat down with Variety via Zoom hours before catching a flight to Colombia for the start of the films international rollout. The 45-year-old helmer talked about the challenges of his film getting caught in the culture-war crosshairs and whether a sequel is in the cards. How did you get involved with Tim Ballard? Eduardo calls me and says, Have you heard about [Ballard]? Google him and call me back. At that time, there were like three or four things online about him. He was not the controversy that he is today, not as popular with the selfies, all of that it was more contained. I said, Well, I would love to meet with him just to get information, pure research material. I need him. And when [Tim and I] were talking, Im asking all these questions, finding more and more about his story, and I realized that his story was surpassing my little fiction film that I was writing. I say, Are your life rights available? He says, Funny you ask. He was in L.A. doing the rounds with the studios. He was more interested in doing like a series. I say, No. Lets make a movie. Long story short, boom, I get his life rights [for this film], and the real writing begins. Then, [Rod Barr and I] wrote the screenplay, but it took two years because [Ballard] was not approving. Finally, we met in the middle. All I wanted was to present a question about the problem: human trafficking, child trafficking, child sexual exploitation. How bad the problem is. We shot in 2018. In 2019, it was a completely finished film [before QAnon became a phenomenon]. Why did it take so long to release it? It was with Fox International, so the movie did get lost in limbo [after Disney acquired Fox in 2019]. And then, you know, the producer raised a little bit of money and bought the film back. Then we were thinking, OK, lets go out [and shop it]. Then COVID. Boom. People were always telling you even before they saw the film, Right now, people want to see uplifting films. Then, the Harmon brothers [who founded Angel Studios] came in, and they just had a completely different way of marketing a film that Ive never seen. They told me, Were going to put a video at the end of where Jim will talk [and urge audiences to pay it forward by buying a ticket for a future patron]. I was like, Arghhhhh. I became a pain. And they told me, Alejandro, let us do our work. You have to trust us. And we made a deal. How much has the Pay It Forward program contributed to the overall box-office? Like 4% to 7%. I dont know the number, but I know its under 10%. What do you make of all the negative press? I was like really sick. I was like, This is all wrong. Thats not true. It was heartbreaking when I saw all this polemic and all this controversy going on. My instinct was to run. I want to hide. I dont want to give any more interviews. Before the movie came out, I did a couple of interviews. Look, when you hire people, what they do on the free time, I cant control. I was a director. I wrote the screenplay. I hired the actor I thought was the best for this film. The subject matter was very personal to him. [Caviezel] adopted three children from China. When we met and discussed the project, he broke down in tears. And I was like, Wow, this guys gonna be willing to die on the set. And thats what you want, you know? You want somebody who works for you. And he dove in. Given that the film got caught in the culture-war crosshairs, any regrets about casting Jim? I try to never look back into any regrets because theres nothing I can do about it now. Jim came to the set. Ive never seen somebody so committed and so professional on set. He came in and really bled for the film to the point What was he like on set? Jim was at the level of going to a hospital one day. Like he was really sick. But I didnt have a contingency. If I didnt shoot that day, we will lose the location. So I went to Jim and Im like, Jim, we need to shoot this. He comes out with a bucket. The bucket was not in the scene. He was throwing up for real. He could barely walk. So, I say, I want to rewrite it. Like youre hungover. And he could only give me one, max two takes. I respect that as a director to have an actor that is just gonna die for the part. What they do after? Everybodys entitled to [speak their mind]. Now, on this particular film, yes, it did hurt my work. And thats why Im here talking now instead of secluding myself. Its time for me, the author, the writer and the director, to say what was the motive of the film. Going into opening weekend, what was your high-end expectation for the box-office? I was thinking like $5 million. I will be really happy with that. $14 million I thought for the whole run. Are there any plans for a sequel? Theres definitely a lot of interest to exploring [the subject] a little deeper, because this is just the tip of the iceberg. Theres a lot of interest to kind of explore Haiti, whats happening in Haiti. Theres [sequel] talks focusing on Haiti. Did Tim work in Haiti? Or would it be a spinoff with a different character? Haiti was a big part of Tims work. I was very tempted to do Haiti on this film. But I wanted to do an origin story, and it was too much material. I needed to end where I ended. Is Angel Studios going to run an awards-season campaign for the film? I would love that. We havent talked about anything. For me, it would be incredible even just to go and sit down in one of those [Dolby Theatre] chairs. 2023 Variety Media, LLC, a subsidiary of Penske Business Media; Distributed by Tribune Content Agency, LLC Mobile police chief Paul Prine told a television station he is sick and tired of our children and our community being the victims of what I consider to be complete nonsense after a 6-year-old boy was shot at an apartment complex Tuesday. Officers were called to the Summer Place Apartments on Azalea Road about 6:15 a.m., according to WKRG. Arriving at the scene, they discovered the child had been shot at least three times and had the boy immediately transported to an area hospital, where he was taken into surgery. The report said the child was in critical, but stable condition as of Tuesday afternoon. Additional information on the incident was not immediately available from the Mobile Police Department. Prine told the TV station the attack was not random, but the child was likely not the target. An Auburn man has been convicted in the 2019 killing of Auburn Police Officer William Buechner in a shooting that also injured two other officers. The Lee County jury convicted 33-year-old Grady Wayne Wilkes of capital murder Buechners death, and of attempted murder in the wounding of officers Evan Elliot and Mark Sistrunk. Wilkes was found not guilty of attempted of Ron Askelson, according to WTVM. The verdict was handed down Tuesday afternoon after an eight-day trial. It began Monday, Aug. 7, and jurors began their deliberations on Monday, Aug. 14. The jury deliberated for about six hours before returning its verdicts, according to WRBL. The same jury will decide Wilkes fate. Prosecutors are seeking the death penalty. The deadly shooting happened Sunday, May 19, 2019. Auburn police responded about 10:40 p.m. to a domestic disturbance call at a mobile home park in the 3000 block of Wire Road. Grady Wayne Wilkes, 29 The officers met with the female victim - Brooklyn Flaherty - who told them that Wilkes, who was her live-in boyfriend and the father of her child, physically assaulted her and threatened to kill her. The woman told police Wilkes had grabbed her by the wrist and choked her. Flaherty fled the apartment and met officers at a different location within the trailer park. After meeting with victim, multiple officers including Buechner, Sistrunk and Elliott went back to the home to make contact with Wilkes. The officers knocked, and Wilkes opened the door, wearing body armor and brandishing a rifle. He immediately opened fire. Buechner was transported to East Alabama Medical Center where he was pronounced dead. Sistrunk and Elliott were transported to another hospital for treatment of their wounds. The fourth officer - Askelson - was fired upon but was not struck. At the time of the shooting, Wilkes was an active member of the Alabama Army National Guard as a corporal and infantry fire team leader who joined the guard in 2010. Wilkes was arrested at 7:12 a.m., Monday, a little more than nine hours after the initial call was made. Wilkes testified during the trial, saying he fired at the officers because he thought one of them had a gun aimed at him. According to the Opelika-Auburn News, Wilkes said his reflexes and muscle memory pushed him to load is rifle and shooting. On the night of the shooting, he testified, he was drinking and in a bad mental state when he threw his girlfriend on the bed and choked her. When she left, he began pacing the trailer, talking to himself, putting on his military gear and loading his rifle out of muscle memory. The military, it has discipline and its so rigid. When you get into that mindset, it takes away all of the chaos of life, the everyday ups and downs of a job or relationship. Its very straightforward, Wilkes said, according to the OA News. Being in that mindset and having that plate carrier on, it makes me feel safe. It makes me feel empowered. When Wilkes heard the knock on the door that night, he said he thought it was Flaherty coming back. He opened the door and said he made eye contact with the officer who knocked, Evan Elliot. Wilkes didnt know the names of the officers at the time. Wilkes then told the jury that he noticed the officer near the bottom of the trailer, Sistrunk, raise his gun. Wilkes said he heard a pop and he reacted reflexively, opening fire on the officers. Mobile County Sheriff Paul Burch said authorities believe a defrocked Catholic priest groomed another couple of young girls in addition to the 18-year-old woman who accompanied him to Europe. Burch, speaking on Fox Nations Crime Stories with Nancy Grace, said Alex Crow had a previous association with a female who was best friends with the woman who left with him. He also said there could be felony warrants against Crow this week. There was a young girl that he was previously acting inappropriately with, and he was ordered to stay away from her, and shes ... were trying to get her to come on board but shes not exactly cooperating at the moment, Burch said. (She and the 18-year-old) went to the same school, same grade. Crow, 30, was stripped of his duties by the Archdiocese last month after he reportedly abandoned his assignment and engaged in behavior totally unbecoming of a priest. Crow and the female, a recent McGill-Toolen High School graduate, were located in Italy by a member of the young womans family, who said the young woman had traveled with Crow of her own accord. Letters written by the former priest indicate he believes he and the 18-year-old female who accompanied him to Europe were told by Jesus to leave Mobile. The nature of the relationship between Crow and the female has not been confirmed, nor has the purpose of their trip, although speculation has centered around the possibility of Crow performing an exorcism. Burch said it is not proven that Crow intended to perform an exorcism on the young woman. Speaking to Grace, Burch said the acts with the other female occurred when she was a minor. If confirmed, he said, Crow could be charged under Alabama laws that prohibit sexual contact between school employees and students. Different people are starting to come forward, telling us some things that they didnt necessarily think a lot of time at the time, but that now....pieces of the puzzle are being put together, he said. According to the sheriff, during a school trip approximately two months ago, the other student was seen coming from Crows hotel room at about 1:30 a.m. You cant prove what happened behind that closed door, but certainly, a young girl who would have been 17 at the time, coming out of a male adults hotel room is certainly something to inquire about, Burch said. Burch said investigators are still waiting on texts and information from the females phone. The young womans family, who went to Italy and unsuccessfully attempted to bring her back, were able to retrieve an iPad with the help of Italian law enforcement. Burch said FBI forensic investigators are now looking at the device for any kind of messages. The sheriff also said he spoke with the 18-year-old by phone several times, attempting to convince her to come home. Crow was present for the conversation, he said. I actually spoke to her, several times, week before last, and pleaded with her to come home, he said. I said, if he loves you so much, come back and do it right. She wouldnt hear anything of it. According to Burch, Crow would not allow the womans parents to speak to her alone when they went to Italy to meet with her. Burch intended to send investigators to Italy to question the young woman, he said. The next day, I was told if our guys were to land in Italy to interview anybody, theyd be arrested by the Italian officials. So we had to back off of that, he said. He went on to say that the FBI has helped facilitate further questioning. Much of Crows theological background involved the study of demonology and exorcism, with Crow saying in a 2022 podcast a minor exorcism had been performed on him by a priest several years ago. Crow wrote a thesis on demonology and exorcism while in seminary. The letters, released Monday by the Mobile County Sheriffs Office, make it clear Crow has no intention to return to Mobile. Burch told Grace that his hope is to get the young woman to return home. I dont care if he wants to live as a fugitive the rest of his life, he said. A student was shot during a fight at a central Georgia high school that escalated into gunfire Wednesday, authorities said. Richmond County Sheriff Richard Roundtree told news outlets that the suspected shooter, a juvenile, remains at large following the shooting at Josey High School in Augusta. One student was shot in the finger and transported to a hospital for treatment, sheriffs spokesperson Kimberly Lee said. Augusta University Health confirmed to the Augusta Chronicle that it is treating one shooting victim for injuries that are not life-threatening. Samaria Stallings, a junior, told the newspaper she was in class when she heard two gunshots inside the school. Stallings said her teacher locked the door and told the class to be quiet and contact their parents. I immediately called my mom because I was scared, Stallings said. We had to wait at least two hours and then the police came and pointed guns at us. They were treating us like we were the ones who shot up the school. Stallings said deputies instructed the students to come out of the room with their hands up. It was very traumatizing because this is not the first time we have had an experience like this and its very sad, she said. Angela Cheatham on Wednesday stood outside the small north Birmingham apartment building that haunts her dreams. Its where her son, 31-year-old Roger Cheatham, was killed when three masked men burst into his home and shot him in the head. Its been seven years, yet Angela says she cant, and wont, let it go. Its changed her life forever and, without an arrest, she has no peace. I dont have justice yet, Angela said. Im going to keep going and keep going until I get justice. Im never going to give up, she said. Never. It was about 10:15 p.m. on Aug. 16, 2016, when Angela got off the phone with her son. Just 15 minutes later, she started receiving more phone calls, this time from people delivering news that Roger had been shot. She didnt know how bad it was until she got to UAB Hospital where her son and another man had been rushed with gunshot wounds. Roger died the following day. The other victim, whose name was not ever released, recovered. Roger Cheatham, 31, was killed in 2016 when three masked men burst into a north Birmingham apartment and opened fire during an apparent robbery. (Contributed) Police said a witness told investigators they were inside the house when three Black males forced their way in. Once inside, they held everyone at gunpoint and began to search the residence for unknown items. After the trio found what they were looking for, both men were shot. All three suspects fled the scene in a purple Nissan Altima. Rogers teen son heard the commotion and woke up the 7-year-old son. Rogers 4-year-old daughter slept through the ordeal. The kids took cover in a closet and when the gunfire ended, the boys found their father critically wounded. They are now 19 and 13. Angela and police believe they know who may have killed Roger, but they still need more evidence and more witnesses. The office of Gov. Kay Ivey in 2018 issued a $5,000 reward for information leading to an arrest and conviction in Rogers death and that offer still stands. That reward money is in addition to the existing Crime Stoppers reward, which is up to $5,000. While its hard for Angela to revisit the scene of the crime, she said its important that she keep her sons memory alive. I have to see a psychiatrist, I have to take medication, she said. Its a struggle for me every day. You would think it being seven years, it would get a little bit better, but it just seems like it happened yesterday, she said. Angela said she meets with Birmingham detectives every three to four months. She said shes frustrated and feels like more could be done to bring the killers to justice. Im his mom, its for me to fight and not give up, she said. I dont want them to think we dont know who they are. Weve just got to put the here. Its hard to do because people arent talking and I dont know if its because theyre scared, she said. All I can do is just beg for somebody to come forward, Angela said. Anyone with information is asked to call Birmingham police homicide detectives at 205-254-1764 or Crime Stoppers at 205-254-7777. Sign up for Alabamafication: Kyle Whitmires newsletter, Alabamafication examines the outsized influence of this very strange state, taking aim at corruption, cruelty, incompetence and hypocrisy while also seeking out those righteous folks making their state and country better places for all. This is an opinion column. Attorney General Steve Marshall is the states top lawyer, but Edmund LaCour is the man who shows up in court. As solicitor general, LaCour argued before the U.S. Supreme Court in Allen v. Milligan, the case that was supposed to gut whats left of the Voting Rights Act. He lost. And on Monday, it was LaCour, again, who represented the state in federal court, where a panel of three judges must decide whether to accept the states latest congressional districting map or draw a new map for the state. But depositions in that court record show LaCour has played a bigger role than Alabamas courtroom lawyer. In sworn testimony, lawmakers said LaCour helped draw maps, inserted pages of legislative findings into the enabling legislation, and handed out talking points for Republican lawmakers to use on the State House floor. All of which begs the question, when LaCour represents the state in court, who is he representing? The Milligan case was supposed to be the arrow through the heart of the Voting Rights Act, killing the Section 2 provisions that force states like Alabama to respect the rights of minority voters. Last year, LaCour argued this case before the United States Supreme Court, where Justice Samuel Alito, usually a more conservative voice, called his arguments far-reaching. Instead of a death blow, the Milligan case became a breath of life for minority voting rights. The high court ruled for the plaintiffs and sent the case back for the lower court to resolve. Now, back in Birmingham, LaCour wants a do-over. At the bottom, the case is simple. Its about how many majority Black congressional districts Alabama should have. One or two? But the answer has implications for states everywhere. Before the court Monday, LaCour argued that the legal proceedings should essentially start over and that Alabama doesnt need to draw a second majority Black congressional district, no matter that the US Supreme Court said that it does. After the US Supreme Court ruling in June, the Alabama Legislature quickly passed a new Congressional map in July. That map guarantees Black voters one representative in Congress, not two. Same setup the state has had for decades. Same setup that failed in Washington. Before the federal panel of three judges Monday, LaCour said the burden again is on the plaintiffs to argue that the new Alabama map is unfair. The judges two of them Trump appointees seemed exhausted already. To cut to the chase, are we in the first inning of the first game of these proceedings today? U.S. Circuit Judge Stanley Marcus asked. Marcus had to ask twice as LaCour hemmed and hawed. Eventually, LaCour said yes. What Alabama and LaCour want is to restart the process all over again. Under court precedents, plaintiffs have the burden to prove the state has violated the rights of Black voters a series of legal hurdles called the Gingles preconditions that the Milligan plaintiffs cleared once already. Now that the Alabama Legislature has passed a new map, LaCour wants the plaintiffs to pass those tests again. If he gets what he wants, the best the plaintiffs might hope for is an infinite loop the state draws a map, Black people sue, the state loses, the state appeals, the state loses, the state draws a new map, Black people sue again and so on, forever. All the while, Alabama keeps holding elections under unconstitutional maps, as it did in 2022, denying Black voters the districts the U.S. Supreme Court says they are due. LaCour told the court he still thinks Alabama can win this case without creating a second majority Black district, despite having lost that argument once already before the nations highest court. Throughout the hearing the judges were polite, but by the end that patience seemed to wear thin. Mr. LaCour, what I hear you saying is the State of Alabama deliberately chose to disregard our instructions to draw two majority Black districts where minority candidates could be chosen, U.S. District Judge Terry F. Moorer asked. LaCour stammered, Your honor, it is our position that the Legislature Im not asking your position, Judge Moorer said. Did they or did they not? Did they disregard it? Did they deliberately disregard it or did they not? LaCour said the new map was as far as the state was willing to go. But as court documents showed, LaCour isnt just defending the maps in court. He helped get them passed in the Alabama Legislature. Last week the plaintiffs took depositions from key state lawmakers who led the reapportionment committee, including committee co-chair, Rep. Chris Pringle, R-Mobile. In sworn testimony, Pringle said the committees had an unusual map maker assisting them through the process Edmund LaCour. How did you know he drew maps? the plaintiffs attorney asked in the deposition. He was in the room across from me in reapportionment working on maps, Pringle said. Pringle said he lost contact with LaCour during the special session but LaCour continued to advise the state senators in the chamber upstairs. When the Legislature eventually passed its latest map, it also included six pages of what the bill called legislative findings. But depositions from the two co-chairs of the redistricting committee showed that those legislative findings didnt come from lawmakers. Neither Pringle nor Senate co-chair Steve Livingston knew why those pages were in the bill they passed. But Livingston knew who added that language. It wasnt anything generated by lawmakers, but rather something that came from Edmund LaCour, he said under oath. The plaintiffs also asked Livingston about a memo of talking points he was to use when pushing the bill through the legislature. Those talking points had also come from LaCour, too, Livingston said. Lets be clear about whats happening here. Someone is trying to suppress the political influence of Black voters. Someone is trying to do so despite the United State Supreme Court already ruling against the state. And that someone is LaCour. The state solicitor is supposed to represent all of Alabama, but in court Monday, that didnt happen. Instead, he defended a map he helped draw, legislative findings he put in the bill, and an argument for voter suppression the U.S. Supreme Court already rejected. He might be solicitor for the state of Alabama, but in court Monday it wasnt clear that Edmund LaCour represented anyone other than Edmund LaCour. Kyle Whitmire is the state political columnist for AL.com and the 2023 recipient of the Pulitzer Prize for commentary. Sign up for his weekly newsletter and get "Alabamafication" in your inbox every Wednesday. More columns by Kyle Whitmire Tommy Tuberville leaves Alabama lost in space Alabamas new congressional map is a feat of Republican cowardice A fight for rights and control in a Black Belt town without elections An Illinois National Guardsman training at Mississippis Camp Shelby died Friday after completing a two-mile run as part of a fitness test, according to a release from the Mississippi Army National Guard. The soldier experienced a medical emergency Friday after completing the run, with the National Guard saying he experienced symptoms associated with heat-related injuries. Medics provided immediate assistance before the soldier was transported to Forrest General Hospital in nearby Hattiesburg, where he was pronounced dead shortly after arrival. Temperatures in the Hattiesburg area, as with much of the Deep South, soared into the 100s Friday and Saturday, with heat indices at times exceeding 110 degrees. Additionally, a second soldier at Camp Shelby was taken to the hospital after experiencing similar symptoms during a training exercise Saturday, the National Guard said. The soldier was given immediate assistance, taken to a shaded area and given plenty of water, but when his condition worsened, he was taken to the hospital, the release said. He was still receiving care as of Monday afternoon. After the second incident, the Mississippi National Guard issued a Safety Stand-Down order which called a halt to all outdoor training activities from 7 a.m. to 8 p.m. daily, until further notice. The prosecutor in Marion County, Kansas, said Wednesday that police should return all seized material to a weekly newspaper that was raided by officers in a case that has drawn national scrutiny of press freedom. Marion County Attorney Joel Ensey said his review of police seizures from the Marion County Record found insufficient evidence exists to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. As a result, I have submitted a proposed order asking the court to release the evidence seized. I have asked local law enforcement to return the material seized to the owners of the property, Ensey said in a news release. Even without the computers, cellphones and other office equipment taken in a police raid, the new edition of the Record made it to newsstands Wednesday after a frenzied scramble by the newspapers small staff. SEIZED but not silenced, read the front-page headline in 2-inch-tall typeface. Police raids on Friday of the newspapers offices, and the home of editor and publisher Eric Meyer put the paper and the local police at the center of a national debate about press freedom, with watchdog groups condemning the police actions. The attention continued Wednesday with TV and print reporters joining the conversation in what is normally a quiet community of about 1,900 residents. The raids which the publisher believes were carried out because the newspaper was investigating the police chiefs background put Meyer and his staff in a difficult position. Because theyre computers were seized, they were forced to reconstruct stories, ads and other materials. Meyer also blamed stress from the raid at his home on the death Saturday of his 98-year-old mother, Joan, the papers co-owner. As the newspaper staff worked late into Tuesday night on the new edition, the office was so hectic that Kansas Press Association Executive Director Emily Bradbury was at once answering phones and ordering in meals for staffers. Bradbury said the journalists and those involved in the business of the newspaper used a couple of old computers that police didnt confiscate, taking turns to get stories to the printer, to assemble ads and to check email. With electronics scarce, staffers made do with what they had. There were literally index cards going back and forth, said Bernie Rhodes, the newspapers attorney, who was also in the office. They had all the classified ads, all the legal notices that they had to recreate. All of those were on the computers. At one point, a couple visiting from Arizona stopped at the front desk to buy a subscription, just to show their support, Bradbury said. Many others from around the country have purchased subscriptions since the raids; An office manager told Bradbury that shes having a hard time keeping up with demand. The raids exposed a divide over local politics and how the Record covers Marion, which sits about 150 miles (241 kilometers) southwest of Kansas City. A warrant signed by a magistrate Friday about two hours before the raid said that local police sought to gather evidence of potential identity theft and other computer crimes stemming from a conflict between the newspaper and a local restaurant owner, Kari Newell. Newell accused the newspaper of violating her privacy and illegally obtaining personal information about her as it checked her state driving record online. Meyer said the newspaper was looking into a tip and ultimately decided not to write a story about Newell. Still, Meyer said police seized a computer tower and cellphone belonging to a reporter who wasnt part of the effort to check on the business owners background. Rhodes said the newspaper was investigating the circumstances around Police Chief Gideon Codys departure from his previous job as an officer in Kansas City, Missouri. Cody left the Kansas City department earlier this year and began the job in Marion in June. He has not responded to interview requests. Asked if the newspapers investigation of Cody may have had anything to do with the decision to raid it, Rhodes responded: I think it is a remarkable coincidence if it didnt. The Department of Education has started the process of addressing balances for some 800,000 borrowers with some $39 billion in federal student loan debt. The one-time loan forgiveness covers people who have been paying through income-driven repayment, or IDR, plans that typically allow debts to be forgiven once a person has paid for 20 or 25 years, according to the plan. Because of errors in tracking payments, however, some borrowers were mistakenly left paying beyond their end dates or were placed into forbearance by loan service providers, a violation of Department of Education rules. The result was more than 804,000 borrowers never got the credit they earned and never saw the forgiveness they were promised even after making payments for decades, President Biden said in a statement. On day one of my administration, I promised to fight for hardworking families and to fix problems in the student loan system that have been failing borrowers for too long, Biden said. Im proud that my administration is delivering on that promise and has already approved over $116 billion in debt cancellation for 3.4 million Americans no matter how many lawsuits, challenges, or roadblocks Republican elected officials or special interests put in our way. READ MORE: Student loan forgiveness: New bill would cut student loan interest to 0% for current borrowers Qualified borrowers are currently being notified via email from their loan servicing company with the process continuing for several weeks to months. Of those who qualify, more than 614,000 will have all their remaining federal loan debt canceled. The measures fall short, however, of the widespread student loan forgiveness championed by the Biden administration before being struck down by the U.S. Supreme Court. That plan would have wiped out up to $20,000 for some federal loan holders, forgiveness that would have come after a three-year moratorium on interest and payments due to the COVID-19 pandemic. Instead, interest on loans will restart Sept. 1 with payments restarting in October. About 44 million Americans have student loans with the average borrower owing $37,787. Two people were killed and others were trapped following a wreck involving two vehicles and a street sweeper Tuesday night on I-459 near U.S. 280 in Jefferson County, authorities said. The crash occurred around 8:02 p.m. on I-459 South near the 18-mile marker, said Sgt. Jeremy Burkett of the Alabama Law Enforcement Agency. The Rocky Ridge Fire Department said units were working a multi-vehicle wreck requiring multiple extrications along with fatalities. Burkett said Tuesday night the southbound lanes will be closed for an undetermined amount of time. Just before 5:30 a.m. Wednesday, troopers said all lanes were open. State troopers were investigating the crash. Additional information was not released. A permit has been issued for a $41.7 million apartment complex that will be marketed to University of Alabama in Huntsville students. The apartments will be built at 4315 Holmes Avenue, which borders the UAH campus and is near the McThornmor Acres neighborhood, which was added to the National Register of Historic Places last year. Residents from the historic neighborhood voiced opposition to the apartments when the complex came before the Huntsville Planning Commission last year, but the project has the support of UAH President UAH President Charles Karr. The apartment complex was the most expensive project issued a permit last week in the Huntsville area, according to Southern Exposure Information. The apartment complex, surrounded on four of six sides by UAH, could potentially house up to 600 students. The 4.33-acre site was once owned by Trinity Presbyterian Church, which closed because of declining membership. The apartments are being developed by Capstone Development Partners of Birmingham and Boaz Ventures of Huntsville. Two chemical companies in McIntosh, a small town in Washington County, are disputing data reporting requirements from the U.S. Environmental Protection Agency, more than two years after agreeing to clean up chemicals spilled into the water basin adjacent to the Tombigbee River. In 2021, Judge William Steele of the U.S. District Court for the Southern District of Alabama issued a consent decree, requiring Olin Corporation, which manufactures chlorine, and BASF Corporation, which manufactures antioxidants and light stabilizers, to clean up the water basin outside of their two plants. EPA officials and the Department of Justice found that mercury, hexachlorobenze (HCB), and byproducts of the now-banned pesticide DDT were contaminating the water basin. Now, more than two years since the consent decree was issued, the chemical companies say that the reporting requirements issued by the EPA go beyond the scope of the consent decree and would divert resources that would otherwise be used to remedy the site. The companies and the U.S. Department of Justice are awaiting a settlement conference with U.S. Magistrate Judge Bradley Murray on August 23 in Mobile. Remediating Parties were forced to file a motion For Judicial Review (Motion) in the Federal Court overseeing the consent decree after exhausting their remedies in the consent decree for both informal and formal dispute resolution, because they believe EPAs demands relating to data delivery are beyond both the terms of the consent decree and EPAs authority under the consent decree, Olin and BASF said in an emailed statement to the Lede. Sign up for Roy S. Johnsons newsletter: Enter your email to subscribe to Roys weekly newsletter, The Barbershop: This is an opinion column. 91. Thats the number of criminal and civil charges now levied against the man Republicans seem hell-bent on returning to the White House and his gang of dis-organized slime. 91 charges across four indictmentstwo of them state charges, two of them federalagainst a man with seeming no regard for the truth except his own delusions and his gang of enablers. 91 alleged law-breaking deedsdeeds designed to crumble to bricks of our democracyagainst Donald Trump and his gang of sycophants surrounding their bullying efforts to overturn the 2020 presidential election by flipping Georgia from Biden to the sore-losing incumbent. I use the word gang with all the intentionality of Alabama Republican lawmakers open defiance of the Supreme Courts demand that they re-write the states racist, gerrymandered U.S. district lines to provide Black voters in the state with the semblance of a change to elect a candidate of their choosing. I use it because Fulton County prosecutor Fani Willis wielded a law she previously used to attack gang violence in Atlanta to hammer Trump with 41 counts in a 98-page filing. In May 2022, Willis, in an 88-page indictment, charged rappers Young Thug and Gunna, as well as 26 others under the states RICO law, a descendant of the federal Racketeer Influenced and Corrupt Organizations Act, which became law in 1970 as a means of battling organized crime, a.k.a. the mafia. It allowed the prosecution of people in high places in a criminal organizationhowever they want to define onealong with the minions who actually doing the deeds. In 1980, Georgia birthed its own RICO Act, making it illegal to participate in, acquire or control an enterprise with a pattern of racketeering activity, or even try to do so. Georgia isnt alone in using the law in an attempt to thwart local gangs. In May, 30 members and associates of two gangs based in Minneapolis, Minnesotathe Highs and Bloodswere hit with two RICO indictments. Charges include alleged and attempted murder, drug trafficking, robbery, and obstruction of justice. RICO indictments typically include a flotilla of allegations, some only loosely connected to the primary charges. When announcing the charges against the rappers and others last year, Willis said: It allows juries and the communities to see the complete picture of a crime, so they can truly be educated and have facts to weigh when theyre making a decision. Gunna and seven other defendants took plea deals before the end of last year; Grammy-winning Young Thug (Jeffery Lamar Williams on his birth certificate), however, still sits in jail awaiting jury selection (questioning began in January, yet, for various reasons, no one has yet been tabbed to serve) after pleading not guilty to eight counts. In July, he was denied bond for a fifth time. Seven other defendants in the case. Hello, Thug Trumpcouldnt resist, sorry, not sorry. I could be wrong, but probably not: Georgia just might be even less hospitable to Trump than it was in 2020. Unlike the other indictments, President Trump would not be able to pardon himself, nor get off with a fine. Potentially, the Georgia charges include jail time. A White House return also wouldnt white-out Georgias charges, as it might for charges in Florida and Washington, D.C. Moreover, there are tapes. Tapes with Trump demanding, with all intentionally, that the states top election official, a fellow Republican, find the votes he needed to win. Young Thugs attorneys dispute prosecutors claims that he and co-defendants are members of the Young Slime Life (YSL) gang; they say the acronym touts the rappers label: Young Stoner Life. A label that just might find its next hook in Thug Trumps tapes. I just want to find 11,780 votes, which is one more than we have, he is reported to have said. Just want to findOne more than we have One more than we have More columns by Roy S. Johnson Not today, yall: Montgomery attack defenders embody Black resolve Indian American, fired by Huntsville contractor after telephone call with dying relative, files lawsuit Money alone wont restore Birminghams long-failed communities; this program must Listen to Birminghams foot soldiers, whose voices are strengthened by pain Dear Black girls, they will believe you next time, despite what Carlee Russell did Attorney who argued historic redistricting case says Alabama will be much richer politically 51 years ago today, the Supreme Court ruled the death penalty unconstitutional, really Donald Trumps fourth and latest indictment, handed down Monday by a Georgia grand jury, was pure politics, Sen. Tommy Tuberville, R-Ala., said Tuesday. Meanwhile, U.S. Rep. Barry Moore, R-Enterprise, compared Democrats to leaders who jail and murder political opponents in the wake of Mondays indictment in Fulton County. The indictment stemmed from Trumps phone call to Georgia Secretary of State Brad Raffensberger after the 2020 election, urging Raffensberger to overturn the states results, which awarded 16 electoral votes to Joe Biden. In a tweet Tuesday, Tuberville pointed to the brief posting of charges on Fulton County, Georgias website on Monday before being suddenly taken down as evidence the indictment was politically motivated. The charges were announced later Monday. A spokeswoman for Fulton County District Attorney Fani Willis, whose office is overseeing the Georgia case against Trump, said the initial report of charges being filed was inaccurate but did not specify what was inaccurate. Another day, another activist indictment of Bidens top political opponent, said Tuberville, a staunch Trump supporter who endorsed the former presidents 2024 campaign shortly after Trump announced the bid. The fact that the radical Fulton County DA accidentally published the indictment before the grand jury finished voting proves what we already know -- this is pure politics. The latest indictment on President Trump is just more politics. The American people deserve an equal justice system, not a two-tier justice system. Full statement below. pic.twitter.com/cNGMVe1rCW Coach Tommy Tuberville (@SenTuberville) August 15, 2023 Where I come from, you beat your opponents fair and square, Tuberville continued. This witch hunt has gone on for long enough. Democrats are afraid of President Trump because they know he will expose their corruption. The American people deserve an equal justice system -- not one that twists the law to fit their politics. Moore, who has also come to Trumps defense following all four indictments, likened Democrats to Maduro and Noriega -- referring to Venezuelan President Nicholas Maduro, who has jailed political opponents, and late Panamanian dictator Manuel Noriega, who was said to have murdered opponents. The United States had never before indicted a former president, and now Bidens Department of Justice and weaponized blue state prosecutors have indicted President Trump four times in a matter of months. Rep. Barry Moore (@RepBarryMoore) August 15, 2023 The United States had never before indicted a former president, and now Bidens Department of Justice and weaponized blue state prosecutors have indicted President Trump four times in a matter of months, Moore tweeted. As they continue their quest to throw their chief political opponent in jail, Democrats have joined the likes of Maduro and Noriega, the congressman continued. They have been out to get President Trump since he came down the escalator, and Americans can see through this desperate sham. Ariana Grande has released an all new scent to her perfume line that she calls Cloud Pink. Grandes new Cloud Pink perfume is only available for purchase at Ulta.com where all of her other scents can also be purchased. The new scent comes in a 3.4-ounce container for $68. According to the product description, the Ariana Grande Cloud Pink fragrance is inspired by a confidence that reveals both beauty and strength, no matter the storm. Key notes in the Cloud Pink perfume include the following: Top - pink pineapple dragon fruit berries Middle - vanilla orchid coconut water blush ambrette Base - magenta moss amber woods musk praline Other popular scents by Grande available at Ulta.com include the original Cloud perfume, God is a Women and Thank U Next perfumes. Those who want to purchase the original Cloud Perfume can also get this particular scent on sale for $56.74 instead of $65 when purchasing it online from Walmart.com. This blog covers software patent news and issues with a particular focus on wireless, mobile devices (smartphones, tablet computers, connected cars) as well as select antitrust matters surrounding those devices. English News E China's Ningbo-Zhoushan Port strives to become world-class port Alwihda Info | Par peoplesdaily - 16 Aout 2023 With over 300 container shipping routes linking more than 600 ports in over 200 countries and regions, the port has woven a maritime shipping and trade network that connects China to the rest of the world, contributing to China's efforts to foster a new pattern of development that is focused on the domestic economy and features positive interplay between domestic and international economic flows. By Zhang Weihao, People's Daily Ningbo-Zhoushan Port in east China's Zhejiang province, the world's first port to achieve an annual cargo throughput of over one billion tonnes, contributes significantly to the stable operation of China's foreign trade industrial and supply chains as well as the high-quality development of the Chinese economy. During the first half of this year, the port saw its cargo throughput climb 6 percent year-on-year to 679 million tonnes, while its container throughput rise 1.2 percent, compared to the same period last year, to 17.68 million 20-foot equivalent units (TEUs), according to data released by the operator of the port, Ningbo Zhoushan Port Co., Ltd., on July 7, 2023. Burgeoning ports promise booming trade. Ports are considered a barometer of a country's foreign trade and national economic development. In recent years, cities across China have leveraged smart manufacturing to boost efficient operation of ports, empowered the construction of smart ports with digital technologies, and facilitated green development of ports with sci-tech innovations. Thanks to these efforts, the country's key shipping routes have enjoyed unimpeded, efficient, stable, and orderly operations, playing a positive role in guaranteeing the stability of industrial and supply chains. By helping better unleash the potential of the Chinese economy, these ports inject new impetus into global economic growth. As China's busiest port, Ningbo-Zhoushan Port enjoys favorable geographical location and superior natural conditions. It lies at the meeting point of the Silk Road Economic Belt and the 21st Century Maritime Silk Road, backed by the Yangtze River Delta economic circle, and facing the main maritime shipping routes on the Pacific Ocean. The port is one of the few deep-water ports in the world. The main channels of its core port area are over 22.5 meters deep, allowing 300,000-tonnage vessels to freely enter and depart the port, while 400,000-tonnage vessels can enter and depart the port during high tides. The port also boasts first-class infrastructure. It has over 200 berths for 10,000-tonne vessels and above and more than 120 large and extra-large deep-water berths for 50,000-tonne vessels and above, making it the port with the most large and extra-large deep-water berths in the Chinese mainland. Because of its unparalleled strengths, Ningbo-Zhoushan Port has ranked first in the world in annual cargo throughput for 14 consecutive years. Last year, it handled 33.35 million TEUs of containers, maintaining its position as the world's third largest port in terms of container throughput. The port is also the second largest port in China in terms of sea-rail intermodal cargo transportation volume. It operates 23 sea-rail intermodal train routes, providing services covering 63 prefecture-level cities in 16 provinces across the country. The port has opened 122 shipping routes connecting China and countries and regions along the Belt and Road, and has increased its number of maritime shipping routes to Central and Eastern Europe to 14. Last year, the port and five ports in Central and Eastern Europe handled 769,000 TEUs of containers for foreign trade between China and Central and Eastern Europe, up 16.5 percent compared to the previous year. On July 5, 2023, 400,000-tonne mining vessel Ore Brasil docked slowly at the No. 1 berth of the Shulanghu ore transfer terminal of the Ningbo-Zhoushan Port with the help of several tugboats. With this, all 68 mining vessels with the largest capacity of 400,000 tons in the world had sailed to the Ningbo-Zhoushan Port, fully demonstrating the port's advantage of being a comprehensive hub port. At present, Ningbo-Zhoushan Port is striving to usher in a new phase of growth by advancing smart port construction and promoting green and low-carbon transformation. The terminal in the Meishan port area of Ningbo-Zhoushan Port has significantly improved operational efficiency through intelligent facilities, such as unmanned container trucks and remotely controlled automated gantry cranes and bridge cranes. In an effort to enhance energy conservation and cut carbon emissions, the terminal has adopted photovoltaic technology to provide solar power for some of its equipment. Meanwhile, it has achieved 100-percent shore-side power coverage, providing clean energy to ships berthed at the port area. The busy and thriving scenes and streams of substantive data from the Ningbo-Zhoushan Port inspire confidence and hearten people. With over 300 container shipping routes linking more than 600 ports in over 200 countries and regions, the port has woven a maritime shipping and trade network that connects China to the rest of the world, contributing to China's efforts to foster a new pattern of development that is focused on the domestic economy and features positive interplay between domestic and international economic flows. Dans la meme rubrique : < > B&B boom fuels demand for managers in China China, Africa make fruitful achievements in economic, trade cooperation BRICS cooperation shines with strong appeal Pour toute information, contactez-nous au : +(235) 99267667 ; 62883277 ; 66267667 (Bureau N'Djamena) One of the funniest reader comments I have received was from someone wondering whether I could be a "bot." Well, at the risk of sounding like President Richard Nixon, let me just say: I am not a bot. I do understand your concern, though. Artificial intelligence systems are spreading everywhere. Six years ago, Russian President Vladimir Putin said bluntly that whoever "becomes the leader" in the field of artificial intelligence "will be the ruler of the world." It is a prediction that remains terrifying. From Putin's point of view, nuclear arsenals, aircraft carriers, and even the "soft power" of global reserve currencies will become increasingly insignificant when parried with the power of AI. Putting aside the philosophical question of whether advances in AI research are approaching the threshold of creating sentient "life," it is helpful to think of these systems as performing complex sets of computations at rapid speed. Computer code is essentially a list of rules instructing a computer how to operate: for example, if this happens, then execute this sequence of steps. These algorithms give computers basic problem-solving abilities that mimic human intelligence. No matter how sophisticated the computer, though, its "thinking" is still limited to the set of instructions forming its code. When a computer executes commands, it is acting within the discrete specifications that human programmers have already provided. Now imagine a computer executing the following command: if this happens, then execute the best available option. This type of complexity requires a computer to know all the available responses to a given problem, to employ a method for evaluating those responses' relative effectiveness, and to choose a course of action. Still, even extremely advanced computers are limited by the information inputs made available and the rules of logic that have been written to govern the computer's "thinking." True AI breaks through these limitations. One way to think of AI is to envision a computer that is capable of spontaneously writing new code or rules for its own operation. That might be akin to some kind of remedial "consciousness." Now imagine that a computer with this rule-writing ability is also capable of filtering through unlimited collections of information and making unlimited evaluations of that information in the process of choosing the best available option. Whereas normal human intelligence might consider several possibilities in response to a given problem, an AI system would eventually be capable of evaluating an exponentially large number of possibilities while executing "decisions" faster as processing capabilities increase. An AI system's "thinking" will continue to advance, constrained only by the limits of its human-designed hardware and the laws of thermodynamics. Should AI "intelligence" transform those limits into mere speed bumps, then, theoretically, an AI system will continue to "evolve" as it approaches an infinite number of calculations within an infinitesimal amount of time. That kind of "intelligence" would be so far beyond a human's understanding that a sufficiently advanced AI system would no longer be able to explain to its old programmers the complex calculations underlying its "reasoning." Barring human-imposed programming controls or some kind of doomsday switch, AI's vastly superior "intelligence" would give it a capacity for dominion over this world. From this perspective, it is easy to appreciate Putin's contention that the master of AI "will be the ruler of the world." It might make more sense to wonder whether AI will eventually be the master of AI and the future "ruler of the world." Incipient forms of artificial intelligence are already transforming the economy. Investors use algorithmic "quants" (Quantitative Trading) to identify and execute market trades more quickly than human brokers. Proto-AI "bots" have taken over nearly half the Internet, and almost a third of human users can no longer distinguish whether they are interacting with a live person or a machine. Shoppers transact with basic AI systems when making purchases both online and in self-service checkout lines in many physical stores. AI-controlled robots are replacing blue-collar workers in once well-paying industry and manufacturing jobs. It is reasonable to wonder whether Adam Smith's "invisible hand" of the marketplace will someday soon be replaced with the "incomprehensible hand" of artificial intelligence in which raw materials are gathered, manufactured items are produced, and goods are bought and sold not because there has been a "meeting of the minds" between humans but rather because there has been a "meeting of the codes" between AI systems. As artificial intelligence advances in the number of computations and "decisions" it is capable of making in shorter periods of time, three stages will likely occur: (1) AI will increase human productivity in whatever field it is deployed. (2) AI will make "decisions" that are not immediately obvious to human handlers but prove correct. (3) AI will make "decisions" that seem inexplicable to human handlers who do not possess a sufficient level of intelligence to judge the "correctness" of the AI's reasoning. Science fiction writers have been warning about the third stage for decades. Should AI become sufficiently advanced, as well as sufficiently untethered from human control, then the likelihood increases that an AI system would make a "decision" that from a human's point of view is categorically immoral and unacceptable. The unsettling question haunting the field of artificial intelligence is whether a non-human AI would have any qualms about being inhumane. Within the field of warfare, artificial intelligence will revolutionize battle tactics at each one of these stages. In the first stage, AI is a troop multiplier. By rapidly filtering through information and computing the best available option at any point during a battle, AI will perform tasks that before required the efforts of hundreds of different specialized operatives and warriors. By the second stage, AI will make battlefield decisions too fast for human operators to timely check. The AI system's method for evaluating various options may well be grounded in its human-designed programming, but its real-time "decisions" will have lethal consequences. The third stage is when things become evermore dangerous. A sufficiently advanced AI that rapidly analyzes information, computes the best available option, and executes "decisions" may act in ways that are not only inexplicable to human intelligence but also downright horrifying. Crossing moral "red lines" might seem perfectly reasonable to an AI system strictly committed to accomplishing mission objectives. Would an AI system commit "crimes against humanity" if it concluded that its actions would save humanity from a much worse fate? Such moral complexity will get only more troublesome. What quietly began decades ago and will only accelerate over the next few years is a brand new arms race between competing nation states seeking to build the most sophisticated AI system. Expecting an ever-evolving AI "intelligence" to remain constrained by its programmers' national interests, though, may prove hopelessly naive. In Douglas Adams's popular science fiction series, The Hitchhiker's Guide to the Galaxy, a supercomputer calculates that the meaning of "life, the universe, and everything" is forty-two. That answer is funny because it makes no sense. As AI advances well past the limits of human comprehension, it may well compute answers and make "decisions" that are equally as befuddling. The repercussions for humanity, however, may not be nearly so humorous. If artificial intelligence develops to the point where human cognition is to an AI system what an ant's cognition is to man's, then why should anyone expect human notions of morality to constrain a future AI's actions? After all, no-one would consult an ant with a moral dilemma. That conundrum should weigh heavily on us all as nation states escalate their AI research programs and the world bears witness to this newest arms race. PS: I can already hear that reader's voice: "Yeah, yeah, that's just what a 'bot' would say." Image: Pixabay / Pixabay License Caroline Glick, a master of Middle East cultural and political affairs, has developed a brief but forceful overview of the dynamics of the complex negotiations that are going on and have been going on for years between and among the U.S., Saudi Arabia, Iran, China, Israel, Russia, and other impacted nation-states. In the background of these meetings that project endless scenarios for the benefit of the concerned parties stands the United Nations which was originally conceived as a world body that would bring conflicts under a united peacekeeping effort that would be respected by the member nation-states. However, the UN has been standing on the sidelines as bilateral, trilateral, and multilateral meetings and negotiations have been taking place among affected parties in the Middle East and elsewhere. So, for example, the UN condemned the invasion of Ukraine by Russia, but has stood on the sidelines helplessly huffing and puffing its displeasure while that war proceeds. This helplessness is largely because Russia is on the Security Council and is thus able to veto any actions taken against itself. Yet, at the same time, the UN keeps up its dogged connection with world government advocates and a few short years ago unanimously agreed upon Agenda 2030, which establishes the goals for a world government, although the words world government do not appear in the Agenda document. It is incredible to see how many liars and phonies occupy center stage in our world. We can see this clearly as we put the microscope of analysis over the procedures and policies of the Middle Eastern power brokers. Iran and Saudi Arabia ostensibly represent two branches of Islam -- the former representing the Shiite branch and the latter the leading country of the Sunni branch. These two factions have been warring with each other for nearly 1400 years, but they both have in common contempt for the non-Islamic world. This is true even though their great oil wealth would not be possible without the input and engineering know-how of the non-Islamic world -- the oil companies -- who knew how to find and develop the great energy wealth of the Islamic world. These two competing Arab cultures lived in backwards isolation for centuries while the West grew in knowledge, wealth, health, and power. We now depend on their oil, but they depend on the cash flow and prestige that their oil brings into their societies. Glick in a recent article has embraced the idea of negotiations as the path to resolving the issues that beset the world and the Middle East. The idea of negotiations leading to an official agreement or treaty has a long and dignified history -- it is fundamentally based on a model of rational discourse and the balancing of national interests with common interests. Willingness to compromise is an essential feature of said negotiations. The military strength of the negotiating partners is of course also weighted into the negotiation equation, and more powerful entities such as the USA, the PRC, or Russia may thrust themselves into negotiations among less militarily able countries. This intrusion of great powers into negotiations among weaker parties is of course portrayed as accelerating the peace process and assuring more successful completion of negotiations. But, at another level, it is an aspect of realpolitik where larger powers assert control and make sure that less powerful entities continue to kiss their proverbial a****. Because of the takeover of Iran by the bloodthirsty ayatollahs in 1979, and their subsequent taking of American hostages, the USA became very much anti-Iran. Irans Muslim, ayatollah-governed country believed in the Shiite branch of Islam while the Saudis and most of the Muslim world are Sunnis. Their dispute -- from almost the founding of Islam to this day -- is about the basis for the line of succession to leadership in the Islamic world after the death of Muhammed. After 1979, we tended to support the Saudis and the Sunni faction in the Islamic world, which is much larger than the Shiite faction. Thus, until President Barack Obama decided to change our orientation and make peace with the Iranian Shiites, we faced some serious aggressions from Iran, and despite the Obama and Biden overtures, we still do. In 1983, American marines were attacked by Iranian-backed terrorists in Beirut, Lebanon and 240 of our heroic armed forces were killed. Then in 1996 Americans were killed in an attack on the Khobar Towers in Saudi Arabia. Although Iran was officially blamed by a U.S. District Court for the Khobar attack, this writer believes there was probably some Saudi complicity. After the attack, the Saudis refused to extradite those arrested for the attack. During the years 1980 to 1988, war was waged between Iran and Iraq, and the USA was on the side of Iraq and Saddam Hussein, Iraqs military dictator. However, it was more of a strategic alliance to protect the Wests Iraqi oil interests and not a de facto embrace of the cruel and despotic Hussein. As the saying goes, politics makes strange bedfellows. Also, in the 1980s we saw the hijacking of ships and planes by Islamic terrorists on a regular basis until finally President Ronald Reagan bombed the terrorist training camps in Libya and brought an end to that phase of Islamic terrorism. Then, on September 11, 2001 we experienced the worst attack on America in our history with the hijacking of three domestic jet flights with one plane crashing itself into the Pentagon, two others purposely crashed into the World Trade Center in New York City, and a fourth plane was forced to crash in Pennsylvania killing all the passengers on the plane. Of the 19 hijackers, 16 were from Saudi Arabia. Fast forward to March of this year. The Saudis and the Iranians decided to bury the hatchet and made a deal brokered by China. This deal may reduce tensions in Yemen between Shiites and Sunnis. The two factions put on a good face of burying the hatchet. Yet, we see that when it comes to hating the non-Islamic world, they have both been on the same page for a long time. Thus, we see that there is the intractable unity of the Islamic world in its hatred of the non-Islamic world. This hatred has over time superseded the longstanding and deep differences between Islamic factions. Then there are the differences within the Islamic world where the factions try to get the most money and concessions from more powerful nations in the West, and recently from China to advance their respective power mad and greedy agendas. The West seems to treat this level -- which we can call rational self-interest -- as though it were the only level. However, the deeper level is the level of fanaticism. Live and let live is just not part of Islam. Love thy neighbor is an essential principal of Christianity upon which the West was founded; yet dark murderous themes eventually emerged and we had two world wars. Islam was more violent than Christianity from its beginnings and remains violent in its essence. Negotiations are inherently unworkable and superficial. E. Jeffrey Ludwig was formerly a teaching fellow in American history and literature at Harvard University, and served on the Editorial Board of the Harvard Educational Review. He has also taught at Penn State, Boston State College, and Juniata College and was listed four times in Whos Who Among Americas High School Teachers. He has been a regular contributor to American Thinker for 13 years. Image: Eugene Delacroix Never in the 235 years since the ratification of the Constitution has an administration premeditatively and blatantly prosecuted, on specious and conjecturable charges, the titular leader of the opposition party and leading candidate for president. And doing so while overtly flaunting their egregious actions to protect and cover-up for the incumbent president who has been exposed as potentially complicit in massive bribery and fraud. In any previous election cycle, these overt and unabashed actions would have been the death knell for the incumbent presidents campaign and his party. Yet the Democrats are blatantly unconcerned, supremely confident that they will prevail in 2024 regardless of who they nominate. What underlies this inordinate arrogance? Is it because they know their alliance with the deep state, the legacy media, and social media allows them to use, without consequence, any unethical or border-line illegal means to destroy and hamstring Donald Trump or any Republican nominee as well as protect their candidates and officeholders? Is it because they believe they can successfully manipulate the voting process in the battleground states to ensure a win regardless of whether it is Biden or whoever they nominate? Is it because they are confident, due to the lawfare they are waging against Trump, that the Republican primary voter will make certain that Donald Trump is the nominee, as the Democrats are convinced that independents and moderates in the swing states will never vote for him in the general election? Is it because they are certain Trump will be found guilty of at least one out of 78 (plus 13 in Atlanta) criminal charges which would precipitate a massive schism in the Republican Party as nearly half of Republicans would not vote for him in the primaries if he is convicted of a crime, thus, eventuating in massive numbers of Trump voters staying home in November? Or is it all the above? The unprecedented hubris of the Democrats is undergirded by their all-encompassing alliance with the legacy and social media. These allies willingly attack the Democrats political adversaries but, more importantly, censor and deliberately suppress any negative news that might affect the party, its hierarchy or their candidates, most notably, Joe Biden and a corrupt Justice Department. As the past eight years have confirmed, the onslaught directed at Donald Trump from this cabal has been withering, unrelenting and frequently misleading. Unfortunately, these tactics have oftentimes been successful in affecting the mindset of a sizable percentage of the American people. With this media behemoth unequivocally supporting them, it is little wonder that the Democrats are so shameless in persecuting Trump and protecting Biden. The success of the Democrat cabal in unconstitutionally changing state voting laws, ballot harvesting and legalizing mass mail-in voting dramatically altered the voting landscape of this nation in 2020. These steps together with the usual ballot fraud and manipulation by the Democrats in every election cycle resulted in the disputed and questionable win by Joe Biden. In the wake of the 2020 debacle, many red states shored up their election laws and made changes to mail-in voting. The blue states have done essentially nothing to ensure voting integrity. Thus, the solid red states will remain Republican, and the solid blue states will remain Democrat. Therefore, the 2024 presidential election will hinge on five battleground states: Arizona, Georgia, Pennsylvania, Michigan, and Wisconsin. And it is these states that the Democrat machine will focus on in 2024 as any Republican candidate for president must prevail in three of the five states in order to win the presidency. In 2022 because of a lack of coherent messaging, get-out-the-vote campaigns by Republican candidates, and a coordinated effort to offset Democrat ballot manipulation, in four of the battleground states the Democrats won the governorships and three-out-of-four Senate races. Further, Democrat confidence is buoyed by the fact that in each of the four battleground states in which the Democrats won the governorships they defeated a Trump-endorsed candidate, and in the fifth, Georgia, the incumbent Republican governor easily defeated the Trump-endorsed candidate in the primary. The same scenario applied to the Senate races as the three the Democrats won were also against Trump-endorsed candidates. The lack of success of Trump-endorsed Senate and governor candidates in the swing states in 2022 further reinforced the Democrats mindset that Trump is unduly polarizing; thus they believe it will be easy for them to reprise 2020s voting manipulation. Lastly, from the Democrats perspective, there is no downside to their unconscionable abuse of the judicial system in attacking Trump. The Democrats believe just one conviction would destroy any chance he might have of swaying independent and moderate voters. If they succeed in finding Trump guilty of one out of 91 specious criminal charges, they think the national drumbeat for Trump to drop out of the race will be deafening. But, more importantly, it would precipitate a massive schism in the Republican Party as the party would be portrayed as supporting a nominee that has been convicted of a crime. Further, the party may well be faced with potentially no viable alternative, as the primary field may have been marginalized and politically destroyed in the primary process. Additionally, the Democrats are assuming many Trump voters would not show up on Election Day if Trump is not the nominee. On the other hand, if the trials are delayed until after the election or in the unlikely event that Trump is found not guilty of all 91 charges, the Democrats are confident the ever-growing litany of charges and subsequent public trials will so incense the Republican base that Trump will easily win the nomination and be so damaged among the general electorate that he will be overwhelmingly defeated in November of 2024. The Democrats are maliciously prosecuting Trump and mindlessly defending Biden because they are certain there will be no consequences for doing so. In their hubris and addled mindset, they are convinced they will not lose the 2024 election. That arrogance is underscored by their support for an addlepated, incompetent, and venal Joe Biden despite upwards of 70% of Americans believing he should not run for re-election. If Biden should drop out of the race, they are confident they can win in 2024 with a blundering narcissist in Gavin Newsom or, if necessary, an inarticulate blithering buffoon in Kamala Harris. What should Donald Trump, the other Republican presidential candidates, and the Republican Party hierarchy be doing to deflate Democrat arrogance and overconfidence? All the Republican candidates, in particular Trump, need to stop maliciously denigrating each other and focus on winning the general election. This they do by exposing the American people to the Democrats contempt for the citizenry. The Republican establishment must awaken from its coma and begin unmasking the Democrats reign of terror in supporting violence against their political adversaries, calling for the removal of candidates from ballots, forcefully silencing dissenting opinion, attempting to imprison political opponents and violating constitutional protections against anyone opposed to their agenda that they target. Meanwhile, the Republican party hierarchy must singularly focus on voter turnout, ballot harvesting where legal, and early voting in every state as well as precinct by precinct observers in all of the battleground states. If these steps are not taken then the Democrats will be right, there will be no consequences for their actions and they will win in 2024. Does everything Joe Biden touch turn to...? Sure looks like it with this latest foreign policy failure. Seems it's not enough to mess up Latin America, make a mess with China, turn the U.S. into a global laughingstock from Afghanistan, ruin relations with Saudi Arabia and the Arab world, succor Iran, or mire the U.S. in the Russia-Ukraine conflict: The latest crisis is in Africa's Sahel region, with Vladimir Putin emerging as the power broker. According to ZeroHedge: Western nations are alarmed at the prospect of Russia deepening its presence and influence in West and Central Africa, particularly following the tumult in Niger late last month, which culminated in the July 26 coup against democratically elected President Mohamed Bazoum. The West-friendly group of surrounding nations, the Economic Community of West African States (ECOWAS), has since threatened military intervention towards restoring Bazoum, and there have been persistent rumors that France is encouraging concrete action. Mali has played a key role in all of this given it stands on the other side, and is dead set against any interference in Niger, with fresh reports that Mali's military leader Assimi Goita has spoken to Russian President Vladimir Putin by phone. Two blocs are forming now the ECOWAS group of largely coastal west Africa and allied with France, and the interior nations of the Sahel Sahara region, where Yellowcake Joe Wilson once plied his diplomatic trade. That group is rapidly becoming Putin's latest sphere of influence, as if he didn't have enough already. ZeroHedge notes that yes, the place has yellowcake uranium, but perhaps even more important, it has gold and other precious rare-earth minerals, as well as oil that Europe needs, which would explain Putin's interests in controlling. As with Ukraine, once Putin gets a foothold, he will fight to keep it with rat-dog tenacity. Worse still, he's got mercenaries from the Wagner Group to shift around in order to ensure it.. ZeroHedge notes that they can be called to war quickly: The West's concern is likely to grow given Putin's mediation with Mali's leadership. Russia's Wagner Group also has an extensive presence across the African continent, having long had security and counterterrorism contracts with multiple governments. So far, there's still not been openness to negotiations on the part of the Niger coup leaders and Bazoum remains under hose arrest. Per the latest update in Reuters, "West African army chiefs will meet on Thursday and Friday in Ghana to prepare for a possible military intervention, which the main regional bloc, the Economic Community of West African States (ECOWAS) has threatened to launch if diplomacy fails." Any external military intervention could spark a broader war across the Sahel, and would also be seized upon by regional terrorist groups. In this scenario Wagner fighters would likely enter the fray. Like Ukraine, no negotiations. Just the loss of U.S. and allied influence. The corollary is that there will be more migrants. What an absolute failure. As President Obama once said: "Don't underestimate Joe Biden's ability to f--- things up." Image: Pixabay, Pixabay License. Democrat congressman Jamie Raskin recently claimed that Donald Trump, while president, used the government for his own self-enrichment. Raskin (D-Md.) made the claim during an appearance on ABC's This Week with (anchor) Jonathan Karl. Karl asked Raskin his thoughts on the ever-increasing evidence that Biden family members received inexplicable cash contributions AKA bribes from foreign countries. Raskin replied: Here's what I'm concerned about. During the Trump administration, we saw the development of a completely new public philosophy, which is that government is not an instrument of the common good in the public interest. Government is an instrument for private self-enrichment, for the guy who gets in, for his family, for his private businesses[.] Raskin's statement is more than untrue, more than absurd. It is the complete opposite of reality. It is outrageous and despicable. The truth is, Donald Trump donated virtually his entire presidential salary...to various government agencies...at least $1,400,000 out of the $1,600,000 that he earned over his four years in the Oval Office. (The president's salary is $400,000 a year.) Trump turned over parts of his checks to agencies such as the National Park Service, the Department of Transportation, the Veterans' Administration, the Department of Agriculture, the Department of Education, Health and Human Services, and the Small Business Administration. I'm guessing Congressman Raskin has not donated much or any of his salary to anyone but Congressman Jamie Raskin. Contrast Trump's actions with those of the Biden Crime Family, who inarguably took many millions of dollars from countries such as Ukraine (!), China (!), and Russia (!), all for access to the "Big Guy" for policy considerations. I'm not a fan of willful ignorance, but the two things I most detest are hypocrisy and bullies. The left, as embodied by today's Democrat party, combines them all...into one repulsive, antidemocratic, borderline demonic ideology advanced by projection and attack. Photo credit: Screen grab via WSJM.com. We have entered the twilight zone. Bernie Sanders is pushing for a greater federal role in U.S. healthcare even as we watch the collapse of government-run healthcare in Great Britain and Canada While single payer, socialized medicine, Beveridge model, Medicare-for-All, Medicare Extra For All, universal healthcare, and National Health Service (NHS, Great Britain) have minor technical differences, fundamentally they are all government healthcare, where bureaucrats not patients make medical as well as spending decisions. Great Britain Three weeks ago, the Guardian confirmed a growing exodus of senior physicians and surgeons from Great Britain relocating to other countries. The on-going British doctor shortage comes as no surprise. British doctors went out on strike in 2016, and since then, their dissatisfaction with the NHS has only gotten worse. Wait times for NHS care have been medically dangerous for years and are getting longer. Delays in diagnosis and treatment of cancer and heart disease result in avoidable, unnecessary deaths. In January 2018, the NHS announced cancellation of 50,000 scheduled surgeries due to insufficient staff and/or facilities. There is no evidence these insufficiencies have been addressed. Patients cant get care without doctors. Bureaucracies defend themselves. The NHS is no exception. For years, death rates for children undergoing heart surgery at the Bristol Royal Infirmary were unacceptable. Yet NHS officials suppressed the information, altered reports of results, and continued to allow the low-quality surgeons to operate. When this scandal finally broke, an investigation ensued. Two Bristol surgeons were fired. The institution was placed on probation. Changes in the NHS recommended by the Bristol Report were not implemented. British government (NHS) decides life and death, like Sarah Palins infamous death panels. The NHS forced a mentally impaired woman to have an abortion against her will. The British High Court mandated withdrawal of life support in two babies Charlie Gard and Alfie Evans against the parents wishes. The government pays bonuses to doctors and nurses who put patients on lists for euthanasia. In a 2020 opinion piece defending government rationing of medical care, journalist Ezra Klein claimed, UK has one of the most equitable health care systems in the world. If he means people are dying equally while waiting in line for care, then he is accurate. Such misguided sentiments call to mind Winston Churchills famous 1945 comparison. The inherent vice of capitalism is the unequal sharing of blessings. The inherent virtue of socialism is the equal sharing of its miseries. Canada Canada suffers from similar systemic problems. Recent data reveal that Canadians with known heart disease wait a median of four months before starting treatment. Those needing neurosurgery have to wait more than a year, many immobilized by severe back pain. Because of the excessively long waits for care in Canada, many cancer patients come to the U.S. where care for them is more timely, not what it should be but better than Canada. Just as in Great Britain, medically dangerous wait times in Canada are nothing new. There were crisis reports in 2007 and in 2017. Nothing changed except wait times got longer. Like the NHS, Canadian federal healthcare defends itself against charges of poor quality. When senior surgeon Ciaran McNamee offered data on medically unacceptable surgical wait times and resulting deaths, his charges were ignored, and he became the problem. Dr. McNamee was forced out of his job as Chief of Thoracic Surgery and eventually had to leave Canada, moving to a prestigious position in the Harvard system. There was no public investigation of McNamees claims. Just as with Great Britain, Canadas healthcare system can override a patients wishes. Canada's Supreme Court has ruled that ... a government board, not the family or doctors, has the ultimate power to pull the plug on a patient. USA National healthcare in Great Britain and in Canada spend within sustainable limits. The same is not true of the proposed American version of federal healthcare, Medicare-for-All. Senator Sanders admitted that M-4-All would cost $30-40 trillion over ten years. The combined GDP of all nations on planet earth was $104 trillion in 2022. If the U.S. moves to greater federal control of healthcare, patients will suffer as BARRCOME expands even further (bureaucracy, administration, rules, regulations, compliance, oversight, mandates, and enforcement). BARRCOME wastes trillions of healthcare dollars taking them away from patient care to pay bureaucrats. Such bureaucratic diversion can turn wait times, currently in months, into years, resulting in more death-by-queue. It is ironic that the phrase death-by-queue dying while waiting for care was based on experience from Great Britains single payer. Federal BARRCOME imposes a huge drain on providers time and resources. Time filling out forms and complying with administrative mandates is time doctors cannot spend with patients. Expansion of the role of Washington in healthcare will shrink the already dangerously low number of care providers, especially those who currently willing to accept government insured (Medicaid) patients. A recent poll found that 20 percent of senior U.S. physicians are planning to leave clinical medicine. When asked why, three answers are given: the regulatory burden, responsibility without authority (bureaucrats telling doctors what they can and cannot do), and low valuation of their work, demonstrated by ever shrinking allowable reimbursements. Conclusion Federal healthcare makes a mockery of medical freedom. Bureaucrats decide what drugs patients can have; when, where, what, and if a patient will have a procedure; how to spend your care dollars; and, they choose your doctor, you dont. Based on extensive outcomes data, direct experience, and abrogation of legally and constitutionally protected medical autonomy, Americans should reject any form of federal healthcare, starting with what we have now. The U.S. needs patient-controlled healthcare, not Washington mandated death-by-queue. Deane Waldman, M.D., MBA is Professor Emeritus of Pediatrics, Pathology, and Decision Science; former Director of the Center for Healthcare Policy at Texas Public Policy Foundation; and author of the multi-award winning book Curing the Cancer in U.S. Healthcare: StatesCare and Market-Based Medicine. Public domain image by Creazilla The devastating fire in Lahaina, Hawaii, left behind a swath of horrific destruction, everything from known lives lost to as-yet-unknown lives lost to massive property damage. It is a true disaster and a serious crisis. And true to the Democrat mantra, the states Democrat governor, Josh Green, already has plans not to let that crisis go to waste. I must admit that Ive kept an emotional distance from the horror in Hawaii. When I realized this, I wondered if I had somehow wandered into sociopath territory without realizing it. How could I be unmoved? However, as the news has unfolded, Ive understood why I was insulating myself a bit. Moving with their usual warp speed, Democrats have been following Rahm Emanuels dictum that You never want a serious crisis to go to waste. Most obviously and inevitably, theyve been blaming climate change for a disaster that almost certainly had its roots in an invasive grass combined with poor maintenance. (Poor maintenance was also behind the California fires that were used to push the climate change agenda.) Image: Lahaina fire damage. YouTube screen grab. However, Governor Green, a northeastern Democrat, has another idea for using the disaster to further Democrat goals: Hes contemplating having the state take over the land and turn it into a workers paradise: Im already thinking about ways for the state to acquire that land so that we can put it into workforce housing, to put it back into families, or to make it open spaces in perpetuity as a memorial to people who were lost. We want this to be something that we remember after the pain passes. And yes, I know that Green also talked about making it available to the families who lost everything, which will certainly be better than the $700 per household that the Biden administration is proposing (the dregs left over from financing Ukraine, I guess). And an open space is always nice, but not a lot of people can live there. No, the real issue is that bit about workforce housing. Id like you to think about a single government housing complex in America that hasnt turned into a complete and utter disaster rife with crime. In San Francisco, my one-time hometown, some of the public housing complexes in the Bayview-Hunters Point district, which become black enclaves during WWII because the shipyards building liberty ships were located there, were so terrible that they were simply demolished. That area of the city has been the focus of government-funded rehabilitation forever, but it never works. The area is still crime-ridden. Every reader has the same stories about the government housing in his or her community. Because the tenants in this housing have no buy-in, they have no incentive to maintain the community. The community degrades, and crime moves in. Its inevitable, and thats what Governor Green wants for Lahaina, one of Hawaiis oldest and most charming communities. By the way, Hawaii could use some land reform. Currently, most of the land is owned by a few owners, including super-rich people like Mark Zuckerberg, Oprah, and the Obamas, and it looks as if the burned-out residents land is at risk. It would be a better state if these practically feudal land systems changed, but it sure wont change if Governor Green exercises a government power grab to build a workers paradise. When I first moved to Massachusetts in 1969 from Protestant-dominated Minnesota, I was struck by the awesome power the Catholic Church wielded there. Reverent media attention was afforded the cardinal, the legendary Richard Cardinal Cushing, who died in 1970 and was replaced by Humberto Cardinal Medeiros. The funeral and selection of a replacement were a major media event in the Boston area. I had never seen the like of it in the Great Plains. There was more than a tinge of class resentment against the formerly dominant Yankees, who were Protestants. Things have changed in 2023, and it appears that in place of the Roman Catholic faith, a different religion has achieved dominance: the creed of LGBTQWERTY. The formerly reigning Catholic faith is now considered anathema by at least some official state agencies. How else to understand this from the Wall Street Journal? The Massachusetts Department of Children and Families's decision to deny Michael and Kitty Burke's foster-care application comes less than a decade after the Supreme Court's 2015 ruling in Obergefell v. Hodges, which held that states could not deny marriage licenses to same-sex couples. In a short but tart dissent, Justice Alito raised a red flag that Justice Anthony Kennedy, author of the majority opinion, glided over in his enthusiasm for making his own preferences law. Whatever this decision was, Justice Alito warned, Obergefell was not a victory for a live-and-let-live America. "It will be used to vilify Americans who are unwilling to assent to the new orthodoxy," he wrote. "In the course of its opinion, the majority compares traditional marriage laws to laws that denied equal treatment for African-Americans and women. . . . The implications of this analogy will be exploited by those who are determined to stamp out every vestige of dissent." The Burkes are a loving couple who sought to adopt through the state's foster-care program. Mr. Burke deployed to Iraq as a Marine, while Mrs. Burke is a former paraprofessional for kids with special needs. The stars seemed aligned for a fairy-tale ending for some lucky child. The Burkes were willing to accept children of any race, culture or ethnicity, as well as some special needs. They would even take siblings. The state, in its assessment of the Burkes, acknowledged the family's "strengths." In the license study describing the family, the Massachusetts DCF noted that "Kitty and Mike are devoutly Roman Catholic and not only attend church with regular frequency, they both also work for local churches as musicians." Once upon a time that would be an endorsement. Today it's an indictment. The Burkes were found unfit to be trusted with a child. The author of their license study took care to note that the Burkes are "lovely people." But with regard to LGBT issues, she also said "their faith is not supportive and neither are they." Ultimately the license review team concluded the Burkes "would not be affirming to a child who identified as LGBTQIA" and the Burkes were rejected. Mr. and Mrs. Burke (photo via Beckett Law and the Wall Street Journal). Has the Roman Catholic hierarchy spoken up in defense of the Burkes and in opposition to this religious bigotry toward its adherents? Are priests warning their parishioners that they are now regarded by their own state government as unfit foster parents? The Massachusetts Department of Children and Families is a state agency, responsible to the state Legislature, which (I assume) includes a number of believing Catholics. Are they going to call in officials from the department to question them on their evident policy of religious bigotry? I have to assume that the same level of bigotry applies to believing Protestants, Jews, and Muslims. Where is the interfaith effort to address this religious discrimination? On what basis has the Department of Children and Families created this policy? Where are the comparative studies of fitness and outcomes of religious parents versus homosexualist parents? My suspicion is that activist employees are imposing this policy without explicit endorsement of their department. The Massachusetts state Legislature needs to get to the bottom of this outrage. Hat tip: Frank Amato. I had high hopes for the presidential candidacy of Ron DeSantis. Not because I am a NeverTrump, though. I simply want the GOP to nominate a candidate who can win in the general election. My suspicion is that too many people who otherwise might vote for a GOP alternative would refuse to back Trump. But I will back Trump to the hilt if he secures the nomination. And I hold out hope that the use of police state tactics against Trump, piling on 90+ counts in four indictments in deep blue jurisdictions, will open the eyes of a sufficient margin of voters to the ongoing totalitarian coup to elect him with a margin beyond the ability to cheat. But all such Trump considerations aside, the inability of Ron DeSantis to catch fire with GOP primary voters needs explanation. He has, after all, a brilliant record as governor of Florida. He turned a squeaker of a first election victory into a double-digit landslide four years later. He has taken brave stands on national issues popular with the GOP base, especially grooming corporations and educational institutions, and he is doing something about it. As a former professor, I am thrilled with what he is doing at state-run New College. So we are left to inquire about his personal style and its apparent lack of appeal. Max Weber, one of the founders of the discipline of sociology (long before its capture by the left), appropriated the religious concept of charisma from St. Paul, who used it to describe a divine gift that demonstrated the authority of God residing in early speakers for Christ. In Weber's view, personal charisma was one source of authority for leaders, along with legal and traditional sources of authority for leadership. Nobody can precisely define charisma or its source in the present-day secular world, but it is usually clear to some observers when a candidate has it, though there is never unanimity. Many, many voters (though not me) found Barack Obama charismatic. I found him shallow and phony. His inability to extemporize compared to his fluency when speaking from a teleprompter was an obvious danger sign. To my eyes, Vivek Ramaswamy has charisma more than anyone else in the GOP field. He speaks with seeming authority yet also comes across as approachable and likable. Nobody can rationally account for his rise in the polls despite an utter lack of credentials for public office. But large numbers of people (me included) find him attractive and seemingly trustworthy (at least until further information modifies that impression). It seems to me that for better or worse, likely worse, voters expect a POTUS to provide them with a sense of personal comfort/attraction (call it charisma) and psychological reassurance. In the presidential politics preceding instantaneous mass media, charisma was a minor to nonexistent factor in politics, since only a tiny fraction of the voting public got to hear or see a candidate in person. FDR and radio changed all that. He used radio like a master, and his "fireside chats" built a relationship with the voting public that brought him three more presidential victories despite horribly mismanaging and prolonging the Depression. Can Ron DeSantis acquire charisma? I don't know if it is possible, but a perceptive reader who follows him closely offers this critique of his statement responding to the Georgia indictments of Trump. The statement: So, I haven't had a chance to read it all. But I will tell you, Atlanta has huge problems with crime right now. And there has been an approach to crime which has been less than exacting. I think there have been criminals that have been let out that shouldn't have been let out. And so they're now doing an inordinate amount of resources to try to shoehorn this contest over the 2020 election into a RICO statute, which was really designed to be able to go after organized crime, not necessarily to go after political activity. And so, I think it's an example of this criminalization of politics. I don't think that this is something that's good for the country. But I think a lot of Republican voters are looking at some of the things that have happened, whether it's the Department of Justice, whether it is some of the things that have happened locally, and I think the question is, okay, 'what are we going to do about it?' And I've already said, as president, we are going to end the weaponization of federal agencies like the DOJ and FBI. We'll have a new director. We will have new leadership in the DOJ. We're going to make sure that there's a single standard of justice in this country. Now, in terms of some of these local DAs: in Florida, we've actually suspended two one in Tampa and one in Orlando over the last year for failure to follow their duties and responsibilities. And as President, we will lean in against some of these local prosecutors if they are not following the law or if they are abandoning their duty to enforce the law evenly. So I think that I don't know how it's going to affect anything politically. For me, at the end of the day, it's about 'let's get this country in a good direction.' We need to have confidence in our justice system again, but before we get there, we need major, major accountability. The reader's analysis: Problem #1: Don't start with "so." He does this a lot. It's a soft lead in and does not communicate leadership and command. Just launch into what you have to say. #2: "But I will tell you..." He uses this phrase a lot as well. Useless. Just spit it out. Of course, you're going to "tell you," so just tell it! #3: "I think..." He says this constantly and it's weak. It sounds soft and uncertain. Just say what you have to say w/o starting off w/"I think." Weak. #4: "And so...." Weak. #5: Followed up w/another "I think..." #6: "I don't think this..." Just say: This is not good for our country. #7: Another "I think..." #8: "lean in" really??? WTH does that even mean? Spit it out and say what you'll do about these prosecutors. #9: "So I think that..." Wow, a twofer! "So" + "I think" put together! #10: What is he even talking about with the "- I don't know how it's going to affect anything politically"? #11: "For me, at the end of the day." Please, just stop! I am far from an onlyDeSantis person, but I find him a strong and admirable figure in modern politics and wish him well. I am not certain if this critique would even be helpful for him, but a recovery in his national popularity would be a good thing for conservatism. I don't want him to follow Scott Walker from a brave and successful governorship to a disastrous presidential campaign into political obscurity. Photo credit: X (Twitter) icon. Waw an Namus is an extinct volcanic crater located in one of the remotest destinations in Libya, deep in the Sahara desert almost at its geographic center. Waw n-Namus volcanic field is about 4 km wide, surrounded by a 10 to 20 km wide dark-black deposit of ash that stands out starkly against the yellowish desert. On the floor of the caldera there is a 120 meter high cinder cone, the apparent source of the ash, as well as three small salty, colored lakes. The name Waw an-Namus means the "Oasis of Mosquitoes", or according to other interpretation "The Crater of the Mosquitoes" , from the fact that the surrounding small lakes are infested with mosquitoes, and therefore camping nearby requires nets or repellents. A common phenomenon in the Sahara is the occurrence of reasonably potable water close to, and at nearly the same elevation as, salt lakes. This scarce source of water feeds the lakes and was also used by travellers in the old days. Due to the presence of fresh water at this remote volcano, Waw An-Namus was always an important watering point for the caravans en route from Waw Al-Kabir to Rebiana and Al Kufrah oases further southeast in Libya. Photo credit: George Steinmetz The scenic volcano was first reported to the outside world by Karl Moritz von Beurmann (1862) and Gerard Rohlfs (1881), although they never visited the site. Probably the first European to visit this volcano and report it was a Frenchman, Laurent Lapierre (1920). Lapierre was a military officer who was captured in combat and taken in captivity to Kufra via Waw Al-Kabir and Waw An-Namus, and so had the opportunity to report his adventure after his release a few years later. About eleven years later an Italian geologist, Ardito Desio, reached Waw An-Namus during his famous long camel journey. On his geological expedition, Desio also visited Jalu, Maradah, Waw Al-Kabir, Tmassah and Kufra and published a geological description of the volcano for the first time in 1935. After the Second World War, several scientists visited the volcano, including the geographer Nikolaus Benjamin Richter who undertook several trips to the volcano and published a book on his journey to the area in 1960. Since that time, and as the Libyan government began awarding petroleum concessions in Libya, several geologists, geophysicists and tourists have visited Waw An-Namus, either to explore the adjacent areas or because they were attracted by descriptions of the volcano. In the last two decades, Waw An-Namus has became one of the main destinations for most tourists who visit the Libyan desert in general and the Fezzan region in particular. Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Photo credit Sources: Libyaherald, Temehu, Arthur Upfield is one Australia's most underrated writers. His literary contributions often remain overshadowed by the brilliance of his peers. Nevertheless, Upfield has a niche following of readers who appreciate his intricate tales that skillfully weave the essence of the Australian landscape and culture with compelling mysteries, especially his novels featuring Detective Napoleon "Bony" Bonaparte. This story is set during a time when Upfield was working as a boundary rider for the Western Australian government. His job was to look after 163 miles of the Rabbit-proof fence, from the Camel Station to the town of Burracoppin, identifying breaks and fixing them. The Rabbit-proof fence is one of the longest netted fence in the world, erected in the early 1900s to keep wild rabbits out of farm lands on the western side of Australia. Every month, Upfield would walk/ride along the length of the fence clearing out fallen trees, replacing rotten fence posts and repairing damage to the netting. The Rabbit-proof fence. Photo credit: Jean and Fred Hort/Flickr Upfield had spent nearly twenty years in the outback working at various jobs when he slowly came to realize that he had to make a change. He wrote of that time: I realized that I was getting nowhere, and that my only talent was writing, through which I might reach a standard of life more fitting to the growing years and responsibilities. Upfield published his first book, The House of Cain, in 1929. It is about an innocent woman who was accused and convicted of murder, and sentenced to hang. But a gang of thieves rescue her from the gaol and whisk her away to a remote outback location to a notorious refuge for murderers. Upfields literary breakthrough came shortly after, with the publication of his second novel, The Barrakee Mystery, where he introduced the character of Boney, a half-European and half-aboriginal inspector who had a knack for solving difficult cases by finding subtle clues. Arthur Upfield By the end of 1930, Upfield had three novels under his belt, and he was already working on his fourth. The new novel would be another detective tale, as usual, but with a twistthis time, the narrative would unfold without the presence of a body for the protagonist, Boney, to uncover. Instead, Boney would have to establish that a murder had been committed, then explain how it had been committed, and finally reveal who committed it. The concept held an undeniable allure; however, it was marred by a single problemUpfield couldnt come up with a method to dispose of a body without leaving a trace. The murderer should be able to execute it using tools or materials that were readily available. Burning it to ash in a crematorium or dissolving it in a bath of corrosive acid was out of the question because such appliances were not within the reach of ordinary people in the outback. He could drop the body into an abandoned mine shaft and cover it with tons of earth, but that would not destroy the body; it would merely conceal it and still be a threat to the murderer's security. Faced with this conundrum, Upfield approached his friend George Ritchie. A boundary rider with his cart and two horses. Arthur Upfield would have used a similar cart, but pulled by two camels. Photo credit: National Library of Australia Ritchie had a quick answer, as though he had been thinking about killing someone and getting rid of evidence for a while. Ritchie suggested that the murderer burn the victims body with firewood, then using a sieve, sift any metal fragments and unburned pieces of bone out of the ash. The metal objects could be thrown down a well, and the bones grind to dust and dispersed in the wind. Upfield thought the method was flawless, but maybe it was too flawless. Even with Bony's superhuman intelligence, it seemed unsolvable. There needed to be a mistake, a critical slip-up, a fatal flaw, that would allow the crime to be figured out. But neither Upfield nor Ritchie could spot any such mistake. A few days later, Ritchie casually mentioned Upfields problem to Snowy Rowles, a twenty-five years old, fair-haired bloke, who worked as a stockman at the Camel Station. Rowles regarded Ritchies obsession with murder with distaste, and rode off without replying. Months passed, and one day in December 1929, Rowles departed from Camel Station with a station contractor named James Ryan, and a newcomer named George Lloyd. They were destined for Ryans station, some distance away. Several days later, Ritchie arrived at Camel Station claiming he had met a prospector named James Yates. Yates had told Ritchie that he had seen Rowles driving a car alone. Rowles told Yates that Ryan and Lloyd were walking through the scrub looking for timber, though Yates did not see them himself. Snowy Rowles with James Ryan's car. Photo credit: Arthur Upfield On Christmas Eve, 1929, Upfield was with a colleague in the small town of Youanmi when he met Rowles, who told him that Ryan had decided to stay in Mount Magnet and had lent him his truck. Rowles later told another person he had purchased Ryan's truck for 80. In May 1930, a New Zealander named Louis Carron, who worked at Wydgee Station, quit his job and left in the company of Rowles. At Paynesville, a mining town east of Mount Magnet, Rowles was seen cashing Carron's pay cheque. Carron's friend sent a reply-paid telegram to Rowles at Youanmi asking for information about Carron, but Rowles did not reply. Soon it became apparent that Carron was missing. Carron had kept regular correspondence with his friends, and it was for this reason that his disappearance was noticed. The area at the time had a large transient population, and for a man to appear or disappear from the area was in no way remarkable. It wasn't until police began looking into Carron's case that they realized that Lloyd and Ryan had also disappeared. Just like Carron, they were last seen with Rowles. By then, it was well-known in Murchison that Upfield was writing a novel about a perfect murder, and the police investigators soon became privy to the murder method outlined. A search was organized and in the vicinity of the 183-mile hut along the rabbit-proof fence, the police found evidence of a large fire. In the ashes of this fire, they found remnants of Carron's body including bones and pieces of skull. Amid the findings was a wedding ring, that was positively linked to Carron through identification by both the jeweler in New Zealand and Carron's spouse. When detectives were dispatched to apprehend Rowles, they recognized him as John Thomas Smith, a burglary convict who had escaped from the local lock-up in Dalwallinu in 1928. Rowles was arrested and sent back to prison. Later, he was charged for the murder of Carron. It was evident that Rowles had murdered Carron and burned his body, but he became careless and failed to strictly follow Upfield's brilliant method of disposing of evidence, leaving a few lingering clues that eventually led to his apprehension and subsequent conviction. Similar fires were discovered at Challi Bore, Ryans camp, and from the ashes investigators extracted eyelets of boots and metal parts of an accordion, similar to one that Lloyd owned. They also found fragments of bones but these were so small that experts were unable to say whether they belonged to humans or animals. Assuming that Ryan and Lloyd had discarded their boots and clothing and accordion, having in some manner been broken, before leaving the camp, they would have dropped them to the ground and left. Why go through the troubles of burning them unless the person wished to destroy something of vital importance, something that would prove that Ryan and Lloyd no longer lived? When Rowles destroyed Carrons body, he had to create a false reason as to the purpose of the fire. The hut located at the 183-mile mark and the government station Challi Bore proved to be ideal locations for concealing this deception. At both sites kangaroo carcasses were routinely burned, resulting in the presence of substantial piles of ash and animal remains. However, the breaking up bones into fragments was unusual and suspicious. Circumstantial evidences pointed to a triple murder. The police believed that like Carron, Rowles had disposed of Ryans and Lloyd's remains at Challi Bore, but at that time he had been more careful. Consequently, the prosecution struggled to establish conclusive evidence connecting Rowles to the murders of Ryan and Lloyd. At his trial, Rowles denied murdering Carron. The jury voted him guilty anyway. When asked if he had anything to say, Rowles replied: I have been found guilty of a crime that has never been committed. He was hanged at Fremantle Prison on 13 June 1932. In 1931, Upfield completed his notorious murder-mystery, which he titled The Sands of WindeeWindee being a fictional cattle station where a man named Luke Marks had disappeared. The novel earned him many accolades in the media and among fellow writers. Upfield went on to write more than 30 books and countless short stories. In the 1970s, Australian television aired a series called Boney based on the character created by Upfield. References: # The Murchison Murders by Arthur W. Upfield Samsungs August 2023 security update is now widely available for the Galaxy Z Fold 4 and Galaxy Z Flip 4. The company began the rollout in the US early last week. The update recently arrived in international markets, starting in Latin America. Users in other parts of the world will also soon get the latest security patch. The August SMR (Security Maintenance Release) for the Galaxy Z Fold 5 comes with the firmware build number F936BXXS4CWG6 in Latine America. The update is live in Chile, Guatemala, and Panama. The Galaxy Z Flip 4, on the other hand, is picking up the new security patch with firmware version F721BXXS4CWG9 in Colombia and F721BXXS4CWG8 in Chile, Guatemala, and Panama (via SamMobile). Advertisement Advertisement The official changelog supplied by Samsung confirms that the two 2022 foldables arent getting anything more than the latest security fixes. It has already published the details of the August SMR for Galaxy devices. This months security release fixes more than 80 vulnerabilities, including at least three critical flaws and dozens of high-severity flaws across the global Galaxy family. All of these security fixes should soon reach Galaxy Z Fold 4 and Galaxy Z Flip 4 users all around the world. Even in the US, the update isnt yet available for users with a carrier-locked unit. Hopefully, Samsung wont keep them waiting for much longer. As usual, you can check for updates from the Settings app. You may also get a notification once the OTA (over-the-air) rollout hits your foldable smartphone. The Galaxy A22 is also getting Samsungs August 2023 update Samsung has been rolling out the August SMR to eligible Galaxy devices since early this month. The company has already pushed the latest security fixes to dozens of models, including all of its recent Galaxy S, Galaxy Note, and Galaxy Z series flagships (except for the newly released Galaxy Z Fold 5 and Galaxy Z Flip 5). The rollout is largely limited to select markets, but all flagship Galaxy phones have received the August update at least in one market. The Korean firm is now shifting focus to budget and mid-range models. Over the past few days, it has pushed the August SMR to the Galaxy A54, Galaxy A53, Galaxy A52, Galaxy A34, Galaxy A04s, and a few others. You can now add Galaxy A22 to that list. This 2021 mid-range phone is receiving the latest security patch in Latin America with firmware version A225MUBS6CWH1. The update is not yet available for its 5G version. In this day and age of social media, its no secret that content moderation for tech giants like Meta has become one of the most pressing issues. However, as part of the ongoing AI revolution, it looks like OpenAI might have a solution, as the company recently announced that they are working on building a new content moderation system with GPT-4. How does the system work? In a recent blog post, OpenAI highlighted its new development and stated that the process first begins by inputting the moderation guidelines into GPT-4, which subsequently undergoes rigorous testing using a small corpus of problematic content. Once the initial results are in, human contributors step in to analyze both the successes and shortcomings of AI, thus enhancing its learning curve. In cases where the system encounters difficulties, evaluators have the privilege of delving into GPT-4 for explanations regarding its decisions. Advertisement Advertisement Lilian Weng, OpenAIs director of security system, highlighted the transformative potential of the new technology and stated, We believe this offers a more positive vision of the future of digital platforms, where AI can help moderate online traffic according to platform-specific policy and relieve the mental burden of a large number of human moderators. Furthermore, OpenAI emphasized that this new AI-driven content moderation tool will encompass more than just textual content, as it plans to extend its capabilities to encompass images and videos. Need for the new technology While some may argue that AIs will never be able to match the consistency of human moderators, it is important to recognize the toll that manual review of distressing and graphic content exacts on the mental well-being of human moderators. Therefore, such a system which takes the burden off of human moderators could mark a significant turning point in content moderation. Furthermore, for corporations like Meta, such a system could yield substantial financial savings. According to a study conducted by the University of Zurich, utilizing AI for content regulation proved to be up to 20 times more cost-effective than traditional human moderation. Although the advantages of an AI system are evident, its important to note that human involvement will remain crucial. This is because human moderators, for the time being, would still retain control over the final outcome, as AI systems are not yet completely foolproof. We cant build a system that is 100% bulletproof from the ground up But Im pretty sure it will be good, said Weng. A couple of weeks back, the FCC certified Samsungs upcoming smart object tracker, the Galaxy SmartTag 2, with UWB (Ultra-Wide Band) support. The device has now picked up another regulatory approval, hinting at a nearing launch. Thailands NBTC recently certified the second-gen Samsung tracker, once again confirming the presence of UWB. The original Galaxy SmartTag came more than two years ago Samsung launched its first-gen object tracker back in January 2021. Its been more than two years and the company has yet to come up with a new Galaxy SmartTag. However, the wait may end soon. Rumors about the Korean firm working on a new model have been around since early this year. Advertisement Advertisement In late June, the Galaxy SmartTag 2 showed up on the Bluetooth certification website. It revealed support for Bluetooth 5.3, an upgrade from Bluetooth 5.1 found on the original model. This upgrade should bring a more reliable and secure connection while simultaneously lowering the power consumption of the product. A month or so later, the FCC certified the Galaxy SmartTag 2. Along with confirming UWB support, the American regulatory body also revealed the trackers design. A live photo found in the FCC documents showed a much larger, oblong-shaped product. The first-gen Galaxy SmartTag was a square-shaped device. While we still await more details about the Galaxy SmartTag 2, it has received another certification. The NBTC website lists the device with the same model number that we previously spotted on the FCC and the Bluetooth SIG websites (EIT5600). First reported by TheGoAndroid, the listing doesnt reveal anything new that we dont already know. However, it indicates that Samsung wont keep the tracker under wraps for much longer now. The Korean firm has several other Galaxy products lined up for launch in the coming months, including the Galaxy Tab S9 FE, Galaxy Tab S9 FE+, and Galaxy S23 FE. We wont be surprised if the Galaxy SmartTag 2 arrives alongside these devices. There may not be a Galaxy SmartTag 2 Plus this year Samsung launched two versions of its object tracker in 2021. The standard Galaxy SmartTag was pretty barebones and relied on Bluetooth only. The Galaxy SmartTag+ added UWB connectivity. However, it appears the company doesnt plan to launch a Plus version this year. Leaks and certification listings so far have only mentioned the Galaxy SmartTag 2, and it already boasts UWB connectivity. There may not be a Galaxy SmartTag 2+ or Galaxy SmartTag+ 2. That said, Samsung could still come up with one, adding more advanced features. More details about the second-gen Galaxy object tracker should surface in the coming weeks. Qualcomm might be considering ditching TSMC and having Samsung manufacture all of its Snapdragon 8 Gen 4 chips next year. There have been reports of the company dual-sourcing the production of the chip to the two foundries, but some suggest Samsung will get the whole contract. This change is reportedly because of TSMCs poor 3nm yield rates. The Snapdragon 8 Gen 4 will be Qualcomms first 3nm solution. The Snapdragon 8 Gen 4 may not be dual-sourced, after all TSMC and Samsung are the worlds two largest semiconductor foundries, in that order. They manufacture chips for the likes of MediaTek and Qualcomm, which are fabless companies (dont own manufacturing units). Qualcomm has historically switched back and forth between the two foundries to manufacture its flagship Snapdragon chips. Advertisement Advertisement Most recently, it switched from Samsung to TSMC in 2022. The Taiwanese firm produced the Snapdragon 8+ Gen 1 and Snapdragon 8 Gen 2 (both 4nm chips) last year. It will also manufacture the Snapdragon 8 Gen 3 (4nm) this year. However, rumors are that Qualcomm plans to dual-source the production of the Snapdragon 8 Gen 4 (3nm) next year to TSMC and Samsung. According to previous leaks, TSMC will produce the standard solution, while the Korean firm will get orders for the for Galaxy version exclusive to its phones. This seems a fair split considering that Samsung will be able to better optimize the chip for its devices. However, a fresh rumor passed along X/Twitter says Samsung will get the entire manufacturing order for the Snapdragon 8 Gen 4, including the standard version. The source claims that TSMC has worse 3nm yield rates (the percentage of usable chips out of the total produced) than Samsung. Moreover, most of its 3nm capacity is reserved for Apple. Qualcomm can only get about 15 percent of the total capacity. This means a potential last-minute supply shortage if TSMC cant improve its yield rates, which is a big challenge. Qualcomm cant risk that and is planning to ditch TSMC and move entirely to Samsung. The Snapdragon 8 Gen 4 will debut in late 2024 and will power flagship phones in 2025. All this said, let us tell you that this information comes from an X/Twitter user who claims to be a semiconductor research student studying semiconductor technologies from Taiwan and South Korea, the home countries of TSMC and Samsung, respectively. The source has been sharing insider information about the semiconductor industry for a while now. It remains to be seen how much of this is true, if at all. There are many reasons why Android smartphones and tablet devices are the best choice for gamers. They work just as well as iOS devices and are much cheaper. Android devices have you covered whether you are playing the more graphically demanding, high-end AAA video games, feature-rich online slot machines, or cutting-edge live dealer casino games at licensed mobile casinos. Advertisement Advertisement Lets take a closer look at some of the best Android smartphones for gaming that money can buy in 2023. Why do people use Android devices to play their favourite games? Android smartphones and tablets offer high performance and can handle most types of games really well. Android mobile devices come at great value and are far more affordable than Apple iOS devices. They also have excellent battery life, which is ideal for spending hours playing games. They are durable, relatively lightweight, and designed with comfort in mind. In short, Android handheld devices are perfect for all your gaming needs. They also come with fantastic accessories that can make your online gaming experience even more enjoyable. Are Android devices good for playing online casino games? Yes. Todays market-leading online casino software providers have optimized their games for Android mobile devices. They are great for playing thousands of fast-loading online casino games at any of todays best Canadian online casinos (provided you are 19+). If you want to learn more about the best deposit bonus Canada has to offer in 2023, go to the official CasinosCanada.com website. It has the latest unbiased reviews and secure links to trusted sites like Casino.com, Betsafe Casino, BetPlays Casino, ArcaneBet Casino, BetAndPlay Casino, and Coinslotty Casino, to name just a few top sites. Which Android smartphones are best for gaming? There are hundreds of reliable Android devices that are great for playing games. However, some Android smartphones are far better than others. If youre currently in the market for an Android device to play your favourite games, carry out your own research into one of the following highly recommended devices to see which would best suit you: Advertisement Google Pixel 7 Pro (best overall software and best high-end pixel phone) Motorola Edge Plus (best value for money gaming smartphone) Xiaomi Black Shark 5 Pro (best device for its built-in gaming features) Samsung Galaxy S23 Ultra (best overall Android smartphone for gaming) ASUS ROG Phone 7 Ultimate (another epic device with amazing battery life) Google Pixel 7a (best affordable Android gaming smartphone) Motorola ThinkPhone (best flagship Android smartphone for gaming) Samsung Galaxy Z Flip 4 (best Android flip phone for gaming) You may also want to consider investing in the Motorola Razr Plus, the Samsung Galaxy Z Fold 5, and the OnePlus 11, to name just a few trusted Android gaming smartphones. What are the most popular mobile games for Android devices in 2023? After investing in one of these reliable Android smartphones, you may want to check out some of these games that are popular in 2023. If you want to start by playing the latest mobile video games, try Call of Duty: Warzone, Honkai: Star Rail, Terra Nil, Call of Dragons, Forever Skies, Resident Evil 4, and Takt Op. If thats not enough to keep you going, you may also like to try PARANORMASIGHT: The Seven Mysteries of Honjo, Mortal Kombat: Onslaught, Ultra Blade, Garten of Banban 3, Dust & Neon, and Limbus Company. For those of you who are looking for todays most popular online casino games, remember to check out several live dealer games, such as MONOPOLY Live by Evolution Gaming, Snakes & Ladders Live by Pragmatic Play, and Live Adventures Beyond Wonderland by Playtech. Advertisement Other hit titles include Live XXXtreme Lightning Roulette, Live Extra Chilli Epic Spins, Live Who Wants to be a Millionaire, and Deal or No Deal Live. If you want to try the latest slots, dont forget to check out the WowPot Jackpot slots by Games Global, Gladiator Jackpot from Playtech, Jumanji by NetEnt, Diamond Mine Megaways Jackpot King by Blueprint Gaming, and Book of Dead by Playn GO. Final note To play any of these casino games for real money, you must be at least 19 years old if you live in Canada. The same goes for some of the triple-A video games mentioned above. Some have a PEGI-18 age rating, meaning you must be at least 18 years old to play them. If you play any of the casino games mentioned above for real money, remember to gamble responsibly and take advantage of the safer gambling tools to ensure you have a safe experience each time you play. (ANSA) - ROME, AUG 16 - Consumer group Codacons said Wednesday it was set to file a complaint on recent allegedly unjustified petrol hikes to over 100 Italian prosecutor's offices. On the recent fuel price increases, Codacons announced for the next few days a complaint to 104 Public Prosecutors of the Republic throughout Italy and to the regional commands of the Guardia di Finanza tax police. "We ask the judiciary to intervene on the price increases that are recorded on the occasion of the departures of Italians (for the summer holidays), opening an investigation aimed at verifying the possible case of price rigging and gouging," said Codacons President Carlo Rienzi. "We want to understand what is the cause of the sudden increases in price lists at the pump and if there are possible speculative maneuvers aimed at raising prices on the occasion of citizens' movements". (ANSA). (ANSA) - ROME, AUG 16 - Italy's proposed cap on air fares is in line with European Union norms and is not a breach of free-market rules as claimed by European airlines who have asked the European Commission to intervene in the matter, Italy's transport ministry said Wednesday. "The measures introduced by the Ministry of Enterprise and Made in Italy (against the high cost of flights) are fully in line with European directives on the protection of consumers in the face of speculative phenomena, or in any case distortions of the market, such as those reported in recent months by the controlling authorities for some air routes", said ministry sources. They added that "the ministry has all the elements needed to respond, in a complete manner, to any requests from the European Commission as is customary through its own offices". Airlines have asked the European Commission to intervene in relation to plans by the Italian government to cap air fares on certain domestic routes, according to an article published by the Financial Times on Tuesday. In a letter seen by the London newspaper the trade body Airlines for Europe (A4E) has called on Brussels "to clarify with Italy that this intervention impacts the free and deregulated air transport market in Europe". Last week the government of Premier Giorgia Meloni approved a decree setting a ceiling on the prices of flights to the Italian island regions of Sicily and Sardinia. However, A4E argues that this could "set a precedent and lead to a domino effect". Limiting fares would "violate" the rights of companies to '"compete wherever possible, set prices and define services as they see fit", the trade body added. Ryanair CEO Eddie Wilson has already blasted the measure clamping down on the use of algorithms to set flight prices, calling for it to be scrapped. (ANSA). (ANSA) - ROME, AUG 16 - Three major Italian cities, Bolzano, Brescia and Firenze, were put on red heat alert from Wednesday until Friday, as weathermen warned that the latest heatwave is set to strengthen its grip on the country over the coming days. The health ministry said that Bologna and Perugia would also be on red alert on Friday. When the health ministry puts a city on red alert it means the heat is so intense it is considered a threat to the whole population, not just to vulnerable groups such as the sick, the elderly and small children. Rome would instead be on the lower orange alert on Thursday and Friday. The new heatwave, caused by the African 'Anticyclone Nero', is expected to peak over the weekend, with temperatures reaching 40 decrees Celsius in Tuscany and the Po Plain, according to Antonio Sano, founder of ilmeteo.it. Lower temperatures can be expected in the south from the start of next week, and Sano said the heatwave could be swept away by the cold Atlantic front that is set to arrive between August 26 and 27. (ANSA). (ANSA) - ROME, AUG 16 - Veneto in northern Italy has declared war on blue crabs, which are reportedly wrecking havoc on the local clam population in the Po delta area. Veneto governor Luca Zaia showed reporters two live specimens during a press conference on Wednesday, claiming that the crustaceans "break everything and cause disasters". 326 tonnes of the aggressive invading species, originally from the western Atlantic, have already been harvested in Veneto this year, including 84 tonnes in Scardovari (Rovigo) and 29 tonnes in Pila just in August. "The region has earmarked 80,000 euros for initial studies," said Zaia, adding that the government has also allocated "a good 3 million euros" to combat the invasion. "We declared a state of emergency on 24 July and have requested a national state of emergency," he continued. Zaia also announced that from Thursday the regional environmental agency Arpav and Veneto Agricoltura would begin setting 300 traps in order to monitor the distribution and spread of the blue crab population. (ANSA). British veteran actress Anne Reid said she was pigeonholed into playing domestic roles despite her public school education as she was considered to be very downmarket. The 88-year-old said she absolutely loves playing Lady Denham, the matriarch of Sanditon, in the hit ITV period drama series based on the unfinished Jane Austen novel of the same name, which returns for its third and final series on Thursday. However during her career, Reid was never being considered to be aristocratic, she said. Anne Reid as Lady Denahm in ITVs adaptation of Jane Austens incomplete novel Sanditon (Simon Ridgway/ITV/PA) Appearing on Good Morning Britain, Reid said: Its glorious playing the Lady of the manor because I play domestic people, they always thought of me as being domesticated which Im not. They used to think I would act better if Ive got a pan in my hand because in Upstairs, Downstairs I was in the kitchen. So actually to be aristocratic, I dont know whether people think Im not, but there are some people in this business, you get put into a slot. I mean Sir Derek Jacobi, who actually grew up in a tobacconist shopI actually went to public school because my father was abroad, but he (Sir Derek) was always considered to be aristocratic and Im always considered to be very down market. Reids next role will see her play a pensioner in BBC true life crime drama series The Sixth Commandment, which explores the deaths of Peter Farquhar and Ann Moore-Martin in Maids Moreton, Buckinghamshire. Speaking about co-star Eanna Hardwicke in the role of sadistic church warden Benjamin Field, Reid said: He was very convincing that he was in love with me. Hes a really good actor, hes not a bit like that. Hes very spooky (in the series), I want to see him do some high comedy now. In 2019, Field was jailed for at least 36 years following a campaign of physical and mental torture. Reid described the four-part series director Saul Dibb as wonderful, saying: Its very difficult to explain to somebody whos never actually worked in the studio what a difference it makes to have somebody who supports you. Talking about acting, she added: I think its overrated, I dont find it terribly hardI would say I could teach anybody to act in an hour. I wanted to be a dancer. I was dance mad, I danced every night when I was a little girl and when I was 11, my parents went abroad and I was sent to boarding school and the dancing stopped. I think thats when I started to put weight on, having danced every night of the week and Saturday mornings. The British Museum has announced items from its collection were found to be missing, stolen or damaged. The London museum, which houses artefacts from around the world, has launched an independent review of security as it said a staff member at the institution has been dismissed. The matter is also under investigation by the economic crime command of the Metropolitan Police. Legal action will be taken by the museum against the unnamed staff member, the institution said. Hartwig Fischer, director of the British Museum, said: The museum apologises for what has happened, but we have now brought an end to this and we are determined to put things right. George Osborne is chair of the British Museum (Ian West/PA) We have already tightened our security arrangements and we are working alongside outside experts to complete a definitive account of what is missing, damaged and stolen. This will allow us to throw our efforts into the recovery of objects. In July, the museum announced that Mr Fischer, a German art historian, would step down from his role next year. The items include gold jewellery and gems of semi-precious stones, and glass dating from the 15th century BC to the 19th century AD. The museum described them as small pieces, which were not recently on public display and were mainly used for research and academic work. George Osborne, chairman of the British Museum, said: The trustees of the British Museum were extremely concerned when we learnt earlier this year that items of the collection had been stolen. The trustees have taken decisive action to deal with the situation, working with the team at the museum. We called in the police, imposed emergency measures to increase security, set up an independent review into what happened and lessons to learn, and used all the disciplinary powers available to us to deal with the individual we believe to be responsible. Our priority is now threefold: first, to recover the stolen items; second, to find out what, if anything, could have been done to stop this; and third, to do whatever it takes, with investment in security and collection records, to make sure this doesnt happen again. This incident only reinforces the case for the reimagination of the museum we have embarked upon. Its a sad day for all who love our British Museum, but were determined to right the wrongs and use the experience to build a stronger museum. Hartwig Fischer, who will step down as director of the British Museum next year. (Benedict Johnson/The British Museum) The review will be led by former trustee Sir Nigel Boardman, and Chief Constable of the British Transport Police, Lucy DOrsi. They will provide recommendations regarding future security arrangements and start a vigorous programme to recover the missing items, according to the museum. Sir Nigel said: The recovery programme will work to ensure the stolen items are returned to the museum. It will be a painstaking job, involving internal and external experts, but this is an absolute priority however long it takes and we are grateful for the help we have already received. The PA news agency understands that the items were taken before 2023 and over a significant period of time. A spokesperson for the Met Police said: We have been working alongside the British Museum. There is currently an ongoing investigation there is no arrest and inquiries continue. We will not be providing any further information at this time. Items have gone missing from the museum in previous years including a number of coins and medals in the 1970s and a 1993 break-in when Roman coins were taken. In 2002, the museum reviewed security following a 2,500-year-old Greek statue being stolen by a member of the public. The institution said at the time that the Greek Archaic Gallery had been open to the public but there was no permanent guard on duty when the 12cm-high marble head was taken. Two years later, Chinese gems also went missing. In 2017, it was revealed a 750,000 Cartier ring diamond from the heritage asset collection had been reported absent in 2011. Detectives investigating criminality linked to a major PSNI data breach have arrested a man on suspicion of collection of information likely to be of use to terrorists. The 39-year-old man has been detained after he was arrested following a search in Lurgan, Co Armagh. He is being questioned by detectives at Musgrave serious crime suite in Belfast. Detective Chief Superintendent Andy Hill said: We are working tirelessly to address the risk posed to officers and staff. Todays search operation, and subsequent arrest, is just one piece of a large-scale operation. We will continue in our efforts to disrupt criminal activity associated with this Freedom of Information data breach and to keep communities, and our officers and staff who serve them, safe. Detectives investigating criminality linked to last weeks freedom of information data breach, have carried out a search in Lurgan today, Wednesday 16 August, and made an arrest. pic.twitter.com/4Wv5VvQmzB Police Service NI (@PoliceServiceNI) August 16, 2023 Last week, the Police Service of Northern Ireland (PSNI) revealed a document had mistakenly been shared online in response to a Freedom of Information request which included the names of about 10,000 officers and staff. Details released included the surname and first initial of every employee, their rank or grade, where they are based and the unit they work in. This week, PSNI Chief Constable Simon Byrne said he believed the information was in the hands of dissident republicans. It followed the posting of documents from the leak on a wall near a Sinn Fein office in Belfast. Many officers have expressed concern for their safety in Northern Ireland, where police are under threat from terrorists with the current level of threat assessed as severe, meaning an attack is highly likely. Earlier this year, dissident republicans were blamed for the attempted murder of senior PSNI detective John Caldwell. Archbishop Eamon Martin said he had spoken to the Chief Constable about the data leak (Liam McBurney/PA) Meanwhile, the Catholic Primate of all Ireland, Archbishop Eamon Martin, said he had spoken to Mr Byrne on Wednesday to express his concerns about the data leak. He said: In recent days I have spoken to several families and relatives of Catholic PSNI members. I wish to state my unequivocal support for all those who serve as police members or civilian support workers for the PSNI. I have previously encouraged young people from the Catholic community to consider a career in policing. Today I reiterate this sentiment. For that reason I also call on everyone in the community to reject entirely those who would intimidate or threaten the courageous women and men including those from the Catholic community who selflessly choose this noble vocation of policing. He added: I am in no doubt that the future of peace and prosperity across the island of Ireland will depend upon respect and support for policing. Today I assured the Chief Constable that all members of the PSNI, and their families, are in my prayers at this time. The founder and organiser of the Leeds Festival has told an inquest he does not believe unaccompanied 16 and 17-year-olds should be banned from the event despite the death of a teenager who took ecstasy at last years event. Melvin Benn had to compose himself as he became emotional shortly after he began giving his evidence on Wednesday at the inquest into the death of 16-year-old David Celino. He told Davids parents, who were sitting just a few feet in front of him at Wakefield Coroners Court, of his genuine sorrow at their sons death. Leeds Festival founder and organiser Melvin Benn told David Celinos parents of his genuine sorrow at the 16-year-olds death (Dave Higgens/PA) Mr Benn, who is managing director of Festival Republic, became visibly upset and had to take a few moments to compose himself before he revealed that he had lost a nephew to drugs. He told the court that Davids death had taken an emotional toll on myself and all of my staff, no question about that. The inquest has heard that David had gone to the festival, which attracts more than 90,000 people every summer to Bramham Park, near Leeds, with five friends from their homes in Worsley, Greater Manchester, in August last year. He became ill after taking ecstasy, which his group bought from a dealer in the Camping Plus area of the festival, and died after being taken to hospital in Leeds. Earlier this week, Davids father, Gianpiero Celino, told the inquest of his concerns that unaccompanied youngsters are left prey to drug dealers who operate like the child catcher in Chitty Chitty Bang Bang due to lax security. Mr Benn told the hearing on Wednesday: Itll be no surprise to know that I dont believe that there should be a bar on 16- or 17-year-olds. Gianpiero Celino (right), the father of David Celino, arrives at Wakefield Coroners Court for the second day of the inquest into his sons death (Dave Higgens/PA) He agreed there is no such prohibition at any major festival in the UK, including Glastonbury, and the coroner discussed with him some of the practical difficulties of such a move. He said he believes 16-year-olds should be able to buy alcohol but the current law prohibiting alcohol sales for under-18s is rigidly applied at the festival. Mr Benn was asked by senior coroner Kevin McLoughlin about Mr Celinos belief that predatory drug dealers targeting teenagers is a foreseeable risk. He replied: Somehow Mr Celinos evidence really pulled it into focus. It struck home. Mr Benn, who also organises the Reading Festival and a number of others around the country, said: They (teenagers) do have naivety. They do have a false sense of security. And that was put into focus by Mr Celino. I have never had that focus. Its never been quite as clear as it was yesterday. Mr Benn said this years festival, which starts next week, will have a much more visible security and help presence. The inquest has heard that it is estimated around 20% of festival attendees are 16 and 17-year-olds and Mr Benn said he was putting more sophisticated systems in place this year to give an accurate picture of this data, using ID and wristbands. He said he believed the provision of AIR (Assistance, Information and Response) Hubs at the festival, staffed by volunteers rather than security staff, was the best way to provide support to youngsters. Mr Benn said these had been introduced as a direct response to Davids death and all festival-goers should be within 200m of a hub. But Mr Benn told the inquest: I do not think drugs are any more freely available at festivals than they are in society generally. Under questioning from Mr and Mrs Celinos barrister, Sarah Barlow, Mr Benn said he did not see what else he can do make sure attendees are aware of the risks around drugs at the festival, but he said he would welcome talking to the family about their thoughts on the matter. Im always open to ideas, he told the hearing. Im not sure what more I could be doing. Asked by Festival Republics barrister Paul Greaney KC, Mr Benn said it was impossible to stop drugs getting onto the festival site. He said searches were targeted because it was practically impossible to properly search everyones bags, tents and sleeping bags for drugs. Mr Benn was asked about whether he had considered introducing so-called front-of-house testing, so drug-users can find out what is in the substances they have bought. He said that the Home Secretary has prohibited this from taking place at festivals, adding that he believed it can give people the wrong idea that their drugs are safe to take. The coroner allowed a break in proceedings on Wednesday afternoon to allow Mr Benn to meet with Davids parents before he had to leave Wakefield. Detective Chief Inspector Philip Jackson told the court he also investigated the death of 17-year-old Anya Buckley, from Oldham, who died after a drug overdose at Leeds Festival in 2019. He said the circumstances were different to Davids death and that investigation led to the dismantling of an organised crime group in Oldham. Mr Jackson agreed that an opportunity was missed when officers did not immediately search for the dealer who supplied David and his friends but he said officers priority on the night was the teenagers welfare. The inquest heard that Festival Republic had drafted in retired West Yorkshire Detective Chief Superintendent Nick Wallen who led the investigations into the murders of MP Jo Cox and school teacher Ann Maguire to draw up its revised security plan for the festival. Jamie Oliver and his family have enjoyed a big payout after the celebrity chef helped households create 1 meals during the cost-of-living squeeze. The chefs businesses notched up higher profits amid plans to relaunch a restaurant in the UK. His empire, which includes TV programmes, recipe books, branded products and partnerships as well as restaurants overseas, made a pre-tax profit of 7.7 million over 2022, 17.5% higher than the previous year. Jamies 1 Wonders programme saw a bigger-than-usual share of younger viewers (Jamie Oliver Productions/Plum Pictures/PA) A total dividend of 6.8 million was paid to shareholders during the year. Oliver and his family own the majority of the groups shares, meaning they will receive a big chunk of the payout. The improvement was driven by an 8% jump in revenues over the year after the group doubled income from programme production and franchised restaurants. Sales for the Jamie Oliver Cookery School, which offers cooking classes in London and online, also grew by more than a third last year. The celebrity chef produced popular TV series last year including Jamies One-Pan Wonders, which had an average of 1.2 millions viewers per episode, and Jamies 1 Wonders, with an average of 1.4 million viewers. The latter programme, which was focused on the cost-of-living crisis as households looked to cut back everyday spending, appealed to a younger demographic with a higher-than-usual proportion of 16 to 35-year-olds tuning in, the group said. The earnings reflect a big financial improvement for Jamie Oliver Group after his restaurant chain, Jamies Italian, collapsed into administration in the UK in 2018 with debts of up to 83 million. He still has franchised restaurants in countries around the world, including in Dubai and Saudi Arabia, and Jamies Deli in Europe. Last week, he announced the launch of a restaurant in Londons Catherine Street, due to open in November, amid a return to the UK restaurant industry. The groups chief executive, Kevin Styles, said: The Jamie Oliver brand continues to resonate with audiences around the world. We plan to bring together all aspects of our group, including media, restaurants, products and the Ministry of Food, with a strong digital transformation plan. He also detailed plans to enter new markets and grow the businesss global reach. The Killers have apologised after frontman Brandon Flowers was booed for inviting a Russian fan to play the drums on stage during a concert in Georgia. The lead singer, 42, suggested the crowd were brothers and sisters, which was not well-received and prompted fans to walk out in protest before the end of the show in the Black Sea resort of Batumi on Tuesday. Georgia has a long history with Russia, having gained independence in 1991 before Moscows forces invaded in 2008, and they continue to occupy two breakaway regions. Tensions have been exacerbated by Russias invasion of Ukraine last year, which prompted a number of Russians to emigrate to Georgia. In a statement posted on Twitter after the performance, the Killers said: Good people of Georgia, it was never our intention to offend anyone! We have a longstanding tradition of inviting people to play drums and it seemed from the stage that the initial response from the crowd indicated that they were okay with tonights audience participation member coming onstage with us. We recognise that a comment, meant to suggest that all of the Killers audience and fans are brothers and sisters, could be misconstrued. We did not mean to upset anyone and we apologise. We stand with you and hope to return soon. Brandon Flowers of The Killers (Zak Hussein/PA) The band reportedly invited the Russian fan on stage to help them perform 2006 track For Reasons Unknown. In footage shared on social media, Flowers is heard saying: We dont know the etiquette of this land but this guys a Russian. You OK with a Russian coming up here? After the performance, which received substantial boos, Flowers addressed the issue saying: You cant recognise if someones your brother? Hes not your brother? Am I not your brother, being from America? He later added: One of the things we have come to appreciate being in this band is it brings people together and tonight I want us to celebrate that were here together and I dont want it to turn ugly. And I see you as my brothers and my sisters. David Kezerashvili, who was Georgias defence minister when Russian invaded in 2008, said: Its not hard to see why a touring American rock band could have unwittingly upset local sentiment on what is a complex issue for many outside the country to understand. For a start, a huge disconnect exists between the attitudes of ordinary Georgians and their current government when it comes to Russia. While the Georgian public believes their future lies with the West, their leaders increasingly lean towards Moscow. Most in the country were appalled when the government refused to impose sanctions on Moscow following its invasion of Ukraine, and that anger only deepened when a threat was made to strip Georgians of their citizenship if they dared to join the fight on the front line. People are naturally disturbed at the growing influence of the Kremlin in Georgias government, and there is the nagging suspicion among many that Vladimir Putin is taking over the country by stealth. The events which took place at the concert perfectly illustrate these concerns. The Scottish Governments legal challenge against the UK Governments decision to block gender reforms has been locked in for September after both parties told a court they are ready to proceed. The Scottish Courts and Tribunals Services (SCTS) planned livestream of the procedural hearing at the Court of Session in Edinburgh on Wednesday did not go ahead due to technical issues. The hearing was intended to be livestreamed as part of the SCTSs commitment to open justice. During the 20-minute hearing, the court decided that the petitioner the Scottish Governments case will be presented first, with the respondent the UK Government speaking during the remainder of day two and three. However, a statement from the SCTS said: Due to technical issues we were unable to livestream todays procedural hearing, which has now concluded. It was concluded until September 19 for the substantive hearing. We apologise for any inconvenience caused and are working to resolve any issues for future hearings. First Minister Humza Yousafs Government is challenging a Westminster block on gender recognition reforms (Lesley Martin/PA) The full hearing will be heard by judge Lady Haldane and will take place at the Court of Session over three days. In April, First Minister Humza Yousaf confirmed the Scottish Government would mount a legal challenge over the UK Governments use of Section 35 powers, which prevented the Gender Recognition Reform (Scotland) Bill from gaining royal assent. Scottish Secretary Alister Jack had utilised the never-before-used powers under the Scotland Act the legislation which established the devolved Scottish Parliament to halt the gender laws, which aim to make it easier for trans people to self-identify and obtain a gender recognition certificate. Mr Yousaf said the legal challenge was necessary to defend the Scottish Parliaments democracy from the Westminster veto. Prime Minister Rishi Sunak previously said the UK Government had taken very careful and considered advice on the issue. He said his ministers had concerns about how the Scottish laws would interact with reserved powers, about the operation of the Equalities Act, as well as over the protection of women elsewhere in the UK. Across the world, tourists continue to let themselves and their home countries down with mindless acts of vandalism and disrespect. No matter how sacred or significant to a nation's culture, treasured landmarks across the world are constantly at risk from disrespectful tourists. Far from being satisfied with a simple photo, some people have scrawled their names or other messages onto irreplaceable monuments and historic structures. Others appear incapable of reading signs, such as a woman recently filmed climbing into Rome's Trevi Fountain to fill up her water bottle appearing confused when security guards confronted her. It wasn't immediately clear if the woman was arrested or fined, but the video has prompted plenty of outrage online since it was shared earlier this week. Watch: Tourist wades through historic Trevi Fountain to fill up her water bottle Here, Yahoo News UK takes a look at other cases of historic landmarks being vandalised and disrespected by rude or absent-minded tourists. The Colosseum, Italy One of Rome's most well-known landmarks, the Colosseum, has been defaced plenty of times over the years. Last month, a 17-year-old Swiss girl was filmed carving the letter 'N' into the ancient amphitheatre and found herself at the centre of a police investigation. Tour guide David Battaglino, who filmed the teenager, said the girl's parents tried to defend her by saying: "She's just a little girl, she wasn't doing anything wrong", La Repubblica reported. The teen and her parents were taken to a police station in Rome's Piazza Venezia, but it is not clear what happened to the family afterwards. Read more: Should parents be fined if their children are convicted of anti-social behaviour? British tourist Ivan Dimitrov, 27, claims he didn't know how old the Colosseum was. (YouTube) That incident came just weeks after a 27-year-old British tourist from Bristol was caught on camera defacing the same landmark. Ivan Dimitrov was caught using a key to carve 'Ivan + Hayley 23' his and his girlfriend's names into the wall of the nearly 2,000-year-old amphitheatre. He later wrote a "heartfelt and honest" apology "to the Italians and to the whole world" in a letter, claiming he wasn't aware of how old the structure was. If convicted for the offence, Dimitrov could face a fine of up to 15,000 euros (12,500) as well as a prison sentence of two-to-five years, the BBC reported. Watch: British tourist who carved names into Colosseum issues apology to people of Italy Luxor Temple, Egypt A Chinese teenager named Ding Jinhao provoked anger in both Egypt and his own country after he vandalised the 3,500-year-old Luxor Temple in 2013. Clearly not content with taking a picture, he scrawled 'Ding Jinhao visited here' in Chinese across one of the sandstone carvings. Another tourist from China spotted him and took a picture of the incident, posting it to Chinese social networking site Weibo with the comment: "My saddest moment in Egypt. Ashamed and unable to show my face." Read more: Rapper Ice Cube weighs in on Harry and Meghan stepping back from Royal Family Chinese characters were left on the right-hand side of this carving, reading 'Ding Jinhao paid a visit here'. (Reuters) He was eventually revealed to be a middle school student in Nanjing in east China's Jiangsu Province. His school's website was hacked and visitors had to click a box saying "Ding has visited this place" before being able to visit, Beijing News reported. Ding's parents issued an apology via an interview with a newspaper in Nanjing. His mother told local newspaper Modern Express: "We want to apologise to the Egyptian people and to people who have paid attention to this case across China." Temple of Kukulcan, Mexico It isn't only graffiti at historic sites that gets people's backs up. Many of these landmarks are very old, and authorities want to do all they can to keep them preserved often by banning tourists from walking or climbing on them. Abigail Villalobos, a 29-year-old Mexican, clearly didn't get that message when she was filmed last year climbing the steps of the ancient Temple of Kukulcan and dancing. Read more: Hawaii wildfires: Aerial images show devastation in Maui as death toll reaches 99 Honestly, she needs to be worried about more than just people booing her. https://t.co/D6IPCQa3D3 Bag Ah Sugar Ten! (@tenilleclarke1) November 22, 2022 In a TikTok video that went viral, she appeared to pose for pictures as angry people down below shouted jail, jail, jail and lock her up" in response to her disrespecting one of the seven wonders of the world. She was arrested by police, and after spending half an hour in custody, paid a fine of 5,000 pesos (about 230). The Great Wall, China Three tourists were caught scrawling their names on the Great Wall of China in 2021 and were subsequently fined by authorities. The two men and one woman were found to have defaced the Badaling zone the most popular part of the wall for tourists, MailOnline reported. It isn't known exactly how much they were fined, but the penalty for carving or scrawling on a historic building in the People's Republic is less than 200 yuan (about 22). Those causing more serious damage can be detained for up to 10 days and fined 500 yuan (about 54). Read more: Are there any big cats in the UK countryside? Three tourists were caught and fined for leaving their mark on this ancient landmark. (Alamy) Chiang Mai Old City Wall Gates, Thailand A 23-year-old British tourist faced the prospect of 10 years in a Thai prison after spray-painting 'Scouser Lee B' on an 800-year-old wall. Lee Furlong, 23, was arrested alongside Canadian Brittney Schneider, at a guest house in Chiang Mai in northern Thailand in 2018. He was caught on CCTV using black spray-paint to leave his mark close to Tha Phae gate, on the walls surrounding the old city, with police tracking him down to his hostel. The backpacker, originally from Liverpool, was mocked online for misspelling 'scouse' or 'scouser' as 'scousse'. Eventually he was spared jail after his parents agreed to pay a fine of 2,400, The Mirror reported. Watch: British tourist 'Scouser Lee' confronted by Thai police for vandalising 800-year-old wall Moai figure, Easter Island In 2008, a 26-year-old tourist from Finland snapped off an earlobe from an ancient Moai statue on Easter Island, a Chilean territory in the South Pacific. Marko Kulju's actions resulted in the lobe broken into several pieces, prompting outrage among locals. The island's mayor even went as far as suggesting: "If an ear is cut off, then an ear gets cut." Read more: How much did Bibby Stockholm cost? Marko Kulju's vandalism of a Moai stone sculptures sparked outrage across Easter Island. (Alamy) Maoi are monolithic human-like sculptures, carved by the Rapa Nui people between the years 1250 and 1500 meaning they are in no way replaceable. Kulju issued an apology for his vandalism and was reportedly kept under house arrest at his hotel for 13 days, The Independent reported. According to the BBC, he was fined 8,500 and told to stay away from the island for three years. Prime Minister Rishi Sunak has said he is committed to reducing carbon emissions as he ruled out the suggestion of a referendum on the pledge to have a net zero economy by 2050. Mr Sunak said the target could be achieved in a proportionate and pragmatic way without stinging the publics pocket. Conservative success in last months Uxbridge and South Ruislip by-election, which saw local campaigners tap into anger over the expansion of the ultra low emission zone (Ulez), has led some backbench MPs to call on the Government to review other green policies. Since the shock win in Boris Johnsons former seat, Mr Sunak has signalled he is on the side of motorists and used a visit to Scotland to announce plans to grant more than 100 new licences for oil and gas extraction in the North Sea. The Tory Party leader has insisted that, despite concerns raised by the likes of former Conservative environment minister Lord Goldsmith about the Prime Ministers green ambitions, he is working towards the 2050 net zero target. Speaking to ITV News in Leicester on Wednesday, Mr Sunak said: Im committed to net zero. I have two young daughters, I care about the environment that we I leave them. My job is to leave it in a better state than I found it. But I think the path to net zero has got to be one that we tread carefully, that we bring everyone along with us on that journey, and we make that journey in a proportionate and a pragmatic way. So, my view is Im committed to it. We will get there. Anti-Ulez sentiment has been credited with helping the Tories to hang on to Boris Johnsons former Uxbridge seat during the recent by-election (James Manning/PA) We will bring people along with us as we do, we will not unnecessarily burden them with extra hassle or extra cost as we do it. That is my overall approach to net zero. When asked whether he would consider putting the 2050 deadline to a public vote, Mr Sunak appeared to rule it out, saying he thought there was agreement on it. I think most people are committed to getting to net zero, but getting there in a proportionate and pragmatic way, he continued. That seems to me that common-sense approach to doing this, I think that has broad support. Mr Sunak was also asked about Greenpeace targeting his constituency home while he was away on holiday in the US with his family. Greenpeace activists on the roof of Prime Minister Rishi Sunaks house in North Yorkshire (Danny Lawson/PA) A group of demonstrators scaled the Sunaks Grade II-listed constituency manor house in Richmond, North Yorkshire, to protest against his oil and gas licences announcement. The activists draped an oil-black fabric from the roof, staying there from about 8am to 1.15pm, when they were arrested and later bailed as part of an ongoing investigation by North Yorkshire Police. The Prime Minister suggested he agreed that it should not have been possible for protesters to get to his Yorkshire home, but said it wouldnt be right for him to comment further following the arrests. Mr Sunak said he had given the police additional powers to crack down on these kinds of eco extremists, these eco zealots, the Just Stop Oil and others who are disrupting all these sporting events over the summer in particular. The publics concern about climate change and the environment has seen a sharp jump this summer. One in four Britons (25%) see the environment as an important issue for the country, up by 13 percentage points since July, the latest Ipsos Issues Index suggests. Concern around the issue now stands at the highest level since the Cop26 conference in Glasgow in November 2021. It also now ranks joint third with the NHS, which saw a drop of eight percentage points since July. The findings come after extreme temperatures, wildfires and ocean warming across the world hit headlines in July. The Government also recently signalled a softening of green policies due to cost pressures facing households, prompting a backlash among MPs, environmental groups and scientists. The Ipsos Issues Index, which is conducted monthly, gathered the opinions of 1,004 adults across Great Britain between August 2 and 7. It found that the economy and inflation remain the two biggest issues with 37% of Britons mentioning each. (PA Graphics) The proportion of people mentioning the economy rose by seven percentage points since last month, with almost a quarter (23%) seeing it as the single most important national concern. Meanwhile, concern about immigration is at its highest level since 2017, hitting 23% after a two percentage point jump since July. Significant differences on the issue remain among different groups, with Conservative supporters (36%) and those aged over 65 (30%) more likely to mention it, compared with Labour supporters (11%) and those aged 18-34 (14%). Mike Clemence, a researcher at Ipsos, said: Climate change and the environment has risen to become seen as the joint-third biggest issue facing the country this month, and news reports about wildfires and extreme temperatures disrupting holidays are a likely cause. Elsewhere we see a small rise in concern about immigration, with worry strongly centred among Conservative party supporters and older people. However, economic issues continue to lead public concern, with worry about the state of the economy rising this month, particularly for Labour party supporters and the middle classes. Last month, Downing Street made clear that ministers are scrutinising existing net zero pledges in light of some of the cost-of-living challenges, citing the potential for technological advances. Rishi Sunak also confirmed Government support for around 100 new licences for oil and gas extraction in the North Sea. He has insisted that granting the licences is entirely consistent with the UKs commitments on net zero, despite campaigners calling it wrongheaded. Small boat crossings are continuing as the number of migrants arriving in the UK via the English Channel looks set to top 17,000 so far in 2023. About 50 suspected asylum seekers were seen being brought ashore on the Kent coast by the RNLI Dungeness lifeboat on Wednesday. Reports suggested that at least 100 potential asylum seekers had also arrived in Dover since the early hours, with migrants making use of the calmer weather to cross the strait between England and France. PA news agency understands the Border Force is preparing for what it calls red days, when the warm weather and calm sea conditions are favourable for small boat crossings. The good weather being experienced on Englands south coast is expected to continue into the weekend. Official statistics up to Monday show that 16,790 migrants have arrived in small boats since January 1, with that number expected to pass 17,000 once figures for Tuesday and Wednesday have been included. The department recorded that 509 people arrived in 10 boats on Saturday, despite a tragedy in the Channel that day when at least six people died and dozens of others were rescued after a boat got into difficulty off the coast of Sangatte, northern France. The deaths did not deter more people from making the perilous sea journey, with Home Office records showing that 111 people arrived in two boats on Monday. The RNLIs Dungeness lifeboat heads to shore with people thought to be migrants on Wednesday (Jordan Pettitt/PA) Prime Minister Rishi Sunak has made stopping the boats one of his top five priorities ahead of next years likely general election. On Tuesday, the Conservative Party leader said the current numbers of arrivals are lower than they have been in previous years, adding it is the first time that has happened. Mr Sunak said he is determined to fix this problem but admitted there is a long way to go. The UK Government has applied a number of measures aimed at making it less appealing to come to Britain via unauthorised routes. Ministers are looking to move migrants out of hotels and into accommodation established on barges and former military sites. A man and children, thought to be asylum seekers, embrace after arriving in Dungeness (Jordan Pettitt/PA) The Illegal Migration Act also allows for those arriving via small boats to be sent back to their country of origin or deported to Rwanda in east Africa. However, flights destined for Kigali have yet to take off, with the policy currently awaiting an appeal decision in the courts. A Home Office spokesman said: The unacceptable number of people risking their lives by making these dangerous crossings is placing an unprecedented strain on our asylum system. Our priority is to stop the boats, and our Small Boats Operational Command is working alongside our French partners and other agencies to disrupt the people smugglers. The Government is going even further through our Illegal Migration Act, which will mean that people arriving in the UK illegally are detained and promptly removed to their country of origin or a safe third country. GOP presidential candidate and Florida Gov. Ron DeSantis took aim at former President Trump on Tuesday, claiming that the swamp got worse when he was in the White House. DeSantis, in an interview with Fox Newss The Ingraham Angle on Tuesday, suggested that Trump did not do enough to hold people such as former Secretary of State Hillary Clinton and FBI Director Christopher Wray accountable. Like a handful of other GOP presidential candidates, DeSantis has vowed to fire Wray if elected to the White House. Well, he had three years to fire Christopher Wray, and he didnt fire him, he told host Laura Ingraham. And, remember, I went to the rallies in 2016, Laura. You remember them, Lock her up, lock her up, about holding Hillary accountable. And then, two weeks after the election, he said, Never mind that I said that, and let her off the hook, DeSantis continued. And so I think, if you look at it and I give him credit, even though were competing, for the great things he did do. But one of the things he did not do was drain the swamp. I mean, the swamp got worse in his four years. He added that Trump had people in power who were not getting the job done. DeSantis also claimed that very swift action needed to be taken to hold people accountable, noting that, as president, you have got to make it happen. Over the course of several hours, GOP members on the House Judiciary Committee last month grilled Wray with questions about the Foreign Intelligence Surveillance Act and alleged bias in the investigative agency. Many Republicans have accused the Department of Justice (DOJ) and FBI of being politicized under President Biden, as Trump faces two federal indictments handed up by the DOJ. The Hill has reached out to Trumps campaign for comment. For the latest news, weather, sports, and streaming video, head to The Hill. Chris Christie is catching up with Ron DeSantis, at least in New Hampshire. The former New Jersey governor has jumped past DeSantis into second place in the 2024 Republican presidential primary race in a new poll, although both remain way behind former President Trump. Christie, who is struggling in national polls, scored 9% support in the Emerson College poll of the Granite State, edging ahead of the fast-fading DeSantis at 8%. Trump remains far and away the front runner with the backing of 49% of voters in the poll in the first-in-the-nation GOP primary state. But Christie is running as a much more strident critic of Trump, and could now be poised to use his second-place spot to expand his attacks on the former president. DeSantis has been the alternative to Trump. This is the first time we have seen DeSantis drop out of second place in our polling, and fall back into the pack of candidates, said Emerson College Polling executive director Spencer Kimball. The poll amounts to a hammer blow to DeSantis, whose campaign has been in a downward spiral for months. He recently rebooted his campaign and vowed to cut costs, but voters have been turned off by his reserved personality. South Carolina Sen. Tim Scott, who has been rising in some national polls recently, is in fourth place with 6% in New Hampshire. Long-shot candidate North Dakota Gov. Doug Burgum and Nikki Haley each clocked in at 4% followed by biotech entrepreneur Vivek Ramaswamy at 3%. Christie is the only major GOP candidate who has regularly unleashed withering attacks on Trump, especially since the former president has been indicted by federal and state prosecutors. A feared debater, Christie has taunted Trump over his refusal to commit to taking part in the first GOP clash next week in Milwaukee. New Hampshire is set to be the second state to weigh in on the Republican nomination race, after the Iowa caucus. Christie, a moderate, is not competing in Iowa, where conservative evangelical Christians dominate the Republican caucus field. Democrats have shaken up their presidential selection primary and neither state will play a key role going forward as they have in the past. Independent voters and Democrats can cross the aisle to vote in the GOP primary in New Hampshire, raising the potential danger for Trump in the state. The poll also put President Biden with a 49%-to-41% lead over Trump in a potential general election matchup. New Hampshire has been considered a presidential battleground state but it has trended towards Democrats in recent cycles and most analysts believe Biden should be confident of carrying the state in his reelection fight. _____ Kent also appeared in 'EastEnders' and 'Snow White and the Huntsman' David M. Benett/Dave Benett/Getty Actor Darren Kent, who appeared in 'Game of Thrones,' has died at age 39 Actor Darren Kent, who appeared in Game of Thrones, has died after experiencing long-term health struggles. He was 39. His talent agency, Carey Dodd Associates, confirmed his death in a statement on X (formerly known as Twitter) Tuesday. "It is with deep sadness we have to tell you that our dear friend and client Darren Kent passed away peacefully on Friday. His parents and best friend by his side," the statement read. "Our thoughts and love are with his family in this difficult time. RIP my friend." Samantha Dodd, of the Carey Dodd Associates, confirmed to CNN that Kent was 39 at the time of his death. Dodd did not provide a cause of death. It is with deep sadness we have to tell you that our dear friend and client Darren Kent passed away peacefully on Friday. His parents and best friend by his side. Our thoughts and love are with his family in this difficult time. RIP my friend pic.twitter.com/Ko0mPFUJNK Carey Dodd Associates (@CareyDoddAssos) August 15, 2023 While best known for playing a goatherder from Slavers Bay in an episode of the hit HBO drama series Game of Thrones, the Essex, England-born actor also appeared in the long-running British TV series EastEnders and in the 2012 film Snow White and the Huntsman. His other credits include Marshal's Law, the BBC adaptation of Les Miserables, Bloody Cuts, Shameless and Community. His first significant role was in the 2008 horror movie Mirrors. Most recently, he portrayed a reanimated corpse in the film Dungeons & Dragons: Honor Among Thieves, which was released on March 31. Kent won a best actor trophy at the 2012 Van Dor Independent Film Awards for his role in the short Sunny Boy. He also directed and produced several other short films including 2019's Rogue Trader and 2021's You Know Me, for which he earned a January Award. HBO Darren Hunt portrayed a goatherder from Slavers Bay in 'Game of Thrones.' Kent experienced several chronic health issues including arthritis, osteoporosis and a rare skin disorder. Sunny Boy saw him drawing inspiration from his personal experience, as he portrayed a boy with a rare skin condition that prevents him from being in the sun. Never miss a story sign up for PEOPLE's free daily newsletter to stay up-to-date on the best of what PEOPLE has to offer, from celebrity news to compelling human interest stories. According to the BBC, Kent was a patron for Equal People Performing Arts, a nonprofit organization promoting inclusion in the performing arts. Fellow patron Lee Mead posted a tribute to his late friend on X, calling Kent "an amazing human being." "Always giving of his time to people and always so positive. A fine actor too and he achieved so much as well. Such heartbreaking news," Mead added. Director and screenwriter Jane Gull, who worked with Kent on 2023's Love Without Walls, which won a National Film Award, also paid tribute on X, sharing a series of photos of the late actor onscreen. "What a privilege it was to be your friend and to work together on so many projects over the years," she wrote. "Life wont be the same without you. I will miss you so much." For more People news, make sure to sign up for our newsletter! Read the original article on People. Jesse Williams says there are vast similarities between parenting, acting and teaching. (Ralph Bavaro/Bravo/NBCU Photo Bank via Getty Images) Welcome to So Mini Ways, Yahoo Life's parenting series on the joys and challenges of childrearing. When you think of Jesse Williams's career, his role as Dr. Jackson Avery on Grey's Anatomy or his Broadway debut as Darren Lemming in Take Me Out may be top of mind. But one of the Chicago native's most formative career moments didn't take place on a screen or stage but inside of a classroom. After graduating from Temple University, Williams taught English and African and American history in the Philadelphia public school system, a decision inspired by the "life-saving" impact school had on him as a child, which he describes as a "turning point in my existence." "The role that school can play in giving you access to turn your life in a certain direction and to believe in yourself has always stuck with me," Williams tells Yahoo Life. And while the high school classrooms of Philadelphia may be miles away from Broadway stages or Hollywood sets, Williams says there are many parallels between his previous work as a teacher and his current role as an entertainer. "You're holding the attention of a group of people that don't necessarily want to be there and making them riveted by a story or narrative or pieces of information and making it applicable to them," says Williams. This skillset has also trickled over into his parenting. The dad of two, who shares 9-year-old Sadie and 7-year-old Maceo with ex-wife Aryn Drake-Lee, says his years as an educator taught him how to deliver information in an age-appropriate manner. "Those are skills that apply directly to parenting and keeping people safe and informed and giving them useful information while being able to communicate ideas that are both applicable now or will be in the future," says Williams. Still, he says there are times he finds himself to be less stern in his approach to parenting than he anticipated. "There are certainly things that I probably would have anticipated I'd be firmer on and clearer on that turned out to be a little bit more malleable," he says, attributing a great deal of that to the innate ability of children to persuade. "Young kids know how to try to manipulate," says Williams, adding that this is a testament to their autonomy. "You realize that you're talking to, raising and creating a safe space for a whole individual. They're not just sponges to be poured into. They have their own identity and preferences, and learning styles and senses of self and consciousness," says the Only Murders in the Building star. Learning how to speak to his kids in a way they receive has been quite the learning curve, one he likens to a "tricky, ongoing classroom that I'm in at all times." There have been "plenty of mistakes and incredible rewards" along the way. And while his current day-to-day life looks quite different from his time as a teacher, he is still involved in making sure kids are able to access accurate educational information. In March, Williams launched Homeschooled, an educational trivia app centered on Black culture and history. It's an educational tool that he says is needed now more than ever, explaining that traditional history-based education and trivia often exclude contributions from marginalized communities. "We made the decision to not exclude Black and brown people, and the working class, and women and LGBTQ members of our communities past and present," says Williams. Having two school-aged children helps keep his "finger on the pulse" of the real concerns facing young people, leveraging his ability to find new ways to speak to them rather than at them. "It's easy for us as parents to preach to people younger than us. But what sets in? What is effective? And what isn't?" says Williams. "That is ever-changing, so you have to stay fresh." And as his own kids gear up for another year of school, Williams has partnered with Crest and Oral-B to help "close America's smile gap" this back-to-school season, explaining that oral health is especially important for "young kids and students." "Having confidence in their appearance and their smile and the quality of their teeth, that's hugely impactful," says Williams. "That sense of self confidence has a direct impact on your mental [and] physical health, as well as your academic performance and social confidence." Hawaiis biggest power utility faces growing scrutiny for the role it might have played in the deadliest wildfire in modern American history, including detailed allegations in a lawsuit filed Wednesday that it was negligent and knowingly failed to take proper action to prevent catastrophe. The lawsuit, first obtained by NBC News, alleges that Hawaiian Electric helped set the stage for the monstrous wildfires last week. The plaintiffs accuse the utility company of years of inaction and negligence, and argue that it should have had plans in place to shut down power systems before fierce winds blew across Hawaii. Hawaiian Electric is not just responsible and they werent just negligent, said Mikal Watts, a lead attorney on the case. They were grossly negligent by making conscious decisions to delay grid modernization projects that would have prevented this very tragedy. Three other lawsuits filed in the aftermath of the fire that were reviewed by NBC News make similar claims against the company, which provides electricity to 95% of the state. Hawaiian Electric Co. declined to comment on the pending lawsuits, saying that would violate an internal policy. Darren Pai, a spokesperson for the company, said Hawaiian Electric was aware of the allegations but remained focused on restoring power to Maui. He emphasized that the cause of the fire has not been determined and we will work with the state and county as they conduct their review. Officials are still investigating the causes of the massive blazes that ravaged historic seaside communities and killed at least 101 people. Hawaii residents and people across the continental U.S. are desperate for answers, devastated by the environmental destruction and loss of life. Watts, who said his team has been approached by hundreds of potential plaintiffs, said his lawsuit is aimed at preventing the islands from ever experiencing fires like this again. He said similar litigation in California has led to safety improvements and processes that have limited recent wildfire fallout, and that Hawaiian Electric was aware of those efforts. The lawsuit details multiple instances and documents in which Hawaiian Electric and public utility officials acknowledge the dangers of wildfires, and the potential for downed power lines and grid infrastructure to start them in areas where vegetation growth was not mitigated. The risks were outlined in Hawaiian Electric news releases, documents it filed to the state, and in its own expenditure plans, and the lawsuit alleges that the firms downed lines and grid equipment were the root cause. Their own papers say they knew how to prevent it, and their own papers showed their conscious and deliberate decision to delay the implementation of safety measures that would have prevented this tragedy the most deadly wildfire in American history, Watts said. In one instance, a 2022 funding request for $189.7 million from the Hawaii Public Utilities Commission to harden its power grid statewide, Hawaiian Electric said that the risk of its utility system causing a wildfire ignition is significant. The company said it needed the funding to ensure its facilities were not the origin or a contributing source of ignition for a wildfire. Despite the request being approved, Hawaiian Electric did not act, the lawsuit alleges. This wildfire was not only predictable, it was predicted, Watts added, both by (Hawaiian Electric) and anyone else that bothered to look into the issue. Some survivors and attorneys across multiple lawsuits contend the company should have deployed a public power shutoff plan, which would require the utility to intentionally turn off electric power in areas where wind could cause a fire. In an era when wildfires have grown more prevalent and deadly, similar plans have been adopted to mitigate destruction in states such as California. Image: Downed power lines block a road as people feed chickens outside a burnt home in the aftermath of a wildfire in Lahaina, western Maui, Hawaii on Aug. 11, 2023. (Patrick T. Fallon / AFP - Getty Images) Pai said that the electric company did not have a formal power shutoff plan and that any short-notice power shutoffs have to be coordinated with first responders because electricity was needed in Lahaina to power the pumps used for firefighting. He said electricity shutoffs can also pose risks to people with medical needs. Its worth noting that even in places where this has been used, it is controversial and its not universally accepted, Hawaiian Electric President and CEO Shelee Kimura said at a news conference on Monday, referring to a formal power shutoff. It can be seen as being a hardship for those customers that have medical needs. In explaining potential upgrades to its systems, Hawaiian Electrics funding request last year specifies that Californias power shutoff plan is among electric industry strategies used to mitigate wildfire risks until more robust preventive measures have been implemented in an area. The suit filed Wednesday contends that a downed Hawaiian Electric power line ignited an August 2018 blaze that scorched 2,000 acres and devoured more than 20 homes at the time the largest fire in Mauis history. But the utility company didnt include upgrades to power poles and other fire prevention measures as part of its modernization strategy the year after that fire, the suit states. The company announced in a 2019 press release that it would use drones to help assess fire hazards in drought-prone areas in West Maui and planned various equipment upgrades to prevent wildfires. Last year, the company also announced it intended to undertake a five-year plan to strengthen and bolster power poles and lines to better withstand extreme weather events with $190 million of the funding it requested. While there is a cost to preparing for more adverse effects of climate change, the cost of inaction is much higher, Colton Ching, the companys senior vice president of planning and technology, was quoted as saying in the July 2022 press release. But the fire victims lawsuits filed and reviewed by NBC News say Hawaiian Electric has failed to undertake the planned improvements. Unfortunately, for the residents of Lahaina, these proposed grid hardening expenditures were deferred, according to the lawsuit filed Wednesday. The suit states the company hadnt spent any funding on power pole upgrades or wildfire prevention in 2021, 2022 or 2023, nor had spent anything on hazard tree removals in 2021 or 2022. Two other lawsuits allege that records dating back years including academic and government studies documenting growing wildfire threats, and reports about ill-maintained and outdated power poles and transmission lines have largely been ignored by Hawaiis utility companies, setting the stage for the catastrophic fire. The lawsuits also alleged the companies knew or should have known about official red flag warnings of high winds and drought-like conditions from the National Weather Service, as well as government alerts about extreme fire dangers, in the days ahead of the catastrophic blaze. A lot of it has been out there for years, said Terrance Revere, a Hawaii-based trial lawyer representing multiple Lahaina residents and business-owners in one of two class action lawsuits filed to date. But when you have photographs and video showing it happening in real time, its not going to take Sherlock Holmes to figure out what happened here. Damage in the beach town of Lahain after fire (Mike Blake / Reuters) Revere and other lawyers cited videos and photographs that were shared across social media on Aug. 8, shortly after fire officials received the first report of a brush fire at 6:37 a.m. in the area of Lahainaluna Road, east of historic Lahaina Town. The pictures and videos include one residents cellphone footage that was livestreamed on Facebook, starting about three minutes after the first official report of the fire. It appears to show firefighters battling a wind-whipped blaze burning across a field of sun-browned grass as snapped power line dangles from above. Theres plenty of evidence from video and eyewitnesses that the power lines went down and caused the fire, said Paul Starita, a lawyer whose firm separately represents individual claims of several Lahaina residents and business owners who suffered life-altering losses in the flames. In that lawsuit filed Monday, Staritas law firm alleges that after a similarly disastrous fire in 2018 when a downed Pacific Gas & Energy power line sparked the Camp Fire in Northern California and killed 85 people Hawaiian Electric assessed the resulting fire mitigation reforms in that state and pointed to Californias Public Power Shutoff Plan as a successful way to prevent wildfires when additional robust techniques are not yet in place. The fires lethal destruction exposes Hawaiian Electric to risks associated with potential financial liabilities related to the cause and source of ignition of the fires, as well as with regard to its actions before and during the fires, Moodys Investors Service, a major credit reporting agency in the U.S., warned in a report published Tuesday. That effect has already been felt as Hawaiian Electrics stock price has dropped precipitously since Monday, from a high of $33.04 to $14.79 at the end of the day Tuesday. The lawsuit filed Wednesday contends that since 2017, as Mauis fire risks increased, Hawaiian Electric nonetheless paid out tens of millions in increased payments to shareholders every year. This is the result of conscious indifference by a corporation to hold on to its money as opposed to protecting its customers and the general public, Watts alleged. Hispanic Democrats are calling on the Biden administration to investigate Texas over a series of alleged human rights violations stemming from local authorities arresting migrant men on accusations of criminal trespassing. In a letter to Attorney General Merrick Garland and Homeland Security Secretary Alejandro Mayorkas, members of the Congressional Hispanic Caucus (CHC) asked the feds to take action to stop the Texas Department of Public Safety (DPS) from intentionally separating migrant families. Texas is arresting and jailing people who have committed crimes in Texas. While doing so, Texas ensures that children are not separated from their mothers. Until President Biden and Congress do their jobs to secure the border, Texas will continue taking historic action to respond to this border crisis, said Andrew Mahaleris, a spokesperson for Texas Gov. Greg Abbott (R). According to the caucuss members, the Texas departments policy of arresting certain migrants for criminal trespassing a practice that aims to inject local criminal consequences for crossing the U.S.-Mexico border has resulted in the separation of at least 26 families. The lawmakers said they confirmed the practice in a recent caucus tour of the border. The letter, first reported by PBS NewsHour, alleges that the arrests of migrant men are followed by a series of human rights violations including lengthy pretrial detention and faulty legal advice. For example, CHC members learned, that migrants were being told that they could either wait 6-9 months in jail or, if they want to be reunited with their families, they could plead guilty and get out on bond automatically, wrote the lawmakers, noting that pretrial detention for class B misdemeanors in Texas usually lasts one to three days. A guilty plea for a crime can bar an individual from receiving asylum in the United States if the crime is not properly disclosed during the application process, and if an individual is convicted of two misdemeanors, it can render them ineligible for other immigration benefits, such as Temporary Protected Status. Since most of these individuals have little to no legal support, they do not understand what they are admitting guilt to or how it will affect their immigration status, the lawmakers wrote. The lack of legal representation under DPS custody is aggravating representation issues for asylum seekers, who often have trouble finding affordable or pro bono legal services. The lawmakers called specifically on Mayorkas to investigate how DPS detainees are being transferred to Immigration and Customs Enforcement, a federal agency under his purview. After the fathers are incarcerated in state or local facilities, they are then transferred to Immigration and Customs Enforcement (ICE) custody, with no reference to the families they have been separated from and placed in expedited removal, they wrote. With little to no communication, mothers and children are traumatized and left wondering what happened to their loved ones. The allegations of human rights violations are compounding a growing rift between the Abbott and Biden administrations, as Texas turns to ever-intensifying methods to put its mark on border security. The only reason Texas is doing anything to secure the border is because President Biden is doing nothing. President Biden has allowed record levels of illegal immigrants, deadly drugs like fentanyl, and weapons to surge into our state and country. Members of Congress complaining about Texas are complicit in the border crisis because they have not used their constitutional authority to regulate immigration. The consequences have been deadly. Over 850 migrants including children died last year attempting to cross the border illegally, but not a peep from those same members of Congress, said Mahaleris. The Biden administration has filed lawsuits against Texas over the installation of buoys in sections of the Rio Grande, meant to either discourage or physically prevent migrants from reaching dry land in Texas. Though the lawmakers commended that lawsuit, they raised concerns over other practices conducted by Texas as part of Operation Lone Star, Abbotts signature border program. Operation Lone Star has drawn heavy criticism both from a legal perspective, questioning whether Texas has stepped into federal jurisdiction on immigration and border issues, and from a humanitarian perspective. Governor Abbott has been able to implement Operation Lone Star and act with impunity for more than two years. We urgently call on the Administration to consider what further decisive action they can take to address this alarming situation, the lawmakers wrote. Updated on Aug. 17 at 11:15 a.m. For the latest news, weather, sports, and streaming video, head to The Hill. Watch out, TikTok: A new food trend could be coming your way and its geared toward pregnant people. In a viral video, Glen Jackson explains that his wife, Megan, who is expecting their second child, created a craving and yuck list and taped it to the fridge. The camera zooms in, and viewers see that Megan is hankering for peanut butter, spaghetti, raspberry jam, onions and chicken strips. But she wants nothing to do with bread, mushrooms and carbonated beverages. Im going to try something weird, Glen announces. Thats when he gets to work preparing a meal of "PB&J spaghetti." While whipping up the dish, Glen apologizes to anyone who is Italian. After combining the three main ingredients, Glen admires his work. Come at me, Gordon Ramsay! he jokes. Moments later, Megan walks through the door, and Glen announces he has a surprise for her. He describes it as everything youve ever wanted and more. Megan is intrigued. Smells very peanut buttery, she says. Her face lights up when she sits down and sees the dinner that Glen has assembled. It's clear she's excited. But then, suddenly, she starts crying. Did you make me peanut butter and jelly spaghetti? Megan asks, through tears. Try it. I gotta know how it is, he says. As soon the fork touches her lips, Megs eyes grow extra wide. Maybe Glen is the next Gordon Ramsay! Oh my God, she gasps. Clearly its a 10/10. It was surprisingly incredible. It tasted like a delicious dessert, Megan tells TODAY.com. Megan, 30, and Glen, 28, who live in British Columbia, Canada, will welcome their second child, a baby girl named Stella, in October. The digital creators are already parents of 2-year-old daughter, Emery. During my first pregnancy, I didnt have any cravings or aversions and same thing this time around. Then, for whatever reason as soon as I entered my third trimester, I started having issues with food, Megan says. This week, Megan cant look at eggs, tuna or tomatoes without gagging. Megan says the PB&J spaghetti made her emotional because she was very hungry but couldnt figure out what she wanted to eat. I was starving but didnt know what I wanted, and then all the things in my dreams ended up on a plate, she says. Since posting the video, Megan and Glen have been inundated with comments on TikTok. I love how she instantly went into tears, oh the hormones! Youre such a good husband, one person wrote in the comments. Added another, "I'm pregnant and don't really have cravings right now but this actually looks kinda good." This article was originally published on TODAY.com Survivors of Lahaina's disastrous wildfire are describing what unfolded in the days after the deadly blaze, which left a charred moonscape in its wake and crippled critical services in the area. Some describe a rescue operation that never came as people suffered and waited. "We ran out of everything, including our patience and sanity at some points," said Maui hotel manager Kawena Kahula. Many waited days in the dark without power and water for help to arrive after the worst of the fire subsided and before taking matters into their own hands. Kahula self-evacuated from Kaanapali a short drive from Lahaina after nearly three days, and said the road out of town was clear but that she didn't see any first responders. When she arrived at one blocked road, she said she was surprised to see volunteers with supplies were not being allowed in to the disaster zone. CBS News reached out to Maui county officials about their response, which is now under investigation, but did not immediately hear back. Kahula's ordeal began when she lost cell reception last Tuesday. Seeing smoke in every direction, she drove into Lahaina to find her son, unaware of the danger. She said she didn't know she was heading into a firestorm until she saw big, black mushroom clouds of smoke piling on top of each other and growing bigger. Like so many others, she said she didn't receive an evacuation alert. Fearing she wouldn't make it out of Lahaina alive, she escaped the flames and returned to her hotel in Kaanapali, where hundreds were stranded with no power and limited supplies. "There was a point to where we could only provide food for the children," she told CBS News. While Lahaina sat in ruins, she said no assistance came for two days. "There's an airport right up the road. Why isn't that being used?" Kahula said. "There's an ocean front 20 feet from our lobby. Why are we not using that? I don't know." In the aftermath, survivors were left hungry not only for food, but also for any official guidance. "My team members coming back to work [were] crying, telling me, 'I don't have nothing left, except this shirt,'" she said. "Hearing stories of elderly people crawling on the ground, begging for someone to pick them up." "There are parts of me that wish I did make it in to help those lives," she said. "But there is a huge piece of me that thanks God that I didn't." Kahula later found out her son was unharmed. Hawaii Gov. Josh Green has confirmed that more than 100 people were unable to escape. Most of them have not been identified. Hundreds more remain missing. Kahula's story echoes criticisms from other Maui residents who have slammed the government's response. Kai Lenny, a professional surfer and a Maui local, told CBS News that "some of us ... were kinda sitting back, waiting for help to arrive, and then nothing was sorta happening." "We were just in shock," he said. People contacted him citing a lack of help in their areas, which led to his "boots on the ground" effort bringing supplies to those in need with the goal of holding friends and family over until "the caravan arrives with everything." "And it was just like, day after day, 'Where are they?'" he said. Officials now say hundreds of people are helping. More than 400 FEMA personnel have deployed to Maui, Green said in an address Tuesday afternoon, along with another 273 National Guard soldiers. About 500 hotel rooms have been made available, Green said, with 331 displaced residents already staying in those rooms. The governor added that the state had also set up an Airbnb program with 1,000 available rooms or houses that will be covered by FEMA for use by both evacuees and first responders. The goal, Green said, is for displaced residents "to be able to leave these shelters and go into stable housing, which is going to take a very long time." The Department of Health and Human Services has also deployed about 75 personnel, along with a victim identification team, according to Jonathan Greene, deputy assistant secretary director for the HHS Office of Response. President Biden said all federal resources were being made available to Hawaii. "Every asset they need will be there for them," Mr. Biden said Tuesday at an event in Milwaukee, Wisconsin. "And we'll be there in Maui as long as it takes." The president said his administration is "surging federal personnel" to Maui to "help the brave firefighters and first responders, many of whom lost their own homes, their properties, while they're out busting their neck to save other people." Editor's Note: This story has been updated to reflect that Kawena Kahula manages a hotel located in Kaanapali. Sneak peek: What Angelina Saw Niger soldiers ambushed near country's border, at least 17 killed Sneak peek: Who Shot the Tech Exec? The alleged WhatsApp chat where the messages were sent was active from 2018 to 2022 Chris Jackson/Getty Prince Harry and Meghan Markle in Windsor, England in September 2022. Meghan Markle and Prince Harry were the subject of racist messages allegedly exchanged by former Metropolitan Police Service officers who now face charges. On Tuesday, the Met Police announced that six former officers, who retired between 2011 and 2015, have been charged under the Communications Act 2003. The inappropriate messages were allegedly sent in a closed WhatsApp group from August 2018 to September 2022, a police statement said. Some of the posts referenced the government's Rwanda policy, while others joked about recent flooding in Pakistan, which left almost 1,700 people dead. The Duke and Duchess of Sussex also featured in several images alongside racist language, the BBC said. Prince Harry and Meghan's friend and photographer Misan Harriman reacted to the news on his Instagram page, captioning a screenshot of a headline on the story, "Sigh..SMH." According to authorities, the Mets Directorate of Professional Standards launched an investigation after BBCs Newsnight show brought the allegations to light in October 2022. The six men charged were not serving in the police force while participating in the WhatsApp chat. Related: Prince Harry Blasts Palace, Says They 'Without Doubt' Withheld Information from Him on Phone Hacking While they all previously worked for various branches, the six former officers all spent time in the Diplomatic Protection Group, which is now called the Parliamentary and Diplomatic Protection Command. The BBC said that Newsnight was passed dozens of messages shared within the chat by a member of the group, but refrained from reproducing the communications. Now, those charged Peter Booth, Robert Lewis, Anthony Elsom, Alan Hall, Michael Chadwell and Trevor Lewton are due to appear at Westminster Magistrates Court on Sept. 7. Vuk Valcic/SOPA Images/LightRocket via Getty General view of the sign outside New Scotland Yard, the Met Police HQ, in London. Offering comment in the Met Police statement, Commander James Harman, head of the Mets Anti-Corruption and Abuse Command, said he was pleased to see charges served. As soon as we were made aware of these allegations we acted to launch an investigation. I am pleased that following the determined work of officers we have been able to secure these charges, Harman said. We are working relentlessly to rebuild the trust of the public which has understandably been dented by a number of high-profile incidents and investigations in recent years which have involved officers or former officers. Related: Prince Harry Says 'None of Us Are Immune' to Effects of Systemic Racism: 'I Had So Much to Learn' "The honest majority of Met officers are fully behind this work. They are tired of being let down by a minority in policing and they are aware of the damage poor behaviour can do to our relationship with the communities we serve, he added. In a witness statement issued amid his lawsuit against Associated Newspapers Ltd (publisher of the Daily Mail and Mail on Sunday) for alleged illegal information gathering, Prince Harry, 38, said that he was made to adopt his family's policy to "never complain, never explain" when dealing with the media but could no longer sit back when Meghan, 42, was the target of racist press. Matt Dunham - WPA Pool/Getty Meghan Markle and Prince Harry at a Platinum Jubilee event in June 2022. "Following the death of my mother in 1997 when I was 12 years old and her treatment at the hands of the press, I have always had an uneasy relationship with the press," he said, referring to his mother, Princess Diana. "However, as a member of the institution, the policy was to 'never complain, never explain.' There was no alternative; I was conditioned to accept it. For the most part, I accepted the interest in my performing my public functions." However, the Duke of Sussex said that dating Meghan (who he married in May 2018) made him "increasingly troubled by the approach of not taking action against the press in the wake of vicious persistent attacks on, harassment of and intrusive, sometimes racist articles concerning Meghan." He added that "the situation got worse" with Meghan's pregnancy and the birth of their first child, Prince Archie, in May 2019. Karwai Tang/WireImage Prince Harry and Meghan Markle attend an event in 2019. Can't get enough of PEOPLE's Royals coverage? Sign up for our free Royals newsletter to get the latest updates on Kate Middleton, Meghan Markle and more! The couple stepped back as senior members of the royal family in 2020, and Prince Harry spoke to PEOPLE about his personal growth as his memoir Sparehits bookshelves in January. King Charles son reflected on how his eyes were opened to racism in the media, royal institution and world at large after his relationship with his wife, who is biracial, began six years ago. "My relationship with Meghan has opened my eyes to so much I fear I otherwise would have never fully understood. When we recognize bias within ourselves or others we have a choice. Be part of the problem through inaction or part of the solution through change," Prince Harry told PEOPLE. "We live in a world with systemic racism, and none of us are immune from the effects of that. I am someone who has long benefited from my place in society," he added. "I understand that much better today than I once did. I had so much to learn and, equally, unlearn. It's not a simple process, but it's a fulfilling one. I'm a better husband, father and human because of it. I feel more grounded and connected." For more People news, make sure to sign up for our newsletter! Read the original article on People. The former first lady appeared on the first episode of Audible's 'Your Mamas Kitchen' podcast hosted by Michele Norris Merone Hailemeskel Michelle Obama appeared on the first episode of Your Mamas Kitchen hosted by Michele Norris Michelle Obama may have eaten at the White House, formal state dinners, and restaurants around the world but her palate hasnt always been so adventurous. On the first episode of Your Mamas Kitchen, an Audible podcast hosted by journalist Michele Norris and co-produced by Higher Ground (Barack Obama and Michelle's media company), the former first lady discussed her decades-long aversion to breakfast. I was kind of a picky eater. I didn't like any breakfast-anything. And my brother, who ate breakfast all the time, thought I was crazy, she said. We had big breakfasts because my brother, he was a growing athlete. So it was everything cereal followed by scrambled or fried eggs followed by lots of toast and bacon and link sausage. So breakfast was big. Related: Michelle Obama Gets First Emmy Nomination for Her Candid Netflix Special with Oprah She added later in the podcast that everybody else in the whole household, on the whole planet, loved breakfast food except for [me] ... I despised breakfast. As the name suggests, Your Mama's Kitchen host Norris asks all of her guests about their childhood kitchens growing up, and how their "earliest culinary experiences helped shape their personal and professional lives." Future episodes, which drop weekly, will feature stars like Kerry Washington, Gayle King and Matthew Broderick. Merone Hailemeskel Michelle Obama and Michele Norris recording 'Your Mama's Kitchen' podcast Michelle's food memories include desperate attempts from her mother, Marian Robinson, trying to force her daughter to eat breakfast. Michelle said she was really stubborn and instead opted for an unconventional morning meal: peanut butter and jelly. [I ate] peanut butter and jelly every morning until I went to college. That was all I really liked, she said. It was sort of a compromise that I made with my mother because it's got peanuts, thats protein, a little bit of oil. Nothings wrong with bread if were having toast, why cant I have it in a sandwich form and jelly? Everybody was having jelly on their toast. Related: Michelle Obama Says New Cooking Show 'Waffles + Mochi' Is 'Fun and Educational' Even for Adults Weiss Eubanks/NBCUniversal via Getty Michelle Obama Ate the Same Breakfast Every Morning for Most of My Life While she said she would literally eat the peanut butter and jelly sandwich "every morning for most of my life," Michelle admitted that she finally got around to liking eggs in college. Im big into all of it now. Give me eggs benedict. Any eggs, any way, she added. When Norris asked if she ever indulges in her childhood sandwich go-to, she said, I think I kind of ODed on it. I dont do it as much anymore. Another big reason? Her daughter, Malia, was allergic to peanut butter as a child. But she does cherish plenty of other formative recipes that are meaningful to her family and her upbringing in the South Side of Chicago. There are a couple of things that taste like home. [My moms] homemade cakes because she used to bake us our birthday cakes each year, she said. She tried to [make them] in the White House, but she felt that the ovens werent right. And theres something different about a homemade cake Another dish that feels very much like home is her familys hand-me-down recipe from our South Carolina elders. My fathers mother learned how to cook this dish and my father loved it so much, my grandmother taught my mother. And its something called red rice. Red rice is a rice that is steeped in tomato sauce not runny where the tomato mixture soaks it up, so that the white rice becomes red, she said. "Then in that you add bacon, a spicy kind of sausage and shrimp. But its not Creoleits not a jambalaya. And its dryer, but its so flavorful. Photo by Olivier Douliery- Pool/Getty Images The Obama Family Michelle is known for her commitment to ensuring hearty and healthy food is widely available for all Americans. In fact, during the former presidents administration in the White House, Michelle implemented Lets Move, a healthy-eating task force to combat childhood obesity. Most recently, she starred in her own children's cooking show, Waffles + Mochi. Her passion for paving a healthy path for young Americans was influenced by her own childhood. From being a mother to leading as an impactful first lady, she said all of her life journey was influenced by the kitchen. All of that, it was imparted around that little table with that yellow checkerboard plastic tablecloth as my mom did dishes on that formica sink and talked to us little girls as we played jacks on that linoleum floor, she said. The conversations around my household about fairness and honesty and how to be a person in this world. How to treat others, the compassion that all happened around the table. Each episode of Your Mama's Kitchen will be exclusively on Audible for two weeks, then available widely wherever podcasts are found. For more People news, make sure to sign up for our newsletter! Read the original article on People. Students in Arkansas public high schools enrolled in the controversial Advanced Placement African American Studies course will not be able to receive credit toward graduation, state education officials told districts last week. The direction from the Arkansas Department of Education came as teachers and students across the state were preparing to start the school year on Monday. Several high schools including Central High School in Little Rock which was once the epicenter of the historic fight to desegregate schools had plans to offer the course this school year. But, according to the Arkansas Times, a state education official informed high school teachers by phone on Friday that the department would not recognize the course for credit. Kimberly Mundell, a spokesperson for the Arkansas Department of Education said in a Tuesday email to CNN her office encourages the teaching of all American history and supports rigorous courses not based on opinions or indoctrination. The education departments move comes after Gov. Sarah Huckabee Sanders signed an executive order in January prohibiting indoctrination and critical race theory in schools. Arkansas Gov. Sarah Huckabee Sanders signs into law an education overhaul bill on Wednesday, March 8, 2023 at the state Capitol in Little Rock, Ark. (AP Photo/Andrew DeMillo) - Andrew DeMillo/AP It also comes amid similar efforts by Republican leaders in other states to control what can be included in Black history education. Earlier this year, Florida Gov. Ron DeSantis rejected the AP African American Studies course because it included lessons on reparations, Black queer studies and the Movement for Black Lives. Little Rock School Board member and attorney Ali Noland said the course is the opposite of indoctrination. This course is an essential and important part of American History, but what it does is provide students with original document so that they can learn the critical thinking skills to be able to interpret and make their own decisions about these topics, Noland told CNN. The College Board initially attempted to revise the course framework, but the decision sparked outrage among academics and activists who said students should learn the full history of the Black experience in America. Alexa Henning, a spokesperson for Sanders, tweeted Monday that AP African American Studies may not meet graduation requirements and does not comply with the rules of the departments AP program like other vetted course(s). Henning also said, An exam was not offered to students during the 22-23 school year, and the course may not articulate into college credit. She noted there was another African American history course that students could receive credit for. The Little Rock School District said in a statement that it received word this past weekend the states Department of Education would only offer local credit for the course. The district said it was exploring options that would allow students to still benefit from the course despite the states decision. At this time, we are weighing the options provided to us with the staff at Central High School and will decide the next steps within 24-48 hours, the statement read. Rest assured, we are actively working to ensure that our students continue to receive a well-rounded education that includes diverse perspectives and meaningful learning opportunities. In 1957, Central High School gained national attention when nine Black students known as the Little Rock Nine enrolled in the school to test the landmark Brown v. Board of Education ruling that declared segregated public schools unconstitutional. On their first day of school, the students were met with an angry White mob that rejected integrated schools and the National Guard blocking the entrance. I cannot think of anywhere that it is more important to study this history, than in a classroom in Little Rock Central High School, a national historic site based on its role in American history and the Civil Rights movement, Noland said. The AP African American Studies course was piloted at 60 high schools last school year. According to the College Board, the pilot course is set to expand to hundreds of additional high schools this school year, with the first course exams rolling out in the spring of 2024. The course will be made available to all schools in the 2024-25 school year. Nearly 100 students at Central High were enrolled in the course this school year, according to Noland. We want to provide our students with every opportunity, Noland said. Other students in other states are able to take AP courses and gain all the benefits things like obtaining college credit and having weighted GPAs and here in Arkansas now because of this decision, the only students who might be able to take this course are students who can afford to forgo a graduation credit for a yearlong course like this. The Arkansas Legislative Black Caucus issued a statement Monday expressing outrage over the Department of Education decision. This further perpetuates the marginalization of African Americans and denies all students the opportunity to learn about the unique history and experiences or our community, the caucus said. CNNs Jamiel Lynch contributed to this report. For more CNN news and newsletters create an account at CNN.com PARIS (Reuters) - The first launch of Europe's new Ariane 6 rocket has slipped into 2024 after an incomplete recent ground test, the head of the European Space Agency suggested in remarks to Reuters. ESA and manufacturer ArianeGroup, owned by Airbus and Safran, have been carrying out ground tests at the Kourou spaceport in French Guiana and in Germany for the new launcher, which is needed to fill a gap in space access after the retirement of Ariane 5 and recent failure of the smaller Vega C launcher. Part of the last test run on July 18 - a short hot firing of the Vulcain 2.1 engine - was not completed and has been postponed to Aug. 29, ESA said last week in an invitation to a Sept 4 media briefing. Ninety percent of July's objectives were met and further tests are scheduled for September, it added. "After this series of tests we plan to consolidate a launch date for Ariane 6," ESA Director General Josef Aschbacher told Reuters. Asked whether it was fair to assume that plans for a test launch before the end of the year were now out of reach, Aschbacher told Reuters, "This is fair to say, yes". In June, Airbus Chief Executive Guillaume Faury told the Paris Air Forum that first test launch of the new heavy Ariane 6 launcher was scheduled for the end of the year, but that this would depend on the progress of ground tests during the summer. Aschbacher was speaking during an interview in which he urged European politicians to ignore pressure to weaken climate targets, saying record heat waves and fires visible from space provided "really alarming" evidence of global warming. (Reporting by Tim Hepher. Editing by Gerry Doyle) (Photo : Christopher Furlong/Getty Images) California lawmakers are seeking to end the mandate that requires healthcare providers to report to police if they suspect a patient is a victim of domestic violence California Democrats are planning to criminalize healthcare providers who report victims of abuse to police by reversing legislation that makes not reporting such a case a misdemeanor. Reps. Tina McKinnon and Buffy Wicks authored Assembly Bill 1028, which would eliminate the mandate for healthcare practitioners to report to the police if they suspect that a patient has been the victim of physical violence from assaultive or abusive behavior. Changing Reporting of Domestic Violence Victims to Police If the new bill is signed into law, it would make it illegal for healthcare providers to notify law enforcement personnel regarding potential patient victimization. However, the bill would not apply to situations where patients are seen to have sustained wounds or physical injuries due to self-inflicted acts, firearm-related incidents, child abuse, sexual assault, or elder abuse. The bill's proponents have argued that the change would help victims access assistance by removing police officers as the first choice of contact. However, critics have argued that it would simply become another way for these types of cases to slip through the cracks and leave the most vulnerable in the hands of their abusers, as per Fox News. In a post on X, formerly known as Twitter, Republican Sen. Melissa Melendez said that the bill is only good news for abusers and bad news for the abused. She added that human traffickers would love the new bill. As outlined in its summary, the measure urges healthcare providers to offer patients they suspect of being a victim of abuse a "brief counseling" before a "warm handoff" or a referral to a local domestic violence advocacy agency. The bill also recognizes that abuse survivors more often need access to health care and medical treatment apart from police reporting and criminal legal involvement. It would replace mandated police reporting by medical professionals with offering connection to survivor services. The new bill comes as, in 2021; there were a total of 18,185 domestic violence incidents were reported to law enforcement in the San Diego region. The San Diego Association of Governments also noted an average of 13 domestic violence-related homicides per year in the area, according to KPBS. Read Also: Montana Youths Win After Judge Rules in Favor of Activists in Landmark Climate Decision Domestic Violence in California A former San Diego city attorney, Casey Gwinn, also a founder of the local Family Justice Center for domestic violence victims, said lawmakers would be making a mistake if they pass the new bill. He argued that it would cause serious injury or death to victims of domestic violence. He added that anybody who believes the measure is pro-survivor or pro-domestic violence intervention is delusional. The controversy surrounding the protection of domestic abuse victims was highlighted after the state auditor last year examined intervention programs for domestic abusers. They found systemic failures, including court oversight and probation monitoring. Late last month, a Los Angeles Democrat, Reggie Jones-Sawyer, started helping a coalition of organizations push the Legislature to include $50 million in the budget. The fund would help prevent and end domestic and sexual violence and marks a significant boost to current funding, said the Los Angeles Times. Related Article: Texas Woman Sentenced to 30 Years for Her Role in Concealing US Soldier's Remains @ 2023 HNGN, All rights reserved. Do not reproduce without permission. North Korea commented for the first time about a U.S. soldier who ran into the isolated country's territory last month. North Korean state-run media KCNA claimed in a statement issued Wednesday local time that "Travis King admitted that he illegally intruded into the territory of the DPRK," using the initialism for the Democratic Peoples Republic of Korea. Pvt. 2nd Class Travis King, 23, crossed the Demilitarized Zone, or DMZ, the heavily fortified border between North and South Korea, willfully and without authorization in July, Defense Secretary Lloyd Austin told reporters last month. North Korea also alleged that King said he decided to cross into North Korean territory. KCNA said King "confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army." He also expressed his willingness to seek refugee in the DPRK or a third country," the KCNA report says. The claims could not immediately be verified. Pvt. 2nd Class Travis King. (via Facebook) Jonathan Franks, a spokesman for King's family, said his mother, Claudia Gates, is concerned for her son's safety. "Ms. Gates is aware of todays 'report' from KCNA. DPRK authorities are responsible for Travis well-being, and she continues to appeal to them to treat him humanely," Franks said in a statement. "Shes a mom worried about her son and would be grateful for a phone call from him." "Lastly, she has been in touch with the Army this evening and appreciates DoDs statement that it remains focused on bringing Travis home," the statement said. KCNA, officially the Korean Central News Agency, is essentially a mouthpiece for North Koreas authoritarian government. Defense Department spokesman Martin Meiners said, "We cant verify these alleged comments." "We remain focused on his safe return. The Departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome," Meiners said in a statement. North Koreas statement cannot be trusted because the words arent coming from King himself, said Victor Cha, senior vice president for Asia and Korea Chair at the Center for Strategic and International Studies, a research organization in Washington. Once the North Koreans have custody of Private King, we dont know whether his words are true, or whether they are coerced or exaggerated for the purpose of propaganda, he said. The Pentagon said earlier this month that North Korea had offered a very brief response on King to United Nations officials, who administer the area of the DMZ where King dashed across the border. The North acknowledged their request for information about King but did not provide any details about his status. King, who had recently been released from a South Korean prison, was being sent home on U.S. military orders from an airport outside Seoul when he blended in with a group of tourists bound for the DMZ and bolted across the border on July 18, the Pentagon has said. The return of other Americans held in North Korea has usually required a visit by a high-level official or former official from the United States, which does not have official relations with the North. What weve seen in the past with detained Americans is a trial, a show trial, and then some sort of sentence usually involving hard labor and prison time, Cha said. And then the sort of high-politics diplomacy starts to try to get the American out of the country. North Koreas comments on King come days before President Joe Biden is set to host South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida at his Camp David country retreat in Maryland. The growing threat from North Korea is expected to be a major topic of discussion among the three leaders, who are meeting Friday in what the U.S. hopes will be the first of an annual gathering as it strengthens ties with the two major Asian allies. Tensions between North and South Korea are still high 70 years after the Korean War. An armistice signed in 1953 ended the fighting, but a peace treaty has never been signed. North Korea routinely objects to South Korea-U.S. combined military drills, and it has stepped up its weapons testing since early last year, including of intercontinental ballistic missiles that may be capable of reaching the continental U.S. North Korea is also thought to be preparing for its seventh nuclear test, which would be its first since 2017 and like other weapons testing would be a violation of U.N. resolutions. WASHINGTON (Reuters) -The United States on Wednesday imposed sanctions on three entities it accused of being tied to arms deals between North Korea and Russia as Washington cracked down on those seeking to support Russia's war in Ukraine. The U.S. Treasury Department in a statement said that Russia has increasingly been forced to turn to North Korea and other allies to sustain its war in Ukraine as it expends munitions and loses heavy equipment on the battlefield. The action is the latest by Washington, which has imposed rafts of sanctions targeting Moscow and Russian President Vladimir Putin since the start of the war, which has killed tens of thousands of people and turned cities to rubble. The United States continues to root out illicit financial networks that seek to channel support from North Korea to Russias war machine, Treasury's Under Secretary for Terrorism and Financial Intelligence, Brian Nelson, said in the statement. Alongside our allies and partners, we remain committed to exposing and disrupting the arms trade underpinning Putins brutal war in Ukraine." Russia's embassy in Washington and North Korea's mission to the United Nations in New York did not immediately respond to requests for comment. The entities targeted in Wednesday's action are Limited Liability Company Verus, Defense Engineering Limited Liability Partnership and Versor S.R.O. The Treasury said Slovakian national Ashot Mkrtychev, already under U.S. sanctions, is the president of Versor, founder and owner of Verus and director of Defense Engineering. Washington accused Mkrtychev of negotiating with North Korean and Russian officials to organize potential plans to transfer over two dozens kinds of weapons and munitions to Russia in exchange for goods to North Korea. Wednesday's action freezes any US assets of those designated and generally bars Americans from dealing with them. Those that engage in certain transactions with the sanctioned entities can also be hit with punitive measures. (Reporting by Daphne Psaledakis; Editing by Chizu Nomiyama and Bernadette Baum) An Ohio teenage girl was convicted of murder on Monday, accused of intentionally killing her boyfriend and his friend by crashing her car into a brick building going 100 mph last year. Mackenzie Shirilla, 19, was found guilty in a bench trial on 12 charges: four counts of murder, four counts of felonious assault, two counts of aggravated vehicular homicide, one count of drug possession and one count of possessing criminal tools. Shirilla broke down in tears as Cuyahoga County Common Pleas Judge Nancy Margaret Russo said, "This was not reckless driving. This was murder," at the verdict reading Monday. The crash unfolded around 5:30 a.m. on July 31, 2022 when Shirilla accelerated her Toyota Camry into the Plidco Building, a large brick building at the intersection of Progress and Alameda Drive in Cleveland-suburb of Strongsville, police and prosecutors said. Mackenzie Shirilla cries as she's found guilty of murder in the death of her boyfriend in Cleveland on Aug. 14, 2023. (WKYC) When police arrived to the scene, around 45 minutes later, they found the car with severe damage and full airbag deployments and inside, Shirilla, then 17, her boyfriend Dominic Russo, 20, and his friend Davion Flanagan, 19. They were found unconscious, not breathing and trapped in the vehicle, police said at the time. Shirilla was transported to a local hospital, but Russo and Flanagan were pronounced dead at the scene. Shirilla was 18 when she was arrested on Nov. 4, 2022 in connection with the crash. Prosecutors argued that Shirilla had a toxic relationship with Russo and had threatened him before. Two weeks before the crash, she allegedly threatened to crash her vehicle when she was driving with Russo, because she was upset over a disagreement they had. Russo called his mother and asked to be picked up and a friend ended up retrieving him. During a phone call with Russo, the friend allegedly overheard Shirilla say I will crash this car right now, prosecutors said in court documents. The same month of the crash, Shirilla allegedly made multiple threats towards Russo. Videos recovered from his phone reveal an altercation in which she was heard repeatedly degrading Dominic, threatening him, and damaging his property, prosecutors said in court documents. She allegedly threatened to key his car and to break the handle off a door after he refused to let her into his home, the filings said. Judge Nancy Margaret Russo delivered a scalding description of the case before reading out the verdict, saying Shirilla had a "mission" she executed with "precision" that fateful day and "the mission was death." The [crash] video clearly shows the purpose and intent of the defendant. She chose a course of death and destruction that day, Judge Russo said. She morphs from a responsible driver to literal hell on wheels as she makes her way down the street, Russo said, noting that Shirilla made a calculated decision to drive that morning, when not many people would be around, on an obscure route she did not routinely take. Prosecutor Michael OMalley told NBC affiliate WKYC of Cleveland that the crash video was damning in the case saying, The intent was obvious upon seeing that video that there was only one goal. Jaime Flanagan, the mother of Flanagan, told the station after the verdict: Theres not a day that goes by that we dont miss our son and justice was served for him today. But honestly theres no winners here. Theres no winners here today. Shirilla's attorney, James McDonnell declined to comment Wednesday. She is due back in court for sentencing on Aug. 21. The ongoing search for victims of the deadliest U.S. wildfire in more than a century continued in earnest Tuesday on the Hawaiian island of Maui. At least 106 people have been confirmed dead in the blaze that destroyed most of the historic port town of Lahaina one of several fires that broke out in Maui on Aug. 8 but hundreds more remain missing. Speaking Tuesday at an event in Milwaukee, Wisconsin, President Biden said all federal resources were being made available to Hawaii. "Every asset they need will be there for them," Mr. Biden said. "And we'll be there in Maui as long as it takes." Lahaina, Maui, on Aug. 14, 2023. Ash and debris are all that remain at this home located near Wahinoho Way. / Credit: Robert Gauthier/Los Angeles Times via Getty Images Mr. Biden said that his administration is "surging federal personnel" to Maui to "help the brave firefighters and first responders, many of whom lost their own homes, their properties, while they're out busting their neck to save other people." The president said he and first lady Jill Biden plan to travel to Hawaii "as soon as we can." More than 400 FEMA have already deployed to island, Hawaii Gov. Josh Green said in an address Tuesday afternoon, along with another 273 National Guard soldiers. At least 27% of the burn area has been canvassed by search and rescue personnel with 20 cadaver dogs, Green said. How to help those affected by the Maui wildfires About 500 hotel rooms have been made available, Green said, with 331 displaced residents already staying in those rooms. The governor added that the state had also set up an Airbnb program with 1,000 available rooms or houses that will be covered by FEMA for use by both evacuees and first responders. The goal, Green said, is for displaced residents 'to be able to leave these shelters and go into stable housing, which is going to take a very long time." The Department of Health and Human Services has also deployed about 75 personnel, along with a victim identification team, according to Jonathan Greene, deputy assistant secretary director for the HHS Office of Response. Approximately 3,400 residents have already registered with FEMA for economic assistance, Keith Turi, FEMA deputy associate administrator for response and recovery, told reporters. Supplies for Lahaina fire victims are gathered and delivered by Hawaiians sailing on a large catamaran who often sail around the world together to Lahaina neighborhoods. Maalaea, Maui. Aug. 14, 2023. / Credit: Robert Gauthier/Los Angeles Times via Getty Images What we know about the victims of the Lahaina fire Just five of the victims have been positively identified, according to Maui County officials. Only two of the families have been notified, the officials added, so only those two names have been made public. Both were Lahaina men in their seventies. Mexico's Ministry of Foreign Affairs said Wednesday that two Mexican nationals died in the Maui wildfires. It wasn't clear whether they were among the 106 deaths previously reported by Maui officials. Another 13 DNA profiles have been obtained from victims, police said, and 41 DNA profiles have been obtained from family members of the missing. Along with the 106 bodies already recovered, Green estimated Monday that about 1,300 people remain unaccounted for. According to 2020 census data, the Lahaina community had a population of about 12,700. Maui's overall population was at about 165,000. This marks the deadliest U.S. fire since 1918, when the Cloquet and Moose Lake fires in Minnesota and Wisconsin claimed the lives of 453 people, according to the National Fire Protection Association. How many structures have been destroyed? At least 2,200 buildings have been destroyed or damaged in the Lahaina fire, according to preliminary numbers released over the weekend by the University of Hawaii's Pacific Disaster Center. About 86% of those are residential. The Hawaiian Electric Company, the utility provider for 95% of Hawaii, reported that about 2,000 homes and businesses were still without power. Maui officials stressed that number does not include the more than 2,000 structures estimated to have been destroyed. The Hawaii State Department of Health was asking those who have been allowed to return to Lahaina to exercise caution due to toxic ash and chemicals such as asbestos, arsenic, lead and debris. Many residents have expressed frustration at not being able to access their homes. "The fact that they're making it difficult for us to go back to where we're from," said Annastaceya Arcangel-Pang, who lost her home in the fire. "It's just hard and unbelievable. I mean, I still have loved ones that are trapped." Lahaina, Maui on Aug. 14, 2023. The Keawe business center lies in ruins days after a fierce wildfire destroyed much of Lahaina's business district. / Credit: Robert Gauthier/Los Angeles Times via Getty Images CBS News has learned that several victims have been found in the rubble by their own families. The Tone and Takafua family found four of their family members including a 7-year-old girl inside a burned-out car. How much of Maui has burned? The three wildfires which broke out Aug. 8 have so far burned an estimated 4.45 square miles, according to Maui officials. Two of the three fires are still burning, with dozens of firefighters working by ground and air to build containment lines and monitor for any hot spots and flare-ups. The Lahaina fire, by far the largest of the three at 3.39 square miles, was 85% contained Tuesday. The Upcountry/Kula fire, which has burned just over a square mile, was 65% contained. It has destroyed 19 homes, including the home of Sweethart Mori in Kula. Mori told CBS News Tuesday she and her family safely escaped. "We fortunately got out alive before the fire came," Mori said. The Pulehu/Kihei fire was fully contained over the weekend. Maui natives fear being priced out Mori also discussed a common fear, which had predated the fire, of longtime Maui natives being priced out of the island by developers and wealthy out-of-state buyers. She said her family plans to stay and rebuild. "This is my land," Mori said. We from here. This is my country. So I cannot go anywhere. You know, I think about moving to the USA because it's so expensive over here. But where I going? I don't belong there." Green told reporters Monday that he would try to restrict land purchases on Maui by out-of-state buyers while the island recovers from the fires. "I will try to allow no one from outside our state to buy any land until we get through this crisis and decide what Lahaina should be in the future," Green said. On Tuesday, the governor announced that he had asked Hawaii Attorney General Anne Lopez to "watch for predatory practices." He said that the state would also be "embedding" pro bono attorneys to provide legal advice to local residents who are approached by potential buyers. "What is also of fundamental importance to us is protecting the land," Green said. "Protecting the land for our local people...So that it's not stolen by people on the mainland." Green disclosed that in a conversation about the issue with superstar Dwayne Johnson, a Hawaii native, Johnson also shared with Green "his desire to see this land stay in the hands of the people." How did the Maui wildfires start? The cause of the wildfires remains under investigation. Local government agencies have been under intense scrutiny for their actions as the Lahaina fire was spreading. According to a timeline provided by Maui County, a three-acre brush fire was first reported near Lahaina Intermediate School just after 6:30 a.m. local time on the morning of Aug. 8. About two hours later, a little before 9 a.m., the fire was declared 100% contained. With powerful wind gusts from Hurricane Dora hitting the island, four West Maui schools were then closed due to hazardous conditions, according to the Hawaii Department of Education. Then, at approximately 3:30 p.m., the fire which had been previously reported as fully contained flared up and suddenly exploded with help from Dora's 60 mph winds. None of the emergency sirens on the island were activated as the fire spread, and with power cut off, Lahaina residents said they received no text alerts. Many were caught completely off guard and with barely enough time to escape the flames. "It was a kind of fear and panic that I have never experienced before in my life," Lahaina resident Kawena Kahula told CBS News. Kahula said that with no evacuation alert, she followed a line of cars towards what she thought would be safety, but instead, "willingly, unknowingly, blindly headed into the fire." "I didn't know until there was big black mushroom clouds of smoke, continuing to stock up on each other bigger and bigger," Kahula said. Problems cascaded after the blaze erupted. Fire crews had no water pressure due to water-damaged pipes which had leaked and ran dry, a utility official told CBS News. Lopez said Friday that the state attorney general's office would conduct a "comprehensive review of critical decision-making and standing policies leading up to, during, and after the wildfires." Green said Monday that the investigation aims "not to find fault in anyone but to say why this worked and this didn't work." Norah O'Donnell, Jonathan Vigliotti, Lilia Luciano, Emily Mae Czachor and Jordan Freiman contributed to this report. Sneak peek: What Angelina Saw Sneak peek: Who Shot the Tech Exec? How do RICO or racketeering laws work? Sen. Kyrsten Sinema (I-Ariz.) knocked the White House and top Democrats in Congress over the latest distribution of border funds in a new interview, after New York received more funding than her home state along the southern border. Senate Majority Leader Chuck Schumer (D-N.Y.) and House Minority Leader Hakeem Jeffries (D-N.Y.) announced in June that New York City would receive $104.6 million in federal funds to help care for the influx of migrants in the Big Apple, angering Sinema and border-state Democrats. Its fairly obvious, Sinema said in an interview with Politico published Wednesday. I dont know if you noticed, but the announcement about that $104 million came out first, in a joint press release from Schumer and Jeffries not from the White House or from FEMA. Now, how did that happen? she added sarcastically, according to Politico. The Arizona senator also argued that it would now be even more difficult to secure the additional border funding the Biden administration has requested. Now that a yeomans amount of this funding has gone to the interior of the country, not to border communities, that lift to get another tranche of this funding to get more of it approved is even heavier than before, Sinema told Politico. To be clear: It was very heavy in December. She similarly slammed the distribution of border funding at an event in Yuma, Ariz., earlier this month, saying she was livid. What were experiencing here in Arizona is matched only by what folks are experiencing in southern Texas, Sinema said at the time. Those are the two communities that are experiencing this crisis. The rest of the country is seeing some elements of it, but we are facing the brunt. For the latest news, weather, sports, and streaming video, head to The Hill. WAILEA, Hawaii One week after wildfires roared across Maui and devoured their property, residents who have called this island home for generations were watching over the ashes. Distrustful of the government's response to a tragedy that has already displaced hundreds of families and fearful of outsiders' swooping in to take their ancestral homes, they were organizing their own relief efforts to get food and supplies to people who are unwilling or unable to venture far from their destroyed properties. "We are taking charge," Maui County Council Vice Chair Keani Rawlins-Fernandez said Tuesday. Maalaea, Maui, Monday, August 14, 2023 - Supplies for Lahaina fire victims are gathered and delivered by Hawaiians sailing on a large catamaran who often sail around the world together to Lahaina neighborhoods. (Robert Gauthier / Los Angeles Times via Getty Images) Rawlins-Fernandez, who hails from the nearby island of Molokai, said the reasons many native Hawaiians are staying put instead of evacuating are both practical and rooted in a history riven by colonialism and land speculation. As the search for missing loved ones continues, people who lost their homes are staying close by with family and friends, she said. "They are staying as close as they can to their destroyed homes, even if they are ashes, Rawlins-Fernandez said. "For years, a lot of Hawaiians have been feeling priced out, and after the fires destroyed everything, many of us are afraid those with money are trying to capitalize on the trauma." Driven by winds and feeding on dry conditions related to climate change, wildfires erupted across Maui on Aug. 8, devouring the historic town of Lahaina and thousands of acres, killing 99 people so far and forcing thousands to flee. Damage is estimated at $7 billion. Kekoa Lansford, who lost his Lahaina home and soon found himself using his truck to ferry fleeing neighbors to safety, said the federal government has historically treated native Hawaiians as "second-class citizens." Lahaina, Maui, Monday, August 14, 2023 - A tent on the front yard of Archie Kalepa's home serves as a distribution center of food and supplies for victims of the recent devastating wildfires. (Robert Gauthier / Los Angeles Times via Getty Images) "The U.S. government stole the entire Kingdom of Hawaii, so there is a huge distrust," said Lansford, 37. "I myself am worried. I dont know if theyre going to try to take all my property. Im going to fight them, Ill tell you that much. Im not going anywhere." Lahaina, on the west coast of Maui, was once the capital of the Kingdom of Hawaii. Before U.S. troops ousted its last monarch in 1893, the Hawaiian Islands were already being taken over by U.S. sugar plantation barons who imported workers from China and Japan to work the fields, further displacing Hawaiians. In recent years, rising real estate prices have forced many Hawaiians to leave Maui and the other islands. But even as Lahaina continued to smolder Tuesday and the toll from the deadliest wildfire in modern U.S. history exceeded 100, residents have reported getting calls from real estate investors seeking to buy up what remains of their island homes and property. Wildfire wreckage is seen Thursday, Aug. 10, 2023, in Lahaina, Hawaii. The search of the wildfire wreckage on the Hawaiian island of Maui on Thursday revealed a wasteland of burned out homes and obliterated communities as firefighters battled the deadliest blaze in the U.S. in recent years. (AP Photo/Rick Bowmer) (Rick Bowmer / AP) Meanwhile, federal prosecutors warned Hawaii residents to be on the lookout for scammers who wont "hesitate to take advantage of the challenging times to commit fraud and other crimes." Tiare Lawrence, a community organizer who is leading efforts to provide food and other supplies to Lahaina residents including an Instagram page that directs donations to displaced families said local officials were doing their best, but there was widespread concern on Maui that the federal government would direct how Lahaina would rebuild. I dont want the federal government coming in and saying theyre going to save the town, Lawrence said at her Makawao home, where several relatives who lost everything in the fire are now staying. The community needs to be involved. The best they can do is make sure people have access to money to rebuild their homes and rebuild sustainably. [15 August 2023 - Maui, HI] Tiare Lawrence's home has become a supply hub for residents displaced by the Lahaina fires. (Brock Stoneham / NBC News) Dustin Kaleiopu, a cousin of Lawrences whose home and possessions were incinerated, said it has been difficult for displaced residents to get information on what the government is doing to help or when they will be allowed to return to their wrecked town. Nobody has a clue what is going on, he said. Mindful of the Hawaiian Islands' sad history, community leaders moved quickly to take care of themselves rather than wait for help from Washington, Lansford said. "Our community is the one stepping up," he said. "If you look at all of the different relief aid stops and centers, youll see there is a native Hawaiian in charge of every single one. Native Hawaiians have shouldered the relief effort because they do not expect help from the local or federal government. It comes from the belief that if we dont do something, were going to die. Theyre not coming to help us." [14 August 2023 - Maui, HI] Dustin Kaleiopu has been livig in his cousin's house since escaping the Lahaina fire with his brother, father, and 81-year-old grandfather. They've been collecting and distributing supplies for other displaced families while they decide what to do next. (Brock Stoneham / NBC News) So far, Lansford said, they have yet to see much help from the Federal Emergency Management Agency. I dont know what FEMA came here with, because I havent seen it yet, he said. FEMA encouraged survivors Monday to register with the government as soon as possible for temporary housing and other assistance, including immediate payments of $700 to cover food and water. FEMA requires them to apply through a smartphone app or on its website, but the hardest-hit parts of Maui, on the western side of the island, are still without power and internet service, Rawlins-Fernandez said. In their latest update Tuesday, FEMA officials said they had already distributed $2 million in emergency aid to 1,200 fire survivors. Rawlins-Fernandez pointed out that the aid centers set up by state and local officials are on the other side of Maui in the town of Paia, "which is 10 miles away from where the fires were, and people cant drive over because their cars were burned or because they dont have gas." In contrast, the distribution hubs established by native Hawaiians and other residents are much closer to the hardest-hit people, she said. "Having smaller hubs run by community leaders that they can reach is really important, but those arent getting supplies," she said. "So we are trying to bring those supplies closer to the people. Self-help was on display at the Hawaiian Canoe Club in Kahului where 23-year-old Hiilei Luuwai was helping collect food, drinks, First Aid items, solar lamps, batteries, diapers destined for the desperate people still in and around Lahaina. [15 August 2023 - Maui, HI] Coordinator Hiilei Luuwai waits to distribute supplies at the Hawaiian Canoe Club organize supplies for delivery to Lahaina. (Brock Stoneham / NBC News) A member of a native Hawaiian family called Kukahiko that goes back many generations, Luuwai said that on the day of the fire, I just thought to myself, what can I do to help? So she made the club a drop-off point, announced it on Instagram, and soon the donations began rolling in. A convoy of trucks will take the items the rest of the way. We can rely on each other 100 percent," Luuwai said. "Its how we were raised. Its how Hawaiian families are. Where to house people on an island that was chronically short of housing before the fires forced over 4,000 residents into shelters remained a critical concern. In Washington, Housing and Urban Development Secretary Marsha Fudge has said the department is working with state officials to provide newly homeless residents with manufactured homes "that can be built in a matter of weeks." She said a 90-day moratorium would be set on all mortgage foreclosures, "because we know people cant pay their mortgages." Jon Schuppe reported from Wailea and Corky Siemaszko from New York City. Texas' leaders have declared that the state is experiencing an "invasion" of people at the border, but a U.S.-Mexico agency says the state is the one doing the invading. A document filed by the Department of Justice in its lawsuit against Texas over buoys the state placed in the Rio Grande to deter migrants says that 787 feet of them are in Mexico. Another 208 feet are in the U.S. The section of buoys in U.S. waters are upstream, and the rest are downstream, the document states. The Rio Grande serves as the boundary between the U.S. and Mexico. The apparent trespassing was determined through a topographic survey conducted by the International Boundary and Water Commission, according to an affidavit filed in a U.S. government lawsuit against Texas and Gov. Greg Abbott over the buoys. A page of the court document shows a line of orange dots marking the buoys, black dots marking the buoys' anchors and a dashed line representing the boundary over a topographical photo of the riverbed. Most of the dots are across the dashed line, on the Mexican side. The Justice Department sued Texas after Abbott launched the buoys last month near Eagle Pass, Texas/Piedras Negras, Mexico, as part of the state's own immigration enforcement system. Abbott, a Republican, has blamed President Joe Biden for spikes in the number of people arriving at the border or who have crossed it illegally, although there were spikes in migration numbers during the Trump administration and illegal border crossings dipped in June. The boundary and water commission is a U.S.-Mexico body created in 1889 to apply the terms of boundary and water treaties between the two countries as well as to resolve any differences. Mexican Foreign Minister Alicia Barcena said during a visit to Washington this month that Texas' buoys had crossed the border and most were on the Mexican side of the river, which Mexico calls the Rio Bravo, the Dallas Morning News reported. In earlier filings, the state has said that it's not in violation of treaties and laws governing the river boundary because it has placed the buoys in shallow water, and under the treaty the barrier has to disrupt the navigability of the water to be in violation, the Morning News reported. Abbott has maintained that his state-run immigration enforcement system is saving lives and property, and preventing an influx of illegal drugs. Critics, however, have said the entire operation is a political ploy to win points with conservatives and runs afoul of federal law that gives the federal government jurisdiction over immigration enforcement. NBC News has reached out the governor's office for comment. Mexico and civil rights groups have lashed out at Texas over the buoys, saying they are dangerous and possibly deadly for migrants. Rep. Joaquin Castro, a Democrat from San Antonio, recently visited the border and examined the buoys. He criticized Abbott's immigration operation as "barbaric" and pointed out the presence of metal disks with jagged edges, what he said appeared to be saw blades, between the buoys. Abbott, though, appears to be relishing the attention the buoys and the clash with Mexico, Texas' largest trading partner, and the federal government is bringing him and the state. The family of Jasyiah Boone won a $787 million verdict in federal court this week after the 23-month-old got trapped and died in the steps of his bunk bed in 2018. A federal jury this week awarded damages of $787 million to the family of a 23-month-old boy who died when he became wedged in a bunk-bed ladder five years ago. The award against the Vietnamese manufacturer, Moash Enterprise Co. Ltd., may be the largest wrongful death verdict in Ohio history, according to the attorney handling the case. The award was well above the $522 million in damages the family requested, but the family likely will never collect on the award. "There's a lot of horrible tragedies in this case," said Dan Mordarski, the family's attorney. "There were just a lot of bad things that shouldn't have happened. The jury recognized that and how we got to where we got to." Toddler becomes trapped in bunk-bed ladder while playing On May 22, 2018, while playing with his brothers, 23-month-old Jasyiah Boone became trapped in the ladder in the opening between the top rung of the ladder and the bottom of the upper bed frame. His 4-year-old brother saw what happened and tried to free Jasyiah. Jasyiah's mother was told what happened, immediately went to the room and frantically tried to free him. The boy was wedged into the ladder so tightly that she had to break the ladder to free him, Mordarski said. The Franklin County Coroner's Office performed an autopsy and determined the cause of death was "positional asphyxia due to chest compression between bunk bed and the bunk bed ladder," according to the lawsuit. Jasyiahs family sued Wayfair, which sold the bunk bed and the ladder to the boy's mother, along with Angel Line and Longwood Forest Products, the companies that imported the product from Vietnam. Those companies subsequently settled the claims for undisclosed amounts. Moash, a wood home furniture manufacturer based in Thu Dau Mot City in Vietnam's Binh Duong province, was later added as a defendant after it was identified as the manufacturer of the bunk bed. Moash never responded to the allegations against it or appeared in court. The jury made the award Tuesday at the conclusion of a two-day trial before Judge Michael Watson. The family, who have asked not to be identified, bought the Fremont Twin over Twin Bunk Bed in December 2017, according to court documents. The bed contains a narrow opening between the top rung of the ladder and the bottom of the upper bed frame where a small child could become trapped, Mordarksi said. Jury award exceeds family's request The family asked for the jury to award $522 million in damages in reference to the May 22 date of Jasyiah's death, Mordarski said. "Five-22 will always be the worst day of her life. We want to make 5-22 mean something different," he said. The family doesn't expect to collect on the verdict because the manufacturer is located in Vietnam, he said. "Part of this verdict is to make it a tool or catalyst to make change," he said. Bed violated consumer safety standards, attorney says The bed that was sold to the family violated numerous U.S. consumer safety standards, Mordarski said. Congress in 2009 created a law that places the burden on importers and sellers to ensure childrens products for sale in the U.S. meet safety standards, he said. Yet, almost 15 years later, foreign manufacturers still can sell these products in America. Wayfair never secured the required certificate from Moash to show that the bed complied with child safety standards, Mordarski said. In addition, Jasyiah's death should have been reported to the Consumer Products Safety Commission immediately, but it was delayed by more than six months, he said. "If another child died during that timeframe, that would have been horrible, Mordarski said. The bed was recalled on Dec. 22, 2021, but Wayfair, Longwood and Moash continued to sell essentially the same recalled bed and ladder design on its website under a different name, Mordarski said. The family's goal is to see regulatory changes to prevent this kind of thing from happening again, he said. "They're still struggling," he said. "Mom admits that there's a part of her that's gone." Williams can be reached at mawilliams@dispatch.com or @BizMarkWilliams. This article originally appeared on The Columbus Dispatch: Family wins $787-million award after toddler dies in bunk bed On August 14, special agents from the Federal Bureau of Investigation (FBI) Sacramento Field Office and Legal Attache Office of Mexico City returned wanted fugitive Antonio Lopes Moreno, 67, to Glenn County to face felony homicide charges with special circumstances. Lopes Moreno was booked into the Glenn County Jail and is currently awaiting his initial appearance before the Superior Court of California, County of Glenn, in Willows. All charges are mere allegations, and the defendants are presumed innocent unless proven guilty beyond a reasonable doubt in a court of law. READ NEXT: U.S. Navy Service Member Allegedly Transmitted Sensitive U.S. Military Information to a Chinese Intelligence Officer With the assistance of the FBI Mexico City Legal Attache and the International Criminal Police Organization (INTERPOL) Mexico, Lopes Moreno was apprehended by INTERPOL in Cotija de la Paz, Michoacan, Mexico, on August 19, 2022, pending extradition. "The FBI is committed to locating and returning individuals who evade criminal prosecution to honor the memory of victims, support those impacted by the crime, and ensure the accused can face charges before an impartial jury," said Special Agent in Charge Sean Ragan of the FBI Sacramento Field Office. "We stand ever ready to aid our law enforcement partners and effect extradition when we can to ensure justice for all." "Today's actions show the crucial value of partnerships in ensuring that violent criminals do not go unpunished," said Mexico City Legal Attache Angel Catalan. "For this case, the FBI would especially like to extend our gratitude to the Fiscalia General de la Republica and its INTERPOL team for their actions in locating and arresting Lopes Moreno." Lopes Moreno's return to Glenn County to face prosecution is funded by the United States Government's Project Welcome Home, which provides funding to assist in the transportation of federal fugitives to the United States. Lopes Moreno is alleged to have killed a woman outside of an Orland laundromat on October 11, 1989. The Orland Police Department and Glenn County Sheriff's Office investigated the homicides, and the Glenn County District Attorney's Office District Attorney Dwayne R. Stewart is prosecuting the case. The Justice Department's Office of International Affairs provided significant assistance in securing the defendant's arrest and extradition from Mexico. A warrant for Lopes Moreno's arrest was issued on October 16, 1989. The FBI obtained a federal arrest warrant for unlawful flight to avoid prosecution on May 23, 2017, following a request for assistance from the Glenn County Sheriff's Office in January 2017 and in collaboration with the Glenn County District Attorney's Office. The FBI continues to collaborate with its law enforcement partners to apprehend criminals charged with state crimes who then flee the jurisdiction. Cases seeking information from the public, including fugitive matters, are posted on the FBI Sacramento Field Office's Most Wanted page and FBI Most Wanted app. Anyone with information that may aid these cases may contact their local FBI office, United States embassy, or submit information online at tips.fbi.gov. READ MORE: Man Admits Transporting Child Pornography, Fleeing St. Louis @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Speaker Kevin McCarthy (R-Calif.), facing a tricky path to passing government funding bills in the face of pressure from the GOPs right flank to cut spending, is signaling that lawmakers will turn to a short-term stopgap funding bill when Congress returns in September. But the move to buy more time comes with its own complications. Demands from conservatives regarding Department of Justice and Department of Homeland Security funding, a White House request for supplemental aid for Ukraine and right-wing skepticism about the length of a continuing resolution (CR) could all threaten to sink Congress move to avoid a shutdown after Sept. 30. McCarthy has been allegedly talking about, Well, well do a three-month CR into December. Thats exactly the playbook to try to get it done and to roll us, Rep. Chip Roy (R-Texas) said in a Spaces conversation broadcast on X, formerly known as Twitter, Monday night. So were going to have to throw everything we have in fighting that heading into September. McCarthy told Republican lawmakers on a Monday evening conference call that he expects to move a continuing resolution, but does not want it to last so long that it jams up against the winter holidays, according to multiple sources on the call. McCarthy stressed that he does not want a year-long continuing resolution and wanted to get spending bills signed into law that include policies preferred by Republicans, one source stressed. The need for a CR had been widely expected. The House GOP passed just one of the 12 regular appropriations bills before leaving for the August recess and was forced to delay plans to pass another last month by conservative demands to slash spending and moderate unease with an abortion provision. But McCarthys remarks this week were his most definitive yet that GOP leadership will turn to a stopgap. In the slim House majority, Republicans will need support from Democrats if more than a handful of GOP members vote against the continuing resolution. Even before a vote on the final bill, hardline Republicans could potentially sink the stopgap if they vote against a procedural rule to allow its consideration on the floor. And as Republican leaders juggle concerns from hardline conservatives on spending, more demands are being thrown their way. Some of the new demands have been spurred by anger at federal prosecutors in light of charges against former President Trump and their handling of a tax case against the presidents son, Hunter Biden. Trump has been indicted in two separate federal cases. On Monday he was indicted on state charges in Georgia. I WILL NOT vote for any continuing resolution that doesnt smash Bidens DOJ into a million pieces, Rep. Ronny Jackson (R-Texas) wrote on X on Tuesday. The DOJ has very rapidly become the enemy of the American people, and if nothing is done soon, our rights will be GONE. We MUST defund it!! Roy, meanwhile, is leading a push to oppose any stopgap or full-year spending bill that funds the Department of Homeland Security (DHS) without border policy changes, saying in June that he would violently fight not only any bill that funded DHS without those reforms, but any procedural steps to set up the vote. Last week, fourteen other House Republicans from Texas signed on to a letter from Roy pledging to follow through on that promise enough Republicans to sink any bill that doesnt have Democratic support. Democrats have opposed House GOP bills because they were written at levels below those in the debt limit deal struck between McCarthy and President Biden. Asked ahead of the August recess, McCarthy did not appear inclined to support Roys push. I want my border secure. Im going to fund my border, McCarthy said. And then there is the issue of Ukraine, which has been a lightning rod in the House GOP conference for more than a year and is once again dividing Republicans. More from The Hill The White House unveiled a $40 billion supplemental funding request last week that includes $24 billion for Ukraine as Russias invasion of the country drags on. Shalanda Young, the director of the Office of Management and Budget, said the White House was requesting the supplemental as part of a potential short-term continuing resolution for the first quarter of FY 2024, as was done last year. Congressional leadership has not yet said if it plans to pair the two measures. Senate Majority Leader Chuck Schumer (D-N.Y.) declined to say on a Tuesday call whether he would attach the supplemental to a continuing resolution, but said that Democrats want to get a supplemental done as quickly as possible. The request is already prompting howls among House Republicans. A contingent of conservative GOP lawmakers have opposed continued support for Ukraine, with some arguing that resources would be better spent on domestic matters like border security. Those voices were loud and clear following the White Houses funding request, with 12 of them some members of the House Freedom Caucus writing a letter to President Biden expressing their strong opposition to the supplemental and asking that he rescind the request. Americans are tired of funding endless wars and want policies that not only help restore fiscal sanity in Washington, but also put America and American citizens first, the lawmakers wrote. Votes on curtailing funding to Ukraine split Republicans just a month ago. The majority of Republicans voted against two amendments to the National Defense Authorization Act that would have blocked aid but the amendments received the support of 89 and 70 Republicans, respectively. Coupling a stopgap bill and the supplemental could heighten tensions on Capitol Hill. Speaking with reporters on Tuesday, Rep. Morgan Griffith (R-Va.) would not say if he supports the White Houses funding request well have to take a look at it but did stake his opposition to pairing the Ukraine funding in the supplemental with a continuing resolution. Ukraine money would not belong on a CR, Griffith said. In my opinion thats a separate vote, we should take that separately. He did, however, express an openness to attaching additional money for FEMA which is in the supplemental request to a continuing resolution because were dealing with the United States. The length of any proposed continuing resolution is also causing heartburn among GOP members. Roy has floated the idea of passing a series of 24-hour continuing resolutions rather than one that lasts several months. Griffith told reporters on Tuesday that he could probably live with a continuing resolution that lasts just a few weeks, proposing a two-week stopgap and then a one-week stopgap if necessary after that. GOP leaders have downplayed the internal disagreements about the spending bills, arguing that their decision to go through the regular appropriations process rather than using a large omnibus like in years past will naturally result in disagreements. Still, the calculus is further complicated because any spending measures will have to make it through negotiations with the Democratic-controlled Senate, which crafted its bills at the higher spending levels agreed upon in the debt ceiling deal. Those bills received bipartisan support in the Appropriations Committee. In one sense, Congress has incentive to complete its funding work by Jan. 1, due to a provision in the debt limit bill passed earlier this year to automatically cut a continuing resolution across the board by one percent if Congress does not approve all its funding measures. But Rep. Matt Gaetz (R-Fla.) suggested that the one percent cut provision could actually be preferable to Democrats and the White House over cutting spending to be more appealing to hardline conservative Republicans. We had a conference call today with the Republicans about how important passing our appropriations bills are because it positions us for negotiation, when everyone on the call including the people saying that everyone listening knows that we are going to reach an impasse with the Senate, and were gonna go to a 99% continuing resolution, Gaetz said in a Spaces conversation on X Monday night. Joe Bidens going to get 100% of what he wants, followed by 99% of what he wants. Al Weaver contributed. For the latest news, weather, sports, and streaming video, head to The Hill. remaining of Thank you for reading! On your next view you will be asked to log in to your subscriber account or create an account and subscribepurchase a subscription to continue reading. Marysville, CA (95901) Today Becoming partly cloudy after some morning rain. High near 85F. Winds S at 10 to 20 mph. Chance of rain 80%.. Tonight Partly cloudy skies. Low around 65F. Winds SSE at 10 to 15 mph. STEPANAKERT, AUGUST 16, ARMENPRESS. Demonstrators in Nagorno-Karabakh are holding a peaceful protest since August 15 outside the Russian peacekeeping contingents headquarters in Stepanakert. Artur Osipyan, one of the leaders of the demonstrators who are united under a group calling itself the Peoples Movement for Unblocking the Corridor, told ARMENPRESS correspondent Van Novikov that their goal is to lift the blockade of Lachin Corridor and that they are calling on the Russian peacekeepers to ensure the safety of a caravan which they plan to organize in the direction of Hakari bridge in a peaceful demonstration. We had appealed to the peacekeepers before with a letter. We are not telling them to go and lift the blockade, we are demanding them to ensure the safety of our peaceful procession to Hakari bridge and to try and resolve the issue in unity. But they gave an absurd response to our letter. As a sign of protest, we went and closed the entrance to their deployment site until they start treating us seriously and not try to make us abandon our goal, Osipyan said, calling on others to join their movement. The Russian peacekeepers unsuccessfully attempted to open the entrance to their HQ. YEREVAN, AUGUST 16, ARMENPRESS. France will provide an addition 3,000,000 to support the humanitarian activities of the International Committee of the Red Cross (ICRC) in Nagorno-Karabakh, the French foreign ministry said in a readout of the call between the Armenian and French foreign ministers. Catherine Colonna, Minister for Europe and Foreign Affairs of France, expressed deep concern about the fact that the population of Nagorno-Karabakh has been suffering for more than eight months as a result of the blockade of Lachin Corridor by Azerbaijan. Colonna noted with regret that Azerbaijan continues its blockade, which contradicts its obligations within the framework of the ceasefire agreement and harms the negotiations process. Reiterating her call made during her April 27 and 28 trips to Azerbaijan and Armenia, she said that outstanding issues can only be solved through negotiations, which would give the chance to achieve just and lasting peace. The French Foreign Minister reiterated her call on restoring the free movement of people and goods in both directions along the Lachin Corridor and uninterrupted gas and electricity supplies to the population. FM Colonna said that Azerbaijan must fulfill its international obligations, particularly comply with the ICJ February 22 binding order. The ministers also discussed the Azerbaijani cross-border shooting that happened on the Armenian border on August 15 during an EU monitoring patrol. The French foreign minister expressed concern about the shooting and expressed full support to the EU mission. Lachin Corridor, the only road connecting Nagorno Karabakh with Armenia and the rest of the world, has been blocked by Azerbaijan since late 2022. The Azerbaijani blockade constitutes a gross violation of the 2020 Nagorno Karabakh ceasefire agreement, which established that the 5km-wide Lachin Corridor shall be under the control of Russian peacekeepers. Furthermore, on February 22, 2023 the United Nations highest court the International Court of Justice (ICJ) - ordered Azerbaijan to take all steps at its disposal to ensure unimpeded movement of persons, vehicles and cargo along the Lachin Corridor in both directions. Azerbaijan has been ignoring the order ever since. Moreover, Azerbaijan then illegally installed a checkpoint on Lachin Corridor. The blockade has led to shortages of essential products such as food and medication. Azerbaijan has also cut off gas and power supply into Nagorno Karabakh, with officials warning that Baku seeks to commit ethnic cleansing against Armenians in Nagorno Karabakh. Hospitals have suspended normal operations. On July 26, Armenia sent a humanitarian convoy carrying emergency food and medication for Nagorno-Karabakh, but Azerbaijan blocked the trucks at the entrance of Lachin Corridor. STEPANAKERT, AUGUST 16, ARMENPRESS. A farmer in Nagorno-Karabakh came under Azerbaijani gunfire on August 15 while working in the grain fields, the Nagorno-Karabakh police said in a statement. The farmer was working with his combine harvester in grain fields Tuesday evening in the village of Avdur when Azerbaijani troops opened small arms fire from adjacent outposts. The farmer, who escaped unharmed, is a resident of Myurishen village. The combine harvester was hit multiple times. Police released photos of the bullet-riddled vehicle. The farmers had to suspend agricultural work due to the shootings. Nagorno-Karabakh authorities said theyve notified the Russian peacekeepers on the shooting. YEREVAN, 16 AUGUST, ARMENPRESS. The Central Bank of Armenia informs Armenpress that today, 16 August, USD exchange rate down by 0.69 drams to 385.97 drams. EUR exchange rate down by 1.34 drams to 421.36 drams. Russian Ruble exchange rate up by 0.06 drams to 4.00 drams. GBP exchange rate up by 0.48 drams to 491.73 drams. The Central Bank has set the following prices for precious metals. Gold price down by 40.99 drams to 23625.30 drams. Silver price down by 4.41 drams to 278.09 drams. (Photo : NOORULLAH SHIRZADA / AFP) (NOORULLAH SHIRZADA/AFP via Getty Images) The Taliban terrorist group celebrates the second anniversary of taking over the Afghan government despite deteriorating conditions for women's rights. The Taliban terrorist group is celebrating two years of returning to power after taking control of Afghanistan's government despite the country's continued deterioration of women's rights. The insurrectionist commemorated on Tuesday the second anniversary of the establishment of what the group said was security throughout the nation under an Islamic system. The Taliban government was created after a lightning offensive as American-led forces withdrew following two decades of inconclusive war. Taliban Celebrates Second Anniversary of Kabul Takeover On Aug. 15, 2021, the terrorist group entered the capital as the Afghan security forces, which were set up with years of Western support, crumbled. Afghan President Ashraf Ghani, who the United States supported, was forced to flee. In a statement, Taliban spokesman Zabihullah Mujahid said that on the second anniversary of taking back power in the country, the group wanted to congratulate the mujahid, the holy warrior, the nation of Afghanistan and expressed their gratitude to Almighty Allah for their great victory, as per Reuters. While Afghanistan seems to be enjoying peace that the region has not seen in decades, the United Nations said there have been dozens of attacks on civilians, some of which have been claimed by Islamic State rivals of the Taliban group. Many women in the country, who have enjoyed extensive rights and freedoms during the two decades of rule supported by Western governments, have become dire since the Taliban regained control of the nation. In a statement, the deputy secretary general of the UN, Amina Mohammed, said that it had been two years since the terrorist group took control and upturned the lives of women and girls in the country and their rights and futures. The Taliban declared Tuesday a national holiday, and the group's deputy spokesperson, Bilal Karimi, said the day is "full of honor and pride for Afghans." According to CNN, he added that the nation was freed from occupation and that its citizens were able to regain their country, freedom, government, and will. Read Also: Ecuador: Local Politician Slain Following Assassination of Presidential Candidate Deteriorating Situation for Women's Rights Activists have warned that the situation in the country will only worsen as the world continues to look away from the problem after decades-long wars. In the meantime, continuously decreasing foreign aid means that millions of Afghan residents are struggling amid drought, hunger, and illness. An Afghan women's rights activist and 2023 Nobel Peace Prize nominee, Mahbouba Seraj, said there is no such thing as women's freedom in Afghanistan any longer. She argued that the women in the country are slowly being erased from society, life, and everything else. She said this includes their opinions, voices, thoughts, and who they are. Maryam Marof Arwin, the founder of NGO Afghanistan Women and Children Strengthen Welfare Organization, said that it feels like a nightmare living under Taliban rule for the past two years. The growing issues in the nation come despite the Taliban group initially promising to respect women's rights under Sharia, or Islamic law. The group has since imposed restrictions on women and girls, including education, participation in public life, and the labor market, said DW News. Related Article: UN Chief Urges Creation of Multinational Force To Address Rising Gang Violence in Haiti @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Boeing discloses production of Apaches has started in Mesa, Arizona Tata Boeing Aerospace Limited (TBAL) had earlier delivered the first AH-64 Apache fuselage for the Indian Army earlier this year from its advanced facility in Hyderabad. (AFP) New Delhi: American aerospace giant Boeing announced on Wednesday the initiation of Apache helicopter production intended for delivery to the Indian Army. A total of six AH-64E Apaches are slated to be handed over to the Indian Army. The AH-64 Apache, renowned as one of the world's most advanced multi-role combat helicopters, is extensively used by the US Army. Boeing disclosed, "Production of the Indian Army's Apaches is commencing in Mesa, Arizona." Tata Boeing Aerospace Limited (TBAL) had earlier delivered the first AH-64 Apache fuselage for the Indian Army earlier this year from its advanced facility in Hyderabad. Salil Gupte, president of Boeing India, remarked, "We are pleased to reach yet another significant milestone, highlighting Boeing's unwavering commitment to support India's defence capabilities. The advanced technology and proven performance of the AH-64 will enhance the Indian Army's operational readiness and strengthen its defence capabilities." In 2020, Boeing concluded the delivery of 22 E-model Apaches to the Indian Air Force and entered into a contract to manufacture an additional six AH-64Es for the Indian Army. The delivery of the Apaches to the Indian Army is scheduled for 2024. Christina Upah, vice-president of Attack Helicopter Programmes and senior executive at Boeing's Mesa site, emphasised, "The AH-64E continues to be the world's premier attack helicopter. The AH-64 provides customers with unparalleled lethality and survivability, and we are thrilled to provide those capabilities to the Indian Army." It's noteworthy that in September 2015, the Indian Air Force signed a multi-billion dollar agreement with the US government and Boeing Ltd for the procurement of 22 Apache helicopters. Moreover, in 2017, the Indian Defence Ministry approved the acquisition of six Apache helicopters along with associated weapon systems from Boeing at a cost of Rs 4,168 crore for the Indian Army. The 'PM-eBus Sewa,' will lead to introduction of 10,000 e-buses using the PPP (public-private partnership) model New Delhi: The Union Cabinet on Wednesday endorsed the 'PM-eBus Sewa' initiative, designed to enhance city bus operations. The plan will be prioritised in cities that lack a structured bus service. The 'PM-eBus Sewa' project is anticipated to cost approximately Rs 57,613 crore, with the Centre contributing Rs 20,000 crore. Simultaneously, the Cabinet sanctioned seven multi-tracking projects affiliated with Indian Railways. Union information and broadcasting minister Anurag Thakur disclosed that the decision was ratified by the Union Cabinet, chaired by Prime Minister Narendra Modi. The 'PM-eBus Sewa,' will lead to introduction of 10,000 e-buses using the PPP (public-private partnership) model. The Centres backing will sustain bus operations for 10 years. "In a total of 169 cities, 10,000 e-buses will be integrated under a public-private partnership (PPP) model. Furthermore, infrastructure enhancements will take place in 181 cities as part of the Green Urban Mobility Initiatives," Thakur said. It will incorporate cities with populations exceeding three lakh, as per the 2011 Census. This encompasses all the Union Territories' capital cities, North Eastern Regions, and hill states. Nearly 45,000 to 55,000 direct job opportunities are expected to be created from the project. Union railway minister Ashwini Vaishnaw affirmed that the Union Cabinet Committee on Economic Affairs (CCEA) also granted its approval to seven multi-tracking projects by Indian Railways, which are projected to cost around Rs 32,500 crore. These ventures are designed to boost the existing line capacity, streamline train operations, alleviate congestion, and enhance overall travel and transportation convenience. The railway projects, spanning 35 districts across nine states Uttar Pradesh, Bihar, Telangana, Andhra Pradesh, Maharashtra, Gujarat, Odisha, Jharkhand, and West Bengal are poised to augment the Indian Railways network by 2,339 km. This expansion is anticipated to generate an estimated 7.06 crore man-days of employment in these states. The routes play a crucial role in transporting foodgrains, fertilisers, coal, cement, fly-ash, iron and finished steel, clinkers, crude oil, lime stone and edible oil. "The augmentation of capacity will result in an additional freight traffic volume of 200 MTPA (Million Tonnes Per Annum). Railways, as an environmentally friendly and energy-efficient mode of transportation, will contribute to both achieving climate targets and reducing the nation's logistics costs," the government stated. Five states Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram are scheduled to go to the polls in November-December Prime Minister Narendra Modi, BJP National President JP Nadda attend the Central Election Committee (CEC) meeting for the Chhattisgarh assembly polls, in New Delhi, Wednesday, Aug 16, 2023. (PTI) Prime Minister Narendra Modi, along with other senior party leaders, attended the BJPs central election committee (CEC) meeting on Wednesday to deliberate on the year-end Assembly polls in Madhya Pradesh and Chhattisgarh. The BJP leadership, according to party sources, discussed the feedback on the panel of candidates and the ground report of the Assembly seats in both the poll-bound states. The CEC members, the sources said, took stock of the poll preparations, discussed feedback and assessed the party's strategy, including for selecting candidates. Besides Modi, BJP president J.P. Nadda and Union ministers Rajnath Singh and Amit Shah, among other leaders, attended the party's central election committee meeting. Five states Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram are scheduled to go to the polls in November-December. The BJP is in power only in Madhya Pradesh and is confident of winning back Rajasthan from the Congress. In Madhya Pradesh, the Congress is giving the ruling BJP a tough fight, while in Telangana, the party is putting up a strong fight against the ruling BRS. In Chhattisgarh, the BJP is hoping that its poll campaign against the Bhupesh Baghel-led government over corruption, anti-incumbency and poor law and order situation will help in winning back the state that it lost to the Congress in 2018. The laws themselves have rarely been a problem, it is how they are implemented that must change. The Union home minister has said he wants to unshackle India from its colonial legacy by proposing to repeal three long-standing laws and to substitute them with three bills that were tabled in Parliament last week. Lest the general public be misled into believing that this is just old wine in new bottles, he hastened to assure Parliament that this is a paradigm shift, which would put citizens at the centre of governance. The three existing laws are the Indian Penal Code 1860 (IPC), the Criminal Procedure Code 1973 (CrPC) and the Evidence Act 1872 (IEA). One of these, the CrPC, has already acquired an Indian hue, five decades ago in 1973, when it substituted the old CrPC 1898. At the time, the substitution was driven by three factors. First, to implement a recommendation of the First Law Commission 1959 about separating the judiciary from the executive fully to align with the constitutional framework of the separation of powers. Second, it incorporated a range of procedural changes to enhance speedy justice. Third, it signalled a major shift toward equitable justice by incorporating the provision of legal aid to an indigent person and a shift towards human rights sensitivity, by allowing representation against a proposed punishment before it is imposed. It has since been amended seventeen times -- the most recently in 2018 to enhance its workability and efficiency. The proposed substitute to the existing CrPC 1973 will be the Bharatiya Nagrik Suraksha Sanhita. The new title in Hindi -- an Indian language -- is welcome but it fails to convey the intent of the bill, which is to codify the procedure to be followed in bringing criminals to book. It is the IPC which provides suraksha, or security to citizens, by laying down a series of deterrent outcomes (punishments) for criminal behaviour, and thereby theoretically induces socially compliant behaviour. A more appropriate name would have been Bharatiya Dandiniya Nyay Prakriya Sanhita -- loosely translated as Indian Code of Criminal Law Procedure. The Indian Penal Code lists and defines the prohibited actions (offences) which attract penal outcomes like fines, incarceration in prison or execution (death sentence). These are classified under twenty-one heads. The major ones are -- offences against the State; offences against the public tranquillity; contempt of the lawful authority of public servants; offences against public justice like false evidence; offences against the human body; offences against property; offences against religion; criminal breach of contract; relating to marriage; against defamation; criminal intimidation, abetment to commit offences and criminal conspiracy. For each offence a punishment is prescribed. This exhaustive code has been amended forty times since its inception prior to Independence in 1947 and thirty-five times after Independence, the latest amendment being in 2018. It is now proposed to be renamed as Bharatiya Nyay Sanhita. The renaming in an Indian language is par for the course but the choice of title smacks of ambiguity and imprecision. Nyay is possibly used to convey a sense of justice. But isnt that the generic aim of the entire judicial system, which is why the scales of justice are often associated with it? The IPC is just one part of the justice system and not its entirety. There are three other branches of law which also deliver nyay -- civil law, administrative law, and constitutional law. Given this nuance, a better new name for the IPC would have been Bharatiya Dandaniya Nyay Sanhita, loosely translated as the Code of Indian Penal Law. The offence of sedition, classified as one of the offences against the State, is now proposed to be deleted to show the law as becoming more citizen friendly. It is unreal that a modern State should not need laws to deal with the very real possibility of forces working to undermine it, possibly in consort with international actors. The good news is that India now has a range of alternative offences, embedded in special acts, which reflect the many manifestations of sedition, such as secession, armed insurgency, subversive activities, separatism, challenging the unity, sovereignty and integrity of India. These will now be incorporated into the new IPC, reducing the shine around rebranding government as being citizen friendly, but also imparting a welcome touch of pragmatism. The Indian Evidence Act is being renamed as the Bharatiya Saksha Adhiniyam, which is unambiguously correct. This law has been amended eleven times before Independence and eleven times after, the most recent being in 2018. The IEA codifies how facts relevant to any case are to be determined, which facts need to be proven in court, the manner in which oral evidence and documents are to be proved, which party bears the burden of proof, the nature and extent to which admissible witnesses can be used to prove facts and how witnesses may be examined. A useful new provision expands the definition of documents to include electronic or digital records, emails, server logs, computers, smartphones, laptops, SMS, websites, locational evidence, mails, messages on devices. Similarly, in the other two laws, new provisions promote positive change -- digitising the process chain from FIR, case diary, chargesheet, through to judgment; making videography compulsory during search and seizure; enhancing forensic analysis capacity and new duties for the police to support communities the police to personally inform the family of the person they have arrested and give feedback of action taken on a complainant, within ninety days and then every fifteen days. However, none of these new provisions, including the name change, appear to necessitate new laws. They could all have been accommodated via limited amendments. There is a reason why laws should not be trifled with. Every change in the law imposes significant costs on all those who have to implement it, the Bar and the Bench and finally on complainants and the accused. Apart from the need to read up on the new laws, every new insertion will be dissected and judicially defined over time within case law. Only once this happens and a common understanding matures will the uncertainty and anxiety about the cases outcomes abate, along with the financial costs thereof. The laws themselves have rarely been a problem, it is how they are implemented that must change. Adopting a 90 percent conviction rate as a performance metric, unless accompanied by metrics for unbiased investigation, and third-party oversight over the initiation of charges, could generate unwanted perverse effects, like efficiently prosecuting the innocent and the poor. More pertinently, once the CrPC changes, will the existing parallel procedural legislation -- the Civil Procedure Code -- be next? Today's headlines: Pyongyang media says US soldier wants to seek asylum in North Korea against racial discrimination; Iran also arrests 90-year-old man in new crackdown on Ba'hai; Over 60,000 Vietnamese Catholics on pilgrimage to Our Lady of La Vang;Turkmenistan does not want to associate Russia with its gas pipeline to Beijing. CHINA China's National Bureau of Statistics has announced that it will no longer release age-specific unemployment data, citing the need to 'further improve and optimise labour force survey statistics'. The move comes after youth unemployment figures in recent months highlighted the collapse of China's post-Covid recovery, with unemployment among 16-24 year olds hitting a record high of 21.3%. NORTH KOREA-UNITED STATES According to North Korea's state media Travis King - the US soldier who crossed the 38th parallel during a visit to the Joint Security Area - would like to seek refuge in Pyongyang because of 'inhuman mistreatment and racial discrimination' in the US and the military. This is the first public acknowledgement by the North of the soldier's passage from South Korea on 18 July. JAPAN In 2022, illegal practices were discovered in 7,247 Japanese companies that employed foreign trainees. The most common violations concerned non-compliance with safety regulations, followed by non-payment of wages. The Tokyo government is considering reviewing the trainee programme, established in 1993 and used mainly for the agricultural and manufacturing sectors, which has been under fire for the high number of reported cases of harassment and abuse of trainees. VIETNAM Over 60,000 pilgrims took part in the Assumption Mass in Vietnam, the climax of the annual feast of Our Lady of La Vang, the great national shrine in the archdiocese of Hue. The Mass was followed by an evocative prayer vigil during which moments from Mary's life were portrayed. IRAN Dozens of people were arrested last week in a new crackdown by the authorities in Tehran against the Baha'i, Iran's largest non-Muslim religious minority. According to reports from Paris by the Baha'i International Community, among those who ended up in jail was Jamaloddin Khanjani, a 90-year-old who has already served 10 years in prison. Khanjani, a former member of a now disbanded informal Baha'i leadership group in Iran, was arrested on Sunday along with his daughter Maria Khanjani. TURKMENISTAN-RUSSIA-CHINA Turkmenistan, the main exporter of gas by pipeline to China, refused to associate Russia with its own gas pipeline to Beijing, which would constitute de facto internal competition, thus rejecting Moscow's entire plan of a 'gas union' together with Kazakhstan and Uzbekistan to enlarge Gazprom's blocked market. KAZAKHSTAN Hundreds of miners of the 'Kazakhmys' company went on strike in the Ulytau region of Kazakhstan, both those inside the mines and those 'in the street'. They presented a list of 15 demands to make working conditions 'humane' at a time of severe energy crisis throughout Central Asia, with heavy pressure on workers. by Vladimir Rozanskij Fewer than 100,000 children were born in 2022, which has not happened since 1940. The Ministry of Health now wants to introduce controls on abortion drugs. Moscow has one of the most liberal abortion legislations in the world. The Orthodox Church is pushing to change it. Moscow (AsiaNews) - Russia's Minister of Health, Mikhail Murasko, who began his medical career as an obstetrician and gynaecologist, has been appealing to Russian women to bear more children. He described the tendency of women to seek self-sufficiency and earn a living on their own, before family planning as a 'reprehensible practice'. Murasko also added that very strict controls on medical termination of pregnancy preparations would be introduced as part of measures to safeguard the safety of citizens. The ministry's concerns are also taken up by the Moscow Duma, where deputies of the 'New Men' party have drawn up a draft to be handed over to the government, whereby female students who give birth during their studies would be granted a special subsidy exempting them from school and university fees. All these initiatives are aimed at tackling the demographic crisis, a problem that has been discussed in Russia for more than 20 years now, but which is becoming increasingly dramatic as a result of the pandemic and the ongoing war. In recent years, government and state institutions have been competing to find new proposals to encourage fertility, from the exclusion of abortions from public healthcare to subsidies of all kinds for those who abandon their decision to terminate a pregnancy. The control on abortifacient drugs has triggered heated reactions on social networks, giving rise to the most diverse interpretations, and there is even talk in the press of a 'ban on contraception'. In reality, as gynaecology expert Olja Krumkac explains in an interview with Novaja Gazeta, the ministerial statements do not speak of contraceptives, but only of abortifacient means that are not found in pharmacies, but are assigned by the doctor after a specialist examination. Even now, these preparations are very strictly controlled in the larger cities, especially in Moscow and St. Petersburg. The purpose of the controls is mainly to obtain more statistical data on medical terminations of pregnancy, an operation that is rather complicated due to the cross-referencing of data between public and private clinics, given that in Russia the practice of abortion is very widespread and rooted in the popular mentality, as a centuries-old Soviet legacy. Incidentally, abortion drugs are also used in obstetric practice for other purposes, and in any case private facilities are rather reluctant to disclose their patients' data. The same ministerial indications specify that the procedure of medical termination of pregnancy 'does not involve harmful influences on the reproductive function following treatment'. Russian legislation on abortion remains to this day one of the most liberal in the world: women can have an abortion up to the twelfth week, without any justification or procedure, and in the following weeks it is permitted according to social parameters, such as the consequence of rape or the risk to the woman's health and life. Now attempts are being made to introduce restrictions, but always 'without infringing on women's rights and freedoms'. Those pushing for a ban on abortion are the servants of the cult of the various religions, especially the Orthodox Church, but also politicians and MPs, and exponents of the more radical nationalist groups. Patriarch Kirill of Moscow often insists on a ban on abortions in private clinics, where 'medicine merchants get fat on women's suffering', and in public health care he also proposes that the request for an abortion should include a 'consultation with a priest or psychologist'. The Orthodox Church also proposes that consent to abortion should not be limited to the woman, but should also include the father's will, even if it is not specified how much this is linked to the marriage bond, an even more labile and undefined subject in Russia. From many quarters the 'child-free ideology' is condemned, even though doctors and sociologists object that historically the abortion ban has never decreased the number of abortions, in any country in the world. All the appeals by Putin, Kirill, and other public figures to increase fertility, promising aid and subsidies from the state and various institutions, over the past two decades have yielded no results. In 2022, Russia set a negative birth rate record, with less than 100,000 children born, as has not happened since 1940, because 'women no longer want to have children before the age of 30', it is repeated in many quarters, and the war certainly does not entice young people. I'm fine, as I'm very fortunate to own my home I own, but I'm feeling the pinch on my mortgage with other inflation costs I rent and it's expensive, but it could be worse I'm seriously considering leaving the valley if something doesn't give Vote View Results Success! An email has been sent to with a link to confirm list signup. Former Special Agent in Charge (SAC) of the FBI Counterintelligence Division in New York, Charles McGonigal, 54, of New York City, pleaded guilty today to conspiring to violate the International Emergency Economic Powers Act (IEEPA) and to commit money laundering in connection with his 2021 agreement to provide services to Oleg Deripaska, a sanctioned Russian oligarch. According to court documents, on April 6, 2018, the U.S. Department of the Treasury's Office of Foreign Assets Control (OFAC) sanctioned Russian oligarch Oleg Deripaska for having acted or purported to act on behalf of a senior official of the Government of the Russian Federation and for operating in the energy sector of the Russian Federation economy. The U.S. District Court for the District of Columbia affirmed the sanctions against Deripaska, finding, among other things, that OFAC's determination that Deripaska had acted as an agent of Russian President Vladimir Putin was supported by the evidence. READ ALSO: Man Admits Transporting Child Pornography, Fleeing St. Louis "Charles McGonigal, by his own admission, betrayed his oath and actively concealed his illicit work at the bidding of a sanctioned Russian oligarch," said Assistant Attorney General Matthew G. Olsen of the Justice Department's National Security Division. "Today's plea shows the Department of Justice's resolve to pursue and dismantle the illegal networks that Russian oligarchs use to try to escape the reach of our sanctions and evade our laws." "After his tenure as a high-level FBI official who supervised and participated in investigations of Russian oligarchs, Charles McGonigal has now admitted that he agreed to evade U.S. sanctions by providing services to one of those oligarchs, Oleg Deripaska," said U.S. Attorney Damian Williams for the Southern District of New York. "This office will continue to hold to account those who violate U.S. sanctions for their own financial benefit." "Charles McGonigal broke his oath to defend the Constitution and turned his back on his duty to protect the American people in favor of his own greed by working for a sanctioned Russian oligarch," said Assistant Director Suzanne Turner of the FBI's Counterintelligence Division. "Every day, the men and women of the FBI protect the American people and uphold the Constitution. No matter the perpetrator, even if it's one of our own, the FBI will go to great lengths to investigate individuals who put their own interests above U.S. national security." As an FBI official, McGonigal had helped investigate Deripaska and other Russian oligarchs. In 2018, while serving as SAC, McGonigal received a then-classified list of Russian oligarchs with close ties to the Kremlin who would be considered for sanctions. In 2021, McGonigal conspired to provide services to Deripaska, in violation of the U.S. sanctions imposed on Deripaska in April 2018. Specifically, following his negotiations with an agent of Deripaska, McGonigal agreed to and did investigate a rival Russian oligarch in return for concealed payments from Deripaska. As part of their negotiations with Deripaska's agent, McGonigal and the agent attempted to conceal Deripaska's involvement by, among other means, not directly naming Deripaska in electronic communications, using shell companies as counterparties in the contract that outlined the services to be performed, using a forged signature on that contract, and using the same shell companies to send and receive payment from Deripaska. McGonigal faces up to five years in prison for each count and is scheduled to be sentenced on Dec. 14. A federal district court judge will determine any sentence after considering the U.S. Sentencing Guidelines and other statutory factors. The FBI New York Field Office investigated the case, with valuable assistance provided by the U.S. Customs and Border Protection and the New York City Police Department. Assistant U.S. Attorneys Hagan Scotten, Rebecca T. Dell, and Derek Wikstrom for the Southern District of New York are prosecuting the case with valuable assistance provided by Trial Attorney Christina A. Clark of the National Security Division's Counterintelligence and Export Control Section. McGonigal Plea Agreement READ MORE: U.S. Navy Service Member Allegedly Transmitted Sensitive U.S. Military Information to a Chinese Intelligence Officer @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Iowa School Board Approves IGNITE Auto Repair Classes Through SWCC Written by Ethan Hewett, KMA Land News Published Aug. 16, 2023 Shenandoah Community School District officials in Iowa have thrown their support behind a career technology education program for IGNITE students. Meeting in regular session Aug. 14, the Shenandoah School Board approved an educational services contract with Southwestern Community College in Creston, IA, for auto repair technology coursework for the 2023-24 school year. Speaking on KMA's "Morning Line" program Aug. 15, Shenandoah School Superintendent Dr. Kerri Nelson said the agreement is primarily to serve their online students who don't have as easy of access to their other community college partners. "Typically we've worked with Iowa Western and Tarkio Tech, but this is limited to IGNITE students who live in that particular region of the state and that's why we're contracting with (SWCC)," said Nelson. "We do have that program available on our traditional campus but for our online students who live a distance away, we're trying to find options that are within a little more reasonable reach for them." Nelson said several of their IGNITE students, including those from around southwest Iowa, are career technology education-driven and aligning their coursework as such, prompting the interest in offering the additional auto repair courses. The superintendent emphasized the importance of offering additional CTE-type coursework for their online students. "As you think about education, it's always to enhance the learner and make them life-long learners, but this also gives them a skill as they are leaving high school and an opportunity to immediately connect with the workforce," Nelson explained. "Perhaps they stay with that career track for a period of time and maybe later on in life they make some different choices, but it certainly makes them quite employable as they're leaving high school or an online program." Nelson added the program is also on top of several other CTE offerings within the Shenandoah School District. "Industrial technology, business, we offer quite a bit in the area of family consumer science-related coursework there that's more based on culinary arts," she said. "We also have a strong business track and we also have a strong agriculture program." Students with the high school's building trades program have also been at work over the summer on final renovations for a house at 213 W. Sheridan Ave. We thank KMA Land News for reprint permission. Lexus is what Toyota could have been if it hadn't had to consider branding as being paramount for a successful automotive business incursion into the US. But we should be thankful because that's one of the reasons why Lexus exists today. It is the brand that perfectly combines the reputation of being reliable with Japanese precision and pristine elegance in design. Proof of that stands this particular 2021 LC 500 Convertible that looks like it's finished in blue but has not one drop of blue pigment on it. Photo: Lexus A belated but great decision Photo: Lexus Spectacular wings Photo: Lexus Natural wonders turned into artificial marvels Photo: zjexx on Reddit Lexus understood that form may often come before function. Throughout time, we have seen great vehicles on sale that did not find buyers because they just looked poorly designed. But in the world of premium-luxury automobiles, the stakes are much higher. Nearly everything has to be right. Otherwise, well-off customers will not spend their money on an object with wheels that is considerably pricier than a mass-market commuting appliance.To put it like a horologist, nobody would buy a Grand Seiko when Seiko already exists and does a fine job by itself at measuring time. Grand Seiko must find its own way into an ever-changing world with a classical proposition.But the LC 500 is one of the best-looking vehicles currently on sale today. It may be missing some techy features and gimmicks and not be crazy powerful, but the most recent refresh made it "Polar" cool. The combination of a Stormtrooper body with a blue interior is reminiscent of a cold, pure environment where purity blends with the all-mighty water the elixir of life.However, we're not going to dwell on the new open-top model and its 471-hp eight-cylinder mechanical beating heart that helps the grand tourer reach 60 mph from a standstill in 4.6 seconds. No, we're going back in time to honor the 2021 LC 500 - a Lexus that's rarer than the 2024 model year unit : only 100 copies were made. For the current Inspiration Series release, 125 models are planned.Lexus brought forward the luxury coupe around five years ago. For some unknown reasons, the Japanese brand waited three years before cutting the fixed metal roof off and replacing it with a soft top.Fortunately, when Lexus finally did it , the brand did not refrain from giving customers a reason to buy it. The Inspiration Series treatment was applied, and a limited run of 100 LC 500 Convertibles with a Structural Blue exterior and a white interior appeared.It was a witty marketing decision that guaranteed the vehicle would eventually sell thanks to its accentuated appeal. But it was also proof that Lexus understood humans. We have an innate desire for beauty, and, luckily, we put a price on exclusivity.When it was launched, the "normal" rear-wheel-drive grand tourer had a price tag of $101,000 before taxes, fees, and options. The limited-edition two-door and somewhat of a four-seater open-top wonder, however, was released into the wild with an MSRP of $120,080. Surprising no one, it hid the same 5.0-liter V8 as the current 2024 LC 500 under the hood that also put out 471 hp.So, what's so special about this two-year-old GT? The color. More specifically, the Structural Blue finish. A butterfly inspires it.The Morpho peleides Kollar lives its three-week-long life in Central and South America but can be seen in other parts of the world as well. But only with different shades of blue on its wings.The remarkable thing about this conspicuously fluttering insect is that its wings are made of a rich, attractive blue without containing any blue pigment. Instead, their wings contain microscopic scales structured in a way that strongly reflects blue light.Pigments absorb certain wavelengths of light and reflect others, giving them their color. For example, blue pigments absorb most colors except blue, which reflect on our eyes. In the case of these butterflies, they achieve their blue color in a different way.The specific arrangement of these microscopic scales is crucial. It's like having a well-orchestrated arrangement of tiny mirrors. These scales are designed to interact with light in a particular way.When white light (which contains all colors) hits these scales, it gets reflected and refracted (bent) in a specific manner due to the structure of those formations.As white light hits the scales, the light waves bounce off the surfaces of the scales and interfere with each other. This interference causes some wavelengths of light to amplify each other (constructive interference) and others to cancel each other out (destructive interference). In this case, the scales are structured to enhance the reflection of blue wavelengths of light through constructive interference.This little creature manipulates the behavior of light, and Lexus figured it out, replicated the process, and put it on a car.Thus, it's not surprising that fixing any paint damage might cost quite a lot. Shops will usually take down parts that need even the slightest retouching to ensure they're doing it right. If a pricey protection film ever made sense, it's for this GT!Finally, now it's understandable why this owner takes care of their 2021 Lexus LC 500 Convertible Inspiration Series, which also happens to be the second unit to ever leave the factory in this special attire.If you're ever around Memphis, you might want to visit the local Lexus dealer. Who knows what other surprises might be hiding there! A while ago, Tesla owners would fear or even mock those with lithium iron phosphate (LFP) battery packs. After the company adopted the chemistry and started selling vehicles with it, these customers now brag about having it. They can charge to 100% with no concerns, and believe their battery packs will last much longer. CATL just gave these folks another reason to want LFP cells: fast charging. This is ShenXing Superfast Charging Battery's main advantage. Photo: CATL Photo: CATL According to Gao Huan, CTO of CATL's China E-Car Business, the company chose the ShenXing name for its new batteries because it is an ancient phrase that praises speed. The Chinese cell maker said it is the first LFP battery that can support 4C charging. Considering 1C means a full charge in one hour, that means it can take a full charge in 15 minutes. The battery giant said it could recover 400 kilometers (249 miles) of range in only 10 minutes, almost matching the numbers of the first battery pack to use the ShenXing. According to CATL, it will deliver 700 km (435 mi) of range. If it can fully charge in 15 minutes, it should recover 467 km in 10 minutes.The world's largest battery manufacturer has ambitious plans for the ShenXing cells. Despite its lead, BYD is the world's largest LFP cell producer, which has to do with its focus on the chemistry for its Blade Batteries. Considering CATL does not make cars, it has to convince customers like Tesla that the ShenXing batteries are a better solution for affordable battery electric vehicles. It should not have any trouble doing that.CATL's presentation followed an interesting dynamic. CATL's chief scientist Wu Kai mentioned the Diffusion of Innovation Theory that Everett Rogers conceived in 1962. According to it, 2.5% of the population is comprised of innovators and 13.5% of early adopters. When these 16% eventually adopt new technology, we are left with waiting for an early majority (34%) and a late majority (34%) to do the same. In China, new energy vehicles (NEVs) reached a market share of 25% in 2022. In other words, it is in the most crucial phase of NEV adoption. As Axios wrote a while ago, the early adopter phase is over at least in China, mind you.Despite that, CATL presented interviews with NEV drivers in China, and all of them complained about the time they usually waste charging their vehicles. At the same time, they said they could not afford fast-charging NEVs because they were too expensive. The company's answer to that is the ShenXing cell, which is supposed to cost little compared to ternary batteries.BYD had already perceived that need. Its Blade Batteries can recover 150 km (93.2 miles) of range in only 5 minutes because they work at 800V. That means they can theoretically reach 300 km (186 mi) in 10 minutes, or 25% less range than the ShenXing cells. CATL did not disclose at which voltage its new batteries can work, but 800V is a safe bet. The video embedded below mentions 800 something in Chinese, but that's an error. Huan was talking about 400 km in 10 minutes, and the Chinese subtitles said 800 km instead of 400 km. It is either a mistake or a Freudian slip.If you think about it, CATL is trying to offer carmakers the same option BYD has for its own vehicles and which led it to beat Volkswagen in China this year. Although BYD also sells Blade Batteries to other companies, CATL is possibly a more neutral supplier option. With superior performance, buying the ShenXing for affordable vehicles may become a no-brainer, especially if it can really deliver 700 km of range. CATL also said that the ShenXing batteries charge from naught to 80% in 30 minutes at -10C (14F). These outstanding results were achieved with four improvements, all of them related to making lithium ions travel easier inside the ShenXing cells. The first is a "fully nano-crystalized LFP material creates a super electronic network extending in all directions." The next one is the second generation of the Fast Ion Ring Graphite Technology, "which creates an expressway for current conduction," thanks to a change in graphite surface. The world's largest cell manufacturer also created a new electrolyte and a more porous separator.The Chinese battery maker is so confident with its quality control processes that it said the ShenXing cells issues are now evaluated in parts-per-billion (PPB) instead of in parts-per-million (PPM). Mass production will start by the end of 2023, and the first vehicle equipped with the fast-charging cell will arrive in the first quarter of next year. Although CATL does not manufacture cars, it has a long client list. Changan, Chery, GAC, Geely, Great Wall Motors, Huawei, and Neta Auto took part in the ShenXing battery presentation to welcome the new cells. Any of them could be the first one to sell a car with ShenXing batteries, but ZEEKR may be in the lead for that. After all, this Geely brand was the first to have the Qilin battery pack . We'll confirm that in a few months. For a very long time, despite the many nations running their own space exploration programs, humanity had only one crewed habitat in orbit around Earth: the International Space Station (ISS). And then the Chinese came along with their Sky Palace The ISS was officially born in 1998, when the first component was launched to orbit. Since then, more than 230 people from 18 countries visited the place, ready to perform various tasks for their nations.None of these people were Chinese. Despite being an international facility, and despite China rapidly expanding its space program, the Asian behemoth and its citizens are not allowed on the ISS. That's because, despite repeated proposals from others, the U.S. opposes China's presence on the station.Left with no choice the Chinese launched in 2021 the first module of the Tiangong space station. Tiangong is the word for Sky (or Heavenly) Palace, and has come to represent the country's first permanently crewed space station, and the second one to orbit our planet these days.At the time of writing Tiangong spins around our planet at a distance of up to 450 km (280 miles). It carries with it a crew of three, living inside a habitat with a pressurized volume of 340 cubic meters (12,000 cubic feet).We all have a rather clear mental picture of what the ISS looks like, thanks to the many images of the places beamed down to the surface over the years. The Tiangong on the other hand is so new and so Chinese that's doubtful many of us can imagine how it looks.Below this text there's a video that may help with that. It is a short, two-minute CGI film put together by space tech animation specialist Hazegrayart to give us a better idea of what China has sent up there.Aptly called a fly-around of the station, the clip starts with the digital camera zooming in on the station with a night-time Earth in the background.We are immediately treated to the image of a cross-shaped structure, with three main modules tied together, and a spacecraft attached to one of the docking ports. Large solar panel arrays are there to supply the station with necessary power.The clip then shows the place from different angles, and even on the Sun-bathed side of the planet. We're finally treated to what looks like the beginning of a docking operation, but the CGI clip ends before we are able to see how that unfolds.Chances are we will eventually get to see how the place looks like as seen for real from space, but until we do this here clip is more than revealing when it comes to what the Tiangong has to offer. The Fremantle Highway may have raised the same questions as the Felicity Ace, but the roll-on/roll-off (RoRo) cargo ship faced a different fate so far. After departing the Bremerhaven port in Germany toward Singapore with 3,783 cars, it caught fire at the late hours of July 25. When the blaze was controlled, it could be towed to the Eemshaven port in Groningen, where it was moored on August 3. The salvage companies involved with the ship's assessment estimated that 900 vehicles survived, but nobody knows who took the leaked pictures that illustrate this article. They show how destructive the fire was. BEV Photo: via RTL Niews Photo: via RTL Niews Autotransporter Fremantle Highway, ausgebrannt durch E-Autos. E-Autos sind Gefahrgut und mussen als solches behandelt werden. Man stelle sich einen E-Auto-Brand auf einer Seefahre vor! 200 Passagiere evakuieren! Schlimme Situation fur Kapitan und Besatzung! pic.twitter.com/JsiAe965yG Andreas Mrosek (AfD) (@mrosek1958) August 13, 2023 Pictures released by the Dutch Ministry of Defense and the Dutch Coastal Guard showed the damage to the sides of the Fremantle Highway, but at least one presents the collapsed upper deck of the RoRo cargo ship. With 12 decks, the blaze probably broke out on deck eight. It was so intense that it affected decks six to eleven. Richard Janssen, who works for SMIT Salvage, said deck five was empty. Several salvage companies are working on the ship to check what can be recovered.All vehicles transported on decks one to four are apparently in good shape. Nobody knows how many of these vehicles are electric. Still, the same speculation that stated a battery electric vehicle () started the blaze is now being used to exempt them from responsibility. Too bad that the leaked images show the remains of what looks pretty much like a Porsche Taycan. Another picture reveals a Mini Clubman and some classic vehicles. Some of our readers will certainly be able to identify them. On top of that, it is doubtful that all BEVs were stored in the same deck. This even explains why some of the cars did not burn: an empty deck separated them.Peter Berdowski told NL Times that the vehicles that escaped are perfectly movable. He is the CEO of Boskalis, the salvage company in charge of removing the cargo that may be recovered. Berdowski said that some of "these cars have charged batteries with high voltage, which can be extremely dangerous."That was why they were waiting for engineers from the Volkswagen Group , BMW, and Mercedes-Benz to determine what they could do with these cars. With the efforts to extinguish the fire with seawater, even the vehicles that seem to be in perfect shape may be write-offs. The salvage companies also have to determine if it is possible to safely open the ramps to unload the vehicles.So far, the companies working on the ship have estimated losses of around 300 million ($327.1 million at the current exchange rate). In some decks of the Fremantle Highway, the blaze was so intense that the car bodies fused with the ship's structure. It is not unlikely that a few BEVs or plug-in hybrid vehicles made these fires worse. Some decks were so badly affected that they may still collapse which also explains why the salvage companies are carefully approaching any action.As for the pictures of the ship's interior that leaked, Boskalis executives said they believe they were taken by insurance personnel or even someone from the company that owns the vessel, Shoei Kisen Kaisha. Regardless of the source, the images help us understand what happened and why the crew had to jump from the Fremantle Highway to save their lives. Unfortunately, one of the crew members died from the injuries sustained from hitting the water at speeds of around 130 kph (81 mph). Nobody disclosed his name.The pictures were shared both by RTL Nieuws and by Andreas Mrosek, a right-wing German politician from Alternative fur Deutschland (Alternative for Germany, or AfD). In the post where he published them, Mrosek said that the Fremantle Highway was burned by electric cars, which nobody has confirmed so far. As I wrote before, that is hardly relevant when BEVs are also present: the real issue is if they also catch fire.For the AfD politician, they are "dangerous goods and must be treated as such. Imagine an e-car fire on a sea ferry! Evacuate 200 passengers! Bad situation for the captain and crew!" That's a valid concern, irrespective of your political sympathies.Leaving at least one deck empty to prevent fires from spreading so rapidly may turn into a valid strategy to deal with BEV transportation. Keeping them apart from each other may also help: although the fire is intense , it will end after all the batteries burn. The problem is that these vehicles are heavy, which should keep them on lower decks to help balance the vessel, as Sal Mercogliano mentioned in his previous video about the case. As the "What is Going on With Shipping" YouTube channel presenter reminds us, BEVs are still being treated as regular cars when they are shipped, which is a big mistake.Whatever ship managers decide to do, rescuing the Fremantle Highway may offer valuable lessons on how to transport electric cars in the safest way possible. Honestly, it should have been conceived before a man had to jump from a ship in flames trying to save his life, but it may prevent others from dying the same way. Although it looks like an ordinary car from the 1930s, the Traction Avant was arguably the most innovative car of its era. It effectively created the recipe for modern cars by introducing the unibody chassis and advancing technologies like independent suspension, hydraulic brakes, or rack and pinion steering on a regular mass-production vehicle. Revolutionizing the brand and the automotive industry Photo: Citroen A unibody design that originated in the US Photo: Autoweek scan by Andy Dingley - via Wikimedia Commons A comprehensive list of advanced tech bundled up in one car Photo: Citroen FWD Model history Photo: Bonhams The Traction Avant today Photo: Classic Driver Now part of the Stellantis corporate umbrella, Automobiles Citroen was founded in 1919 by Andre Citroen - a French industrialist who made a fortune by manufacturing weapons during the First World War.In just a few decades, it grew from a small company to one of Europe's most renown carmakers, pioneering technologies like the hydraulic suspension system and developing the DS - one of the most influential cars of the 20th century.But before introducing the DS , Citroen made its mark on the automotive industry with the Traction Avant (French for front traction), the world's first mass-produced vehicle that combined a unibody chassis with front-wheel-drive.Following Henry Ford's footsteps, Andre Citroen wanted to take the notion of a mass-produced vehicle to another level and revolutionize his company's lineup by developing a car that was both innovative and cheap to manufacture.He laid out the objective to his employees, then French engineer Andre Lefebvre and Italian-born designer Flaminio Bertoni stepped up by creating the initial plans for the Traction Avant.Suggesting that they could squeeze in advanced tech into a lighter (and thus cheaper) one-piece chassis, the two employees and their team built a prototype in early-1934.Realizing that this would be a winning formula, Andre Citroen ordered the demolition of one of the company's older plants and greenlighted the construction of a new facility dedicated to assembling the new model, which took only about six months to finish.The basis for the revolutionary car was the unibody chassis, which, contrary to popular belief, was not a patented Citroen design.The idea was pioneered in the US by Joseph Ledwinka of the Budd Company - a metal fabricator that produced stainless steel rail carts and supplied various components to the automotive, aircraft , and defense industries.Commonplace today, unibody construction proposed fuzing the frame and bodywork into a single structure, which would result in a lighter and stiffer car, would give designers more flexibility, and, more importantly, would slash production costs.Budd presented the unibody concept to Detroit's biggest carmakers with little success. Citroen was the only carmaker who saw its potential and eventually purchased the rights to the design.It's also worth noting that Lancia used a crude form of unibody construction on its 1922 Lancia Lambda. Still, without a stressed roof, it was more of a bowl-type integrated chassis than a genuine unibody.Though it wasn't the first mass-produced vehicle to feature front-wheel-drive, the Traction Avant was the first to offer this drivetrain with a unibody chassis.gained more popularity during the 1960s, and, combined with a transversely-mounted engine, it continues to be the most widely-used layout today.When first introduced in 1934, the Traction Avant was powered by a longitudinally-mounted, 1.3-liter inline-four linked to a three-speed manual transaxle gearbox.Using a lightweight yet sturdy aluminum alloy casing, the transaxle was used by John Cooper in his mid-engine T43 and T45 race cars that revolutionized Formula 1 In the Traction Avant, the gearbox was positioned at the front of the vehicle, with the engine behind it and a differential between the two units. This resulted in excellent weight distribution and thus improved handling characteristics.The car's road manners were further improved with the use of an advanced suspension setup. At the front, the wheels were independently sprung using a torsion bar and wishbones, whereas, at the rear, it used a steel beam axle with Panhard rods, trailing arms, and torsion bars.In 1956, it was also used as a testbed for Citroen's patented Hydropneumatic suspension system - albeit fitted only to the rear axle. This system was used on all four wheels on the iconic DS, and even today, it's considered Citroen's most significant innovation.The Reine de la Route (Queen of the Road, as it was nicknamed in France) furthered the advancement of rack and pinion steering from 1936 onwards. Moreover, it utilized hydraulic drum breaks in an era when most production cars still used a cable-operated system.Though Traction Avant became synonymous with the model line, that was never its official name. Throughout its lifespan, the car was called Citroen 7, 11, or 15/6 in France, depending on its engine output and displacement. In the UK, it was marketed with more appealing names like Light Twelve, Light Fifteen, Big Fifteen, or Big Six.Initially, it was available only as a small four-door sedan with a 115-inch (2,910 mm) wheelbase and a modest four-cylinder displacing 1.3 liters.By 1934, a larger, 1.9-liter engine was introduced. Apart from the small four-door body known as Legere (light), a new one called Normale (normal) was added to the lineup. It was noticeably larger and wider than the conventional version, transforming the Traction Avant into a normal, full-size car.The carmaker also revealed the Familiale (family) and Commerciale (commercial) versions that year. The first debuted a three-row seating arrangement capable of accommodating up to 9 passengers, while the second had increased trunk space and a big rear-opening hatch that made it the world's first mass-produced hatchback The four-door models were joined by a limited number of two-door coupes and convertibles during the late-1930s. However, Citroen removed them from the lineup when production resumed after the Second World War.In 1939, the most powerful version of the Traction Avant was introduced. Based on the Normale but boasting several cosmetic improvements, the new model called 15/6 (or Big Six in the UK) received a 2.8-liter inline-six.The Traction Avant was built from 1934 to 1957 (with a pause caused by WWII) in approximately 760,000 units. They were assembled in France, as well as in Belgium, Germany, Denmark, and England.Even though it significantly impacted the automotive industry, this model is largely forgotten today. Therefore, surviving sedans have an average value of around $25,000, according to classics.com However, the rare two-door coupes and convertibles are highly sought-after by collectors, and their value goes up in the six-digit category.If you want to learn more about this French icon and see it roaming the streets of New York, watch the Jay Leno's Garage episode below. Slowly but surely we are getting used to having AIs in our lives. From the simple ones that govern the capabilities of our smartphone cameras to the incredibly complex pieces of software that will pilot military aircraft, computer minds are here to stay. Most of us have not only gotten used to this idea, but we also aren't bothered about it all that much. After all, most of the AIs currently in existence can only decide on a course of action in certain scenarios that only affects them, and doesn't impact the lives of human beings around them.That will change of course when AIs will be trusted with life and death decisions. You've all heard about some of these systems potentially getting the right to use force in certain scenarios, despite the industry's outspoken push against it. But what if AI's would be given the power to decide who gets to live and who gets to die as a result of injuries caused by disasters?Triage is a practice that has been embedded with medical assistance for decades now. It generally calls on medical professionals to use their knowledge to determine which victims can be helped (and in what order) and which can't, and dedicate resources to saving their lives accordingly. Or not. It's not a perfect system, but it generally works.Allowing an AI to determine all that is an idea that popped up into the heads of the guys over at DARPA. In fact, it's not an idea, but a full program intended to let important decisions be rapidly taken by an AI during mass casualty triage or disaster relief, avoiding human sluggishness and lack of consensus.The program is called In the Moment (ITM) and for the time being its main goal is to develop "algorithms that are trusted to independently make decisions in difficult domains." More to the point, to create a set of instructions for an AI to be able to take potentially live-affecting decisions in uncontrolled environments.For the task at hand DARPA awarded a contract earlier this week to Raytheon. Its size and value is not known, but we do know what the defense contractor is supposed to do.The first step will be to interview medical professionals and first responders and see how they make their decisions in such cases. When a clear picture is formed (we're not told how many humans will be interviewed), scenario-based experiments will be devised.These will be teaching scenarios for the AI, and they are aimed at making sure the software is making its decisions based on the knowledge of a "expert population." Alternatively, the system could be taught to react as a certain individual expert."Because the way we make decisions varies from person to person, it's unlikely that a one-size fits all trusted AI model exists," said in a statement Alice Leung, Raytheon BBN principal investigator."Instead, in theory, we should be able to create AI systems that adapt to the user and domain. Decisions are difficult because of uncertainty and trade-offs between competing goals. We want to be able to tune an AI's attributes such as risk tolerance, process focus, or willingness to change plans to better match a user or a group of users."Raytheon is not alone in giving DARPA a helping hand for this project. A series of other, unnamed partners are working on developing prototype AI decision-makers. An exact date on when actual testing could begin was not announced, and we of course have no timeframe for when an AI could actually be used for medical triage as part of a mass casualty event. Early adopters are necessary to test new technology and eventually see it go mainstream. At the same time, these guys sacrifice themselves for the privilege of being the first ones to try that tech. Till Westberg's story is a cautionary tale about the pleasures and pains of fitting that bill. After driving his 2016 Hyundai ix35 FCEV for 84,000 kilometers (52,195 miles) and seven years, he received a 103,764.17 ($113,139 at the current exchange rate) invoice. Photo: Hyundai Photo: Hyundai WLTP BEV That happened when the fuel cells in his Hyundai decided to stop working. The component alone costs 84,875 ($92,543), but you have to include service and taxes. That makes the $15,000 to $20,000 Tesla charges for its battery packs look like a bargain, but there are major differences that must be highlighted for anyone to have a fair assessment.When people think that Toyota was the first company to sell a fuel cell electric vehicle (FCEV) in 2014 with the Mirai, that is not exactly true. The first company to do that was Hyundai in 2013, precisely with the ix35 FCEV. In some markets, it was called Tucson ix35 FCEV. Westberg bought his unit in 2016. According to Autobild, Hyundai made around 1,000 of these FCEVs.The low production scale and the fact that the vehicle was a pioneer made things pretty tricky for the ix35 FCEV. Its fuel cells are now outdated compared to those used by the NEXO, and they are not interchangeable. Even if they were, the fuel cells for the NEXO cost 41,650 ($45,413), which is almost what Westberg paid for his car back in 2016: 50,200 ($54,736). Either way, the 2016 ix35 FCEV is a total loss.If the vehicle had the same warranty as battery electric vehicles (BEVs), the Hyundai would still be covered. The bad news is that Hyundai only offered the fuel cells five years of legal protection. It would be interesting to ask Westberg if he had any idea of the cost to replace the component or that it was out of warranty for almost two years. Do NEXO owners know how much the fuel cells in their cars cost? They should.According to Birgit Scheppat, car companies selling these vehicles should take really good care of these early adopters. The Rhein-Main University of Applied Sciences professor said Toyota protects Mirai owners as much as possible, but she did not tell Autobild how it does that. Our guess is that it buys these cars back should anything go wrong or just repairs them as if they were still under warranty. Hyundai is not that willing.The Korean carmaker told Autobild that the repair is really very expensive and makes no financial sense. Used i x35 FCEVs are sold in Germany for around 20,000 ($21,807), so the new fuel cells cost four times what the car is worth. If Hyundai had them from a crashed vehicle, it could eventually replace them for Westberg, but it apparently did not offer to do so. In other words, the German customer is on his own.As someone who traveled 114 km from Bad Homburg (where he lives) to Wurzburg to fill up the hydrogen tanks in his FCEV, Westberg probably expected more. Autobild did not mention how much it cost to get the 5.64 kilograms (12.43 pounds) of this gas, but FCEV owners often complain about how expensive it is. Autobild did not comment if Bad Homburg already has a hydrogen station or if Westberg just had to travel a bit closer than in his first days with the Hyundai. According to the German driver, his car could travel 450 km (280 mi) to 500 km (311 mi) with a full tank. The Korean carmaker claimed a range of 594 km (369 mi). The NEXO presents better numbers: 666 km (414 mi) under thecycle.As much as the ix35 FCEV depreciated over those years, it must be pretty hard for anyone to see a 50,200 investment vanish in a matter of seven years. Those who still believe they can protect the environment with their cars will either buy aor just give up on vehicles, as several activists want people to do. The main benefit FCEVs and BEVs offer is to people's health.Electric propulsion cuts the emission of dangerous pollutants like nitrogen oxides, hydrocarbons, ozone, and several others. Too bad that protecting your fellow human beings while driving often means a personal sacrifice when it comes to your budget. BEVs made on a large scale are yet to solve that. The few FCEVs for sale have just demonstrated they either can't address the problem or are just not interested in making things easier for early adopters. These customers are the ones who should weigh in if the compliments and the taps on their backs are enough for the predictable and severe financial hurdles their choices involve. Car emblems are what help define a vehicle's provenance in an instant. Once you see a prancing horse, a raging bull, a red and black crest, a feral horse, or a cobra, the mind immediately starts making a bunch of neural connections. These synapses help us figure out a name, a country of origin, guess its purpose, and to whom the vehicle might be a good fit. But new research claims that figuring out the logos in use today is a lot more complicated than we would've thought. Photo: Vanarama One of the latest insignia redesigns came from Porsche. The Stuttgart-based automaker updated the look of its iconic crest a little over two months ago after a three-year-long effort. The iconic shield-like badge readded the name of the company's headquarters above the horse with its forelimbs in the air, cleaned the surfaces on which the antlers and the PORSCHE lettering are found, and added black strips to the red stripes.The design remains heavily inspired by the Wurttemberg-Hohenzollern coat of arms. Despite including a horse somewhat similar to the one present on the Ferrari logo, their story couldn't be more different. The Italian automaker was inspired by the mom of a famous First World War Italian Air Force pilot, while Porsche's stallion is taken from the abovementioned coat of arms. Enzo Ferrari met with Baracca's mother Countess Paolina who advised the entrepreneur to add the prancing horse on the brand's racing cars as a totem of good luck.But nobody expects all gearheads to know all these intricate details and the fabulous stories hiding behind them. Moreover, it gets harder and harder to spot any fundamental differences between new and old designs. Kia is the only exception to the rule. Its new logo makes unaware people search for "KN car" instead of the South Korean automaker's real name.For example, Nissan, Ford, Honda, and Tesla have made such subtle changes to their logos that people cannot identify which is the correct one in use today. That's according to Vanarama research that surveyed 1,000 British people. They were supplied with three options for each brand; only 24% of the respondents could pick the correct Nissan badge. The other two options were slightly modified to give those surveyed a real challenge.Around 320 people were able to recognize Ford's logo, about 330 picked the proper Honda emblem, while approximately 340 respondents correctly chose Tesla's insignia. Those numbers tell us that there is a lot of room for improvement. But they also reveal that people may not be so keen on keeping up with the smallest details when recognizing a small design that should automatically link the product it's on to a company.Conversely, the auto brands with the most recognizable logos are BMW, Mercedes-Benz, Toyota, and Hyundai.Half of those surveyed chose the right Bimmer emblem, while around 400 Brits figured out Mercedes-Benz's logo in use today. Toyota and Hyundai were both at under 40%.BMW being among the most easily recognizable ones is surprising because the Bavarian brand played around a lot with its logo last year. It had three designs running at the same time - the iconic black circle with white and blue quadrants, the M anniversay badge, and the minimalist emblem "for a digital world" that remained in use only online.Interestingly, logo recognition doesn't impact sales or customer interest. Another recent research covering the world's most powerful 50 countries showed that the Honda Civic was the most searched-for car last year but was in 26th place sales-wise. Meanwhile, the Toyota RAV4 was the best-selling vehicle in the researched countries but came only 10th when online searching was considered.Another good example is that Tesla's Model 3 was the 10th best-selling vehicle in the nations included in the analysis but ranked sixth on the list of online searches. The EVs bigger brother, the Model Y, was fifth in sales but occupied the 11th spot in the same query. Hackers are now using AI to steal passwords. With this new technique, they only need to listen to keystroke sounds recorded over Zoom. A new study revealed that using artificial intelligence gives hackers over 90% accuracy when stealing passwords. Hackers Now Use AI to Steal Passwords With Over 90% Accuracy There's no denying that the growth of AI benefits companies and consumers in many ways. Unfortunately, the rise of artificial intelligence also helps criminals, especially hackers. According to Business Insider, a group of experts affiliated with Durham University conducted a new study to show how hackers take advantage of AI. They said that AI could be used to decipher keystrokes from a recording of a MacBook's keystrokes via Zoom. Researchers added that this method has a 93% accuracy rate. This efficiency increases to 95% when keystrokes are recorded using an iPhone 13 mini. Because of AI, researchers said that acoustic side-channel attacks are increasing further, becoming a major threat to keyboards. For those unfamiliar with this hacking method, it is a technique that malicious actors use to exploit information, such as the computer's power status and the keystroke sounds it makes. This hacking method allows them to do this without directly breaching the system's code. Read Also: US Cyber Inquiry To Investigate Microsoft's Involvement in Data Breach How to Protect Your Passwords? Toms Guide reported that using different typing styles and randomized passwords could help prevent hackers from using their acoustic side-channel attacks. Aside from this, having white noise or software-based keystroke audio filters could also help. But, Durham University-affiliated researchers said that the best thing users can do is to get the best password managers. Doing this will allow them to autofill their passwords when opening online accounts. Since they no longer need to type in their passcodes, hackers can't listen to their keystrokes that easily. Aside from these safety methods, you can also use Zoom's background noise suppression feature. "Zoom users can also configure our background noise suppression feature to a higher setting, mute their microphone by default when joining a meeting, and mute their microphone when typing," explained a Zoom spokesperson. If you want to learn more details on how you can protect your passwords from hackers using acoustic side-channel attacks, you can visit this link. Related Article: How To Avoid Risks When Using Free Wi-Fi @ 2023 HNGN, All rights reserved. Do not reproduce without permission. NHTSA Documents filed with the federal watchdog reveal that certain vehicles were potentially manufactured without sealant on the stud that retains the windshield frame to the open-top supercar's body shell. The Modena-based company became aware of the missing sealant in June 2023. Nearly a month later, Maserati acknowledged that vehicles without the aforementioned sealant might not meet the performance requirements of federal motor vehicle safety standard 216 (for roof crush resistance).The Vehicle Regulation Committee within Maserati S.p.A. couldn't rule out the increased risk of occupant injury in case of a crash, hence recall number 23V-570. In thereport attached below, Maserati claims that a visual check for sealing presence was introduced in the model's assembly process back on July 3.Owners will be notified of this oversight by September 24, the day when dealers nationwide will be instructed to inspect for the presence of sealant on the windshield frame mounting stud. If missing, new sealant will be applied. All vehicles were produced for the 2023 model year, and the report below fails to mention how many of them are in customer hands at the moment of writing.$30,000 more expensive than the already expensive coupe, the convertible variant can easily top $300,000 with a few options. That may seem ludicrous for a V6-powered supercar with less power to its name than the Corvette Z06, which packs 670 ponies from a flat-plane crank V8 of the free-breathing variety.Speaking of the 'Vette, did you know the MC20 features the very same dual-clutch transaxle as the C8? Its twin-turbocharged V6 has many things in common with Alfa Romeo's twin-turbo sixer and Ferrari's twin-turbo V8, yet Maserati foolishly claims that it's an in-house design. Be that as it may, the cylinder heads are indeed unique to the MC20. From a displacement of 3.0 liters, the force-fed lump is much obliged to belt out 621 horsepower and 538 pound-feet (730 Nm) of torque.Not bad for something that weighs 3,439 pounds (1,560 kilograms), but it's a bit disappointing when you remember that the entire chassis is made from composites and of course carbon fiber. Worse still, the monocoque was developed in conjunction with Dallara, the Italian company that produces the IR18 spec chassis for the teams competing in the IndyCar Series.The biggest issue with the MC20 , however, is that the McLaren Artura V6 plug-in hybrid supercar makes a helluva lot more sense for similar money. The V6 supersport segment further includes the Ferrari 296 series, which kicks off at a little more than $320,000. Tugboats aren't nearly as popular with the general public as superyachts, ferries, or even mammoth carriers, yet they can play a crucial role in green shipping. If we want clean and quiet harbors, we need green tugboats, among other things. Canada is already making it happen with what it claims to be the world's first green tugboat fleet, nearly complete and in operation. kWh Wee'Git is the name of the latest vessel to join the prestigious club of eco-friendly, new-generation tugs. It's part of a pioneering fleet operated by HaiSea Marine, a joint venture owned by Haisla Nation and Seaspan ULC.When complete, the fleet will be comprised of two dual-fuel (LNG and diesel) escort tugs and three all-electric harbor tugs. The electric ones are based on the ElectRA 2800SX platform, and the dual-fuel ones - are on the RAstar-4000 DF. Both platforms were designed by the Vancouver-based naval architects at Robert Allan, exclusively for Sanmar Shipyards, where they were built.The shipyard recently delivered Haisea Wee'Git to HaiSea Marine, following Haisea Wamis. The third one, Haisea Brave, will also be delivered by the end of this year. The pioneering fleet will operate in Kitimat, a region in British Columbia that's considered environmentally sensitive. Here, they'll offer standard harbor and escort services to the tankers calling at a new export facility owned by LNG Canada.The fully-operational fleet will cut more than 10,000 tons of CO2 per year, compared to conventional tugs, and drastically reduce NOx, Sox, and particulate matter. Based on the region's green energy potential, they'll recharge using the dedicated shore charging facilities in Kilimat. Thanks to the all-electric propulsion, these boats will also be much quieter than their standard counterparts, which is a plus for marine life.At 90 feet (28 meters), the ElectRA boasts a 6,102battery capacity. It combines a top speed of 12 knots (13.8 mph/22 kph) with a towing capacity (bollard pull) of 70 tonnes (77 tons). Designed to be as efficient as diesel-powered tugs, it can complete all ship-berthing and unberthing tasks on nothing else but battery power.Although HaiSea's green fleet claims to be the first of its kind worldwide, it won't be limited to Canada. Four more all-electric tugs are already getting ready to be delivered in different parts of the world this year alone two will be headed to South America, one to Norway, and one to Sanmar's fleet in Turkey.Meanwhile, the US is also gearing up for its first all-electric tugboat. eWolf is the name of a green tugboat developed by Crowley, boasting a similar bollard pull as the ElectRA at a slightly more compact size (82 feet/25 meters). The eWolf will kick off operations at the Port of San Diego next year using a Shell charging station. Tesla is now producing the Cybertruck at a much faster rate, as the outbound lot at Giga Texas appears a lot busier. The Cybertrucks were spotted as far as Arkansas on a back of a trailer, supposedly going to the IIHS crash-testing facility in Virginia. One of them had a marker writing on a window, indicating that it was either crash-tested or going to. IIHS Tesla is speeding up Cybertruck activities in preparation for the first customer deliveries. On Sunday, Tesla enthusiasts flying drones around Giga Texas noticed several covered Cybertruck pickups in the gigafactory's outbound lot. This was a novel development, considering the Cybertruck has been mostly spotted testing on public roads or at the SHPG testing facility in New Zealand. The electric pickups were not stored on the lot because a subsequent flyover revealed that some of them disappeared.The cover was even more intriguing, considering the Cybertruck no longer has anything to hide. The best reason why they were covered was for protection during storage or transportation. Since we haven't seen any covered Cybertrucks on a trailer, it's more likely that they are stored somewhere out of sight. Still, that doesn't mean the Cybertrucks are not being hauled outside Giga Texas at this stage. On Wednesday, two trailers carrying several Cybertruck pickups were spotted leaving the Austin gigafactory, destination unknown. Several Tesla Cybertrucks may have embarked on their first cross-country trip, albeit on the back of a trailer. They were spotted further east, in Arkansas, where the driver spent the night at a motel in Lonoke. It was enough time for Tesla enthusiasts to jump in their cars and drive for hours just to get the chance to see the Cybertruck in the metal. Some dared to come close and record pictures and videos, revealing intriguing information.For once, the front bumper was covered in thick white plastic, while one of the trucks had the words "completed crash ready" written with a black marker on the driver's window. It's still unclear whether this means the truck was "completed and crash ready" or it "completed crash" and it's ready for something else, as the writing includes several other words that were less readable.Lonoke is about eight hours of drive from Giga Austin and 13 hours from thefacility in Ruckersville, Virginia. This means the Cybertrucks could be either heading to be tested by the IIHS or on its way back to Giga Texas. I'd place my bet on the former assumption, considering that both Cybertrucks on the trailer look almost pristine.The white plastic could be there just to protect the front bumper from stone chips while in transit. We'll undoubtedly find out more about these pickups in the following days as enthusiasts closely watch them along their way. Tesla announced its most advanced wall charger yet, capable of delivering up to 11.5 kW of power over a 50-amp connection. The Level-2 charger, dubbed Universal Wall Connector, is compatible with Tesla and non-Tesla vehicles thanks to a clever NACS to J1772 adapter integrated into the plug. EV kW Charge any EV in North America with the new Universal Wall Connector Now available in the US and Canada https://t.co/lcb73F6xGj pic.twitter.com/VbeyD8eeJw Tesla Charging (@TeslaCharging) August 15, 2023 The market forwall chargers is already crowded, with many companies trying to offer more advanced chargers than those sold by carmakers. Tesla owners have pretty much ignored this segment, thanks to the fact that Tesla chargers have always been praised for their features. Small and efficient, they satisfy the needs of most Tesla owners. Finding a good wall charger is still an adventure for those who drive other electric vehicles.Thankfully, Tesla stepped in with a versatile wall charger that puts the same feature set within reach of non-Tesla EV owners. Tesla's Universal Wall Connector can charge Tesla and non-Tesla EVs, offering the same advanced features and convenience. The charger is inspired by Tesla's Magic Dock used at Supercharger stations to provide compatibility with CCS vehicles. In the case of the wall charger, the integrated NACS to J1772 adapter is operated manually.When you press the button on the plug, you will release the adapter, allowing you to charge non-Tesla EVs using the J1772 plug. Without pressing the button, the NACS plug is released, allowing to charge Tesla cars. The plug offers auto-sensing features to open the Tesla charge port automatically. The charger can add up to 44 miles of range per hour, thanks to its 11.5/48 amp output. Conveniently, it can be used indoors and outdoors, being the perfect charger not only for houses and apartments but also for hospitality properties and workplaces.The Universal Wall Connector can be controlled using the Tesla app, allowing owners to monitor usage and manage their charging schedule. Thanks to Wi-Fi connectivity, the charger can be controlled remotely and receive over-the-air updates. You can also run remote diagnostics in case something is off. Owners can set the amperage configurations based on their electrical system and installation location. The charger also allows owners to share the power circuit with up to six wall connectors, a valuable feature for commercial applications.The new Tesla wall charger will set you back $595, a killer price for anyone looking for a home charging solution. It's more expensive than the previous Tesla Wall Connector ($475), but the difference is worth it. For comparison, the Rivian Wall Charger costs $800, while Ford's affordable Connected Charge Station is equally expensive, at $799.Tesla Universal Wall Connector will likely steal market share from both carmakers and charging solution providers, thanks to its superior feature set and a more affordable price. Tesla charger also offers seamless integration with a Tesla Powerwall and solar panels. Although it can already be ordered from the Tesla Shop and Best Buy, deliveries are expected to start in October. Unlike some American brands, Pontiac also developed several cars for the Canadian market. And because most of them were conceived before the 1965 Automotive Products Trade Agreement (APTA) that established free trade between the US and Canada, these vehicles were notably different than their home-market counterparts. And not surprisingly, they're relatively unknown to the average American.Pontiac's venture on Canadian soil began in the 1940s, but it wasn't until 1955 that the brand rolled out a trio of full-size nameplates: the Laurentian, Pathfinder , and Strato-Chief. While these cars looked similar to US-made Pontiacs like the Catalina, they were actually a bit shorter and rode Chevrolet underpinnings. They also used Chevy drivetrains, so they were actual Pontiacs by appearance only.While the Pathfinder was short-lived, being discontinued in 1958, the Strato-Chief remained in production until 1970, while the Laurentian soldiered on until 1981. When the Pathfinder entered the history books, GM of Canada introduced the Parisienne. Originally a sub-series within the Laurentian line, the Parisienne became a separate model in 1959.Also a full-size similar in design to the Catalina, the Parisienne was mechanically nearly identical to the US-made Chevrolet Impala. It remained on the assembly line as Pontiac's top-of-the-line model until 1986 and spawned five generations. And because it wasn't a high seller for most model years, the Parisienne is notably rarer than most US Pontiacs.For instance, the 1968 convertible you see here is one of only three examples built just like this. What makes it so rare? Well, it's a combination of exterior and interior finishes and the powertrain combo. For starters, it's a genuine triple-black Poncho with a Tuxedo Black exterior, black vinyl seats, and a black soft top.Second, it's one of only 29 1968 Parisiennes fitted with the L36 V8 engine and one of only eight that also got the M20 four-speed wide-ratio manual transmission. The triple-black look and the L36/M20 combo was ordered on only three cars. This one has the GM of Canada papers to prove it, and it's probably the only surviving example.The fact that it's been restored to a perfect finish inside and out is also a rare feat for a Canadian Poncho. And the good news doesn't end here: the L36 engine and the M20 gearbox are both numbers matching.Unfamiliar with the GM L36 V8? Well, it's part of the Mark IV series of Chevy big-block mills which were introduced in various sizes in the 1960s. The L36 is a 427-cubic-inch (7.0-liter) lump related to the more iconic L72, L88, and L89. It cranks out 390 horsepower thanks to a four-barrel setup and was used in cars like the Chevrolet Corvette , Caprice, and Impala.This stunning Pontiac found its way into the US recently, and it's looking for a new owner from Volo, Illinois. It's priced at $234,998 , which probably makes it the most expensive Parisienne out there. Is it worth the sticker? National Highway Traffic Safety Administration NHTSA ?? Recall Alert 2022-2023 Toyota Tundra and Tundra Hybrid vehicles Recalled for fuel leak from damaged fuel tubehttps://t.co/6LTYGiz3jo NHTSA Recalls & Ratings (@NHTSArecalls) August 16, 2023 Both the combustion-only and hybrid Tundra are listed in the report attached below. Suspect vehicles were produced for the 2022 and 2023 model years between November 2021 and July 2023. The TL;DR version of the recall is that a plastic fuel tube routed near the brake lines may chafe against said brake lines. If damaged, the resulting fuel leak increases the risk of a vehicle fire.The remedy comes in the form of a replacement fuel tube featuring an improved design. Additional clamps are mentioned as well. However, the remedy parts are not available at the moment of reporting. As a temporary measure, dealers nationwide have been instructed to install a clamp on the plastic fuel tube and protective materials. Toyota claims that owner notifications will be sent by first-class mail no later than October 29, 2023.The fuel tube assembly in question bears part number 77209-0C180. It's manufactured by Textron Inc., an industrial conglomerate headquartered in Rhode Island. Also worthy of highlighting, Cessna and Beechcraft are owned by Textron Inc. subsidiary Textron Aviation.As per the chronology of events filed with the, the Japanese automaker became aware of this problem in November 2022. Back then, a dealership filed a report with Toyota in regard to a customer vehicle that was leaking fuel from the fuel tube on top of the fuel tank assembly.The second report followed in January 2023. On August 7, three days before Toyota issued the press release for what would becomerecall number 23V-566, the automaker was aware of 14 field reports and 27 warranty claims related to the described condition. Toyota moved 59,735 units of the Tundra in the first half of 2023 in the United States market, up 34.8 percent from the 44,316 examples delivered in the first six months of 2022. Pricing for the 2024 model year starts at $39,965 for the force-fed sixer, whereas the i-FORCE MAX kicks off at $57,625 (sans destination charge).Once again, the lowliest specification of the bunch is the SR, which can be had as a Double Cab with an 8.1-foot bed. At the other end of the spectrum, the $72,130 TRD Pro and $78,845 Capstone are listed with the CrewMax cab and 5.5-foot bed only. The off-road and luxury-oriented trim levels come standard with the hybrid powertrain, which develops a respectable 437 ponies and 583 pound-feet (790 Nm) of twist on full song.Coincidentally, the i-FORCE MAX is the most frugal powertrain available for 2024. In conjunction with rear-wheel drive, Toyota promises up to 22 miles per gallon (10.7 liters per 100 kilometers) on the EPA's combined test cycle for the Limited, Platinum, and 1794 Edition trim levels. During a press briefing in Washington on August 15, Vedant Patel, principal deputy spokesperson for the U.S. Department of State, said that Washington remains deeply concerned about the continued closure of the Lachin corridor, specifically its closure to commercial, humanitarian, and private vehicles. The halting of this kind of humanitarian traffic, in our opinion, worsens the humanitarian situation and undermines the efforts that have been in place to build confidence in the peace process. And so we urge the Government of Azerbaijan to restore free transit of commercial, humanitarian, and private vehicles through this corridor, Patel said. He added that the United States expected further discussions to take place during an emergency meeting of the UN Security Council on Nagorno-Karabakh that would be held at Armenias request in New York on August 16. The U.S. Department of State official reiterated Washingtons position on Armenian-Azerbaijan negotiations, saying that direct dialogue is essential to resolving this longstanding conflict [over Nagorno-Karabakh]. We think that any engagements that ultimately bring peace and stability to the people of the South Caucasus would be a good thing and a positive step forward, Patel said. The call from the U.S. official came as ethnic Armenian authorities in Nagorno-Karabakh reported the first death from hunger among residents of the region that they say has experienced severe shortages of food, medicines, fuel and other basic supplies because of Azerbaijans effective blockade. Baku denies blockading the region and offers an alternative route of supply via the eastern town of Agdam, which is rejected by Karabakh Armenians who fear it could be a prelude to their absorption into Azerbaijan. Regarding the [French ministers] claims that Azerbaijan keeps the Lachin road under siege, as a result of which Armenian residents are facing a serious humanitarian crisis, we remind you once again that it is absurd to present as a blockade the operation of a border checkpoint set up in accordance with the obligation of Azerbaijan to guarantee the safety of citizens, vehicles and cargoes on the Lachin road, as well as to prevent its misuse by Armenia for military and illegal economic purposes, Azerbaijans Foreign Ministry said in a statement. The ministry repeated the offer to provide aid to ethnic Armenians via Agdam, an Azerbaijani-controlled town east of the Nagorno-Karabakh region. Also, the French side is well aware that if the Armenian residents really need daily necessities, then the Azerbaijani side has proposed the Agdam-Khankendi (Stepanakert) road and other alternative ways for that, the Azerbaijani ministry said. In her telephone conversation with Armenias Foreign Minister Ararat Mirzoyan, Catherine Colonna, Minister for Europe and Foreign Affairs of France, in particular, said that Azerbaijans actions contradict the obligations that it undertook under the terms of the ceasefire agreement and harm the negotiation process. Colonna also reportedly gave assurances about the willingness of France to support the population of Nagorno-Karabakh, and also announced that France intends to make an additional allocation of 3 million euros for the activities of the International Committee of the Red Cross in the region. Earlier on Tuesday Azerbaijans Foreign Ministry summoned a Spanish charge daffaires over Madrids official announcement of aid to ethnic Armenians displaced from Nagorno-Karabakh. It said that the decision announced in a post on the X (formerly Twitter) account of Spains embassy in Russia amounted to supporting the separatist regime established by Armenia on the sovereign territory of Azerbaijan. A video posted on social media by one of the protesters demanding that the Russians restore free traffic along the Lachin corridor that has now been closed by Azerbaijani forces showed Russian peacekeepers led by a colonel using an armored personnel carrier to threaten a group of Armenians who parked a car in front of the main entrance to their base in Ivanyan (Khojaly). Why dont Russian soldiers remove the Azerbaijani blockade of the Lachin corridor? Is the [Russian] empire trembling before Azerbaijan? said Artur Osipian, one of the Karabakh protesters, as several Russian servicemen were carrying his car aside by hand. Osipian, a member of Nagorno-Karabakhs movement to unblock the Lachin corridor, said their demand was for the Russian peacekeepers, who he said fail to carry out their main duties, to at least guarantee the security of their trip by cars to the Azerbaijani checkpoint in the Lachin corridor to hold a protest there. The command of the Russian peacekeepers in Nagorno-Karabakh last week refused to provide an escort to the protesters to the bridge over the river Hakari at the border with Armenia. It said that the terms of the deployment of the Russian military under a trilateral statement signed by the leaders of Russia, Armenia and Azerbaijan in November 2020 to end a six-week war in Nagorno-Karabakh did not provide for the possibility of escorting protesters and ensuring the security of various demonstrations and rallies. The Russian side did not comment on the incident immediately, but a Russian officer on the video was heard rebuking the protester as he asked him: Who has paid you for this? On the same video, turning to the Russian servicemen, Osipian said: If you use force against those whom you are supposed to protect from the enemy and do not use force against the enemy, it shows who you are for here. Osipian told RFE/RLs Armenian Service that the protesters later returned to Stepanakert and were planning what to do next. There is no other option, we cannot but fight, he said. The Armenian Defense Ministry said the report was an absolute lie. The Azerbaijani Defense Ministry said one of the members of the Armenian commando unit that allegedly attempted the raid at 11:15 am was wounded and detained by Azerbaijani forces in the Kelbajar region. The ministry said that other members of the unit, whose number it did not specify, retreated. Azerbaijans Foreign Ministry promptly issued a statement, strongly condemning continued military provocations by Armenia on the day when the UN Security Council was to hold an emergency meeting on the situation in Nagorno-Karabakh requested by Yerevan. Meanwhile, the Armenian Defense Ministry reminded its earlier report that according to preliminary data, an Armenian reservist participating in military training assemblies had left his combat positions. A possible scenario of the reservists appearing on the Azerbaijani side and related circumstances are being examined, the Armenian ministry said. Later the Armenian ministry confirmed that the Armenian reservist was detained by Azerbaijani servicemen. It published a video showing a group of Azerbaijani soldiers approaching and talking to the Armenian, who appeared in Azerbaijani territory under yet unclear circumstances, before apprehending and taking him to an unknown direction on a truck. This video disproves the false information spread by Azerbaijans Defense Ministry about an attempted penetration by an Armenian commando unit, it said. Azerbaijan, meanwhile, said the video disseminated by Armenias Defense Ministry had nothing to do with the incident and itself posted photographs of the detained Armenian serviceman identified as Gagik Voskanian born in 1983. Tensions between Armenia and Azerbaijan escalated again in recent days amid a reportedly deteriorating humanitarian situation in Nagorno-Karabakh that Yerevan blames on Baku, accusing it of trying to force the local Armenian population out by blocking access to all commercial and humanitarian supplies via the Lachin corridor. Azerbaijan denies blockading the region and offers alternative ways of supply as part of its policy on the integration of Karabakh Armenians. Authorities in Stepanakert have rejected such offers, considering them as a prelude to the absorption of Nagorno-Karabakh into Azerbaijan. Both Armenia and Azerbaijan have blamed each other for ceasefire violations along their restive border in recent days. Armenia said on Monday that one of its soldiers stationed at a border position was seriously wounded by fire coming from the Azerbaijani side. Baku and Stepanakert have also traded accusations for reported shooting incidents around Nagorno-Karabakh. (Photo : Photo by ALEXEY NIKOLSKY/SPUTNIK/AFP via Getty Images) Russian President Vladimir Putin congratulated North Korean leader Kim Jong Un on National Liberation Day and urged Moscow and Pyongyang to strengthen ties. North Korean leader Kim Jong-un and Russian President Vladimir Putin have exchanged letters, vowing to cultivate their diplomatic relations into a long-standing strategic relationship. The two presidents' conversation took place on the 78th anniversary of Korea's freedom from Japanese colonial rule, an important occasion that South Korea also celebrated as a national holiday, according to Aljazeera. North Korea and Russia Strengthen Ties on Korea's Liberation Anniversary The historical basis of their friendship, which was established during World War II when both countries worked together to defeat Japan, was stressed in Kim Jong-un's letter. He noted that this alliance now stands as an embodiment of their strength in confronting imperialistic practices and hegemony. Kim expressed his conviction that this friendship and solidarity will evolve into a durable strategic partnership that aligns with the demands of the contemporary era. He highlighted their united determination to achieve shared objectives while emerging victorious against challenges. On the other hand, Russia has been accused by the United States of receiving military aid from North Korea, which is purportedly being used in the ongoing conflict in Ukraine. Despite these allegations, both Pyongyang and Moscow have categorically denied any arms-related transactions. Nevertheless, recent events have intensified global concerns about their cooperation. Last month, Russia's defense minister stood alongside Kim Jong-un during a military parade in Pyongyang, where North Korea showcased its latest nuclear-capable missiles and attack drones. The United States has expressed deep reservations about North Korea's involvement in supporting Russia's military efforts in Ukraine. The US State Department's Deputy Spokesperson, Vedant Patel, raised concerns that any form of security collaboration or arms trade between North Korea and Russia would violate multiple UN Security Council resolutions. This warning is underpinned by the existing sanctions on both countries, which are further isolating them from Western nations. Vladimir Putin's response to Kim's letter highlighted his commitment to bolstering the bilateral relationship. He expressed confidence that their collaboration would span across various domains, ultimately benefiting the well-being of their citizens and contributing to the stability and security of the Korean peninsula and Northeast Asia as a whole. This renewed engagement between North Korea and Russia has caught the attention of the international community, particularly in light of the global political landscape, as per The Globe and the Mail. Read Also: Ecuador: Local Politician Slain Following Assassination of Presidential Candidate Regional Concerns and Diplomatic Struggles Shape North Korea's Future The leaders of South Korea, the United States, and Japan are scheduled to convene for a trilateral summit at Camp David to discuss security cooperation concerning North Korea, the ongoing situation in Ukraine, and other pertinent matters. This summit signifies the collective concern about the evolving dynamics in the region. However, not all diplomatic efforts have been smooth sailing for North Korea. The country's vice foreign minister, Kim Son Gyong, criticized the United States for pushing for a UN Security Council meeting to address North Korea's human rights situation. The meeting, requested by the US, Albania, and Japan, would mark the first formal public gathering on this issue since 2017. While some members of the Security Council are supportive, China has opposed the meeting, arguing that it would only further intensify confrontation and hostility. Kim Son Gyong's criticism echoed a sentiment of frustration regarding the US's approach to international forums. The vice minister accused the US of exhibiting a confrontational stance and wielding its authority in a coercive manner. This reflects a broader pattern of diplomatic tensions and power struggles that have plagued global governance mechanisms. North Korea and Russia's recent exchange of letters, emphasizing a deepening strategic relationship, raises both hope and concern on the international stage. While the leaders' commitment to collaboration is evident, the context of geopolitical tensions, arms allegations, and human rights debates makes the situation intricate. As discussions continue and alliances evolve, the outcomes will have far-reaching implications for the geopolitical landscape in Northeast Asia and beyond, The Voa News reported. Related Article: China's Defense Chief Heads to Russia, Belarus Despite Opposition from West @ 2023 HNGN, All rights reserved. Do not reproduce without permission. The leakage of the document comes shortly before the UN Security Council is to convene for an emergency meeting in New York at Armenias request to discuss the ongoing humanitarian crisis in Nagorno-Karabakh brought on by Azerbaijans de facto blockade of the region. On July 25, Lavrov met separately with the foreign ministers of Armenia and Azerbaijan, Ararat Mirzoyan and Jeyhun Bayramov, in Moscow, and then the three ministers tripartite meeting was also held. Receiving Bayramov in Moscow, Lavrov announced that in addition to the already signed statements, a number of documents were being prepared for discussion by the leaders of Armenia, Azerbaijan and Russia, without providing details about their content. The document published today by Petrosian and attributed to Lavrov is titled The fundamental principles and parameters of ensuring the rights and security of the Armenian population in the territory of the former Nagorno-Karabakh Autonomous Oblast of Soviet Azerbaijan in accordance with the legislation of Azerbaijan and contains 14 points. They state that the Armenian population should have equal legal protection and should not be discriminated against on the basis of language, ethnicity or religion, should not be forcibly or involuntarily displaced, Armenians should not be persecuted for being part of the local government or armed groups, except when they are found guilty of war crimes in a judicial order. The proposals state that the Armenian population should be proportionally part of the legislative, executive and judicial branches of power of Azerbaijan, including the Prosecutors Office and the Police of Azerbaijan, and participate in the decision-making concerning the Armenian population. According to the proposals ascribed to Lavrov, the Armenian language should be freely used along with the Azerbaijani language in official writing and in public places. The inviolability of Armenians private property must be guaranteed, the religious freedom of Armenians must be ensured, education in Armenian from elementary grades to university, Armenian cultural and national identity must be preserved, the published document says. It is stated that a separate agreement should be signed between Armenia and Azerbaijan, according to which close ties between the Armenian population of the former Nagorno-Karabakh Autonomous Oblast of Soviet Azerbaijan and Armenia will be ensured, and the unimpeded receipt of humanitarian and economic aid for the Armenian population from outside should be ensured. During the day neither the Foreign Ministry of Nagorno-Karabakh nor the Foreign Ministry of Armenia responded in any way to say whether the document published by the Karabakh figure is authentic or not. The Russian side did not comment on the leaked document either. Petrosian himself also left phone calls unanswered. However, he accompanied the publication of the document on Facebook with the following post: I have to publish it so that the public understands what is really happening... Today, [Russian President Vladimir] Putin has the opportunity to change his attitude and plans at the UN Security Council session... Lets wait. Political analyst Alexander Iskandarian ascribed the leakage of the document to a very active process unfolding around Nagorno-Karabakh. As for the text that was leaked, even if we assume that it is true and that such a text really exists, and that it is really a proposal from the Russian side, the main thing is missing here: the technologies as to how this writing will be guaranteed, how these points will be implemented, who will monitor it and what mechanism will be operated are not described. Without clarifying these questions, this text does not make much sense, he said. It is clear that there are a lot of documents circulating, but there is an accepted principle among diplomats that nothing is agreed until everything is agreed. Even if we accept that this text is true, it may not mean anything until we see how the process develops, and the process will still take a long time to develop, Iskandarian added. Political commentator Hakob Badalian voiced doubts regarding whether the revealed document was a submitted proposal or just a draft. He said that in any case the content of the presented proposals was unacceptable to both Yerevan and Stepanakert as it meant Nagorno-Karabakhs dissolution. He, however, considered remarkable the timing of the leak hours before the expected discussion at the UN Security Council and after a phone call between Lavrov and Bayramov. The publication is accompanied with a post that Putin has the opportunity to change the attitude at the UN Security Council session, that is, here at least the following question arises: is it a hint that the [Russian] Ministry of Foreign Affairs does not convey complete information to Putin and in this sense different games are going on, and this way an attempt is being made to draw [Putins] attention?... Naturally, there is also a question as to whether the Russian Foreign Ministry can carry out any actions fundamentally different from Putins approach or carry out any actions secretly from Putin? At the same time, if there is a direct expectation, then the question arises: if, for example, Russias policy does not change, then what does Nagorno-Karabakh do, or what does the Armenian side do in general if it has to deal with such a proposal? Badalian said. 16 August 2023 08:30 (UTC+04:00) Rena Murshud Read more Although the Armenian separatist forces understand that the next war will be merciless for them, they still continue their provocative activities in the Garabagh Economic Region. So, since last week, a group of separatist groups has started to dig trenches and build defense fortifications in the territory of the country using the protection of peacekeeping forces. On the other hand, the armed forces of Armenia have started deploying artillery installations against the positions of the Azerbaijani Army around Lachin. The unfortunate fact is that what is happening is happening before the eyes of the Russian peacekeeping units. This means that the peacekeepers not only fail to fulfill their mission but also grossly violate Article 4 of the November 10 agreement. According to that article, all separatist formations had to be completely removed from the territory of Garabagh. Therefore, the provocations of the illegal Armenian military units in Azerbaijan's Garabagh are yet underway. Speaking to Azernews, political analyst Ilgar Valizade commented on the above issue, saying that the Russian peacekeepers were brought into the region to establish peace. According to him, their task is not to achieve a temporary peace, standing between the armed forces. "Their main function is to create conditions for the establishment of certain communications between the Armenian minority and Azerbaijanis living in Garabagh. However, they place the Armenian armed forces in the territory allegedly to create a certain guarantee of security for the Armenian society living in Garabagh, he said. Touching upon the following action of the peacekeepers, the expert noted that the next stage is to create a certain dialogue between official Baku and the Armenian minority in Garabagh. The Russian peacekeepers had to guarantee to hold a dialogue. However, the reality is that the peacekeepers have not fulfilled their mandate yet. The pundit stressed that Azerbaijan cannot turn a blind eye to this. There should not be a separatist regime there. "At the moment, a transformation process should be carried out in this region, and not a conservation process that has been observed for 30 years. Also, the Armenians living in the region must be reintegrated into Azerbaijan. Meanwhile, former IDPs must return to their homeland and live in peace with the Armenians. Unfortunately, these processes have not yet been implemented," the expert added. Also speaking about the duties of the Russian peacekeepers in Azerbaijan's Garabagh, Russian political analyst, head of the PolitRUS portal Vitaly Arkov expressed his satisfaction about the current activity of the peacekeeping contingent in Garabagh, in the territory of Azerbaijan, adding that "they clearly fulfill their duties, which is extremely difficult in the face of constant provocations - mostly and surprisingly, from the Armenian side. As regards the Armenian provocations in Garabagh, the Russian expert said that, If some people are digging trenches in Garabagh (an internationally recognized territory of Azerbaijan), then it is obvious that this is a preparation for defense, but not for an attack. "Yes, we can say that revanchist sentiments are very strong both in Garabagh and in Armenia. But everyone who is sane understands that Yerevan has no chance in the event of a new military conflict with Baku to regain control of Shusha, Lachin, and other Azerbaijani cities and lands," he noted. According to Arkov, one should not expect a serious offensive operation from the members of illegal armed groups still remaining in Garabagh. In addition, their numbers are very low, in order to even try to carry out a large-scale seizure of territories. However, this does not exclude an increase in the intensity of provocations, such as shelling of the positions of the Azerbaijani Armed Forces; which in the end can lead to Baku conducting a conditional counter-terrorist operation to clean up Garabagh from the remnants of militants. "Both Khankendi and Yerevan are well aware of what kind of response actions Baku will lead to both digging trenches and intensifying shelling. This is an obvious new provocation from the Armenian side - a kind of political trap in which Yerevan and its Western curators want to catch Baku, and at the same time Moscow - as a moderator of the peace process in the South Caucasus. On the eve of the discussion of the status of the Armenian minority living in Garabagh in the UN Security Council, it is obvious that Armenia is resorting to deliberate provocations," he concluded. --- Rena Murshud is AzerNews staff journalist, follow her on Twitter: @RenaTagiyeva Follow us on Twitter @AzerNewsAz 16 August 2023 14:00 (UTC+04:00) Azerbaijan and Uzbekistan discussed the prospect of investment in the pharmaceutical sector, Azernews reports, citing Economic Zones Development Agency (EZDA). EZDA noted that the Agency received the representatives of about 10 pharmaceutical companies of Uzbekistan in Pirallahi Industrial Park. Khilola Ganiyeva, advisor to the chairman of the Pharmaceutical Industry Development Agency of the Republic of Uzbekistan, and a delegation of a group of pharmaceutical company executives from Uzbekistan got acquainted with the work done in the Pirallahi Industrial Park and the activity of the disposable syringe production plant of Diamed Co LLC, a resident of the Industrial Park. As part of the visit, a meeting was held between the delegation of Uzbekistan and the management of the Economic Zones Development Agency under the Ministry of Economy and the Azerbaijan Export and Investment Promotion Agency (AZPROMO) at the Baku Business Center. Welcoming the guests, Elshad Nuriyev, Chairman of the Management Board of the Economic Zones Development Agency, informed about the industrial zones operating in our country, the favorable investment environment created for the development of entrepreneurial activities, tax and customs concessions. Within the framework of the meeting, representatives of Uzbek companies made presentations about the activities of the enterprises they represent. In the end, issues related to the implementation of joint projects in the field of pharmaceuticals in industrial zones, the possibility of forming a research and development center for the development of cooperative relations in the direction of pharmaceuticals were discussed. --- Follow us on Twitter @AzerNewsAz 16 August 2023 10:00 (UTC+04:00) On the instructions of Sabina Aliyeva, the Commissioner for Human Rights of the Azerbaijani Republic, the Ombudsman continues to monitor institutions that people cannot leave voluntarily within the framework of the National Preventive Mechanism, Azernews reports. Members of the National Preventive Group (MPG) made further visits to Penitentiary Service No. 2 Penitentiary and Specialized Treatment Facility. The purpose of the unannounced visits was to investigate the status of execution of tasks given in connection with previous visits, ensuring the rights of detained persons, treatment and detention conditions, availability of medical services, and documentation. During the visits, which were also attended by a medical member of the MPG, it was observed that repair works were carried out in accordance with the recommendations in both institutions of the Penitentiary Service. During visits organized on the basis of the Optional Protocol of the UN Convention against Torture and Other Cruel, Inhuman or Degrading Treatment or Punishment and the Constitutional Law "On Human Rights Commissioner (Ombudsman) of the Republic of Azerbaijan" rights, the powers of the Ombudsman and the requirements of the relevant legislation were explained. As part of the visits, applications addressed to the Ombudsman were accepted, and a number of applications were provided on the spot. In the end, the members of the MPG held a legal educational conversation with the management and employees of the enterprises, as well as giving relevant recommendations on the further improvement of the detention conditions, medical care, and documentation. --- Follow us on Twitter @AzerNewsAz 16 August 2023 12:30 (UTC+04:00) Abbas Ganbay Read more The protracted conflict and rancour of the Armenian "leadership" has had a visible effect since a convoy of military trucks, artillery, and other types of military equipment and ammunition were spotted and filmed on video near the borders with Azerbaijan, which quickly went viral on Internet networks. Besides, several times Russian peacekeeping forces were seen escorting Armenian military equipment and manpower in Azerbaijan's Garabagh that is temporarily under peacekeepers' control. At the same time, 3 weeks before these events, in addition to the observation mission of the European Union, France and Canada also joined the EU observation mission near the border. And after all the provocations by Armenia towards Azerbaijan, the "mission" actually refuted Azerbaijan's statement concerning the accumulation of military equipment on the Armenian side. So it turns out that the eyes are useless if the mind is blind. However, Armenian provocations are not new for Azerbaijan. By holding Azerbaijan's sovereign territories under occupation for 30 years, being engaged in psy-ops all over the world, Armenia plunged itself into the abyss. "At present, placing artillery installations on the border of Armenia in the direction of Lachin is part of Yerevan's next provocation plan," Azerbaijani political expert Samir Humbatov said in a comment for AZERNEWS. Humbatov notes that although Armenia is stationed around the border with its armed units, this does not imply its intention to start a war. According to him, in the current situation, Armenia is openly trying to provoke Azerbaijan in front of the EU mission. "Armenia is currently trying to present Azerbaijan as a belligerent in order to justify its claims before the UN Security Council. Everyone knows that Armenia's military potential is extremely lower than Azerbaijan's. Armenia also accepts this and understands that a real war means its end," the expert said. Samir Humbatov also touched on the activities of international organizations that support Armenia. He particularly highlighted the activities of the OSCE Minsk Group for 30 years. "The work done by the OSCE Mins Group in 30 years is known to everyone. During that period, we were able to recognize who was in favor of peace and who was in favor of conflict in the region. Also, the ineffectiveness of any meetings held with the participation of the West and the wrong direction was once again clear. If the West is so transparent about conflicts, why didn't they bring the observation group to Garabagh during 30-year occupation?" the expert emphasized. He added that the EU observation group acting since February under the name of the EU mission on the conventional border of Azerbaijan and Armenia incite population to provocations, as well as turn a blind eye to any ceasefire violation committed by Armenia. However, when Azerbaijan retaliates, they try to turn it into a big scandal. --- Follow us on Twitter @AzerNewsAz 16 August 2023 11:59 (UTC+04:00) Azerbaijan categorically rejects the groundless allegations made against Azerbaijan in the statement of France on August 15, as a result of the phone conversation of the Minister of Europe and Foreign Affairs of France, Catherine Colonna, with Ararat Mirzoyan, Minister of Foreign Affairs of Armenia, Azernews reports, citing the Azerbaijani Ministry of Foreign Affairs (MFA). "Regarding the claims that the Lachin road was allegedly kept under "blockade" by Azerbaijan and as a result of which Armenian residents are facing a serious humanitarian crisis, we once again remind that it is absurd to portray as a blockade the activity of the Lachin border check-point established in accordance with Azerbaijans obligation to guarantee the safety of citizens, vehicles and cargo on the Lachin road, as well as to prevent the misuse of the Lachin road by Armenia for military and illegal economic purposes," MFA noted. The Ministry pointed out that it is well known to the French side that on a daily basis the movement of tens of Armenian residents in both directions is organized via this road through the International Committee of the Red Cross (ICRC). In addition, the fact that the French side, while referring to the decision of the International Court of Justice dated February 22, did not pay attention to the unanimous rejection of Armenias request to remove the Lachin border check-point by the aforementioned court with the Order dated July 6, testifies to unhealthy intentions of this country. "Also, the French side is well aware that if the Armenian residents are really in need for daily necessities, for this purpose the Azerbaijani side has offered "Aghdam-Khankendi" and other alternative routes. Despite the fact of support by the European Union and the ICRC to use these roads, it is regrettable that the French side, following Armenias manipulations, does not support these agreements. At the same time, the fact that the French side did not react to the violation of the agreement by Armenia and its subordinate separatist regime reached regarding the use of these alternative roads indicates the efforts of this country to further aggravate the tension in the region," MFA added. Also, the Ministry noted that it is deeply concerning that France, which has never paid attention to the mass expulsion of Azerbaijanis from their homes and to the war crimes against these people for nearly 30 years, is now trying to carry out its insidious policy using Armenian residents as an excuse based on false propaganda of Armenia. "It is worth reminding the French side that turning a blind eye to the fact of continuing political-military provocations by Armenia against the territorial integrity and sovereignty of Azerbaijan, and non-withdrawal of its armed forces fully from the territories of Azerbaijan in gross violation of all the norms and principles of international law, as well as obligations within the framework of the agreements, is a real obstacle to the peace process," the Ministry said. MFA also touched on the misinformation spread by the Armenian side about allegedly Azerbaijan fired at the European Union Mission in Armenia and noted that the fact that the French Minister, while believing the false propaganda of Armenia, in its statement referred to the misinformation about firing at the European Union Mission in Armenia, despite that it was declared false by the Mission, once again proves that France is not interested in establishing peace and stability in the region. "As stated in the official information of the Ministry of Defense of Azerbaijan, the movement route of the representatives of the European Union is presented to the Azerbaijani side in advance. Based on the presented route, the Azerbaijani side especially monitored that the trip of the international observers to the designated destination on the specified day happens without any incidents. The area where the mission was dispatched was not in the region of Armenia where the alleged incident took place, but in the different direction of the conditional border," the Ministry said. MFA added that in recent days, the Armenian side, which creates the ground for new provocations on the conditional border and in the Karabakh economic region, adhering to its tradition tries to distract the attention of the world community by spreading slanderous information and to turn the European Union into a tool for this purpose. It is unfortunate that the French side has also become a tool of this campaign. --- Follow us on Twitter @AzerNewsAz 16 August 2023 11:25 (UTC+04:00) Since the end of the second Garabagh War in 2020, the city of Shusha in Azerbaijan has been undergoing a process of restoration and reconstruction. The famous Turkish TV channel Haber Global recently prepared a report from the city, focusing on the buildings destroyed during the Armenian occupation, Azernews reports, citing Haber Global. The report highlighted the restoration work being carried out at the Govhar Agha mosque, as well as the reconstruction of road infrastructure in the region. The mosque is now open for worship and restoration work is being carried out in many places, including the construction of boutique hotels. All works are being done without prejudice to the historical appearance of the city. The report also noted the significance of the city of Shusha not only for Azerbaijan but for the entire Turkic world. Azerbaijan has also presented new footage of restoration work in Shusha, including the mausoleum of Molla Panah Vagif, which is scheduled to be completed by June 2021. A project to restore the mausoleum has been developed, with the original appearance of the mausoleum being preserved despite the use of modern technologies. Restoration and construction work in Azerbaijan's Garabagh is also rapidly proceeding. The construction of the Zangilan and Fizuli airports in a short time is evidence of this. Work is also underway to resolve the mine problem in Garabagh, in order to ensure the safe return of the population to their native lands. Overall, the restoration and reconstruction of Shusha and other liberated territories in Azerbaijan is progressing quickly, with the help of both Azerbaijan and its allies. --- Follow us on Twitter @AzerNewsAz 16 August 2023 13:18 (UTC+04:00) The information disseminated by the Ministry of Foreign Affairs of France about the content of the telephone conversation between the Minister of Foreign Affairs of this country, Catherine Colonna, and the Minister of Foreign Affairs of Armenia Ararat Mirzoyan dated 08/15/2023, is another evidence of France's disrespect for the norms and principles of international law and human rights, Azerbaijanophobia, Azernews reports. The statement of the Community of Western Azerbaijan says: The fact that in the Karabakh region of the Republic of Azerbaijan, illegal Armenian armed groups are holding the civilian population hostage, blocking the road from Aghdam and demanding a direct, uncontrolled road to Armenia is nothing but blackmail, robbery, and terrorism. France's support for this demand by Armenia is a serious affront to international law and human rights. We call on France to stop the policy directed against the territorial integrity and sovereignty of Azerbaijan, not to interfere in the internal affairs of Azerbaijan and support the right of Azerbaijanis expelled from Armenia to return to their homes. --- Follow us on Twitter @AzerNewsAz 16 August 2023 14:22 (UTC+04:00) The delegation is headed by Assistant to the President of Azerbaijan - Head of the Foreign Policy Department of the Presidential Administration Hikmet Hajiyev, Azernews reports, citing Azertac. According to the information, the delegation was familiarized with the works on the construction of a bridge across the Hachinchay River. It should be noted that the main purpose of the visit is to get acquainted with the current potential of the road infrastructure of the Garabagh economic zone, first of all, the Aghdam-Khankendi highway, as well as the Barda-Aghdam railroad. In addition, the guests will be informed about the devastation committed in Aghdam during the occupation that lasted almost 30 years. The guests will also be familiarized with the large-scale construction works currently being carried out in the city. --- Follow us on Twitter @AzerNewsAz 16 August 2023 15:33 (UTC+04:00) President Ilham Aliyev signed a decree on the conscription of citizens of the Republic of Azerbaijan for active military service from October 1 through October 30, 2023, and the discharge of active military service servicemen to the reserve. According to the decree, citizens of the Republic of Azerbaijan born in 2005, who by the day of conscription (including the day of conscription) turned 18 years old, as well as born in 1988-2004, under the age of 35, who did not complete active military service, those who do not have the right of deferral from conscription for active military service or who are not exempt from conscription for active military service in the Armed Forces of the Republic of Azerbaijan are called up for active military service from October 1 through October 30, 2023. The conscripts of active military service who have completed military service will be discharged to the reserve from October 1 through October 30, 2023, in accordance with Article 38.1.1 of the Law of the Republic of Azerbaijan "On Military Duty and Military Service". The Cabinet of Ministers of the Republic of Azerbaijan is instructed to take the measures provided for by the legislation for the execution of this order. --- Follow us on Twitter @AzerNewsAz Pura Luka Vega, a popular Filipino drag queen, is now unwelcomed in numerous places across the Philippines. After General Santos City officially declared the drag artist persona non grata on July 18, many LGUs followed and did the same thing. Pura Luka Vega Persona Non Grata Amadeus Fernando Pagente (aka Pura Luka Vega)-whose pronouns are they/them-is a non-binary drag performer. The controversy about the drag artist began when they performed a remix version of the Catholic prayer "Ama Namin," as reported by ABS CBN News. Pura Luka Vega posted a video of their performance on Twitter (now X) only to delete it after a few days after getting criticisms. "They shouldn't tell me how I practice my faith or how I do my drag. That performance was not for you to begin with," said the non-binary LGBTQ+ member via their official X account. According to Rappler's recent report, a group of Christian leaders filed a complaint against Pagente, accusing the drag performer of violating Article 201 of the Revised Penal Code. Since the controversial "Ama Namin" drag performance of Pura Luka Vega angered many Christians, as well as Filipinos with other religions, many LGUs decided to declare them persona non grata. Read Also: LGBTQ Veterans Sue Defense Department Over Dismissal From US Military Persona Non-Grata Against Drag Artist Creates Debates Among Filipinos Opinions of Filipinos regarding the issue of Pura Luka Vega are divided; some said that the drag artist deserved it, while others claim there's "selective blasphemy." One of the people who support the drag performer is Rambo Talabong, a Filipino journalist and vlogger. In his video, he explained that the Philippines has outdated laws about blasphemy. The journalist also mentioned other individuals who said blasphemous statements, such as former President Rodrigo Roa Duterte. Meanwhile, other Filipinos said that the "Ama Namin" drag performance of Pagente was disrespectful towards the beliefs and culture of Christians. "Being a celebrity or personality should never be an excuse to offend or insult our traditions and beliefs," said Christian Nino Lajara, a Laguna board member. Places Where Pura Luka Vega is Unwelcomed Many cities and provinces already declared Pura Luka Vega persona non grata. Here's the complete list: General Santos City (July 18) Floridablanca, Pampanga (July 25) Toboso, Negros Occidental (Aug. 2) City of Manila (Aug. 8) Bukidnon (Aug. 8) Nueva Ecija (Aug. 11) Cagayan de Oro (Aug. 14) Laguna (Aug. 14) When a person is declared as persona non grata, it means that the individual is not welcome in a territory/place. You can click this link to learn more about it. Related Article: Religious Feelings Law vs. Blasphemy: PH Trans Lawmaker Geraldine Roman Calls Out Drag Queen Dressed As Jesus @ 2023 HNGN, All rights reserved. Do not reproduce without permission. 16 August 2023 15:47 (UTC+04:00) The first resettlement of residents in Fuzuli town will take place on August 8. It was noted that residents will move to Fizuli in stages. A total of 144 families will be relocated at the initial stage, who are still experiencing difficulties living in temporary accommodation in Baku, Sumgayit, and Absheron districts. This was reported in the State Committee for Refugees and IDPs of Azerbaijan, Azernews reports. It should be noted that on August 5, the State Committee for Refugees and IDPs held a drawing of lots between the families to be resettled in Fuzuli at the first stage. 144 families (509 people) took part in the draw. According to the composition of families, 51 families will be provided with two-room apartments, 76 families with three-room apartments, and 17 families with four-room apartments. --- Follow us on Twitter @AzerNewsAz 16 August 2023 17:17 (UTC+04:00) The meeting of health ministers of member and observer countries of the Organization of Turkic States held in Samarkand adopted a final document - Joint Declaration, Azernews reports, citing the meeting. The document reflects a strengthening of cooperation in the field of application of Pharmacopoeia and Rules of Turkic-speaking countries, as well as humanitarian and medical assistance in emergency situations. Also, the preparation of the draft TDT Joint Action Plan on combating infectious diseases, consideration of the possibilities of joint production of medicines and medical equipment within the Organization, and mutual recognition of diplomas issued in the field of medicine by member countries of the Organization of Turkic States were also included. In addition, the declaration refers to the creation of a joint network in the field of health tourism with the participation of member states and observers of TDT, preparation of the Unified Medical Information Dictionary, and other issues. There were also a number of proposals regarding the venue of the next meeting of health ministers of the member countries of the Organization of Turkic States. According to the Samarkand Declaration, Secretary General Kubanychbek Omuraliev announced that the next meeting of Health Ministers of TDT member countries will be held in Shusha, Azerbaijan. Kubanychbek Omuraliev also expressed confidence that the event will be successfully held in Shusha, the cultural capital of the Turkic world for 2023. --- Follow us on Twitter @AzerNewsAz 16 August 2023 17:40 (UTC+04:00) A member of a sabotage-intelligence group (SIG) of the Armenian armed forces, who was detained on August 16 around 11.15 thanks to the vigilance of the Azerbaijani Army units, is presumably Voskanyan Gagik Ashotovich, born in 1983, Azernews reports, citing the Ministry. It is reported that during his detention one PKK machine gun, ammunition, and military equipment were found on him. In his initial testimony, G. Voskanyan admitted that he took part in the 44-day war. The wounded Armenian saboteur was given first aid by Azerbaijani military doctors. --- Follow us on Twitter @AzerNewsAz 17 August 2023 01:55 (UTC+04:00) The use of other alternative roads, such as the road through Aghdam, should be considered in connection with the establishment of communications in Garabagh. This was told by Ferit Hoha, Albanian representative to the UN at a meeting of the UN Security Council, Azernews reports. "The positions of Azerbaijan and Armenia regarding the Lachin road are very different. In this regard, the use of other roads, such as the one proposed from Aghdam to Khankendi, should be considered," he said. It should be noted that after the tripartite statement of November 10, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that official Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. Recall that a meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. --- Follow us on Twitter @AzerNewsAz 17 August 2023 02:00 (UTC+04:00) Azerbaijan is determined to defend its sovereignty and territorial integrity by all legal means enshrined in the UN Charter and international law. According to AZERNEWS, this was told by Azerbaijan's permanent representative to the UN Yashar Aliyev at a meeting of the UN Security Council. I would like to start my speech by categorically rejecting all unsubstantiated and unsubstantiated allegations of a blockade or humanitarian crisis spread by Armenia against my country. What Armenia is trying to present as a humanitarian issue is in fact a provocative and irresponsible political campaign that is waged to undermine the sovereignty and territorial integrity of Azerbaijan. It was Armenia that for almost 30 years brazenly ignored the four relevant UN resolutions of 1993 and a number of statements by the President of the Security Council, unequivocally demanding the complete, immediate, and unconditional withdrawal of the occupying forces from the territory of Azerbaijan. In this context, Armenia's actions are nothing less than the embodiment of pre-planned political hypocrisy and its appeal to the Security Council is part of a months-long campaign to manipulate and mislead the international community. If Armenia had been sincere in its desire to help, it would have happened immediately. Recently, a few days ago, this actually became possible practically thanks to the active participation of international actors, including some members of the Security Council. This did not happen only because of the refusal of Armenia. After a series of intensive consultations and efforts of "shuttle diplomacy", an agreement was finally reached on the delivery of humanitarian cargo to the Garabagh region by various routes, as well as on organizing a meeting of special representatives of the central government with local Armenian residents. In the first case, in order for the International Committee of the Red Cross (ICRC) to deliver humanitarian supplies to local residents, it was necessary to remove concrete blocks and all other physical obstacles installed by the Armenian side on the Aghdam-Khankendi road. This was followed by an expansion of the ICRC's use of the Lachin road within 24 hours. All parties involved, including the Russian peacekeeping contingent and the ICRC, were ready to begin the practical implementation of this agreement within an hour. In the second case, an agreement was reached to hold a meeting between representatives of the central government and local Armenian residents in the nearby Azerbaijani city of Yevlakh. Unfortunately, ten days ago, on August 5, Armenia abandoned both agreements at the last moment, putting forward politically motivated and illegal preconditions and various excuses through its illegitimate regime. There is no doubt that, despite the close cooperation of Azerbaijan with the Russian Federation, the United States, the European Union and the ICRC to find ways out of the situation, Armenia deliberately hinders diplomatic efforts. There is only one explanation for this. Armenia does not intend to resolve this issue. Instead, it artificially stirs up tensions in the region in order to continue to manipulate and confuse the international community. Violation of the agreement on the delivery of humanitarian supplies through the ICRC on August 5 is not the only obstacle. In fact, this is a continuation of Armenia's attempt to politicize the presence and activities of this humanitarian organization in the territory, as well as to use humanitarian issues for political purposes, challenging the sovereignty and territorial integrity of Azerbaijan and preventing the reintegration of local ethnic Armenians. I would like to draw your attention to some of the following facts: -Immediately after the end of the war in 2020, Azerbaijan offered the ICRC its logistics capabilities and infrastructure for the delivery of goods to the Garabagh region. In 2021, the ICRC's shipments to the area were transported to Barda. The Armenian side refused the cargo and prevented the delivery of humanitarian aid by the ICRC. -Immediately after the start of protests by eco-activists on the Lachin-Khankendi road, Azerbaijan turned to the ICRC with a request to provide a list of goods needed by local residents and declared its readiness to provide all logistical and infrastructural support for their delivery. The ICRC replied that the Armenian side did not want any supplies through Azerbaijan. -Abusing the mediation of the ICRC, Armenia took an unprecedented step by trying to smuggle dual-use technologies such as microchips into the Garabagh region. The ICRC was forced to acknowledge this fact, which seriously damaged the committee's humanitarian mandate and reputation. -Armenia did not agree with the dispatch of international medical workers of the ICRC, who are in Baku, to Khankendi. This proposal was put forward by the ICRC to facilitate medical evacuations and help resolve medical issues on the spot, and it was supported by Azerbaijan. Armenia's abuse of the ICRC for political purposes also clearly shows that calls for an increased international presence, including UN-affiliated organizations, have nothing to do with humanitarian concerns, on the contrary, the goal is to get more tools to manipulate. The Garabagh region is an internationally recognized territory of Azerbaijan and ethnic Armenians living there are considered residents of Azerbaijan. The Azerbaijani government is determined to guarantee and ensure that these residents have access to the necessary goods, as well as to create appropriate living conditions for them. These goals are fully consistent with international law and the principles of international humanitarian law, which testifies to Azerbaijan's unwavering commitment to comply with these standards. The so-called "Lachin Corridor" is a road on the sovereign territory of Azerbaijan, it does not have any extraterritorial status and, according to the tripartite Statement dated November 10, 2020, is intended primarily for the transportation of citizens, goods, and the passage of vehicles. Azerbaijan, remaining committed to its obligations under the tripartite Statement, gave permission for movement along this road. Azerbaijan's position remains unchanged even after the establishment of a checkpoint on the border with Armenia. The border checkpoint was created within the framework of the fundamental right of Azerbaijan to protect its sovereignty and ensure security and is designed to prevent the rotation by Armenia of about 10,000 military personnel illegally deployed on the territory of Azerbaijan, to prevent the transfer of weapons, ammunition, mines, and foreign terrorists, as well as the abuse of this route to for the purpose of illegal extraction and export of natural resources from this territory. The International Court of Justice, by a unanimous decision of July 6, 2023, rejected Armenia's demand for temporary measures to abolish the checkpoint, strongly refuting the claims of the Armenian side about the illegality of the Lachin checkpoint. The creation of a border checkpoint did not at all impede the passage of the civilian population along the Lachin road. Until June 15, 2023, the actual number of such passages has increased markedly. On that day, the Armenian armed forces attacked the Azerbaijani border guards and the Russian peacekeepers accompanying them, which became another example of Armenian provocations. The attack severely injured an Azerbaijani border guard while posing a direct threat to ICRC staff and civilians passing through the checkpoint. At the same time, if Armenia really thought about the ordinary inhabitants of the region, then it would never object to the delivery of goods to the Garabagh region along the Aghdam-Khankendi road. This road is connected to one of Azerbaijan's main transport routes, the highway known as the "Silk Road" or M2, which provides reliable links to international markets. Compared to the 59 km Lachin-Khankendi road, which runs along mountain serpentines, the length of this road between Aghdam and Khankendi is only 18 km. Today, as part of a trip to Aghdam, representatives of the diplomatic corps accredited in Azerbaijan, including the office of the UN Resident Coordinator and other UN structures, witnessed the functioning of the road and its readiness for the transport of goods. The allegations of genocide are just as unfounded and false. The manipulative, deceitful campaign of Armenia against Azerbaijan is also reflected in the so-called "conclusion" of Luis Moreno Ocampo, who positions himself as an independent expert. Recently, renowned international law expert Rodney Dixon, in a preliminary report, pointed out the fallacy of his claims. As stated in the conclusion of Mr. Dixon, the claims put forward by Moreno Ocampo are unfounded, incomplete, and full of inaccuracies. Thus, allegations that genocide is being committed in the Garabagh region of Azerbaijan are completely groundless. Specifically, Mr. Dixon believes that Ocampo's conclusion takes a frankly selective approach to "facts", in particular, the selective nature of the conclusion is once again proved by the fact that it does not mention Armenia's rejection of Azerbaijan's proposal to use alternative ways to provide for ethnic Armenian residents. Such manipulations under humanitarian pretexts occur at the same time as illegal and provocative military activities are on the rise. Over the past weeks, the Armenian armed forces, illegally remaining on the territory of Azerbaijan, which is a gross violation of international law, including Armenia's obligations under paragraph 4 of the tripartite Statement of the leaders of Azerbaijan, the Russian Federation, and Armenia on November 10, have intensified military engineering work and other military activity. Of particular concern is the use of electronic warfare equipment illegally placed on the sovereign territory of Azerbaijan. In recent weeks, civil aircraft not only of Azerbaijan Airlines but also of foreign countries have been subjected to electronic interference, which has created a serious threat to their safety. Armenia must put an end to actions that threaten the sovereignty and territorial integrity of Azerbaijan, refrain from interfering in the internal affairs of Azerbaijan, and join the negotiations on post-conflict normalization with all sincerity. It is vital that the historic opportunity for sustainable peace in the region not be missed. Oral statements by the Armenian leadership on the recognition of the sovereignty and territorial integrity of Azerbaijan, including the Garabagh region, have created the ground for cautious optimism that peace is indeed achievable. Now Armenia needs to turn this statement into real action and stop questioning the sovereignty of Azerbaijan, including under the pretext of the "humanitarian needs" of the local Armenian residents of the Garabagh region of Azerbaijan. Over the past few months, joint efforts to intensify the normalization process, with the active participation of international partners, have yielded encouraging results. This diplomatic dialogue has played a decisive role in overcoming differences and achieving noticeable progress on a number of points of a future bilateral agreement aimed at establishing lasting peace and interstate relations. Azerbaijan pursues a policy of reintegration of the ethnic Armenians of the Garabagh region as equal citizens and guarantees all the rights and freedoms provided for by the Constitution of Azerbaijan and all relevant international human rights mechanisms signed by Azerbaijan. We are determined to defend our sovereignty and territorial integrity by all legal means enshrined in the UN Charter and international law, just as we are committed to these two noted paths. We are confident that the international community and, above all, the Security Council will support this approach based on equality and mutual respect, in accordance with the legitimate interests of both countries through mutual recognition and respect for the sovereignty, territorial integrity, and inviolability of each other's borders," Aliyev said in his speech. --- Follow us on Twitter @AzerNewsAz 16 August 2023 18:40 (UTC+04:00) Bahrain has taken a major step towards its goal of achieving zero carbon emissions by 2060 with the signing of agreements to develop a 72-megawatt solar park project. This project is part of Bahrain's Renewable Energy Plan, which aims to increase the share of clean energy in the country's electricity mix to 5 percent by 2025 and 20 percent by 2035, Azernews reports, citing IRENA. The solar photovoltaic project, located in Bahrain's southern Sakhir region, will include rooftop and ground-mounted solar power systems and electric vehicle charging stations at the Bahrain International Circuit, the University of Bahrain, the Bahrain World Expo and the Al Dana Amphitheater. According to Electricity and Water Minister Yasser bin Ibrahim Humaidan, the solar park will contribute to sustainable economic development for Bahrain and its citizens and is in line with the Kingdom's international commitments. Bahrain is the smallest oil producer in the Gulf region, and the solar park project is part of its effort to reduce its reliance on fossil fuels. According to the International Renewable Energy Agency, the Middle Eastern country had just 10 MW of installed PV capacity by the end of 2020. The Ministry of Electricity and Water Affairs has launched a tender to award a 20-year contract to a local or international company to build, own, operate, and maintain a grid-connected solar project with a minimum capacity of 72 MWc in the Sakhir region. The selected developer will be expected to build, own, operate, and maintain solar arrays on rooftops, carpark shades, and electric-vehicle charging stations on land belonging to the Bahrain International Circuit, the University of Bahrain, the Bahrain International Exhibition and Convention Centre, and the Al Dana Amphitheatre. The project will contribute 28 percent of the 250 MW of renewable energy capacity targeted by Bahrain by 2025. Bahrain's Renewable Energy Plan is an ambitious one, and the solar park project is a major step towards achieving its goal of 700 MW of solar, wind, and energy-from-waste generation capacity by 2030. With the successful completion of this project, Bahrain will be well on its way to becoming a leader in renewable energy in the Gulf region. --- Follow us on Twitter @AzerNewsAz 16 August 2023 23:45 (UTC+04:00) On September 11, 2023, the Kazakh airline QAZAQ AIR plans to launch its first regular flight on the Almaty-Bishkek-Almaty route, thereby increasing the number of flights from Almaty to Bishkek, Azernews reports, citing Kabar. According to the press service of the Manas International Airport, the flights will be carried out on comfortable airliners 3 times a week - on Mondays, Wednesdays and Fridays. Air Astana operates regular flights to the specified destination daily. --- Follow us on Twitter @AzerNewsAz 16 August 2023 22:40 (UTC+04:00) A elderly man died on Tuesday after spending 10 days in a coma having been beaten up for allegedly eyeing up a young woman, Azernews reports, citing ANSA. The victim is 75-year-old Luigi Pulcini, who lost consciousness after being punched by a young man in Altopascio near Lucca in Tuscany on August 6. The attacker fled and is now wanted for voluntary manslaughter. --- Follow us on Twitter @AzerNewsAz 16 August 2023 20:00 (UTC+04:00) Shareholders in the health tourism sector from Turkiye and Arab nations are gathering in Istanbul on Wednesday for a two-day industry exhibition, Azernews reports, citing Anadolu Agency. Organized by Turkish-Arab Countries Business Association (TURAB), the first Expo Health Tourism in the metropolis seeks to boost international cooperation in the industry and improve Turkiye's sector infrastructure. TURAB's chairman, Sabuhi Attar, said there should be more cooperation between Turkiye and Arab countries. He underlined the importance of the health sector for cooperation, noting that Turkiye is a "leading country" in the industry. Attar pointed out that 1.2 million tourists received healthcare services in Turkiye last year and added that this figure is expected to reach 1.8 million in 2023. Ahmed ElSobky, Egypt's deputy minister of health and population, emphasized the historical relations between Turkiye and Arab nations. Turkiye has a significant position in the health sector and serves as a model for Arab countries, he said. --- Follow us on Twitter @AzerNewsAz Poland's armed forces and their NATO allies marched at a parade in Warsaw in a show of force as part of Armed Forces Day celebrations in the country Tuesday, August 15, months ahead of the country's general election. Miracle on the Vistula Historically, Poland was a key eastern European power, owing to its might in the medieval and early modern periods with famed military victories such as the Battle of Grunwald in 1410 and the relief of the siege of Vienna in 1683. The day commemorated the Battle of Warsaw, when Polish troops repelled the Soviet Red Army in 1920, which became a turning point for the Polish-Soviet War. Before the victory, Soviet troops rapidly gained territory within Poland, with Soviet leaders Vladimir Lenin and Leon Trotsky hoping to reach Berlin "over Poland's dead body" and start a worldwide revolution, DW reported. Thanks to a crack team of Polish radio operators who deciphered Soviet radio messages, and perhaps the intervention of the Virgin Mary - as the day of the victory coincided with the feast of her assumption in the Catholic Church - the Poles managed to encircle the Soviet forces. Eventually, the victory was called the "Miracle on the Vistula," named after the river where Warsaw was established. The Soviets eventually reached Berlin during the Second World War, and they placed a satellite government in Poland. But with the fall of the Berlin Wall in 1989, the Poles successfully repelled communism once more. In 1992 Poland made August 15 a national holiday dedicated to its armed forces. A Show of Force Against Moscow While parades have been held on the streets of Warsaw since its independence from Soviet influence, this year's parade paled all of the previous ones combined in comparison, making it the largest in its modern history. Crowds gathered to watch 2,000 soldiers and 200 military vehicles, including US-made M1A1 Abrams, German Leopard, and South Korean K2 tanks and K9 self-propelled howitzers, F-16 and FA-50 fighter jets, UH-60 Black Hawk helicopters, Patriot missile systems, and HIMARS rocket launchers. Some of the Korean hardware Poland purchased were showcased in the parade for the very first time, the Korea JoongAng Daily reported. Specifically noted was the KAI FA-50PL, the Polish light combat variant of its T-50 lead-in fighter trainer jet that is also owned by the air forces of ASEAN powerhouses Indonesia, Thailand, Malaysia, and the Philippines. NATO troops, including US and French contingents, also marched past and saluted Polish President Andrzej Duda and Defense Minister Mariusz Blaszczak, both of whom were adamant about keeping Poland free from Russian influence in the aftermath of its invasion of neighboring Ukraine. "August 15 is not only an opportunity to pay homage to the heroes of the victorious Battle of Warsaw and to thank contemporary soldiers for defending our homeland," Blaszczak said in his speech before the parade. "It is also a perfect day to show our strength, to show that we have built powerful armed forces that will effectively defend our borders without hesitation." Read Also: Polish Prime Minister Mateusz Morawiecki Seeks Referendum on Irregular Migration, Seeks Voters' Stance on Issue Poland on Supporting Kyiv, Warning Minsk Since the war in Ukraine, the Polish government launched a massive program to modernize its armed forces as quickly as possible, with the country's defense ministry saying it would spend almost PLN 140 billion ($34 billion) on weaponry in 2023 alone. At the same time, it would continue to provide support to Ukraine by handing them older military equipment the Ukrainians might need to repel Russian forces. Blaszczak was also reported to state that he envisioned the Polish military to be the largest in Europe, owing to its historical significance of blocking Bolshevik and Russian influence from its western neighbors. He recently announced the country has more than 175,000 soldiers under arms, with experts estimating 125,000 of them in active duty and the remainder of it reservists of its Territorial Defense Force and other volunteer formations. Polish Prime Minister Jaroslaw Kaczynski stressed Monday (August 14) the importance of a large military force, hoping that its manpower would increase to 300,000 troops. He also reportedly quoted the Latin adage "If you seek peace, prepare for war." "We have to buy very large amounts of weapons," he added. Another consideration officials in Warsaw have to deal with is the problem of Belarus and its harboring of the Russian mercenary Wagner Group. As a response to the development, Poland announced it was sending 10,000 soldiers to the Polish-Belarusian border to prevent another incident of border incursions like what Wagner did a few weeks ago. Related Article: Poland Arrests Two Russians for Allegedly Spreading Wagner Propaganda as Tensions Soar Near Border @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Bakersfield, CA (93308) Today Intervals of clouds and sunshine. Slight chance of a rain shower. High around 85F. SSE winds shifting to W at 10 to 15 mph.. Tonight A few clouds from time to time. Low 68F. Winds NNW at 5 to 10 mph. Bakersfield, CA (93308) Today Rain ending early. Remaining cloudy. Low 69F. Winds SSE at 5 to 10 mph. Chance of rain 100%. Localized flooding is possible.. Tonight Rain ending early. Remaining cloudy. Low 69F. Winds SSE at 5 to 10 mph. Chance of rain 100%. Localized flooding is possible. Bakersfield, CA (93308) Today Rain. Potential for flooding rains. High 78F. Winds W at 5 to 10 mph. Chance of rain 100%. Rainfall near a half an inch.. Tonight Showery rains will be accompanied by heavy downpours and strong gusty winds at times, especially early. Potential for flooding rains. Low around 70F. Winds WSW at 20 to 30 mph, becoming ESE and decreasing to 5 to 10 mph. Chance of rain 100%. China-based tech giant Tencent has announced intentions to release a proprietary artificial intelligence (AI) model later this year, which it says will be among the finest in the nation. This is an early indication that Tencent wants to compete in the sector with established players like Baidu and SenseTime. China's 'Best' AI Model Soon to Launch While discussing the AI phenomena after the release of financial results, Tencent executives remarked favorably on the company's success in building a massive language model to fuel generative AI and other applications, as reported by Bloomberg. The gaming giant is said to be lagging behind its local competitors, in part because it has been relatively quiet about its progress. Hunyuan, its model, has been put through its paces with applications like cloud computing and search. On Wednesday's results conference, August 16, Tencent President Martin Lau remarked, "It's among the top leading foundation models produced in China. We are very relentlessly working on the upgrade and iteration to prepare it for launch at some point of time in the latter part of this year." Tencent has said that its AI research and development extends much beyond the scope of chatbots like OpenAI's ChatGPT. The Chinese firm is experimenting with AI for use in online games, cloud storage, and the financial sector. It also believes it can utilize technology to strengthen marketing efforts. "AI, the more we look at it, the more excited we are about it as a growth multiplier for our business. We look at the opportunity and technology much more broadly than just a chatbot and Q&A type of experience," Lau stated. In the cloud area, Tencent is reportedly building a marketplace for corporate customers where they can pick and select generative AI-powered services, which will convert into high-margin profit for the firm. See Also: Sony Doubles PlayStation Gaming Sales Projection Despite Weak First-Quarter Earnings Revenue Growth That's Less Than Expected Tencent posted a weaker-than-expected second-quarter earnings jump on Wednesday as cost-cutting tactics paid off and revenues grew across its divisions. According to CNBC, the revenue came to 149.21 billion yuan ($20.46 billion), up 11% from 151.73 billion yuan ($20.80 billion) projected. Company equity holders earned 26.17 billion yuan ($3.6 billion) versus 33.42 billion yuan ($4.6 billion). This is up 41% year-over-year. Tencent is seeing the results of its cost-cutting campaign last year when it divested non-core sectors and slashed marketing expenditure. The firm, which controls WeChat, is also seeing growth in gaming and cloud computing. This transformation, along with diligent cost restraint from the prior year, led to profit growth outpacing sales growth. The tech firm has posted three consecutive quarters of revenue growth as it returns from a rough 2022. Notably, its primary gaming industry dropped substantially compared to 2021, when the virus forced people to stay inside their homes. Moreover, Chinese officials halted new game clearance for months until April 2022. Tencent games were only approved by authorities after many months. See Also: Amazon, Meta, and Netflix Attract AI Talents With Six-Figure Salaries; Other Companies Join the Trend @ 2023 HNGN, All rights reserved. Do not reproduce without permission. This post appears here courtesy of the Carolina Journal . The author of this post is CJ Staff The N.C. Supreme Court will not take up a case that aimed to clarify rules for North Carolinians challenging government agency decisions. A court order issued Wednesday denied a petition to hear the case. It involved a certificate-of-need dispute with state health regulators.Justices offered no explanation for the decision. Their order arrived more than one year after plaintiffs urged the court to overrule a unanimous decision from the N.C. Court of Appeals.In a May 2022 petition to the state Supreme Court, plaintiffs predicted the Appeals Court decision would present significant challenges for people fighting bad government rulings.according to the petition's opening line.the brief continued.according to the brief.The petition from Bio-Medical Applications of North Carolina involved a dispute over a certificate of need. A CON represents a state government permission slip. It allows health care providers to offer new medical services, purchase major medical equipment, add hospital beds, or build new medical facilities.Through the CON process, the N.C. Department of Health and Human Services decreed in 2019 that Guilford County would get 20 new kidney dialysis stations, while Johnston County would add 12. BMA and a competitor, Total Renal Care, both applied for CONs to move dialysis stations into both counties, according to the Supreme Court petition.State officials granted TRC's applications "in full" but blocked BMA from moving four dialysis stations into the targeted counties. BMA appealed the decision and lost.Details surrounding that loss prompted the petition to the state Supreme Court.An administrative law judge agreed that BMA could challenge the decision under CON law. But the judge also decided that the company did not meet standards set by the state Administrative Procedure Act.according to the petition.State lawThe judge also contradicted previous court precedents and the law itself by rulingaccording to BMA's petition.The state Court of Appeals affirmed the administrative law judge's opinion.BMA urged the state's highest court to overturn that appellate decision.the petition argued.BMA warned.On the same day Bio-Medical Applications filed its petition, North Carolina Specialty Hospital filed paperwork in the case. NCSH, billing itself assought to file a friend-of-the-court brief supporting BMA. A separate state Supreme Court order Wednesday dismissed the hospital's request as moot.according to the court filing.The three-judge Appeals Court panel's April 5, 2022, decision against BMA was unanimous, so the state Supreme Court faced no obligation to take the case. BMA had asked the high court to grant Attila Nemecz Marketing and Public Relations Coordinator Beaufort County Community College 5337 U.S. Highway 264 East Washington, N.C. 27889 Ph : 252-940-6387 : 252-940-6387 Cell : 252-940-8672 : 252-940-8672 attila.nemecz@beaufortccc.edu Beaufort County Community College congratulates the following students for making the President's List or the Dean's List for the Spring 2023 semester. Full-time students who achieve a 4.00 grade point average for the semester are placed on the President's List for that semester. After one full year of the Biden /Harris Administration: Does Joe Biden have the intellectual capacity, and, or the energy to lead the United States of America through the mess that he predominately created ... in just one year? Yes No Unsure 1,171 total vote(s) What's your Opinion? President Joe Biden's upcoming beach week can't come soon enough. The poor guy forgot to sign an executive order that he flew all the way to Maine to sign on Friday. Perhaps the bigger part of the story can be found in the Democrats' newfound obsession with the GDP.This morning, Biden flew to Auburn, Maine, to tout his newexecutive order. Apparently, wanting things to bewas only racist when former President Donald Trump was in office. The whole point of the trip was to sign the order in Maine as a way to show his commitment to manufacturing jobs. However, the data indicate that Maine has lost 0.5 percent of its manufacturing jobs between June 2022 and June 2023, per CBS News.As for the event today, Biden was typical Biden. He left the stage and had to be instructed to return to put his John Hancock on the darn thing. By that point, the crowd was already departing and his exit music was just getting started:While there, he again insulted voters' intelligence by claiming thatwas until the pandemic:Maine Governor Janet Mills (D) also praised Biden at the event, saying,The Maine Wire reported.While it is true that America's GDP grew over the past two quarters, that doesn't equate to a strong country. Whenever politicians or party hacks rely on the GDP to make their argument for a strong economy, perhaps we should be reminded of what the late Senator Robert Kennedy (D-NY) had to say about the GDP:...gross national product does not allow for the health of our children, the quality of their education or the joy of their play. It does not include the beauty of our poetry or the strength of our marriages, the intelligence of our public debate or the integrity of our public officials.It measures neither our wit nor our courage, neither our wisdom nor our learning, neither our compassion nor our devotion to our country, it measures everything in short, except that which makes life worthwhile.And it can tell us everything about America except why we are proud that we are Americans.And right now, America's kids are as unhealthy as ever - mentally and physically. Childhood education was slowed thanks to the lockdowns - and other problems plaguing public schools - and theis stunted by activists who push wokeness into everything they do.As for the quality of our public leaders, well, the ongoing scandals of "The Big Guy" and his family should speak to that.Perhaps because of all those maladies, just 39% of the U.S. adult population says they areto be American.Biden should be all too familiar with Kennedy's words. The guy plagiarized RFK's exact speech on the GDP way back on the 1988 campaign trail. But maybe he's blocked that out in the same manner that the Democratic National Committee is blocking out Robert F. Kennedy Jr. from the 2024 Democratic Party. Pence: We can end the political correctness at the Pentagon, including reinstituting a ban on transgender personnel in the United States military. pic.twitter.com/BC5joz8TAE Acyn (@Acyn) July 29, 2023 Vice President Mike Pence vowed on Friday to reinstate former President Donald Trump's ban on transgender individuals serving in the U.S. military.Pence told the audience at the Iowa Republican Party's Lincoln Dinner in Des Moines.Pence said.Trump announced the ban on Twitter back in July 2017:Trump stated on the campaign trail this year that he will reinstate the ban if he becomes president again.Florida Governor Ron DeSantis also pledged on the campaign trail earlier this month that he, too, would ban transgender individuals from serving in the U.S.However, not all Republicans support banning transgender individuals from serving in the U.S. military.Vivek Ramaswamy, who is also running for president, was asked in an interview last month if he would reinstate a ban on transgender individuals serving in the U.S. military.Ramaswamy signaled twice in the interview that he supports allowing transgender individuals to serve in the U.S. military and would not ban them. WASHINGTON No Labels is facing increasing scrutiny over the possibility it could play a spoiler role in the 2024 presidential election, and its founder and CEO said in an exclusive interview that she vows to end the groups third-party 2024 effort if it risks putting Donald Trump back in the White House. But Nancy Jacobson repeatedly declined to offer any metric on how the group would determine whether to stand down. As a Democrat? Categorically, that will not happen, Jacobson said in response to a question about concerns that a third-party ticket, running on the ballot line No Labels is seeking in every state, could siphon off votes from President Joe Biden and benefit Trump. This effort will nev well pull it down. Jacobson immediately added: We will not spoil for either side. The only reason to do this is to win. Its a bold statement in a nation where the most successful third-party presidential effort in the last century finished a distant third. And even as the No Labels effort stepped out this week with a public campaign-style event in Manchester, New Hampshire, much of its political effort remains secret. The group doesnt reveal its donors and isnt publicly discussing its deliberations over whom it may recruit to run on its planned bipartisan ticket. The details of how itll operate its convention in Dallas in April also arent clear, though the group has no plans to hold traditional primaries or caucuses in which voters select a presidential nominee. Jacobson said the group will assess its standing after Super Tuesday and the Florida primary next year. Jacobson worked as a major fundraiser for the Clintons and the Democratic Party before she formed No Labels in 2010, helped create the congressional Problem Solvers Caucus and aided moderate members of Congress from both parties in their elections. Jacobson, a Democrat, demurred when she was asked whether Biden represented the bipartisan leader No Labels has hinged its efforts on. Biden ran his 2020 presidential campaign on the promise to work with congressional Republicans. His campaign has often pointed to the passage of the CHIPS Act, the infrastructure package and the debt ceiling deal deals celebrated by No Labels allies in Congress as evidence of the presidents work. Joe Biden is a good man. There has been a lot of tremendous legislation, but the point is its about the voters. Its not about us, Jacobson said. Its about the voters, and the voters of this country right now are not saying they want him as a choice right now. Aspects of current polling are grim for Biden. Though he retained a slight lead against Trump in the most recent NBC News national poll, his approval rating stood at 43%. And 44% of voters, including a larger share of Democrats than Republicans, say theyre open to considering a third-party candidate. Its against that backdrop that national Democrats have expressed deep concern about the consequences of No Labels presidential efforts. I dont think No Labels is a political party, Sen. Mark Kelly, D-Ariz., said Sunday on CNN. I mean, this is a few individuals putting dark money behind an organization, and thats not what our democracy should be about. Im obviously concerned about whats going on here in Arizona and across the country. Jacobson said the group wouldnt disclose its donors, saying, Theres nothing nefarious going on here. She said the group isnt legally obligated to reveal its financial sources. The Arizona Democratic Party filed a complaint with the Arizona secretary of states office last week, arguing that No Labels should be suspended as a political party for failing to follow the same financial disclosure rules as the states Republican and Democratic parties. Even though it registered as a political party, Jacobson denied the group which is incorporated as a so-called social welfare nonprofit organization is acting in the capacity of a party, arguing that it will only make its ballot line available for a presidential ticket, not actually operate the eventual campaign. Thats just language, Jacobson said. Thats not you know, we are not functioning we are one ticket, one time. A party [the] definition of a party is running candidates up and down the ballot. That is not what were doing, she continued. Some Biden allies, seeing No Labels as a clear potential spoiler, have questioned the true motivations behind Jacobsons mission. But one of her longtime senior advisers, William Galston, who left the organization in April over his opposition to the presidential ticket operation, defended her intentions in an interview. I do not question the motives or the patriotism or the integrity of anybody involved in this effort, Galston said. My opposition is a simple matter of political analysis. I believe there is a gap between what No Labels wants to do and what its efforts will, in fact, achieve. Galston, a senior fellow at the Brookings Institution, helped Jacobson start No Labels in 2010 but left the organization in April over his opposition to the presidential ticket operation. I cannot see a serious possibility that an independent, bipartisan, centrist, third-party ticket can succeed and win the presidency, said Galston, adding: I fear that despite its intentions to the contrary, if it proceeds, it will end up it will end up helping Donald Trump. According to the NBC News exit poll, most of those who voted third-party in 2016 decided to back Biden four years later, helping deny Trump re-election. Now, Democrats worry that a growing third-party vote in 2024 would lower the threshold Trump needs to win again as it did in 2016, when 47% to 48% of the vote was enough for him to capture key swing states. Jacobson defended the organizations credibility in her interview, citing the numerous nationally recognized figures affiliated with it. Because weve been around for 13 years. They can see the leaders around this, Jacobson said. They can see Sen. Joe Lieberman. They can see Gov. Larry Hogan. They can see a civil rights leader, Ben Chavis. They can see all the leaders. They can see our work for the last 13 years. Monday in New Hampshire, Sen. Joe Manchin, D-W.Va., and Jon Huntsman, the Republican former governor of Utah and ambassador to China, headlined No Labels Common Sense Town Hall at Saint Anselm College. Manchin said in an interview that nothing is off the table regarding his moves in 2024, leaving the door open to joining the No Labels ticket. He said he would decide next year. Lets see where everybody goes. Lets see what happens, Manchin said. Last week, businessman Mark Cuban offered praise for the organizations presidential ticket plan but ruled himself out of the mix for consideration. I like that they are trying a new path. I think the two-party system is broken, Cuban said. Click here for an update. On Wednesday, the North Carolina House Rules Committee voted in favor of changes to Senate Bill 747, laying a framework for major election law changes in the Tar heel state. Northern Light Eastern Maine Medical Center and one of its physicians are being sued by a former colorectal surgeon who claims she was discriminated against based on her sex and country of origin, according to an Aug. 14 report from bangordailynews.com. Maria Michailidou, MD, the former surgeon, directed most of her claims toward Brad Waddell, MD, chief of surgery at Northern Light EMMC, who allegedly "repeatedly demeaned, yelled at and blamed" Dr. Michailidou for unwarranted reasons. Additionally, Dr. Michailidou claims the hospital fostered an environment that instilled fear in junior physicians, specifically women and foreign-born physicians, according to the report. Dr. Michailidou, who began working at Northern Light in August 2018, was born in Greece, where she finished medical school before completing residency programs and clinical research in the U.S. She alleges the Bangor-based hospital takes advantage of foreign-born medical professionals' dependency on the agency to remain in the country, resulting in being overworked and underpaid. According to the lawsuit, Dr. Waddell drove a focused evaluation of Dr. Michailidou that resulted in a forced resignation, and Dr. Michailidou claims she never received an explanation for the decision. The review process was allegedly not well documented, and a third-party medical reviewer and the Maine Board of Licensure in Medicine claimed Dr. Michailidou's actions "fully complied with the applicable standard of care," according to the report. More than 134,000 people enrolled or formerly enrolled in certain Massachusetts state programs had personal information, including medical details and financial data, compromised in a data breach involving a file transfer program used by Boston-based UMass Chan Medical School, according to an Aug. 15 report from CBS News. The exposed data varies from patient to patient, but could include the person's name and at least one other piece of information, including date of birth, mailing address, protected health information like diagnosis and treatment details, Social Security number, and financial account information, according to a statement from the office of Health and Human Services. The breach came from a vulnerability in software program MOVEit, which was used to transfer files from UMass to state health agencies and programs. "This incident was part of a worldwide data security incident involving a file-transfer software program called MOVEit, which has impacted state and federal government agencies, financial services firms, pension funds, and many other types of companies and not-for-profit organizations. No UMass Chan or state systems were compromised in this incident," HHS said in a statement. The breach mostly impacted State Supplement Program participants, MassHealth Premium Assistance members, MassHealth Community Case Management participants, and Executive Office of Elder Affairs and Aging Services Access Points home care program consumers. People who had compromised information should have begun to receive letters from UMass Chan and the state starting Aug. 15, according to the report. Since 2009, 8.4 million health accounts have potentially been breached in Massachusetts, with nearly 4 million accounts potentially affected in 2023 alone, according to a study from VPN services company Surfshark. Atlanta-based United Digestive and New York City-based payer Oscar Health partnered to extend care to more than 90,000 patients in Georgia. Through the partnership, patients in Georgia can access United Digestive endoscopy centers, infusion services and anesthesiology care, according to an Aug. 15 news release from the health system. United Digestive has more than 62 clinics, 22 ASCs and 300 providers practicing across Florida, Georgia, North Carolina and South Carolina. Here are six updates on the dental industry in Illinois that Becker's has reported on since June 14: 1. Gov. J.B. Pritzker recently signed legislation that updates and makes changes to the Dental Practice Act. 2. Gov. Pritzker also signed a law requiring all healthcare providers, including dentists, to electronically submit prescriptions for controlled substances. 3. A dentist in Peoria was ordered to pay $20,000 in back wages after unlawfully terminating a dental assistant. 4. A small fire at a dental practice in Buffalo Grove broke out, with the cause of the fire under investigation. 5. Malta-based Kishwaukee College is offering a 12-week dental assistant program. 6. Gov. Pritzker signed into law two dental payer reform bills that will take effect Jan. 1, 2024. U.S. President Joe Biden confirmed that he will make a visit to Maui. The question is, will Biden's activity affect the ongoing recovery efforts in the Hawaii island? Joe Biden to Visit Maui After Deadly Wildfires According to CNN's latest report, POTUS confirmed his Maui visit on Tuesday, Aug. 15. The American leader said that he will travel to Maui County on Monday, Aug. 21. He said that he will meet with survivors, responders, as well as state, federal, and local officials. White House Press Secretary Karine Jean-Pierre stated that Joe Biden will bring his wife, the First Lady, together with him. Joe Biden promised that his upcoming visit will not impede the ongoing recovery efforts in any way. "My wife Jill and I are going to travel to Hawaii as soon as we can, that's what I've been talking to the governor about," said the U.S. president. "I don't want to get in the way - I've been to too many disaster areas, but I want to go and make sure we got everything they need. I want to be sure we don't disrupt the ongoing recovery efforts," he added. Read Also: Joe Biden's Administration Urges Colleges To Promote Diversity Despite Supreme Court Striking Down Affirmative Action Republicans Say Biden Doesn't Address Maui Wildfires NBC News reported that Republicans believe POTUS is not addressing the wildfire devastation in Maui. Among them is former U.S. President Donald Trump. He even posted a two-minute video criticizing Biden's lack of response to the natural catastrophe. Trump said that Joe Biden's refusal to talk or comment on the Maui tragedy is just disgraceful. The White House defended POTUS against the accusations. Officials said that the Biden Administration is taking the wildfires in Hawaii Island seriously. They confirmed that almost 500 federal workers were deployed in Hawaii. Aside from this, the Federal Emergency Management Agency (FEMA) said that it provided 75,000 liters of water, 50,000 meals, 10,000 blankets, and 5,000 cots to affected Hawaii residents. Even the U.S. Marines, the Coast Guard, and the Navy also support Maui. These defense organizations provided the assistance they can offer, such as Black Hawk helicopters. Related Article: Biden's Climate Law Debated by Republicans, Democrats @ 2023 HNGN, All rights reserved. Do not reproduce without permission. HCA Healthcare, Community Health Systems, Tenet Healthcare and Universal Health Services are among the largest for-profit health systems in the country. Each system has a unique backstory and commands an extensive network of facilities and physicians. Here's how the systems compare in five key areas, including leadership, system size, key financial statistics, executive compensation and payer mix. 1. Leadership HCA Healthcare (Nashville, Tenn.) Sam Hazen was appointed CEO of HCA in January 2019 after serving as president and COO since 2016. Three other things to know: Mr. Hazen is a 40-year veteran of HCA, and has held various senior positions for the health system, including president of operations from 2011 to 2015. He also served as president of HCA's Western Group, which included all operations west of the Mississippi River and represented about 50 percent of the system's revenue. Mr. Hazen began his career in Humana's financial management specialist program in 1983 and has held CFO positions at hospitals in Georgia and Las Vegas. Tenet Healthcare (Dallas) Saum Sutaria, MD, was appointed CEO of Tenet in September 2021. He was also elected board chair on Aug. 10. Three other things to know: Dr. Sutaria previously served as president and COO of Tenet with responsibilities spanning the enterprise. He joined the for-profit system in 2019 after working for nearly two decades at McKinsey & Company. At McKinsey, Dr. Sutaria was a leader in the healthcare and private equity practices, advising clients on strategic, operational and financial matters. Dr. Sutaria previously held an associate clinical faculty appointment at the University of California at San Francisco, where he also engaged in postgraduate training with a focus in internal medicine and cardiology. Community Health Systems (Franklin, Tenn.) Tim Hingtgen was named CEO of CHS in January 2021 after serving as president and COO from September 2016 through December 2020. Three other things to know: Mr. Hingtgen, who also serves on the board, joined CHS as a vice president of operations in 2008. In January 2014, he was promoted to president of division IV operations, and in May 2016, he was promoted to executive vice president of operations. Before joining CHS, Mr. Hingtgen served as a CEO or COO of hospitals affiliated with UHS and Brentwood, Tenn.-based Province Healthcare. Mr. Hingtgen has a master's degree in business administration from the University of Nevada, Las Vegas. Universal Health Services (King of Prussia, Pa.) Marc Miller was named CEO of UHS in January 2021 and has served as president since 2009. Three other things to know: Mr. Miller is also a member of the UHS board, serving on the executive committee and the finance committee. He began his career at UHS in 1995 and has held multiple positions of increasing responsibility at hospitals and at the corporate office. Before stepping into executive leadership roles at, he held various operating roles at UHS acute care hospitals. Mr. Miller's prior executive roles include group director for the health system's acute care operations in Pennsylvania, South Carolina and Florida; Eastern region vice president of the acute care division; and senior vice president and co-head of the UHS acute care division. 2. System size Tenet launched as a small operation in California. In May 1969, it acquired four hospitals along with some additional care sites and real estate for future hospital developments. Three other things to know: Tenet operates 61 acute care and specialty hospitals, about 110 other outpatient facilities, a network of employed physicians and a global business center in Manila, Philippines. United Surgical Partners International, Tenet's ambulatory arm, operates or has ownership interests in more than 465 ASCs the most of any health system and 24 surgical hospitals. It also operates Conifer Health Solutions, which provides revenue cycle management and value-based care services to hospitals, health systems, physician practices, employers and other clients. Tenet has more than 100,000 employees and 6,000 physicians. HCA was founded in 1968 by Thomas Frist Sr., MD, Thomas Frist Jr., MD, and Jack Massey. Dr. Frist Sr., who was the father of former U.S. Senate majority leader Bill Frist, built Park View Hospital in Nashville with a group of physicians. They wanted to manage and expand the hospital, eventually creating the hospital management company known as HCA. Three other things to know: HCA comprises 182 hospitals and more than 2,300 care sites in 20 states and the United Kingdom. The system's care sites include ASCs of which it operates about 150 freestanding ERs, urgent care centers, diagnostic and imaging centers, walk-in clinics and physician clinics. HCA has more than 270,000 employees, including 94,000 registered nurses and 38,000 active physicians CHS was founded in 1985 when Thomas Chaney, former executive of Hospital Affiliates, Inc., and David Steffy and Richard Ragsdale, former HCA executives, spun off Republic Health Corp. to form Community Health Systems. The second hospital acquisition took place in January 1986. Three other things to know: CHS operates 77 acute care hospitals with about 13,000 beds and more than 1,000 care sites, across 15 states. Its healthcare portfolio includes physician practices, urgent care centers, freestanding emergency departments, occupational medicine clinics, imaging centers, cancer centers and ASCs. In 2014, CHS had approximately 200 hospitals. In 2016, CHS began refining its portfolio with the spinoff of Brentwood, Tenn.-based Quorum Health, which included 38 hospitals and its hospital management and consulting business. Over the next several years, CHS sold or closed dozens of hospitals. CHS has more than 90,000 employees and 20,000 physicians employed and independent serving on the medical staff of its hospitals. UHS was founded in 1979 by Alan B. Miller, who currently serves as the system's executive chair. Eighteen months later, UHS owned four hospitals and had management contracts with two others. Three other things to know: UHS now operates 27 acute care hospitals, 331 behavioral health inpatient facilities, 22 freestanding emergency departments and nearly 40 outpatient facilities and ambulatory care centers in 39 states in the U.S., Washington, D.C., Puerto Rico and the United Kingdom. The for-profit system also offers health insurance plans through Prominence Health Plan, and manages a network of physicians through Independence Physician Management. UHS has about 94,000 employees - 34,000 of whom operate at its acute care facilities and 55,000 of whom are focused on behavioral health. It also has 12 physician networks comprising more than 700 providers 3. Executive compensation The CEOs and CFOs of all four health systems saw compensation packages drop substantially in 2022, according to proxy statements filed with the Securities and Exchange Commission. CEOs of the four systems earned compensation packages of more than $43 million combined in 2022, down from a collective $65 million in 2021. CFOs earned more than $16 million combined last year, down from more than $27 million in 2021. Note: Total compensation can include salary, bonuses, stock and option awards, change in pension value and nonqualified deferred compensation earnings and other compensation. HCA Samuel Hazen, CEO 2022: $14.6 million 2021: $20.6 million 2020: $30.4 million Michael McAlevey, senior vice president and chief legal officer 2022: $6.5 million 2021: No data available 2020: No data available William Rutherford, executive vice president and CFO 2022: $5.1 million 2021: $7.2 million 2020: $6.1 million Tenet Ronald Rittenmeyer, former executive chair and CEO 2022: $24.3 million 2021: $18.7 million 2020: $16.7 million Saum Sutaria, CEO 2022: $11 million 2021: $21 million 2020: $8.6 million Dan Cancelmi, executive vice president and CFO 2022: $3.6 million 2021: $9.4 million 2020: $7.2 million CHS Tim Hingtgen, CEO 2022: $6.3 million 2021: $9.5 million 2020: $6.3 million Wayne Smith, executive chair 2022: $6.2 million 2021: $8 million 2020: $9 million Kevin Hammons, president and CFO 2022: $4.2 million 2021: $5.9 million 2020: $3.1 million UHS Marc Miller, CEO (appointed CEO in January 2021) 2022: $10.9 million 2021: $14 million 2020: $2.3 million Alan Miller, executive chair 2022: $7.1 million 2021: $13.1 million 2020: $13.2 million Steve Filton, executive vice president and CFO 2022: $3.3 million 2021: $4.8 million 2020: $1.7 million 4. Key financial statistics (Q2 2023) HCA posted $1.19 billion in net income for the second quarter, 3.3 percent higher than the same period in 2022 ($1.16 billion). Two other second-quarter details: Operating income for the period totaled $1.81 billion compared with $1.76 billion during the second quarter of 2022. Revenues for the three-month period totaled $15.86 billion compared with $14.8 billion during the prior-year period. Expenses rose 7.6 percent to $14.05 billion with salaries and benefits up to $7.3 billion, a 7.1 percent year-over-year increase. Tenet reported $123 million in net income on revenue of $5.1 billion. That compares with net income of $38 million on $4.6 billion of revenue in the same period last year. Two other second-quarter details: While revenues rose almost 10 percent to total $5.1 billion in the period, overall expenses also rose, resulting in the same operating margin of 11.9 percent for both the second quarters of 2023 and 2022. Salaries, wages and benefit expenses increased 7.5 percent year over year to almost $2.3 billion in the second quarter of 2023. CHS reported a net loss of $38 million on revenue of $3.1 billion compared with a net loss of $326 million in the same period in 2022 on revenue of $2.9 billion. Two other second-quarter details: Operating income totaled $246 million for the second quarter on $3.1 billion revenues, an operating margin of 7.9 percent, compared with a $119 million gain on $2.9 billion of revenues in the second quarter of 2022. Salaries and benefits totaled $1.3 billion, up 3.2 percent year over year, but totaled 42.9 percent of revenues compared with 44.1 percent in the same period last year. UHS reported net income of $179.4 million in the second quarter of 2023 on revenue of $3.5 billion versus $163.9 million in the same period in 2022 on revenue of $3.3 billion. Two other second-quarter details: 5. Payer mix HCA Payer mix by patient service revenues as of June 30: Commercial: $15.5 billion (49.2 percent) Medicare: $5.3 billion (16.9 percent) Medicare Advantage: $5.1 billion (16.2 percent) Managed Medicaid: $1.8 billion (5.7 percent) Other: $1.6 billion (4.9 percent) Medicaid: $1.5 billion (4.7 percent) International: $752 million (2.4 percent) Tenet *Commercial includes Medicare Advantage and managed Medicaid Payer mix by net patient service revenues as of June 30: Commercial: $5.1 billion (70.6 percent) Medicare: $1.2 billion (16.9 percent) Medicaid: $544 million (7.6 percent) Indemnity/other: $296 million (4.1 percent) Uninsured: $60 million (0.8 percent) Payer mix by admissions as of June 30: Commercial: 67.1 percent Medicare: 20.3 percent Medicaid: 4.9 percent Charity/uninsured: 4.4 percent Indemnity/other: 3.3 percent Payer mix by admissions as of June 30: Commercial: 30 percent Medicare Advantage: 25 percent Medicare: 21 percent Managed Medicaid: 13 percent Uninsured: 7 percent Medicaid: 4 percent CHS Payer mix by net operating revenues as of June 30: Commercial: $3 billion (47.6 percent) Medicare: $1.3 billion (20.5 percent) Medicare Advantage: $1.1 billion (17.1 percent) Medicaid: $872 million (14 percent) Self-pay: $48 million (0.8 percent) UHS Payer mix by patient service revenues as of June 30: Commercial: $2.1 billion (30 percent) Managed Medicaid: $1.2 billion (17 percent) Medicare: $815.8 million (12 percent) Medicare Advantage: 849.7 million (12 percent) Medicaid: $666.3 million (9 percent) UK: $357.9 million (5 percent) Other: 453.1 million (6 percent) Hospitals and physicians are paying millions of dollars for a hidden fee to receive reimbursement from payers electronically, ProPublica reported Aug. 15. Payers and middlemen charge healthcare providers as much as 5 percent to process electronic payments, according to the story. The ACA required payers to offer electronic funds transfers and nudged physicians to take them. CMS at one time prohibited the processing fees before reversing course. Tim Reiner, senior vice president of revenue management of Altamonte Springs, Fla.-based AdventHealth, complained to CMS about the fees in 2020, the news outlet reported. "I have to pay $1.8M in expenses that I could use on PPE for our employees, or setting up testing sites, or providing charity care, or covering other community benefits," he wrote. "It's ridiculous," Karen Jackson, a retired senior CMS official, told the news outlet. The U.S. Department of Veterans of Affairs has declined to pay the fees, declaring them illegal, according to the story. The pushback against the fees has been led by Alex Shteynshlyuger, MD, a private urologist in New York City, while the campaign to keep them has been spearheaded by Matthew Albright, chief lobbyist at payment processing company Zelis, according to the article. Mr. Albright, a former CMS official, had pressed CMS on getting rid of its ban on the fees. The agency told ProPublica it had no legal authority to outlaw the fees, adding that it "receives feedback from a wide range of stakeholders on an ongoing basis." Other electronic payment vendors include UnitedHealth Group subsidiaries Change Healthcare and VPay. UnitedHealth told ProPublica the companies cut down on administrative burden and speed up payments for providers. Zelis told the news outlet that it helps prevent "many of the obstacles that keep providers from efficiently initiating, receiving, and benefitting from electronic payments." The month of August has been laden with CEO departures from hospitals and health systems and it's only halfway over. Becker's has reported the following CEO retirements and resignations since Aug. 2: 1. Anthony Guaccio will retire as president and CEO of Swedish Hospital in Evanston, Ill., at the end of 2023. Jonathan Lind, the hospital's COO, will assume the presidency Sept. 1. 2. Terry Treadwell is leaving his role as CEO of Merit Health River Region in Vicksburg, Miss., to return home to Texas. Laurie Neely, MSN, the hospital's chief nursing officer, was named interim CEO. 3. Mark Sullivan will step down as president and CEO of Buffalo, N.Y.-based Catholic Health on Aug. 31. Joyce Markiewicz, RN, Catholic Health's executive vice president and chief business development officer, will succeed him. 4. James Dover is stepping down as president and CEO of Lansing, Mich.-based Sparrow Health. The system joined Ann Arbor-based University of Michigan Health in April, and Mr. Dover said the time is right for him to exit. 5. Mark Keroack, MD, will retire as CEO of Springfield, Mass.-based Baystate Health in July 2024. 6. Keith Page will retire as president and CEO of Maryville, Ill.-based Anderson Healthcare in February 2024. 7. Richard Vath, MD, will retire as president and CEO of Baton Rouge, La.-based Franciscan Missionaries of Our Lady Health System in June 2024. 8. David Keith will retire as CEO of Bartlett Regional Hospital in Juneau, Alaska. He will stay on for 90 days after interim CEO Nathan Rumsey takes the helm Aug. 25. Here seven CFOs who have been named CEOs on a permanent or interim basis since June: 1. Ashley Hindman was named CEO of two North Carolina hospitals that are part of Brentwood, Tenn.-based Duke LifePoint Healthcare. He joined the hospitals in November 2019 as CFO. 2. Harney District Hospital CFO Catherine White was named the interim CEO of the Burns, Ore.-based hospital. She could be a candidate to take over the role on a permanent basis. 3. Julie Lautt, CFO of Sioux Falls, S.D.-based Avera Health, was selected as the organization's interim president and CEO. 4. Driggs, Idaho-based Teton Valley Health has named Troy Christensen CEO. He served as CFO of neighboring Madison Memorial Hospital in Rexburg, Idaho, for the past decade. 5. Judy Fox was named president and CEO of Rutland (Vt.) Regional Medical Center. Ms. Fox has served the hospital for 33 years, beginning her career there with collections positions when she was still in college. Eventually, Ms. Fox became the hospital's CFO. 6. Powell (Wyo.) Valley Hospital promoted its CFO, Joy Coulston, to CEO. 7. Oneida (N.Y.) Health CFO Jeremiah Sweet is serving as interim CEO and president. Bartlett Regional Hospital in Juneau, Alaska, has named Nathan Rumsey acting CEO, more than two weeks after its CEO and CFO left their roles. David Keith, the hospital's former CEO, announced plans to retire on Aug. 1. CFO Sam Muse tendered his resignation six days prior. Mr. Rumsey, the hospital's current executive director of business development and strategy, will temporarily take the helm Aug. 21. He was elected unanimously by the board of directors, according to a news release from the hospital. Mr. Keith will provide support to the board and Mr. Rumsey through a 90-day transition period. Anthony Guaccio, president and CEO of Swedish Hospital in Evanston, Ill., will retire at the year's end. Mr. Guaccio has served the hospital's parent company, NorthShore University Health System now part of NorthShore Edward-Elmhurst Health for 27 years, according to a news release shared with Becker's. He has been on Swedish Hospital's leadership team since 1996, and was promoted to the helm in 2016. Jonathan Lind, the hospital's current chief operating officer, will become president Sept. 1. Mr. Guaccio will continue as CEO through the end of 2023. Curveballs can be notorious for keeping hitters off balance. The same can be said for metaphorical pitches in healthcare, and the only way forward for executives is to adapt. Leaders acknowledge that healthcare has expanded far beyond hospital walls and ensure their hospitals are doing everything possible to serve patients. At the same time, they also accept that what used to be a refuge their corner office has become a lonely place, and getting out to see and be seen by their teams plays a pivotal role in leadership. Becker's spoke with four CEOs about the curveballs they have had to bat away, their toughest challenges and what keeps them up at night. Editor's note: The following responses were edited for clarity and brevity. Question: What is the biggest leadership curveball you've faced? Chanda Chacon. President and CEO of Children's Hospital & Medical Center (Omaha, Neb.): Leading in itself is a curveball, and you have to be willing to reorder priorities to meet the unknown or unexpected challenges. Lou Fragoso. CEO at Children's Hospital New Orleans: The care we provide needs to expand beyond the walls of the hospital and into the community. It's important to work just as hard on well-care models in partnership with families and community organizations to make generational changes. Hospitals and healthcare systems should care for the whole community, otherwise we're just another business. Warren Geller. President and CEO of Englewood (N.J.) Health: Two significant, unforeseen challenges have left a lasting impression on me. I had the experience of operating within a large health system in New York during the 9/11 tragedy and aftermath. More recently, I ran a health system in Northern New Jersey during the COVID-19 pandemic. Jonathan Schiller. President and CEO of Garnet Health (Middletown, N.Y.): The increased pace of change and speed of decision-making are two factors that have been more prevalent over the past two years. Today, CEOs and their leadership teams are required to have a nimbler approach and more adaptive information analysis methods so that we can continue to execute effectively and efficiently. Q: What's the hardest lesson you've had to learn as CEO? CC: The hardest lesson I've learned is that things are often the way they are simply because someone or a team wants them to be that way. It's important to create a culture and environment that helps people see options outside of "the way we have always done it." LF: To truly make a difference and connect with your team, you should be open to celebrating and recognizing everything that happens not just the PR moments. When you do this, you understand the emotional exhaustion that our front-line caregivers face. It's just as important to create beautiful moments and memories for a family experiencing loss as when a child leaves the hospital whole and healthy. Talking about death and experiencing it with staff and families is as important as talking about the lives saved and the life-changing procedures performed. This is what our teams deal with every day. Best practice for me is leading away from the office and making meaningful connections on the units with teams and families. WG: Being a CEO can be isolating at times, as the position lacks the presence of peers within the organization's leadership structure. For me, this realization underscored the importance of building a strong relationship with the chair of our board of trustees, which in turn has become a cornerstone of my leadership. JS: Finding the right balance between encouraging others to learn from their mistakes and when to hold them accountable. Q: What keeps you up at night? CC: Creating a sense of sustainable urgency for the organization to thrive in this new normal, which requires agility and courage to meet financial and organizational success. LF: I spend time thinking about keeping our culture strong and morale high, especially with multiple varying generations as part of our team. I always aim to make sure the team feels appreciated, ensuring that everyone understands and believes they are all an integral part of the care team regardless of their rank, title or position. Every decision has to be made with team culture in mind, which means every decision is important. WG: It is always about access. What keeps me up at night is this question: Are we creating seamless access to affordable, efficient and effective care for all of our constituents? JS: The increase in mental health illness in our youth and adolescents. Q: What is something that would surprise people about you? CC: I am a learned extrovert. I was very shy as a youngster and chose to be more extroverted as a preteen. LF: I'm an 11-time Ironman. I have run across the Grand Canyon (there and back) five times and I'm an ultra runner preparing for a 100-mile race in Leadville, Colo., later this year. WG: I think it would surprise people to learn that I am a black belt in karate and ride a motorcycle. JS: I am humbled to be able to serve our organization and community and would do this job for free if I could. A record number of Americans are experiencing homelessness in 2023 amid rising housing costs and other societal challenges. The increase could put additional strain on the U.S. healthcare system and lead to worse patient outcomes if health systems do not take actions to improve the health of those experiencing homelessness. More than 577,000 people are experiencing homelessness in the U.S., marking an 11 percent increase from 2022, according to The Wall Street Journal's review of available data. This increase marks the largest jump seen since the federal government started tracking homelessness rates in 2007. The Journal's preliminary tally is based on a review of data from more than 300 organizations that track homelessness rates in various U.S. cities and states. These entities accounted for eight of every nine people experiencing homelessness last year, according to the report. The data are still preliminary, the Journal noted, adding that the U.S. Department of Housing and Urban Development is slated to share a final estimate by the end of the year. Research has long linked homelessness to poor health outcomes. A 2022 study published in JAMA Internal Medicine found older adults who remained homeless during the four-and-a-half year study period were 80 percent more likely to die than those who regained housing. In addition, many people who are homeless often use hospital emergency departments as their only source of healthcare. These utilization trends prove challenging for ED clinicians to provide adequate care, as many people experiencing homelessness "often have a multitude of diagnosed and undiagnosed health conditions, in addition to poor social circumstances," according to a study published in the International Journal of Emergency Medicine. Health systems are working to address homelessness through a variety of approaches and initiatives, including offering housing assistance, opening community clinics and partnering with social organizations. Donna Lynee, CEO of Denver Health, said one of the system's biggest areas for growth in the next three years is more integration with nonprofits and government agencies to better address homelessness, mental health and substance abuse. "These services are fragmented and coordination across these sectors can improve outcomes and reduce costs," she told Becker's in June. CMS is also aiming to better support hospitals in these endeavors. Earlier this month, the agency finalized plans to increase payments to hospitals for treating homeless patients. "As part of CMS' health equity goals, we are rewarding hospitals that deliver high-quality care to underserved populations and, for the first time, also recognizing the higher costs that hospitals incur when treating people experiencing homelessness," CMS Administrator Chiquita Brooks-LaSure said in an Aug. 1 news release. On the surface, gas stations and urgent care centers don't have a lot in common. But a deeper dive into their business models reveals striking similarities, Lou Ellen Horwitz, CEO of the Urgent Care Association, told KFF Health News. Both involve opening easy-to-find locations, catering to walk-in customers and accepting multiple payment methods, she said. That realization is exactly what led QuikTrip, a gas station and convenience store company in Tulsa, Okla., to venture into the primary care business. The company has opened 12 urgent care clinics in Tulsa since 2020 under its subsidiary MedWise. The clinics are not attached to gas stations and have a separate name, as leaders didn't want patients thinking they were receiving medical care from individuals who had just been handling gas pumps. MedWise's initial launch was supported by the high demand for COVID-19 testing during the pandemic, although patient visits have slowed since then. The company is still on track to grow, though. QuikTrip will open four more clinics in Northeastern Oklahoma in 2023 before expanding to other areas across the company's 17-state, 1,000-location footprint in the coming years. Brice Habeck, executive director of MedWise, said the company aims to secure customer loyalty in a competitive market by offering consistent service quality, even if patients see different clinicians each visit. Read the full article here. Mandated nurse-to-patient ratios now span the West Coast, from California to Oregon to Washington. Oregon Gov. Tina Kotek signed the state's mandate Aug. 16. Under the new law, hospitals will be required to establish staffing committees for nurses and professional, technical and service staff, with specific criteria. Hospitals that do not comply with their staffing plans can be penalized. Washington Gov. Jay Inslee inked a similar measure April 20, requiring hospitals to create internal staffing committees and maintain at least 80 percent compliance with their assigned nurse-patient ratio. California was the first state in the nation to mandate nurse staffing ratios two decades ago; results have been mixed, depending on whom you ask. Nurses say working conditions and patient outcomes have improved, bettering retention in the profession. Hospitals, meanwhile, say the ratios are ineffective and require money and resources they do not always have. Regardless, California has set the stage for a nationwide push toward nurse staffing laws. A number of states on the East Coast including New Jersey, Connecticut and Massachusetts are mulling similar regulations. Hikers in the French Alps have been cautioned by the authorities that they might face fines of hundred euros if they collect an excessive number of flowering plants while on their summer treks. This news emerged after police conducted a weeklong investigation last month, during which they seized hundreds of genepi sprigs and edelweiss flowers. According to local authorities, 20 hikers had been warned verbally and threatened with fines of up to 750 ($800+) if they continued to disobey the rules. Loss of Native Flowers Harms Biodiversity Despite the fact that not all mountain plants and flowers are legally protected, environmentalists warn that the widespread loss of indigenous flowers threatens the rich biodiversity that serves as the Savoie region's and France's natural heritage. Francois Ravier, the prefect of the Savoie area in the southeast, remarked, "Throughout the summer, state services maintain a strong presence at the many exceptional natural sites in the department. The preservation of biodiversity is an overriding national objective, which can be achieved through high-quality information for hikers and targeted controls," as The Guardian reported. Flowers from protected plants like lilies, cyclamen, and even arnica are illegal to collect in the Savoie. Flower harvesting from edelweiss plants is prohibited so that they may bloom and grow forever. The fragrant genepi herb, which is related to sage and may be used to make a potent liqueur, is legal for visitors to pick, but only up to 120 sprigs each day. Day-hikers are restricted to collecting no more than 20 stems of daffodils, narcissus, or carnations. Also Read: Global Water Temperatures Hit New Record High, Threatening Ocean Health Three Years of Low Yields For the second year in a row, officials in the Vosges have prohibited the commercial harvesting of arnica plants, which are commonly utilized by pharmaceutical businesses, owing to dryness in the area. The departmental council reported that harvests had been disappointing for three consecutive years. The lack of rain for nearly a month in the summer of 2023 is the main cause of poor blossoming. According to the guidelines in place for harvesting, no area is suitable for picking because sufficient flowering density is required. The Vosges mountains are the traditional source for around 75% of France's wild harvest of this flower, which is highly sought after for its anti-inflammatory effects and employed in creating capsules, oil, gel, and cream. For the sake of raising awareness, brochures detailing the numerous regulations imposed by Alpine locations are now being disseminated across the Savoie. Agents from the national forestry office, the national parks service, the biodiversity office, the military force, and local police are conducting these inspections. Helpless hikers who have no idea what they are permitted to pick have been urged to read the rules. Also Read: Alive After 46,000 Years: Worms Frozen by Siberian Permafrost Revived by International Team of Scientists @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Oz actress Danielle McDonald who returns as former police officer Helen Chambers in series 2 (BBC) Oz actress Danielle McDonald who returns as former police officer Helen Chambers in series 2 (BBC) Jamie Dornan in series 2 of BBC mystery series The Tourist which moves to Ireland for new episodes (BBC) Jamie Dornan in series 2 of BBC mystery series The Tourist which moves to Ireland for new episodes (BBC) The BBC has released its first look at Jamie Dornans highly anticipated return in the second series of mystery thriller The Tourist. The series follows amnesia-ridden car crash survivor Elliot (Dornan) who attempts to retrace his life before unravelling a larger mystery, all while trapped in Australias outback. The series will continue to follow Dornans character as he attempts to discover the deeper meaning of what happened to him. Shifting location, the series will pick up in Ireland. Filming took place in Dublin earlier this year. Oz actress Danielle Macdonald will return as Dornans hapless sidekick, probationary constable Helen Chambers. Posting the images on social media, the BBC said: Theyre back. Heres your first look at The Tourist series two with Jamie Dornan returning as Elliot Stanley, a man looking to rediscover his true identity following his memory loss, and Danielle Macdonald as former police officer Helen Chambers. Jamie Dornan in Profile The official series logline says Dornans Elliot and Helen will travel to Ireland to retrace his roots, but they soon find themselves in the crosshairs of a rivalry between the McDonnell family and the Cassidys as they get dragged into Elliots dangerous past life. Greg Larsen will also reprise his role as Ethan Krum. Those joining the production, which is set to last several months on the island, include Dornans co-star from The Fall and the movie Belfast Conor MacNeill. Fellow Irish actors Mark McKenna, Francis Magee, Diarmaid Murtagh and Olwen Fouere also are set to appear. Jamie Dornan in series 2 of BBC mystery series The Tourist which moves to Ireland for new episodes (BBC) Last week, the Fifty Shades star, who was born in Holywood and can be currently seen in Netflixs action flick Heart of Stone alongside Wonder Woman star Gal Gadot, said filming in Ireland is more craic. "I probably shouldn't say this, but it is just more craic in Ireland, he said. "I like to have fun at work - I think you do your best work when you're relaxed. And there's nothing more relaxing than being around people who understand your humour, understand your accent, and fall in line with your sort of ethos. And for me, obviously, because I'm from there, it only happens on that island. The Tourist was a smash hit for the BBC when it dropped on the BBC iPlayer in January 2022 becoming one of the platforms most watched box sets of all time. Series two will likely hit screens in 2024. The brother of a Line of Duty star has hit out at the lack of acting jobs for people with Downs syndrome. Tommy Jessop (38) starred in the fifth and sixth series of the BBC drama, which was filmed in Belfast and pulled in audiences of 15 million. He played Terry Boyle, but after filming wrapped in 2021 he was unable to find other roles. Read more Belfast model blazing a trail for people with Down syndrome ahead of home fashion show Tommy became the first actor with Downs syndrome to star in a major BBC1 drama when he appeared in Coming Down the Mountain in 2007. His film-maker brother Will (40) feels Tommy has missed out on job opportunities because of his condition. The roles that come through [feel] typecast victims, people in hospital beds, people who need support, he told The Times. When he was in Line of Duty, it felt as if that was an incredible breakthrough. Tommy was at the centre of all the attention. Were desperate to live in a world where he no longer has to be the first, where it becomes routine that other people [with Downs syndrome] have the opportunities. Read more Adrian Dunbar joins Line of Duty colleagues to toast Vicky McClures marriage to Jonathan Owen Christopher Copper, of the Foyle Down Syndrome Trust, echoed his call. Theres definitely not many opportunities for young people with learning disabilities who want to act, he said. Its definitely an opportunity that should be there for them if thats what they want to do. Mr Copper praised the work of Belfast actor James Martin in the Oscar-winning short film An Irish Goodbye. If you look at [his] success, he shows us that people with Downs syndrome have all the skills and attributes to be brilliant actors, he said. The opportunity should be there so we can see what talent is out there. Despite the lack of acting roles, Tommy has been busy. Inspired by his struggle to find opportunities, the brothers decided to film a documentary. It follows Tommy on a trip to Hollywood where he pitches his idea for a superhero movie he could star in. The documentary is titled Tommy Jessop Goes to Hollywood and is the brothers third collaboration. They made two earlier documentaries together, Tommys Story in 2007 and Growing Up Downs in 2014. Tommy Jessop Goes to Hollywood will be shown on BBC1 on August 21. It tracks the actors attempts to challenge preconceptions by enlisting high-profile co-stars and learning the skills required to become an action movie hero. Tommy is excited for audiences to get an insight into the world of Hollywood as a Downs syndrome actor. He said: It has been wicked having a camera and being able to tell my own story for the first time. A new clip of Liam Neesons latest film shows the Ballymena actor racing against time to defuse a bomb. The clip from Retribution, which is to be released in the autumn, was shared exclusively with People Magazine. The Sky Original film sees Neeson in peril as Berlin-based American businessman Matt Turner, who races against the clock after receiving a mysterious phone call that a bomb has been placed under his car. As Neesons character, Matt, tries to figure out whats going on in the tense clip, his children look on from the back of the car. Meanwhile, the caller from the other car and his wife become more and more panicked. As Matt promises the couple they will be okay, he receives an ominous phone call that tells him not to make promises he cant keep. The official plot description reads: Trapped in their car in a high-speed chase across the city, Matt must follow the strangers increasingly dangerous instructions in a race against time to protect his family and solve the mystery that plays out over the course of one day. Retribution will see the actor star alongside Noma Dumezweni (The Little Mermaid) and Lilly Aspell (Wonder Woman: 1984). Jack Champion, who was recently seen in Avatar: The Way of Water, as well as Embeth Davidtz (The Amazing Spider-Man 2) and Matthew Modine (Stranger Things) will also feature in the action flick. Retribution is directed by Nimrod Antal, written by Alberto Marini, with a screenplay by Christopher Salmanpour. It is based on the 2015 Spanish-French film El Desconocido. The film will be released on Sky Cinema, on streaming service Now and in cinemas this autumn. Neeson just announced he will be joining fellow Irish Oscar-nominee Kerry Condon (The Banshees of Inisherin) in a new film called In The Land of Saints and Sinners. Alongside Retribution, Neeson has a busy slate for 2023. He will also be seen in the action film Thug alongside Ron Pearlman, thriller Cold Storage and is currently filming political journalism thriller Charlie Johnson in the Flames. Game of Thrones (GOT) actor Darren Kent has passed away at the age of 36, on Friday, August 11. Kent starred in a 2014 episode of the popular series, where he played a grieving father - Goatherd - taking his dead child to Daenerys Targaryen. Game of Thrones have experienced devastating blows, with significant losses both on-screen and off-screen. Here are all the stars who have died since starring in the successful series. Darren Kent (Goatherd) He made an appearance in Game Of Thrones in 2014 as Goatherd, a sad father who was taking his deceased child to visit Emilia Clarke's Daenerys Targaryen, Mother Of Dragons. He has had TV appearances in EastEnders, the Les Miserables TV miniseries, the most current ITV drama Malpractice, and he made a fleeting appearance in the recently released Dungeons & Dragons: Honour Among Thieves movie. Kents death was announced by his agency, Carey Dodd Associates, who wrote: It is with deep sadness we have to tell you that our dear friend and client Darren Kent passed away peacefully on Friday. His parents and best friend by his side. Our thoughts and love are with his family in this difficult time. RIP my friend. Kent suffered with osteoporosis, arthritis and an extremely rare skin disorder in his early career. No official cause of death was given. Max von Sydow (Three-Eyed Raven) The Swedish-French actor who played the Three-Eyed Raven in the GOT series died on March 8, 2020 at his home in Provence, France at age 90. As well as the popular series, Max Von Sydow starred in 11 films directed by Ingmar Bergman, typically playing a tormented protagonist. In Pelle the Conqueror (1987), the imposing von Sydow played a Swedish father who immigrates to Denmark in order to better himself and his son's life. This role earned von Sydow a nomination for best actor at the Academy Awards, which is unusual for a performance in a non-English language film. The gloomy drama, directed by Bille August, took both the foreign-language Oscar and the Palme d'Or at Cannes. Diana Rigg (Olenna Tyrell) Diana Rigg who played the feisty Olenna Tyrell, matriarch of House Tyrell passed away on September 10, 2020, after being diagnosed with cancer in March the same year. She played Emma Peel in The Avengers (19651968), Countess Teresa di Vicenzo, James Bond's wife in On Her Majesty's Secret Service (1969), Olenna Tyrell in Game of Thrones (20132017), and Medea's main role in the West End and Broadway productions of the play in 1993 and 1994, respectively. Peter Vaughan (Maester Aemon) Peter Vaughan was the actor behind Maester Aemon, who died on December 6, 2016 of natural causes. Other than GOT, he is perhaps best known for his role as Grouty in the sitcom Porridge and its 1979 film adaptation. Neil Fingleton (Mag the Mighty) Neil Fingleton died on February 25, 2017, at the age of 36, at his home in Gilesgate. The cause of death has been reported as heart failure. He portrayed Mag the Mighty, a large wildling, in Game of Thrones. Other actors: A Co Down man who was recorded on video kicking his wife while she was on the ground was spared a jail sentence on Wednesday A Co Down man who was recorded on video kicking his wife while she was on the ground was spared a jail sentence today. Newtownards man Ryan McCullough also claimed to police he was in the UFF and shouted to his wife she was a fenian b**** as he was arrested, a court heard. A prosecuting lawyer told the towns Magistrates Court how police were called to a report of an ongoing domestic incident on April 6, this year. When they arrived at the scene, the victims daughter showed officers a video of McCullough kicking his wife as she lay on the ground in the family home. Officers went inside and arrested the 47-year-old man. The court heard that when McCullough was arrested he told officers: Aye dead on, work away, Im UFF. As he was being taken to the police vehicle, he shouted Fenian b**** at his own wife. McCullough, from Inisharoan Court in Newtownards, later pleaded guilty to assault and disorderly behaviour. Defence counsel Conor Holmes highlighted that McCullough had served some time on remand before he was granted bail, adding that although the video was not clear, he had entered guilty pleas on the basis that he kicked his wife who he is still with. District Judge Mark Hamill imposed a combination order of two years on McCullough comprising probation and 60 hours of community service. Mr Hamill told McCullough: I would think []the video] would cause some form of embarrassment do not be doing anything like this again. At a previous bail hearing at Belfast Magistrates Court in April, District Judge John Meehan said: This is an appalling case. On one hand it would not have come to the attention of authorities if it were not for neighbours calling them in. So often these savage attacks within the confines of domestic dwellings just go unreported and unknown, yet we all know that it is rampant, brutal and savage. During that hearing the court heard that when interviewed by police, McCullough claimed to have no memory of the incident due to the volume of alcohol he had consumed. The cost of paid school dinners may increase under new measures An increase to the price of paid school means and the cost of home-to-school transport are just some of the measures reportedly proposed to tackle the ongoing financial crisis. According to the BBC, parents may have to pay more for the facilities in the new measures, which are also reported to include increases to housing executive rents, MOT and driving tests, as well as street parking costs. Previous plans to make hospital parking free are also reportedly set to be ditched. The new measures join previous possibilities put forward including water charges, and an increase to bus and rail fares. The proposed increases come as departments in Stormont seek to cut costs. Reacting to the potential increase, SDLP MLA Matthew OToole said families cannot be subjected to the measures. The proposals reported today are a continuation of the Secretary of States attempt to force the DUP back into Stormont by threatening to heap misery on families that are already under an incredible amount of financial pressure, he said. "While I hope and believe that many of the measures suggested will never come to fruition, they will no doubt cause concern in many households who are already struggling to make ends meet. We will not correct years of financial mismanagement at Stormont and the legacy of Tory austerity by charging families more for school meals or for transport to get their children to school in the first place. "The revenue raising plans brought forward show little cohesion or strategy, with the financial benefit of many of them being negligible, likely making a small impact to the current budget deficit. Mr OToole added that if revenue raising measures are going to be implemented, then it must be done in the right way. "Our Triple Lock plan would allow us to protect public services, get ourselves back on a sound financial footing, make the most of any investment coming our way, all while ensuring that we dont end up back in this same position in future, he stated. "Piecemeal cuts and price increases to vital services is only going to make things more difficult for those that can least afford it. Northern Ireland has been without a functioning Executive for over a year after former DUP First Minister Paul Givan resigned over his partys issues with the trading agreement between the UK and the EU. In February, Prime Minister Rishi Sunak unveiled the Windsor Framework in a bid to address the DUPs concerns, however some within the party still do not consider the agreement to be viable. Providing free hospital parking was previously introduced under the Hospital Charges Act, which would have allowed those visiting patients in hospital, alongside staff to park at hospitals for free. The incident is being treated as a sectarian hate crime, police have confirmed SDLP leader Colum Eastwood has condemned the placing of poppy wreaths and flags on a controversial anti-internment bonfire in Derry. The Foyle MP said it was a deliberate attempt to hurt people in the community. The incident is being treated as a sectarian hate crime, police have confirmed. Hundreds gathered at the bonfire in the Creggan area of the city on Tuesday evening. Its understood that when the pyre on waste ground was lit, poppy wreaths, a King Charles Coronation flag and UVF flag were all placed on top. A TUV election poster was also believed to have been burned on the pyre. It came after bonfire material was removed from the site last week. Police and other contractors were pictured at the bonfire site where material had been gathered for a number of weeks on a large green space close to the homes of residents in the area. The land at Galliaghs Linear Park is owned by the Department for Communities which confirmed the material had been removed for public safety reasons. Anti-internment bonfires stemmed from the first anniversary of the governments introduction of its internment without trial policy in 1971, with pyres lit to mark the occasion in some republican areas. Mr Eastwood said it does not matter where it happens or who is behind it the bonfire this kind of deliberate attempt to hurt people in our community, to degrade their identity is totally wrong. "Whether its people singing about the IRA, or bandsmen insulting the Pope or kids burning election posters and symbols of peoples culture and identity on all sides of our community, it is unacceptable and it shouldnt be happening, he continued. We need to get better at understanding each other and at preventing deliberately provocative acts designed to hurt the people we share our city and our island with. The DUPs Gary Middleton condemned the incident and said there could be no place for the burning of flags or emblems on any bonfire. The Foyle MLA added: It is particularly disappointing that poppy wreaths were disrespected in this instance, given they represent the service and sacrifice of people from all backgrounds and traditions. I share the hurt felt by many people when they see such symbols destroyed and I understand that this is shared across the community when other flags and emblems are burned. We need move away from such examples disrespect and deliberate offence. A PSNI spokesperson said: Police received a report today, Wednesday, in relation to material placed on a bonfire in Galliagh last night. This is being treated as a sectarian hate incident, and enquiries are being made. Police are also aware of the provocative display of material, including signs and poppy wreaths, on bonfires in Galliagh and Creggan in Derry/Londonderry last night, August 15, which will be treated as hate crimes and investigated. The funeral of Mairead Doherty, who died in a car accident on Derrys Culmore Road at the weekend, takes place at St Columbas church, Longtower. Picture: Martin McKeown. The funeral of Mairead Doherty, who died in a car accident on Derrys Culmore Road at the weekend, takes place at St Columbas church, Longtower. Mourners at the funeral Mass for a mother-of-one killed in a road crash have heard how she was a strong-willed woman whose family have been left with broken hearts. Mairead Doherty, who was in her 30s, died after she was involved in a single-vehicle crash on the Culmore Road in Londonderry in the early hours of Sunday. Three other people, including her partner, were taken to hospital. The funeral for Ms Doherty, who was from the Bogside, took place on Wednesday morning at St Columbas Church before interment in the City Cemetery. Mairead Doherty died on Sunday Opening the service, Father Gerard Mongan paid tribute to Ms Dohertys parents and siblings, saying her life was a gift as she brought happiness to so many people. He also said paid tribute to Ms Dohertys partner Ciaran Lynch, who remains in intensive care. Read more Woman dies and three injured in Derry crash We come here today for the life and the unexpected death of Mairead. It is a solemn and sad occasion here today, said Fr Mongan. The funeral of Mairead Doherty, who died in a car accident on Derrys Culmore Road at the weekend, takes place at St Columbas church, Longtower. Picture: Martin McKeown. Almost every morning when we turn on our radios, we in these parts hear of someone who has been tragically taken from family and friends the previous evening. That may happen in Kerry, Antrim or Donegal, or the A5, the list goes on. Most of us, for a fleeting few seconds, think thats terribly sad and sorrowful for a family getting that news, and then life goes on. But it is only when its someone we ourselves know, when we get the news of that death, the true impact takes effect in our lives. He added: The reality is, Maireads family had no time to prepare, to sit at a hospital bed and to say how much they loved her. They had no time to prepare for the news of her death, which was sudden and devastating. There was unrelenting pain and suffering. Her family summed it up in a few words given to me by her father: I carried her as a baby into our home in 1991 with new happiness a parent could feel, and on Monday I carried her coffin into our house with the heaviest heart Ive ever known. Flowers left at the funeral of Mairead Doherty. Mourners also heard how St Columbas was where Ms Doherty was baptised. Mairead entered the life of the Church in this church. The day of her baptism was very special, a day fit for royalty, Fr Mongan said. It was her set on a Christian journey, and then as her father said, he watched her grow into a strong-willed girl, and a strong mother, partner and sister. Read more Inquest into death of schoolboy who was found dead after music festival announced She went to a local school, St Cecilias, and went on to do many things in life. This is a tremendous loss, not only for family and friends, but to many people. She was a fountain of happy memories, which her family can carry into the coming days and years ahead. Death is not the end, she has now entered into a journey and a union with God. Shortly after Ms Dohertys death was confirmed, a Feile in the Square event due to take place on Tuesday was cancelled Her family requested donations in lieu of flowers to Road Safe NI. Following the bloodiest year for pedestrians in Los Angeles in decades, state legislators have overturned jaywalking rules amid the city's efforts to decrease these fatalities. However, a prominent prosecutor has questioned the new regulations' efficacy in terms of life-saving. New Jaywalking Regulation Causes Controversy According to Fox News, the Los Angeles Police Department (LAPD) reports that the city is on course to witness a decrease in pedestrian deaths this year compared to 2022 when they reached a 20-year high. Still, suspected jaywalkers accounted for roughly 75% of the fatalities. Deputy District Attorney John McKinney has described the tragic results as "predictable outcomes." Out of the 26 pedestrian deaths in Los Angeles until August 7, McKinney stated, "The new law ... has already led to 19 fatalities due to unsafe crossings. California's pedestrian fatality rate is [already] 25% above the national average." According to McKinney, the new jaywalking ordinance is an example of "equity run amok." He has been highly dismissive of soft-on-crime policies in general in his bid to defeat District Attorney George Gascon in the forthcoming 2024 race. McKinney said that the reason lawmakers legalized jaywalking was to decrease police interaction with the public. The new law's proponents said that it would make it harder for police to target minorities in their stops and searches. Minimizing traffic laws has predictably led to an increase in pedestrian fatalities. James Gallagher, head of the Republican Party in the California State Assembly, told Fox News that legalizing jaywalking is a display of California Democrats' "reckless disregard for public safety." He added that the measure endangered Californians in the name of a radical program to abolish legal repercussions. FOX 11 reports that Democratic legislators had a role in the removal of jaywalking restrictions due to concerns that the rules disproportionately affected low-income and minority neighborhoods. "It should not be a criminal offense to safely cross the street," Phil Ting, a Democrat from San Francisco's state assembly, told the publication a year ago. Also Read: California Democrats Plan To Criminalize Healthcare Providers for Reporting Victims of Abuse to Police The Bloodiest Year for LA Pedestrians Los Angeles had just come off its worst year for pedestrians in two decades, according to LAPD figures examined by Streets Are For Everyone (SAFE), a local charity. The poll occurred when the state's new Freedom to Walk Act legalized most cases of jaywalking in the state in January. Earlier this year, SAFE head Damian Kevitt claimed that 159 pedestrians died in the city in 2022. The sum was 20% higher than in 2021. The study indicates that both overall traffic deaths and bike fatalities climbed from 2021 totals, marking the first time this has happened since 2003. SAFE reports that the majority of pedestrians killed in road accidents are those living on the streets. They have a 40.2 times higher risk of dying in a fatal vehicle accident than the general population. Also Read: Nordstrom Robbery: Mob-Style Stealing at California Store Caught on Cam @ 2023 HNGN, All rights reserved. Do not reproduce without permission. PSNI hasnt acted to remove online document listing details of 11 staff despite being informed of its existence by the Belfast Telegraph earlier this week The names are still available online, but the PSNI has not acted to have them removed. Photo: Annette Riedl/picture alliance/Getty The full names of police officers, some of them involved in intelligence and the serious crime unit, have remained on the internet two days after the Belfast Telegraph drew the PSNIs attention to yet another data breach. A person passes an out of service ATM at a Bank of Ireland branch (PA) Politicians have criticised Bank of Ireland after its online services crashed on Tuesday, leading to people withdrawing or transferring more money than they had in their accounts. Elected representatives have also drawn attention to massive profits being made by Bank of Ireland more than one billion euro in the first half of this year and called for greater reinvestment in its IT systems. Minister for Finance Michael McGrath has asked Bank of Ireland and the Central Bank to look into the outage. Bank of Ireland (BOI) has sincerely apologised to its customers for the disruption caused by a technical issue. The Central Bank said it sets out to get a full account of outages whenever they occur in the financial system. It said: We expect this process will include understanding the root cause of the recent service disruption and what BOI is doing to avoid further disruption for BOI customers. Where issues occur which impact on customers we expect banks to rectify the issues urgently. We require banks to put things right where they have made errors or cause customer harm. Fianna Fail MEP Billy Kelleher said that Irish banks were taking its customers for granted while Sinn Feins finance spokesman Pearse Doherty said the incident would inflict lasting reputational damage on the bank. Mr Doherty also asked questions about why gardai were deployed to ATMs as people queued up to try and withdraw sums above what they had in their bank accounts. This is the latest in a series of IT failures at Bank of Ireland that have impacted its customers, he said. Citizens and businesses are reliant on the proper functioning of technology systems to deliver financial services. What is required is a full investigation into what has taken place, and a wider review of the IT systems that our banking sector operates, and which our communities and businesses rely on. Other questions need to be answered including whether Bank of Ireland made contact with An Garda Siochana to deploy resources to local ATMs, and if there was any awareness at Government level over these deployments. He added: Sinn Fein, citizens and customers will rightly ask why these exceptional profits are not being invested in areas that improve customer services. This is a bank that this Government allows to reduce the corporation tax it pays to nil by carrying forward historic losses. These types of IT failures have become a regular occurrence it is not acceptable. This latest debacle, which will inflict lasting reputational damage on Bank of Ireland, raises serious questions over its investment in its own systems and customer service at a time when its profit margins have soared. Mr Kelleher, a member of the Economic and Monetary Affairs (ECON) Committee, said it was not acceptable that customers could not access their accounts on Tuesday. He called for an investigation into the debacle and said the Central Bank needed to up its game and start actively protecting Irish consumers in this sort of climate. He also said that it illustrated a failure of Irish banks to invest properly in ICT, or information and communications technology. Mr Kelleher said: What is abundantly clear is that Irish banks have not invested in ICT and customer-focused IT solutions. For example, we are still without instant transfers between Irish bank accounts meaning Irish customers must rely on non-Irish banking services such as Revolut. Our banks are making massive profits. While I have called for a windfall tax on these profits, the banks should also be heavily investing in their customer service systems to catch up with the services being provided by non-Irish banks. The Irish banking sector is taking Irish customers for granted. They have a near monopoly with little or no hope of another big, high street bank moving into the Irish market. Massive technology break-down allowed customers with no money in accounts to access fundsIssues affected customers in Northern IrelandBank said this morning that the mobile app and 365online are now working again Bank of Ireland has apologised for the disruption. Photo: Liam McBurney/PA Wire Bank of Irelands mobile app and 365online services have been restored after a massive technology break-down allowed customers who have no money in their accounts to get access to funds. This prompted huge queues at ATMs around the Republic of Ireland last night, with people mistakenly believing they were getting access to free cash. In some towns the queues were so big gardai had to control them. The issues also affected customers in Northern Ireland, but the bank said "we didnt have similar reports of customers using Northern Ireland ATMs late last night". Queues at Bank of Ireland ATMs as customers with no money withdraw up to 1,000 in cash Bank of Ireland said this morning its mobile app and 365online have now been restored following the blunder as it apologised to customers. It said: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. "These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. However, the incident is a massive embarrassment for the bank. The fault with the online app allowed people who have no money in their account to transfer up to 500 into a Revolut account. Some people claimed they were able to get access to 1,000, but the bank insisted the daily withdrawal limit is 500. Once people use their Bank of Ireland app to transfer the funds to Revolut they could then withdraw the cash from the Revoult account through any ATM. Huge queues at ATMs in Dublin, Limerick, Dundalk and other parts of the country were reported yesterday evening as people took advantage of the screw-up to withdraw cash from their Revolut accounts. There were reports in Dundalk of gardai having to control crowds at ATMs in the town. The frenzied withdrawal of cash was despite warnings on social media that there is no such thing as free cash and the money will have to be repaid. The glitch comes after a day of IT problems for the bank, that reported half-year profits of more than 1bn last month. Bank of Ireland insisted that any money taken from accounts where there are no funds will show up as a debit, and essentially be treated as an unauthorised overdraft. In June there was another IT outage at Bank of Ireland but it did not result in people getting access to funds they did not have in their accounts. It is understood a probe will now be conducted into the latest IT blunder, with the Central Bank expected to be involved. The bank said: We are working on a technical issue that is impacting a number of our services including our mobile app and 365Online. We are working to fix this as quickly as possible and apologise to customers for any inconvenience caused. We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn. It was the second time the bank issued a statement. Yesterday afternoon it apologised after it was hit with unexpected disruption to its mobile app and online services. A couple who got engaged on a Ryanair plane have been trolled on social media after tagging the famously cheeky low-cost carrier in an online post sharing their news. Dublin-based Lee shared a picture of himself and his new fiancee with the caption: Proposed to Leah on a @Ryanair flight with her family there. Luckily she said yes. The social media team sprang into action on X, the platform previously known as Twitter, and shared the post with the comment: Leah, blink twice for random seat allocation. Many people took aim at the airline, which is well-known for charging passengers from an exhaustive list of add-ons, including seat allocation, with one user writing: Surprised you havent got an engagement fee in place. Another asked the happy couple if the Irish operator charged them extra, to which Ryanair jokingly replied: Proposal fee. One person went as far as asking No free champagne for the beautiful couple? to which Ryanair said: Are you new here. According to Lees reply to a comment, he chose to do it on the plane as he and his partner both love travelling so it made sense to do it like that seeing as it would be while doing something we love doing. Ryanair has a history of sharp social media interactions. Earlier this year, one couple found themselves in the crosshairs of Ryanairs then-Twitter account after a complaint about the lack of window beside their seats. In its typically sarcastic reply, the carrier quote-tweeted the message and replied: Shes regretting marrying someone who cant read the fine print. And in March, Ryanair light-heartedly trolled a passenger who appeared to be complaining about the limited legroom on his flight. This week, the frill-less operator was criticised by an elderly couple after the pair were charged 110 to print a boarding pass after a mistake while checking in. North Korea has offered its first official confirmation that it has detained a US soldier who bolted into the country last month, releasing a statement through its propaganda outlet attributing unverified statements to the army private that criticised the United States. One expert called the announcement 100% North Korean propaganda. There was no immediate verification that Private Travis King actually made any of the comments about his home country. Pte King, who had served in South Korea and sprinted into the North while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. The official Korean Central News Agency (KCNA), citing an investigation, reported that Pte King told them he decided to enter North Korea because he harboured ill feelings against inhuman mistreatment and racial discrimination within the US army. The report said Pte King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda outlet and an official voice of leader Kim Jong Uns government, and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. The report said North Koreas investigation into Pte Kings illegal entry would continue. Verifying the authenticity of the comments attributed to Pte King in North Koreas state media is impossible. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A US Defence Department official said the US had no way to verify North Koreas claims about Pte King. The official said the Pentagon was working through all available channels to bring Pte King back to the US. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the US. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defence Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean embassy in London before his defection in 2016, speculated that North Korea might release Pte King eventually because it did not immediately express its intentions of accepting Pte King as a refugee in the North and spoke about a third country resettlement. Mr Tae, now a legislator in South Korea, also cited North Koreas description of Pte King as an illegal entrant, rather than someone who voluntarily entered the North. A TV screen shows an image of Travis King during a news programme at Seoul railway station in South Korea (Ahn Young-joon/AP) Mr Tae earlier said North Korea would be reluctant to hold a low-ranked soldier such as Pte King for long because he will not provide it with high-profile US intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie Pte Kings release to the US cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of US-South Korean military drills. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military co-operation on ballistic missile defence in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to US officials. On Tuesday, North Korea slammed US-led plans for an open UN Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean vice foreign minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Mr Kim accused the US of fostering racial discrimination, gun-related crimes, child maltreatment and forced labour. A TV screen shows a file image of American soldier Travis King during a news programme at Seoul railway station in South Korea (Ahn Young-joon/AP) Pte King, 23, was among about 28,000 US troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. US officials say he has been declared Awol, which can be punished by confinement in the brig, forfeiture of pay or dishonourable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the Covid-19 pandemic. David Campbell: Its now time that we compensated people of Omagh with cash seized from Libya A close confidant of David Trimble recalls the trauma of 25 years ago, and says the UK Government must do the right thing for victims of the bombing The aftermath of the Omagh bombing in August 1998 David Campbell Wed 16 Aug 2023 at 21:55 Sean Fox was gunned down while drinking in the Donegal Celtic Social Club in west Belfast in front of more than 100 people in October last year. The 42-year-old was shot dead by two gunmen who took just 21 seconds to single him out of the crowd. It followed a series of murders carried out in west Belfast linked to drug-dealing and the so-called Marbella Crew. Security sources suspected one gunman was involved in all four murders, sometimes accompanied but also working alone. Who was responsible for carrying out these killings and why? Ciaran Dunbar is joined by Belfast Telegraph security correspondent Allison Morris. This podcast was originally released on 10th October 2022. People are seen in front of the main office of the state-owned Sonali Bank, in the Motisheel Commercial Area of Dhaka, Aug. 16, 2023. Bangladeshs Sonali Bank has frozen accounts of two Myanmar state-owned banks due to U.S. sanctions against them, its chief executive officer said Wednesday. Confirmation of the action came after the United States Embassy in Dhaka sent a letter to the government requesting that Bangladesh comply with such sanctions, which was then forwarded to the Bangladeshi state-owned bank, according to documents seen by BenarNews. But Md. Afzal Karim, Sonali Banks chief executive officer and managing director, said action had already been taken against the accounts of Myanma Foreign Trade Bank and Myanma Investment and Commercial Bank. He did not say exactly when. We have already frozen the accounts of the two banks due to the OFAC sanction, Karim told BenarNews on Wednesday, referring to the Office of Foreign Assets Control, an agency under the U.S. Treasury Department that enforces sanctions. Karim said the two Myanmar banks had total deposits of U.S. $1.1 million in Sonali Bank. This money cannot be transacted [on], he said. For more than a month, the accounts of the two banks [in Sonali Bank] are not being used for any transactions. Karim said that after Sonali Bank had frozen the accounts, the Myanmar junta had requested Bangladesh to make the accounts available for transaction. We were requested by Myanmar to open the account. However, it will not be possible to open until the sanction is lifted, Karim said. He said he was relieved that Sonali Bank did not have a large amount of funds in accounts in the two sanctioned Myanmar banks. We dont have much money there. One bank has 17,000 euros, another has [200,000] dollars, he said. They have more money with us. In June, Washington announced its sanctions against three entities, including the two banks controlled by the Burmese military, which overthrew an elected government in February 2021. The U.S. Treasury said the two banks facilitate much of the foreign currency exchange within Burma and enable transactions between the military regime and foreign markets, including for the purchase and import of arms and related materiel. Since the military coup, the Burmese junta has cracked down on mass protests, killed nearly 4,000 people and arrested thousands more, according to human rights groups. The United Nations said more than 1.8 million people had been forced to flee their homes in Myanmar because of violence since the coup. The United States, in a letter to the Bangladesh foreign ministry dated Aug. 3, reminded it of the sanctions on the two Myanmar banks and urged Dhaka to take appropriate action. The ministry then sent a letter to Sonali Bank, the Ministry of Finance and the Central Bank of Bangladesh informing them about the U.S. embassy letter. On June 21, we imposed sanctions on three entities in response to atrocities and other abuses that the regime committed against the people of Burma, according to an excerpt from the embassys letter. These designations reinforced our objectives of denying the regime access to foreign currency and further preventing the regime from purchasing arms that could be used to commit atrocities and other abuses. BenarNews contacted the U.S. embassy in Dhaka for details but did not immediately hear back. Bangladesh-Myanmar trade is small. The South Asian country mainly exports potatoes, biscuits and plastic products to Myanmar, and imports items such as wood, frozen fish, ginger and onions. In fiscal year 2022, Bangladesh imported goods worth around $128.5 million from Myanmar, its next-door neighbor, and exported items worth $3.9 million to Myanmar. The U.S. sanction on the two Myanmar banks that have accounts in Sonali Bank should not be a financial burden on Bangladesh, said Syed Mahbubur Rahman, managing director of Mutual Trust Bank. Since Bangladesh does not have a large amount of business with Myanmar, there will not be a significant bottleneck due to this reason, he told BenarNews. There is no reason to worry about it. Papua New Guineas police chief has told officers to use lethal force to quell violence in Enga province, where one of the worlds largest gold producers and its Chinese partner plan to reopen a mine with a long record of human rights abuses. The directive in an Aug. 12 memo from Commissioner of Police David Manning, which has been seen by BenarNews, is in response to reports of escalating violence in Enga, a remote highlands region prone to tribal conflicts, but also applies across the Pacific island country, it said. There has been an increasing number of reports of the Enga population being armed with firearms and offensive weapons, resulting in the escalation of violent criminal activities, the document said. The directive also applies to similar incidents and operations throughout the country, with the aim of ensuring that those who possess firearms no longer pose a threat to law abiding citizens and vulnerable communities. Canadas Barrick Gold Corp., which part owns the Porgera gold mine in Enga province along with Chinas Zijin Mining Group, earlier this month said it hopes to resume operations at the mine before the end of 2023 after a hiatus of several years. Mining Minister Ano Pala said at a forum in July on reopening the mine that maintenance of law and order is critical to its operations, according to Barricks statement. Papua New Guinea has one police officer for about every 1,800 people, nearly four times less than the level recommended by the United Nations to ensure law and order, according to a Griffith Asia Institute report published this year by researcher Sean Jacobs. The research estimated the ratio of police to people has declined substantially in the past half century as Papua New Guineas population tripled to more than nine million. Stability for the country, which gained its independence from Australia in 1975, has remained elusive as it grapples with tribal violence and challenges such as corruption and lack of roads and basic healthcare in many regions. This undated photo shows an open pit gold mine thats part of the Porgera mine in Papua New Guineas Enga Province. [Porgera Joint Venture] Manning, in a statement on Tuesday, said his shoot-to-kill directive has the support of the government and police officers have been given clear direction on when its use is appropriate. In simple terms, if a person is brandishing a gun, an explosive device, or other weapon such [as] a bush knife or catapult, force will be escalated to protect the public and the police, he said. Domestic terrorists and other criminals have now been given more than fair warning and they can expect no tolerance, Manning said. Papua New Guineas police union earlier this month said the force suffers low morale, poor equipment and run down uninhabitable barracks and needs an urgent injection of funds. Let us give priority to the welfare of our police personnel and then equip them adequately with appropriate gear, arms, appropriate allowances, transport, accommodation and then deploy them to the regions of crisis, said Lowa Tambua, president of the Police Association. Opened in 1990, the Porgera mine, about 600 kilometers (373 miles) northwest of the capital Port Moresby, has been a source of conflict for years. Barrick and Zijin Mining agreed in 2021 to halve their combined stake in the mine to just under 50% following the Papua New Guinea governments refusal to renew Porgeras license. Local interests such as the provincial government and traditional landowners are now the majority owners. In 2011, a Human Rights Watch report exposed gang rapes and other violent abuses by security guards at the mine. Under pressure later that decade, Barrick in 2017 commissioned a nonprofit organization to make recommendations for addressing more than 900 human rights abuse cases linked to Porgera. Alec Baldwin may be charged again with the shooting that occurred on the movie set of Rust if a second investigation of the gun in question concludes that he fired it. 'Rust' Shooting Case Baldwin has always claimed that the October 2021 shooting death of cinematographer Halyna Hutchins, age 42, and the injury of director Joel Souza, age 32, on the set in New Mexico were not his doing. In April, he was cleared of involuntary manslaughter charges because prosecutors said the weapon may have been altered before the incident and malfunctioned. But, the prosecution had a fresh examination of the firearm used. Special prosecutors Kari Morrissey and Jason Lewis of Santa Fe County were given the results of a new firearms analysis study on August 2, indicating that the weapon had not been altered. Analysis of the Colt.45 prop revolver, cartridges, and video footage were included in the report written by weapons specialist Lucien C. Haag of Carefree, Arizona, and forensic science consultant Michael G. Haag of Albuquerque, New Mexico. After the Federal Bureau of Investigation's study, the pistol was damaged, but the specialists fixed it and confirmed that it worked as intended. See Also: Prosecutors Dropped Charges Against Alec Baldwin Over the Fatal 'Rust' Shooting of Cinematographer Halyna Hutchins Follow-up Study on Disputed Gun "Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings, and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver," Lucien Haag stated in a report by NBC News. "From an examination of the fired cartridge case and the operationally restored evidence revolver, this fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger." Shots from on-set footage were provided to the experts, and they included one showing Baldwin dressed in Western garb inside a makeshift church. One still image showed his right index finger on or near the trigger of a long-barreled revolver as he cocks the hammer, according to Haag. Moreover, in two additional shots, Baldwin's right index finger can be seen inside the trigger guard and either on or near the trigger, as Haag pointed out. Lawyers for Rust armorer Hannah Gutierrez-Reed, who is still facing involuntary manslaughter charges in the case, submitted a request on Tuesday, August 15, that contained the findings. The report's conclusions were brought up, and the court was asked to provide the jury with instructions on causation. Special prosecutor Morrissey had earlier informed NBC News via email on August 7 that a decision had not been made on the charges against Baldwin. See Also: Judge Approves Actor Alec Baldwin's Request To Waiver Preliminary Court Appearance in Fatal 'Rust' Shooting Case @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Philippines Foreign Secretary Enrique Manalo speaks during an Association of Southeast Asian Nations meeting of foreign ministers in Jakarta, July 13, 2023. The top Filipino diplomat on Wednesday urged China to engage in efforts to reduce tensions amid public anger in the Philippines about Beijings perceived bullying in the contested South China Sea. The territorial dispute between the two countries demanded the highest commitment to peace to calm the situation in the waterway, Foreign Secretary Enrique Manalo said. The South China Sea is the maritime heartland of Southeast Asia, a critical shipping hub and a fount of precious marine biodiversity that has sustained generations of fisherfolks in the littoral states, and key to food and energy security in the region, he told a Manila forum on the maritime region co-organized by the Konrad-Adenauer-Stiftung Philippines and Foreign Service Institute of the Philippines. We must allow the luminous histories of these waters as connectors of our communities to prevail, even in the face of disputes and serious incidents in the South China Sea, and over the prisms of competition with which the current situation is commonly seen, he said. Manalo gave the speech days after his Chinese counterpart, Wang Yi, urged Manila to engage with Beijing to defuse tensions in the maritime region. Bilateral tensions rose earlier this month when Manila filed a diplomatic protest against Beijing and summoned the Chinese envoy over an incident where the China Coast Guard fired water cannon at a Philippine ship carrying food and supplies to marines stationed aboard a dilapidated ship in the Ayungin Shoal (Second Thomas Shoal). In the wake of that incident, Beijing called on Manila to remove the rusting World War II era ship, which was deliberately grounded there to mark the Philippines territorial claim to those waters. However, Philippine officials defiantly said that they would carry out another supply mission to the ship, the BRP Sierra Madre. Meanwhile on Tuesday, the Philippine government announced that President Ferdinand Marcos Jr. had named former Foreign Secretary Teodoro Locsin Jr., as a special envoy to China. Locsin, who served as the top diplomat under then-President Rodrigo Duterte (2016-22), was known for being blunt in his diplomacy and posting profanity-laced messages on social media. During his remarks on Wednesday, Manalo said all South China Sea claimants must let peace and dialogue prevail. He warned that the use of force or any acts seeking to intimidate another country by any of the claimants subvert the regime of collaboration in the sea region. Along with the Philippines and China, other claimants to the South China Sea are Brunei, Malaysia, Vietnam, Thailand and Taiwan. Protesters rally outside the Chinese consulate in Metro Manila against aggressive actions by the China Coast Guard against Philippine ships, Aug. 11, 2023. [Basilio Sepe/BenarNews] Manalo emphasized that all parties should follow the 1982 United Nations Convention on the Law of the Sea (UNCLOS). He did not single out China, but said Beijing had ignored an international arbitration courts ruling in 2016 that invalidated Chinas expansive claims. The ruling and the UNCLOS should provide a solid foundation for the peaceful resolution of disputes and the flourishing of a regime in the South China Sea that guarantees peace and prosperity for our nations and our citizens, he said. His comments followed an announcement last week that the Armed Forces of the Philippines was considering deploying more ships, including maritime militia in the West Philippine Sea, Manilas name for its South China Sea territory. China comments Over the weekend, Chinese top diplomat Wang Yi claimed that the situation in the South China Sea had been generally stable, according to a statement released by the Chinese Embassy in Washington. China has repeatedly expressed its willingness to properly handle existing differences with the Philippines through bilateral dialogue, Wang Yi said during a meeting with dignitaries from Singapore and Malaysia, according to the statement posted on Aug. 12. China hopes that the Philippines will abide by the common understandings reached in the past, cherish the mutual trust accumulated through the improvement of bilateral relations, and work with China in the same direction to seek effective ways to manage the maritime situation as soon as possible. Wang Yi stressed that China was ready to work with ASEAN to accelerate efforts to develop the code of conduct (COC), the embassy said. Philippine officials have noted that negotiations for a COC are part of diplomatic solutions to the festering dispute, Manalo said. The Philippines advocates for an effective and substantive code of conduct that adheres to UNCLOS and takes into account the interests of all stakeholders, he said. Manila is set to host the next round of negotiations between the Association of Southeast Asian Nations and China for a COC on the disputed South China Sea. The closed-door talks are scheduled for Aug. 22 to 24, according to the Philippines Department of Foreign Affairs. An analyst cautioned Manila and ASEAN against giving in to Beijing. If China succeeds in getting a weaker COC, then China will say UNCLOS and the arbitral tribunal will no longer apply to the South China Sea and outside powers have nothing to say about this, security analyst Ray Powell said in a media forum with the Foreign Correspondents Association of the Philippines last week. Move Forward Party leader Pita Limjaroenrat (center) leaves after voting for house speaker, at the parliament in Bangkok, Thailand, July 4, 2023. Updated at 3:15 p.m. ET on 2023-08-16 Thailands Constitutional Court on Wednesday dismissed a petition from allies of the Move Forward Party challenging Parliaments decision to block a second vote for its leader Pita Limjaroenrat to serve as prime minister. The ruling is likely the final blow for Pitas bid to become Thailands 30th first prime minister after nine years of rule by an administration with deep military ties dating back to a coup in 2014. In its ruling the court said it was dismissing the case because the petitioners were not the directly violated persons. The Constitutional Court has unanimously decided not to accept the petition, therefore, all requests are dismissed, it said in a statement. The plaintiffs two professors, Move Forward lawmaker Panyarat Nantapusitanon and 27 voters claimed that Parliaments move to block a second vote for Pita was a violation of their rights and freedom. On Wednesday afternoon, Speaker Wan Muhamad Noor Matha said both houses would vote for a prime minister candidate next week. The parliament legal counselors have discussed with the house speaker and agreed to select Aug. 22 at 9:30 a.m. as the vote date, said a parliament statement seen by BenarNews said. The tripartite whip from the lower house, the Senate and coalition will meet tomorrow to ensure everyone availability, the statement said. Pita had been expected to contest a second and final vote for the prime ministerial post on July 19 after he failed in his initial attempt to win the backing of Thailands 749-seat bicameral Parliament. But conservative Senate members blocked his nomination over concerns that he pledged to do away Lese-Majeste, a strict law against royal defamation.. Following Wednesdays decision, Move Forward MP Rangsiman Rome said the party would not challenge it, but would take the matter up in the House of Representatives. We will submit the motion [to discuss the validity of Pitas renomination] and have the Parliament do the right thing, he told reporters. We do not mean to be politically disruptive But this is the way to make Parliament do it right. Wan Noor had postponed the vote for prime minister indefinitely, pending the resolution of Pitas Constitutional Court case. The delay added uncertainty to a political deadlock that has stretched on for months in the Southeast Asian nation. Despite leading his party to a surprise election win in May, Pita has faced relentless opposition from Thailands pro-royalist elite for his partys progressive campaign pledges, including reform of the royal defamation law. Thannapat Jarernpanit, a professor at Pibulsongkram Rajabhat University, said the court decision had clearly shut the door for Pita to become the 30th prime minister of Thailand. After the 42-year-old was unable to form a government, Pita agreed to step aside so Pheu Thai, one of his allies, could nominate one of its leaders for PM. Pheu Thai has since established a new coalition that includes members of the outgoing military-backed government and excludes Move Forward. It plans to nominate Srettha Thavisin, a former property tycoon, as its prime ministerial candidate. On Tuesday, Move Forward Secretary-General Chaithawat Tulathon said the party had resolved not to vote for its former coalition partners candidate for PM because it would be going against the will of voters. The Pheu Thai alliance appears to have a total of 228 seats in the 500-member lower house. It needs at least 375 votes from the combined house and Senate to elect a new prime minister. To win over senators, the party has pledged not to touch the Lese-Majeste. This story has been updated to include the parliament statement about the Aug. 22 vote for prime minister. If you'd like to leave a comment (or a tip or a question) about this story with the editors, please email us We also welcome letters to the editor for publication; you can do that by filling out our letters form and submitting it to the newsroom. A pro-Vladimir Putin Russian news outlet confirmed the death of 58-year-old Colonel General Gennady Zhidko Wednesday (August 16). Zhidko briefly led the invasion of Ukraine as commander of the Southern Grouping of Forces before giving way to General Sergei 'Armageddon' Surovikin in October, according to the Daily Mail. The deceased general served as the chief of staff of the Russian forces in Syria in 2016 and commander of the Eastern Military District in 2018. He received the Hero of Russia medal, the highest military honor the Kremlin could give to its military personnel in 2017. Zhidko became Russia's deputy minister of defense in 2021. Surovikin himself was dismissed after suspicions he knew of Wagner boss Yevgeny Prigozhin's mutiny but failed to act on it. Read Also: The Killers Apologize for 'Brother' Remark on Russian Fan in Georgia Show No Cause of Death Reported According to Russian online news outlet Readovka, the general was relieved of command in Ukraine in October 2022 after failing to capture the city of Kharkiv, just like the person he replaced, General Alexander Dvornikov, also known as the "Butcher of Syria." Little had been seen of Zhidko in recent months. There was also no reason for his death other than he died after a "long illness". Zhidko's death was also confirmed by Khabarovsk governor Mikhail Degtyarev, who expressed his condolences, but no official announcement was made. Related Article: Poland Can Into Combat: 2023 Polish Armed Forces Day Parade Largest Ever in Modern History @ 2023 HNGN, All rights reserved. Do not reproduce without permission. Hawaii's governor says the blaze that burned through the town of Lahaina on Maui last week has killed at least 101 people, as recovery efforts continue Hancock Shaker Village After less than a year on the job, Hancock Shaker Village Director Nathaniel Silver is stepping down You have permission to edit this article. Edit Close Stay up to date on Berkshires news with Berkshires in Brief, our free daily newsletter PITTSFIELD A split City Council voted that despite the presence of West Nile virus-positive mosquitoes in city limits, there will be no insecticide spraying against adult mosquitoes this summer. The council voted instead to send the existing plan to the Board of Health and Conservation Commission for a discussion of guidelines and protocols on spraying. The Board of Health, the Berkshire Mosquito Control Project, residents and Ward 4 Councilor Jim Conant came to the Tuesday night meeting of the council with a request: overturn a 2021 council vote that ended the citys mosquito spraying program. Its obvious to me that we do have a health issue and we need to revisit this vote and repeal the action, Conant said. One of the nine mosquito surveillance traps around the city has captured a West Nile virus-infected mosquito in three of the last six weeks. Mosquitoes testing positive for the virus have now been found in the general area of Elm and Williams streets, Wahconah Park and Allendale shopping center on Cheshire Road. To date, there have been no human cases of West Nile virus reported to the states Department of Public Health. State officials did upgrade Pittsfields risk level to moderate on Friday with the latest positive mosquito, a sign that they believe that a human infection of West Nile virus is likely or has already occurred. The message from Health Department Director Andy Cambi was that the citys Board of Health wants to take a proactive, not reactive, approach to preventing mosquito-borne disease in Pittsfield. Cambi said the board stands by the plan developed with the Berkshire Mosquito Control Project more than a decade ago that took spraying efforts from a generalized fogging program to a targeted approach. At every measure, Cambi and Berkshire Mosquito Control Project Superintendent Chris Horton were met with councilors who doubted the safety of the insecticide used under the citys mosquito control plan, their impact on pollinators and ultimately the efficacy of the truck-based spraying program. Most vocal among the critics was At-Large City Councilor Pete White. White went toe-to-toe with Horton for an extended portion of the meeting quizzing the superintendent on the long-term and environmental impacts of the two proposed sprays, Duet and Merus 3.0. The latter is an organic product that would be used on agricultural land. White said hed conducted his own review of several scientific studies that he said suggested the products were harmful to pollinators and potentially humans. Councilors Earl Persip III and Dina Lampiasi also raised concerns about the impacts on pollinators and humans respectively. Throwing chemicals up in the air to try and kill a small percent of adult mosquitoes is not doing anybody any favors except killing pollinators, Persip said. And even if you killed a handful of pollinators, we are not in a place in the world where we can let any pollinators die. Horton retorted that the citys mosquito control plan had duplicated recommendations from the Centers for Disease Control and Prevention that looked at the best ways to reduce the risk of mosquito-borne illness without putting humans at risk from the chemicals used. He said the city would have to use 100 times the spray it would use under the plan to have any impact on human health. Horton also referenced a study from Louisiana State University that looked at what it would take for the mosquito spray to kill bees. The determination was that the application procedures that we use do not kill bees, Horton said. Conant, who said he has been a licensed, educated pesticide applicator for 40 years, expressed his frustration with the many of the councilors view on the body of science related to mosquito spray and control. Most of the stuff said up here this evening is very inaccurate, Conant said. Conant and Ward 3 Councilor Kevin Sherman said they had been inundated with phone calls from residents asking them to do something about the ballooning population of mosquitoes this year. Councilors on either side of the vote recognized its not been pleasant being outside this year, but varied on whether that was a fact of living in a swampy environment like Pittsfield or something that could be impacted by spraying. Councilors Karen Kalinowksy, Charles Kronick, Dina Lampiasi, Anthony Maffuccio, Persip and White voted against resuming mosquito spraying while Council President Peter Marchetti and Councilors Patrick Kavey, Ken Warren, Sherman and Conant voted in favor. Jane Kaufman is Community Voices Editor at The Berkshire Eagle. She can be reached at jkaufman@berkshireeagle.com or 413-496-6125. By RT August 15/16, 2023 - Information Clearing House - Kiev could end up relinquishing some of its former territory in exchange for NATO membership, Secretary General Jens Stoltenbergs chief of staff, Stian Jenssen said at a debate on Tuesday. In his own statements on the issue, Stoltenberg has insisted that Kiev will set its own terms for victory. I think that a solution could be for Ukraine to give up territory, and get NATO membership in return, Jenssen said at the debate, according to Norways VG newspaper. This discussion is already underway within NATO, he said, suggesting that it could be a possible solution to the conflict. No NATO leaders have publicly endorsed the idea of Kiev giving up its claims to the territories of Donetsk, Lugansk, Kherson, or Zaporozhye, which were incorporated into the Russian Federation following referendums last September. Likewise, while Western officials have cast doubt on Ukraines ability to seize Crimea an historical Russian territory which rejoined the Russian Federation in 2014, none have urged Kiev to abandon its claim to the peninsula. Such an idea has apparently been floated behind the scenes, however. Swiss outlet Neue Zurcher Zeitung claimed in February, citing sources, that CIA Director William Burns had offered Russia a land for peace deal in which Moscow would keep 20% of Ukrainian territory. The White House, the CIA, and the Kremlin all denied that such a proposal had been made. Whether such a deal would be accepted by Kiev or Moscow remains doubtful. Russian President Vladimir Putin stated last year that securing the military neutrality of Ukraine was a key factor behind his decision to send troops into the country, and that having a NATO-aligned Ukraine on Russias borders would present an unacceptable security risk. Ukrainian National Security and Defense Council chief Aleksey Danilov stated on Tuesday that Kiev will never negotiate with Putins government, that none of Ukraines Western backers are pushing for peace, and that Russia must be destroyed like a modern-day Carthage." Achieving this goal is proving extremely difficult for Kiev. Two months into its long-anticipated counteroffensive against Russian forces, the Ukrainian military has lost more than 43,000 men and nearly 5,000 pieces of heavy equipment, according to the latest figures from the Russian Defense Ministry. Stoltenberg insists that NATO will ensure that Ukraine gets the weapons it needs to be able to retake territory, liberate the lands and win this war and prevail as a sovereign, independent nation. While he has delivered variations on this statement throughout the conflict, NATO has been more evasive on when Ukraine can join the military bloc. After a summit in Lithuania last month at which Kiev was denied an offer of membership, NATOs 31 members put out a joint statement explaining that Ukraine can join only when allies agree and conditions are met. Views expressed in this article are solely those of the author and do not necessarily reflect the opinions of Information Clearing House. PITTSFIELD A Pittsfield mother has filed a civil lawsuit against several companies alleging that exposure to PCBs at home and at school caused her sons leukemia. Crystal Czerno, the parent of 9-year-old Carter LaCasse, filed the complaint in Berkshire Superior Court on Tuesday against the General Electric Co., as well as against Bayer AG, which purchased PCB manufacturer Monsanto in 2018. Other defendants include Saudi Basic Industries Corp., (SABIC) and three of its petrochemical and plastics manufacturing subsidiaries in Pittsfield. SABIC bought GEs Pittsfield business in 2011. The court document, at more than 100 pages, lays out a timeline of what the companies allegedly knew over the years about the danger of PCBs polychlorinated biphenyls chemicals known to be harmful to humans and linked to cancers. Czerno alleges that Monsanto officials knew PCBs were toxic and likely to be banned by the EPA. Yet Monsanto, the filing contends, continued to market them and GE continued to use and dispose of the chemicals into the Housatonic River and beyond. GE used PCBs at its Pittsfield plant in the production of transformers. In 1977, the federal government banned PCBs because of the danger. Czernos attorney, Thomas Bosworth, told The Eagle that Czernos complaint is the first of what will be at least a handful of similar lawsuits. Four other city residents with claims tracing their cancers to PCBs released by GE have consulted with him, he said. When asked how he plans to argue that no other factors led to cancer in Czernos son, Bosworth said he would turn over every stone and that environmental exposures are a prime cause of childhood leukemia. Ive fought that fight, said Bosworth, who is working on the case with Springfield attorney John B. Stewart. A Pittsfield and Lenox native, Bosworth practices in Philadelphia and says he has won $77 million in settlements with corporations for catastrophic injury victims. Local lawyers told The Eagle that "toxic tort" litigation or cases that say exposure to a dangerous substance caused harm is chock full of hurdles, mainly to prove cause and effect. Yet it is a fight, one attorney said, that can be won, even against corporations with an army of lawyers. "Yes, it's possible," said Richard Friedman, whose Washington state law firm has so far won around $650 million in jury verdicts against Bayer/Monsanto for brain injuries connected to PCB exposure at a school, with more trials to come. The companies risked the health of the community for future generations and then downplayed the dangers, the lawsuit contends. Czerno's son's leukemia is "a direct result of the egregious, negligent, wrongful, and reckless conduct of the defendants in their manufacture, sale, creation, distribution, pollution, contamination, dumping and disposal of the toxic and carcinogenic chemical known as PCBs." The complaint centers on the since-remediated Allendale Elementary School property and its playground, which abuts GE's former "Hill 78" hazardous waste storage area. Tests show that PCBs, the lawsuit says, have not completely disappeared from the area. The school on Connecticut Avenue is several hundred feet from the home Czerno shares with her two sons. Both attended the school. Czerno is asking for compensatory and punitive damages to be determined at trial, as well as other costs and attorneys fees. The 14 counts against some or all of the companies include negligence, fraud and strict liability. A spokesperson for GE said the company could not comment on pending litigation. Monsanto issued a statement, said Bayer spokesperson Nicole Hayes, saying that the company has "great sympathy" for the plaintiff but is not responsible for the alleged injuries. Monsanto says it had no control over what happened in Pittsfield, and that there is no "reliable scientific support" that links PCBs with leukemia. Monsanto's full statement about the litigation While we have great sympathy for the plaintiff in this case, Monsanto is not responsible for the alleged injuries for many reasons including that it did not manufacture or dispose of PCBs in the greater Pittsfield area and had no responsibility for or control over the electrical equipment plant in Pittsfield operated by another defendant in this case. There is also no reliable scientific support connecting exposure to PCBs with leukemia. Monsanto will respond to this complaint in due course and maintains that its former electrical customers are obligated to defend and indemnify Monsanto based on the indemnity contract the companies agreed to in 1972. SABIC has not responded to a request for comment. The lawsuit marks a new twist in a corporate pollution drama that has played out in the Berkshires over four decades, mostly in the city of Pittsfield, but also in the five Rest of River towns to the south through which the Housatonic River flows. In 2020, the EPA and GE and the five towns settled on a plan that requires GE to dredge riverbed sediment from a heavily polluted 10-mile stretch of the Housatonic south of Pittsfield to protect public health and the environment and deposit it in a Lee landfill. The work is estimated to take years and cost GE more than $600 million. In Pittsfield, some of that river cleanup has been completed. Another battle over the GE pollution is ongoing over the planned PCB disposal facility in Lee despite legal action as well as continued outcry from residents and warnings from scientists. Also, the town of Lee is about to refile its lawsuit against Bayer/Monsanto. GE used PCBs between 1932 and 1977, according to Czerno's lawsuit. Branded as Pyranol, the product was used as lubricant insulation for electric transformers. The company released extensive PCB waste into the river and other areas of the city. The pollution was so bad the complaint says, that neighborhoods later had to be remediated to get rid of toxic soil the company had given residents. Thats also what happened, according to the lawsuit and the EPAs GE cleanup webpage, at the Allendale school. The federal Environmental Protection Agency continues to monitor the air there for PCBs, the court document notes, and those results are available on an EPA webpage devoted exclusively to the Allendale site. While air sample results show fluctuating levels of PCBs, over the last few years the samples are at levels that are below the EPAs threshold for taking action. Officials at City Hall, the lawsuit notes, have held meetings in recent years to address concerns about the potential for exposure at or near the school. Hill 78 It was in 1950 that part of the 1,250-acre Allen Farm used for horse breeding was donated to the city to build the school. During construction that year, the lawsuit continues, GE struck a deal with the city for it to use soil from the nearby Hill 78 area on GE property as fill for the school grounds. The state later discovered PCBs there, and this eventually led to a 2-foot soil cap with geotextile over much of the playground area, according to the EPA, and further removal of soil beyond it seven years later. In 2006, all the citys pediatricians wrote to then-Mayor James Ruberto, expressing concerns given a new understanding about routes of PCB exposure. An emerging body of scientific information pointing to airborne (both volatilized and suspended) PCBs as being a significant form of exposure, they wrote. In 2007 and 2008, GE had to remove more contaminated soil. The lawsuit mentions all of this in addition to a scientific study that found elevated levels of PCBs in the blood of a sample of city residents, as well as another study showing a link between PCBs and acute childhood leukemia. The latter study concluded that PCBs "may represent a previously unrecognized risk factor for childhood leukemia." Bosworth, 34, says this is personal for him, and that as "a blue-collar kid from Lenox" hes coming back to his hometown to bring polluters to justice. He continues to talk about it on Instagram and TikTok. He also talks about how GEs PCB disposal facility planned for Lee is more of the same from the company. The fact that GE has the audacity to now try to build a brand new toxic PCB dump right next to the very river that GE polluted for decades speaks to this company's callousness and lack of conscience, he later wrote in an email. All I can say is that I look forward to the day the jury will assess the full magnitude of harm the defendants have caused this family and many other hardworking people of Berkshire County whose lives have been greatly affected. The science, said Friedman, "is so overwhelming that PCBs are bad for every bodily system and thats why I dont know of any other chemical that is banned in every single country in the world. It's the only thing every country in the world can agree on." Friedman's Seattle-based firm represents about 200 students, parents and teachers who claim their brain injuries and illnesses are related to PCB exposure at a school in Monroe, Wash., he told The Eagle. We all have PCBs in our bodies, Friedman said, and no safe level of exposure has ever been determined. That's how pervasive the chemicals are, he added, and the exposure has been poisoning people "for about 50 years." While winning such legal battles can be done, it's not easy. "Monsanto does what the tobacco companies did," Friedman said. "They pull out every trick in the book." Scared and guilty Czerno, in an interview with Bosworth present, said she did not know about any of this when she first moved to the city from Brainard, N.Y., and in 2017 bought her home near Allendale Elementary School in Pittsfield and Hill 78. I feel disgusted, she said. I feel outrage. I feel scared and I feel really guilty. I'm struggling as Carter and Tyler's mom, knowing that I unknowingly put them in danger by buying the house that I bought, with no knowledge of what was in my backyard, of sending them to a school with no knowledge of what was there. Carter started at Allendale in Kindergarten, and withdrew in second grade after his diagnosis in 2021. Czerno stopped teaching at Pittsfield High School this year to care for her son. Most of his doctors are in Boston, she added, and hell have another bone marrow biopsy next week. Carters immune system is weak and he doesnt have the strength to do what most boys his age can. But the past few weeks have been much better, his mother says, and he feels good and looks good. Her lawsuit describes Carters suffering amid painful medical procedures as well as emotional trauma over the last few years. Czernos suffering is also described in the complaint. The bright side, she said, is the communitys outpouring of support for the family. And it is this that she says made her want to pay it forward. Im fighting for these kids that are my neighbors and future students, she said. Its my time to step up and support the community. Daniel Pearl is seen in this 1986 file photo from The North Adams Transcript, where he worked at the time. The hymn Amazing Grace was written 250 years ago and has yet to lose relevance to listeners in every generation since. It continues to touch hearts and resonate with the experience of one who has found salvation and new life in Christ Jesus. The word grace, in the original Greek, is charis, which means undeserved favor or merit. Certainly, believers can relate to the undeserved favor the grace that God has generously given to those who have put their faith in Him. John Newton, the writer of this song, has a powerful testimony of coming to faith. The song was born out of his profound transformation from encountering the Gospel and saving grace of Jesus. Who Wrote Amazing Grace? John Newton wrote the song, Amazing Grace, in the year 1772, and it slowly began to gain attention and popularity. When one hears this hymn, it becomes clear that the author was deeply impacted by the grace of God. Though he felt wretched, he was still able to experience the saving grace of God. Though he was lost, God found him and saved him. Though he was blind, his eyes were opened to his sin and need for Jesus in his life. Perhaps you have heard this hymn, or read the lyrics, and can relate in many ways to the sweetness of experiencing Gods grace in your own life. What Do We Know about John Newton? John Newton was 47 years old when he wrote the song, Amazing Grace. Like his father, he took a career sailing the seas. He spent his earlier years on the seas of the African coast, searching for slaves to capture and sell for a profit. Before his salvation experience that eventually led him to write Amazing Grace, he was a captain of slave ships, invested in the slave industry, and was a slave owner. He eventually became an ordained minister and abolitionist. The story of John Newtons powerful change came during a storm on the sea that could have led to the loss of him and his crew. According to history, John Newton prayed during this fierce storm that God would save them, and they went on to survive this storm. A few years later, Newton gave up his hand in the slave trade industry. It took time, but God pruned John Newton and helped him live a life that was instead honoring and glorifying to God. He then studied the Bible and theology, and became a minister in 1754. John Newtons story is one of profound transformation and deliverance. It is clear that his radical change resulted in the formation of this hymn. Its words are very relatable for many believers who know what it is to be delivered from a life of wickedness into a life of righteousness lived for God. 6 Biblical Connections in the Lyrics of Amazing Grace The phrase amazing grace is not found in Scripture, however, it does accurately describe the impressive and astonishing grace that God gives. This beautiful song that has touched the hearts of countless people contains many biblical references and connections that contribute to the glory it gives to God. 1. That saved a wretch like me In Romans 7:24, the apostle Paul used the phrase wretched man to describe himself, just as John Newton described himself in Amazing Grace. Jesus went to the cross even while we were still sinners, and in His great love for us, paid our debt of sin and death so that we could have life everlasting. We could not earn our salvation, it is freely given and saves any and all who come to love the Lord. 2. I once was lost, but now am found Jesus said in Luke 19:10 that He came to seek and save those who are lost. John Newton wrote about his understanding of being lost to sin and death, but then being found by Jesus. Those who come to know Jesus as their Lord and Savior likely relate to this idea of being lost in the world without God, and feeling found when they finally give their lives and hearts to Jesus. 3. Was blind, but now I see There are many references throughout Scripture that talk about spiritual blindness to the truth of God and who Jesus is as our Savior. Psalm 146:8 declares that the Lord opens the eyes of the blind. Indeed, we are all blind until we come to know Jesus as Lord of all. 4. How precious did that Grace appear / The hour I first believed In the book of Titus, we find a verse that is very similar to this lyric in the song, which says, For the grace of God has appeared, bringing salvation for all people. Like the hymn states, grace appeared, making it possible for the author of the hymn to believe. The gift of grace is truly powerful and God desires for all to come to believe in Him. 5. Through many dangers, toils and snares / We have already come / T'was Grace that brought us safe thus far This part of the song acknowledges the reality that we will endure struggles and trials in this life. Even the author of the book of Hebrews compares having faith to the endurance of running a race (see Hebrews 12:1). Isaiah 53:2 assures us that God will see His beloved children through and be with them no matter what they face. The song echoes this same confident faith in a God who is with us through the challenges and will deliver us. 6. And Grace will lead us home This lyric in the song does not imply that we will make it to our earthly homes, but rather, the author of the song is equating home with heaven. As believers, we are citizens of heaven not earth (see Philippians 3:20). Gods grace will get us through this life, persisting through all the ups and downs, to keep us strong in faith so that we will inherit eternal life with God in heaven. Titus 3:7 gives the bold declaration that the grace of God made us right in His sight and gives us the confidence that we will inherit eternal life. Truly, as the hymn goes, Gods grace will lead us home. A Prayer Thanking God for His "Amazing Grace" Loving God, I come to you with a thankful heart and a worshipful heart, acknowledging that you are Lord of all. You are good and faithful. I can keep my trust in you no matter what. I thank you for your grace. Your grace that has saved me. Your grace helps me through life to keep my faith and hope in you. I could not even imagine where I would be, who I would be, or what I would be doing without your gift of grace. I cannot boast of myself, I can only boast of your grace and how blessed I am to receive it. I praise you for saving a wretch like me, for opening my eyes to my need for you, and for helping me find my way to you. I love you, Lord, and thank you for your amazing grace. Amen. The song Amazing Grace is aligned with Scripture and powerfully declares the wonder of Gods grace. The author, John Newton, wrote this song in response to the way that Gods grace impacted and changed him in such big ways, turning his life from wretchedness to righteousness. Each believer has a salvation story that includes Gods grace. Perhaps listening to this song or reading the lyrics reminds you of the ways Gods grace has touched your heart and life. What a good and gracious God we serve! Related articles Faithful Promises for Modern Singers of a Beloved Hymn 3 Truths from the Hymn "Come Thou Fount" to Renew Your Wonder 5 Unexpected Ways Grace Looks Amazing on You Photo credit: Getty Images/Sonja Filitz Pamela Palmer is a writer, speaker, and the founder of upheldlife.com, the platform on which she produces devotionals and faith resources to inspire keeping faith at the center of life. She is in pastoral ministry and gets to share in the emotional and spiritual lives of others. She lives and thrives on Jesus, coffee, and music. She is the author of Living a Deeper Faith: Nurture Your Relationship with God and Live a Faith-Fueled Life. Pamela married the perfect man for her and they have two beautiful kiddos. She has been published on herviewfromhome.com, and you can follow her at upheldlife.com or on Facebook.com/upheldlife. The Cancer Association of South Africa ( Cansa ) highlights the impact of a cancer diagnosis on women, regarding fertility. At the peak of their youth, when life is usually a beautiful journey of dreams and possibilities, women diagnosed with cancer might meet difficult and unforeseen intersection of challenges. According to Stats SA's report (released in March 2023), 2.4% (1,054) of female South Africans diagnosed with cancer in 2019 were between 20 and 29. Of these, 14.1% (149) had breast cancer, and 11.4% (120) had cancer of the cervix. For these young women, the pursuit of fertility and motherhood suddenly took on a new dimension as they faced a profound and emotional challenge that could alter the course of their lives. The dream of nurturing a new life within their bodies now involved a struggle for their own health and survival. Cancer and its treatment can sometimes affect a womans ability to have children. There is limited data on contraceptive and fertility counselling needs for women with cancer in high and middle income countries including South Africa. Lorraine Govender, national manager: Health Promotion at Cansa, says it is best that discussions about preserving fertility happens before cancer surgery or treatments begin. "The journey towards preserving fertility amidst a cancer diagnosis is still an important and delicate topic. It's best that discussions about various fertility options take place before cancer treatments begin and a good idea to initiate the discussion as the health care team might be focused on the treatment plan. "If youre considering taking steps to preserve your fertility, and its possible to do so, be sure that you understand the risks and chances of success of any fertility option you are interested in, and keep in mind that no method works 100% of the time." A Cansa funded research study conducted in 2020 titled Contraceptive needs and fertility intentions of women with breast cancer in Cape Town, South Africa: a qualitative study reported the following results. Women reported receiving limited information from health care providers about contraceptive use and future fertility planning post treatment when fertility desires might change. Many women reported limited information received from healthcare providers about the impact of cancer treatment on their future fertility. Most women did not receive information around fertility preservation options, and few were familiar with the concept. Providers focus was more on preventing pregnancy during treatment and ensuring a patient was on a non-hormonal contraceptive method, namely the IUD. However, providers recognised the need for a more holistic and multidisciplinary approach to breast cancer patients contraceptive and future fertility needs, despite there being limited fertility counselling and fertility options for women with cancer in the public sector. Ashleigh Keulemans (32) remembers her engagement photo shoot in 2020 fondly. She and her partner were ready to start a new life together. But soon after the engagement, she received the devastating news she had triple-negative breast cancer. Ashleigh was one of the fortunate patients whose oncologist discussed fertility preservation with her, and she could bank nine healthy eggs before starting her cancer treatment. After treatment, tests revealed that her ovaries were unproductive. But then, a year and a half after treatment, she started menstruating again. After the wedding in September 2022, doctors informed her that although its improbable for a natural pregnancy to occur, it is not impossible. In June 2023, Ashleigh posted on Facebook: "Never stop believing in miracles. We are ecstatic to announce that our little miracle baby will be joining us early next year. Against all odds, our prayers were heard, and we have been blessed with the most precious gift." Experts recommend health care providers who are part of the cancer care team be involved in talking about fertility with patients. Some recommendations include talking about any possible fertility problems that might happen due to treatment as early as possible, either before surgery or before treatment starts. Further, start talking about preserving fertility as early as possible, too, meaning before treatment starts. These conversations should consider preferences, religious or personal beliefs, and the cost of available options. A second opinion may be sought or getting a referral to a fertility or reproductive specialist. Referrals to counselling should be made for people who may be anxious or distressed about fertility-related effects. Cansa offers free emotional support to patients, caregivers and loved ones via its Tele Counselling service (available in seven languages), support groups and Facebook support groups. Free online support resources are also available from the Cansa website. Every woman has the right to a sex life that is safe and satisfying, to say no if she does not want sex, to choose to use condoms and/or other preventive methods, to have a partner that respects her choices, to enjoy a non-violent relationship and to make a choice about having a child. Unfortunately, many South African women don't enjoy these rights. This not only exacerbates the spread of HIV and the complications related to it but also drives unintended pregnancies. Source: Supplied. Seithati Molefi, deputy chief-of-party at Right to Care. Every woman deserves access to both information and services for HIV testing as well as contraception. If she is HIV negative, she needs access to pre-exposure prophylaxis (PrEP). If she is HIV positive, she needs to be on treatment to keep herself healthy, prevent HIV transmission to her sexual partner/s and lower the risk for transmission to her baby during pregnancy, labour and breastfeeding. Yet many women still dont have the final say about their own sexual health. Traditional gender roles, patriarchal beliefs, HIV stigma and gender-based violence (GBV) are disempowering, and are preventing women from accessing support- and health services and driving HIV infections. Moreover, women struggle to negotiate condom use and many are being infected with HIV during their pregnancies. Additionally, it is young women bearing the brunt of the continuing spread of HIV. A recent USAid report has uncovered that every week, 3,100 females aged 15 to 24 in sub-Saharan Africa become infected with HIV. At the South African Aids Conference this year, the high rate of teenage pregnancies and HIV among young women took the spotlight. Right to Care is a partner of the USAid-funded Dreams programme that supports and empowers adolescent girls and young women. The programme follows a multi-stakeholder approach to address the root causes of the challenges young women face. GBV and stigma One of the drivers of HIV infections is gender-based violence because a woman is unable to establish her perpetrators HIV status or negotiate condom use. It undermines her ability to report abuse and access support. If she knows her abuser and is financially dependent on him, she is often scared to leave the relationship. Many women hide their antiretroviral treatment from their partners because they are shunned for taking it and others are stigmatised when taking PrEP because people think they are taking antiretroviral treatment. Treatment as prevention, HIV testing Right to Care, in line with global goals to end HIV, is building understanding about HIV treatment as prevention. It supports the Department of Health in two rural districts. Its basket of care includes HIV testing services and initiating HIV-positive women on antiretroviral treatment or PrEP. This is in addition to the provision of family planning and contraception services they provide, as well as screening for cervical cancer, among other provisions of support. The NGO is also fostering comprehension around the importance of treatment adherence and viral-load monitoring among the patients it serves. When someone living with HIV is on treatment, it lowers the level of HIV (the viral load) in their blood down to an undetectable level meaning the person is virally suppressed and cannot pass on HIV during sex. Viral suppression also reduces the risk of passing HIV on during pregnancy, childbirth and breastfeeding. The supplementary steps supported by the NGO encompass: Empowering women with accurate information about sexual- and reproductive health and their rights so they can make informed decisions about family planning and HIV prevention, and reach out for mental- and social support. Engaging men more effectively so they play their part in promoting womens sexual health and safety. Women benefit when their partner is circumcised, as HIV and STI infection rates are lower in circumcised men and their partners. Promoting community outreach as a means to help boost HIV testing and treatment initiation. Helping patients keep their appointments and following up when they dont arrive. Advocating for medicine pick-up points like Collect&Go smart lockers to make medicine collection quick and support medicine adherence. Improving access to legal- and other support services for women in abusive partnerships Offering more adolescent- and youth-friendly services as well as open days for young people to access services and information. More can be done. Greater focus is required to remove societal challenges that women face when accessing support. They need to better understand their rights. Last week, Acting Deputy Secretary of State for the United States Victoria Nuland made her third visit to Niger in the past two years. This time, Nuland was in the African country to respond to the July 26 military coup, which saw the ouster of the constitutionally-elected President Mohamed Bazoum by a group of military officers, operating under the umbrella of the newly-formed National Council for the Safeguard of the Homeland, led by the commander of the presidential guard, General Abdourahmane Tchiani, who subsequently declared himself to be the new head of state. Nuland had sought a meeting with the ousted president, Bazoum, as well as the leader of the new military government, General Tchiani. She was denied both, and instead held a very strained dialogue with Tchianis military chief, General Moussa Salaou Barmou, who headed a delegation of lesser officers. Nuland called the talks with Barmou frank and difficult. What she did not do, however, was call a spade a spade, refusing to label the Nigerien coup a coup, but rather treating it as temporary domestic political mishap which, with a little bit of US-applied pressure from the right source, could be overcome. The reasoning behind the American game of semantics is that, by law, if the US recognizes the Nigerien coup as a coup, then it must cease all military-to-military interactions between a force of some 1,100 US military personnel currently stationed in Niger, and their Nigerien military counterparts, as well as all other forms of US-funded aid. The law in question, known as Section 7008 (of Public Law 117-328, Division K), specifically states that no funds appropriated by Congress in support of State, Foreign Operations and Related Programs (SFOPS) shall be obligated or expended to finance directly any assistance to the government of any country whose duly elected head of government is deposed by military coup detat or decree. During her 2-hour discussions with the Tchiani government delegation, Nuland made it clear that while US relations were currently suspended, they were not permanently halted. In a post-meeting video press conference, Nuland emphasized the consequences of the failure to return President Bazoum to power with General Barmou, a Nigerien special forces officer who had been trained at US military schools and had extensive interaction with US military trainers in Niger. Barmous personal experience with the US military is in many ways the personification of a relationship that today serves as the foundation of Americas military presence and mission in West Africa. The US, France, and other European partners have been engaged in a years-long campaign, together with their West African partners, to combat Islamic extremism in the Sahel region of Africa. Niger, which hosts two major US bases, one outside the Nigerien capital of Niamey known as Base 101, and a second, Air Base 201, in Agadez a city located on the southern edge of the Sahara. Both bases support US intelligence, surveillance, and reconnaissance (ISR) operations conducted by MQ-9 Reaper drones and fixed-wing aircraft flown by a Joint Special Operations Aviation Detachment, as well as other US military operations, including military airlift and special forces training detachments (France also maintains a significant military presence in Niger, numbering over 1,000, and there are several hundred other military personnel from a variety of European Union (EU) nations. With the collapse of the US, French, EU, and United Nations military presence in neighboring Mali, and in the aftermath of a military coup in Chad, Niger has emerged as the last remaining bastion of the US-led anti-terrorism effort in the Sahel. If the US were to cut relations with Niger because of the coup, there would be no Western-oriented anti-terrorism efforts remaining to counter the threat of Al Qaeda and Islamic State terrorism in the region. From Washingtons perspective, the greatest threat that would emerge from any break in the military-to-military assistance between the US and Niger is not the potential spread of Islamic fundamentalist-inspired terrorism, but rather Russian influence, especially in the form of military security support allegedly provided by Wagner Group, a private military company whose African operations appear to operate in sync with Russian foreign policy objectives (neither the Kremlin nor the Tchiani government has commented on the reports of Wagner activities in Niger). Prior to last months Russian-African Summit, Prigozhin had met with Wagner forces who had relocated to Belarus in the aftermath of the abortive June 23-24 insurrection which resulted in halting Wagner operations in Donbass during which he emphasized the importance Africa would play in future Wagner activities. Wagner's presence has been reported in several African countries, including the Central African Republic, Libya, and Mali. Members of the senior leadership of the Nigerien coup have reportedly met with Wagner officials in Mali, to discuss security cooperation between Wagner and Niger. During her meeting with the Nigerien coup government, Victoria Nuland singled out the potential deployment of Wagner into Niger as a worrisome development and indicated that she pressed upon her Nigerien counterparts her assessment regarding the detrimental role played by Wagner regarding African security. The reported meeting between Wagner and Niger representatives indicates that Nulands message did not resonate with her Nigerien hosts. The US appears to be caught in the horns of a dilemma, trying to balance a desire to maintain relations with a nation whose government cannot legally receive US aid, and the consequences that would accrue if US-Niger relations were severed, as required by Section 7008. There is an option that neither Nuland nor her boss, Secretary of State Antony Blinken, have yet given voice to. In early 2003, the US Congress amended Section 7008 to provide for the Secretary of State to seek a waiver on the grounds of the national security interests of the United States. There are two major obstacles for the US when it comes to any such waiver. First is the amount of political capital that the US has expended in trying to return President Bazoum to power to reverse now would be the kind of nod to Realpolitik that the Biden administration is loath to do. Second is the fact that Niger, having evaluated its options going forward, may no longer be interested in maintaining the close relations it previously enjoyed with the US. Niger, like Mali, Burkina Faso, and Guinea before it, has thrown off the mantle of its post-colonial relationship with France, a relationship that was closely linked with US national security policy in West Africa and the Sahel. The clock is ticking on the fate of US-Niger relations, and there seems to be little Victoria Nuland or any American official can do to change the outcome. Scott Ritter is a former US Marine Corps intelligence officer and author of 'Disarmament in the Time of Perestroika: Arms Control and the End of the Soviet Union.' He served in the Soviet Union as an inspector implementing the INF Treaty, in General Schwarzkopfs staff during the Gulf War, and from 1991-1998 as a UN weapons inspector. With South Africa hosting the 15th Summit of Brics nations next week, roads near the Sandton Convention Centre in Johannesburg will be closed. Motorists have been advised that the roads will be closed from this Sunday, 20 August 2023 until 26 August 2023. The following roads will be closed: Maude Street - one-way traffic from 5th Street to West Street. West Street - lanes closest to the convention centre will be closed. The lanes furthest away will be open for traffic. Alice Lane - lanes closest to the convention centre will be closed. The lanes furthest away will be open for traffic. 5th Street - lanes closest to the convention centre will be closed. The lanes furthest away will be open for traffic. "The community is requested to be aware of the impact to routes during the Summit and follow traffic updates when travelling around the Sandton Convention Centre. As can be expected, there will be significant security presence around the Sandton CBD for the duration of the summit," the Gauteng Police Board Initiative said on Tuesday. South Africa is hosting the 15th Brics (Brazil, Russia, India, China and South Africa) Summit at the Sandton Convention Centre from 22 to 24 August. Despite the numerous benefits and exciting new developments presented by the metaverse, "it could be the most dangerous tool of persuasion humanity has ever created". Source: Bankless Bankless Semona Pillay of UJ gives four ways to managing privacy and risk in the metaverse This is according to Dr Louis B. Rosenberg, a computer scientist and the current CEO of Unanimous AI. The metaverse is a collective virtual shared space that is created by the convergence of physical and virtual reality and it is becoming an increasingly popular platform for businesses to market their products and services. Although novel and exciting, metaverse marketing poses inherent risks that might involve extreme violations of privacy that consumers are not even aware of. Metaverse marketing advantages In the metaverse people can create, own, and trade virtual assets as well as communicate with others in real time through avatars. The advantages of marketing in the metaverse are numerous, such as the ability to reach a global audience and allow businesses to collect valuable data on user behaviour to improve marketing strategies and to create more targeted and effective campaigns. It is more cost effective than traditional marketing methods, as it requires less investment in physical infrastructure and advertising. Through metaverse marketing, business can increase brand awareness and loyalty which appeal mainly to Generation Z consumers. Generation Z and the metaverse Generation Z are highly comfortable with technology and are early adopters of new trends and platforms, thus, they are a key demographic for businesses that are looking to market in the metaverse. One of the ways that businesses can appeal to Generation Z in the metaverse is by creating immersive and interactive experiences that allow them to engage with products and services in a more personalised way. These could include virtual events, games, and simulations that allow users to experience products and services in ways that are not possible and connect with others in a meaningful way. For example, Nando's virtual version of one of its restaurants allows users to order virtual meals and interact with other users in a virtual environment. Another example is Mr Price, which launched nine one of a kind non-fungible tokens (NFT using blockchain technology) to offer customers collectable virtual goods that generate brand engagement, unlock new experiences, foster a community, and add revenue to the bottom line). Most dangerous tool But, Rosenberg says metaverse marketing platforms go beyond simply tracking where users click: they also monitor where users go, who they are with, and what they look at. These platforms measure pupil dilation, track and monitor posture, and determine facial expressions all of which goes beyond the average risk and privacy concerns that many South African consumers face while interacting online. To add to this, cyber security is a major concern for consumers, as South Africa is ranked in the top five countries with the highest cyber-crime rates in the world. How, then, do South African marketers manage the privacy and risk concerns related to metaverse marketing? 4 ways to managing privacy and risk in the metaverse Some recommendations and strategies for managing privacy and risk concerns in metaverse marketing: Build a digital community to protect your brand by requiring unique skins or pairing accounts with an NFT to prevent identity fraud and make it difficult for cybercriminals to duplicate identities in the metaverse. Use AI cybersecurity to alert users to abuse, misconduct ,and other negative behaviours, and expelling members of the metaverse who do not comply. Provide users with a security and privacy policy that outlines user data and access to personal information, describes user rights regarding communication in the virtual and physical worlds, and includes rules about the ownership of virtual digital goods, avatar skins, and NFTs (to name a few) through blockchain, which tracks and monitors content ownership. Include policies that govern immersive rights, which include the right to experiential authenticity, meaning that consumers are able to distinguish promotional experiences by sponsors from authentic experiences. Immersive rights might also include the right to emotional privacy. Given that consumers are monitored and tracked regularly, informed consent should be required before using emotional analysis for promotional purposes. Businesses that adopt metaverse marketing strategies and invest in the necessary technologies and infrastructure are likely to be the ones that succeed in this new era of marketing. By conducting a risk assessment and devising ways to manage risks and privacy concerns in the metaverse, marketers could successfully employ metaverse strategies to increase engagement, loyalty, and promotional opportunities among Generation Z consumers. (References available on request.) A new director digital and global solutions has been appointed by BMW Group South Africa for the BMW IT Hub in Menlyn Maine, Pretoria. Thorsten Achenbach will take over the role from Klaus von der Osten-Sacken, who is leaving the company, effective 1 July 2023. Achenbach has extensive experience in digital transformation and IT solutions for the automotive industry. BMW IT Hub is the development arm of BMW Group. Source: LinkedIn Achenbach will oversee the development and implementation of innovative IT solutions for the BMW Group worldwide, drawing on his IT industry experience for various international companies in New York, Japan, France, China and Germany before joining BMW. He joined the BMW Group in 2011 and managed a multi-project programme which included three main departments engineering, production and procurement. His most recent position being vice president IT, engineering and quality. Thorsten Achenbach. Source: Supplied He studied in Germany and holds a qualification in mechanical engineering and business administration. I am very excited to be in South Africa and look forward to joining hands with the local team to make the BMW IT Hub an industry leader," said Achenbach on his appointment I am pleased to welcome Mr Achenbach in his new role at the BMW IT Hub," commented Peter van Binsbergen, CEO BMW Group South Africa. "Mr Achenbach brings a vast portfolio and proven track record of excellence, particularly in the IT field, and we look forward to the continued success of the BMW IT Hub. The executive director of the South African Centre for Digital Language Resources (SADiLaR), Prof Langa Khumalo, was recently honoured for his contribution to multilingualism at the 1st International Conference on Language, Multilingualism, and Decolonisation Practices in Higher Education. Honour award recipient, Prof Langa Khumalo (executive director, SADiLaR) with Dr Nomalungelo Ngubane (director, Academy for Multilingualism, UFS) and Dr Engela van Staden (deputy academic vice-chancellor, UFS) Organised by the University of the Free States (UFS) Academy for Multilingualism from 12th to 14th of July 2023 at its Bloemfontein campus, the conference brought together scholars from 18 universities in South Africa, as well as from Namibia, the Netherlands and the US. Prof Khumalo, who was invited to be the keynote speaker for the conference gala dinner, was the recipient of the inaugural Academy for Multilingualism Recognition Award in celebration of his invaluable contribution to multilingualism on a national and international level. Advocate for linguistic social justice The purpose behind the awards is to recognise individuals who have made meaningful and impactful contributions in the development, intellectualisation and promotion of African Languages especially those that were previously marginalised for use as academic languages in the spaces of higher education, says Dr Nomalungelo Ngubane, director of the UFS Academy for Multilingualism. It is our hope that the awards, even though they are institutional, will inspire academics, scholars, practitioners, tutors, all other stakeholders to see the project of developing and promoting indigenous languages as important and urgent, she adds. Prof Khumalo was recognised for his impactful research, globally and nationally, on the development of Africa languages and their digitisation so that they can be accessible in different media forms to all users of these languages. We see him as a catalyst for the development and intellectualisation of all official African languages. "He is an advocate for linguistic social justice. His dedication to this project is inspirational and worthy to be recognised. At the UFS especially, he has been instrumental and supportive during the conceptualisation of the Sesotho terminology development. He gave powerful and transformative keynote talks at both the UFS Language Policy Seminar 2023 in June, and during the inaugural UFS Conference 2023, where he was recognised. Improving access to epistemologies Khumalo has served in several leading roles in Africa and Europe over the years to develop African languages as languages of research, innovation, teaching and learning in higher education. This includes the development of human language technologies and advances in machine learning, and other digital solutions. I was truly honoured to receive the Recognition Award, he says. It is as much an individual recognition as it is an important accentuation of the milestones that the country has achieved in advancing multilingualism in higher education. I think a number of universities in South Africa have done extremely well in improving the role and function of African languages to improve access to epistemologies. In his key note address, titled Language, Education and the Future, Khumalo posited that re-intellectualisation in the South African context means the radical transformation of the capacity and role of indigenous African languages in carrying and conveying all forms of knowledge in all spheres of life. The context of 4IR, and particularly the affordances of generative artificial intelligence, while it provides challenges, also presents opportunities for the attainment of such a feat through hastening the development of the much-needed digital language resources. Prof Khumalo is also celebrating the publication of a new article 'Making Open Scholarship More Equitable and Inclusive', which he co-authored and has been published in the Publications journal. Read it here: https://doi.org/10.3390/publications11030041. RMB Corvest, in direct partnership with Umoya Capital Partners, has recently acquired an interest in Sants Private Higher Education Institution. Photo by Mikhail Nilov via www.pexels.com Sants was established in 1997 and quickly evolved into a further education and training service provider, focusing on early childhood development and continuing teacher development programmes. In 2012, Sants registered with the Department of Education and Training (DHET) as a distance tertiary education provider focusing on Initial Teacher Education (ITE) programmes, offering a Diploma in Grade R Teaching, a Bachelor of Education in Foundation Phase and a Bachelor of Education in Intermediate Phase. Since establishment, Sants has presented various programmes and qualifications to more than 40,000 educators in South Africa. The distance education sector has proven to be one with significant growth opportunities and the business provides quality practice-driven distance teacher education and development. RMB Corvest and Umoya have jointly acquired a significant minority stake in the business. Understanding the ethos of entrepreneurial ownership, we value this type of investment. Partnering with current management who have a thorough understanding of the business and seek continued, organic growth through a long-term partnership resonates with us, says Matsebe Thulare, executive at RMB Corvest. The investment also furthers RMB Corvests BEE strategy through the partnership with Umoya Capital Partners. Considering the opportunities in the distance learning space and the demonstrable need for qualified teachers in the local context, the investment in Sants is timeous, says Sabelo Sithebe of Umoya Capital Partners. The need for teachers is expected to intensify given reports of the aging of our current teacher work force. Figures suggest that there will be a large wave of retirements peaking around 2030. There is an encouraging willingness from school leavers to pursue teaching qualifications. The job prospects, diverse subject matter in the programmes and opportunity to make social impact all make it an attractive career path for students. It is estimated that there were 4.2million applications for only 163 000 available first year places (in all programmes) at South Africa public universities in 2023. The unfortunate limitations of capacity and funding at public institutions, provide a compelling case for the relevance and growth prospects of teacher training focused private higher education institutions like Sants. Our business is underpinned by our current degrees and programmes, with clear additional growth avenues for us, says Jaco Bernard of Sants. We continue to deliver quality teacher education with each programme addressing the needs of the diverse South African education system. Our new private equity partners have experience in the education sector and this will be an advantage for us - leveraging their skills and knowledge. The programmes focus on quality at an appropriate cost, with an emphasis on ease of access and customer service for potential educators, providing the foundation for continued future growth, concludes Geoff Wilmot, executive: RMB Corvest. This, together with the business nuanced approach to language, provides for a business well suited to the South African context. All considered, an exciting partnership for us. The horizon of Africa's financial domain stands poised for an extraordinary metamorphosis, holding tantalizing prospects. A tapestry woven with digital banking, inclusive finance, AI-driven insurance, and blockchain innovations are set to redefine the landscape of the industry. The synergy of technology is erasing barriers, democratising financial services through mobile payment platforms and Central Bank Digital Currencies (CBDCs), thereby nurturing an ethos of inclusivity. Sustainability takes centre stage, as green investments and conscientious rewards cultivate trust, steering Africa toward a pioneering role in the global financial transformation. Our comprehensive report embarks on a profound exploration of the financial landscapes of Africa and South Africa, delving into humanised banking and the investment revolution. The report casts light on the metamorphosis of transactions fuelled by AI-powered insurance and digital currencies. To truly fathom the promising tapestry of Africa's financial future, we invite you to immerse yourself in the complete report, embracing a journey towards a brighter, all-encompassing, and technologically advanced financial terrain. Furthermore, within this larger African context, it is imperative to take note of Kenya's unique narrative. The aftermath of the Covid-19 pandemic has reverberated deeply within Kenya's market, giving rise to a persistent cost-of-living crisis that has left a significant imprint on its populace. This study presents an in-depth analysis of Kenya's financial landscape, spotlighting pivotal trends and its evolving nature. A cornerstone of these trends is the pivotal concept of financial wellness, a force propelling the others, demonstrating that consumers empowered to address their financial exigencies display heightened brand engagement and loyalty. Amidst persistent drought challenges, a call for ecologically conscious practices emerges, signifying a consumer predilection for brands attuned to crucial factors like rainfall patterns. This intricate web of interrelated trends underscores the strategic imperative for brands to invest in addressing consumer needs amidst the prevalent economic tapestry. As Kenyan consumers attain higher levels of financial literacy and wellness, their capacity to reinvest in brands grows fortified, kindled through enduring bonds forged during moments of economic hardship. To understand the specific Kenyan region, we invite you to access the full report, and chart the course toward a future illuminated by financial empowerment and transformation. Africa is home to two species of elephants: the African Savanna Bush elephant and the African forest elephant. These majestic animals are not only an iconic part of Africas natural heritage, but they also play a crucial role in maintaining the ecological balance of their respective habitats. In 2023, Africas elephants still face many serious threats like illegal hunting for ivory and meat, habitat loss and degradation, and human-elephant conflict. As with most wild animals, humans need to prioritise the conservation of the worlds elephants and their habitats. In and around areas like the Kruger National Park, the issue of elephant management is highly debated. It has become a controversial issue, as some argue that in these specific areas, there are too many elephants. Elephants are our ecological engineers, they play an incredibly important role in maintaining our landscape. In an ideal world, wed like to lean into the transfrontier parks initiative where they are dropping fences, as theyve done between Pridelands and Balule. There is a lot of research that still needs to be done to see how all these things affect the elephants," said David Havemann, EcoTraining training manager. As the battle for space is fast becoming one of the biggest challenges for both elephants and humans, the translocations of elephants in areas like the Kruger National Park is becoming one of the most effective solutions to safeguarding elephant populations and protecting the needs of surrounding communities. At EcoTraining], we are committed to providing our students with a deeper understanding of the natural world. We ensure that our students know animals like elephants are classed as a keystone species and play a crucial role in preserving and maintaining the biodiversity of the ecosystems they inhabit. It is up to all of us to find creative solutions in which we can minimise human-elephant conflict of all sorts and promote a peaceful coexistence, said EcoTraining managing director, Anton Lategan. There are many practical things we can all do to help secure the future of elephants on the African continent and around the world. What can I/we do? Raise awareness Starting a course with an organisation like EcoTraining is a great way to educate yourself and others about the challenges faced by elephants and the importance of their conservation. Another way of doing this is by sharing credible information on social media. Promote responsible tourism If you plan to visit regions where elephants live, choose responsible tour operators that prioritise the well-being of elephants and the conservation of their natural habitats. Engage with local communities Support projects that involve local communities in conservation efforts. Encouraging sustainable livelihoods and offering alternative sources of income can reduce the dependence on activities that are harmful to elephants. Combat the illegal wildlife trade Report any illegal wildlife activities to authorities or relevant organisations. Support measures to combat the illegal trade in elephant ivory and other elephant parts. By working together, we can all make a significant impact on the conservation of the elephant population and other endangered animal species. EcoTraining is the pioneer and leader in Africas safari guide and wildlife training. The safari industry widely recognises EcoTrainings credibility and standard of excellence in nature guide training. We offer accredited career courses, gap year and sabbatical programmes, nature programmes, high school and university study abroad programmes, custom courses and on-site professional guide training at safari lodges. All courses are run directly from EcoTrainings unfenced bush camps in prime wilderness areas across South Africa, Botswana, and Kenya. Partnerships between industry and academia are essential to bridge the gap between research and the development of products and services. That's what has brought the University of Pretoria's Department of Geography, Geoinformatics and Meteorology and geospatial information science company AfriGIS together in a collaboration that supports industry innovation. Dr Christel Hansen, senior lecturer, University of Pretoria Charl Fouche, chief operations officer at AfriGIS Christopher Ueckermann, data unit manager at AfriGIS Dr Christel Hansen, senior lecturer in the department, arranged practical workshops for honours students in Advanced Geographic Information Systems. The sessions were led by Charl Fouche, chief operations officer of AfriGIS, who also serves on the advisory committee of the University of Pretorias Geoinformatics programs, and Christopher Ueckermann, data unit manager at AfriGIS. Technical workshops provide hands-on experiences that allow our students to apply theoretical concepts to real-world scenarios, says Dr Hansen. Its a mutual exchange of knowledge and resources that helps to produce graduates who are better equipped with industry-relevant skills and promotes the development of solutions that address everyday problems. The first practical workshop took the students through an exercise to create from scratch a routable travel network in QGIS, an open-source geographic information system (GIS). Also known as a road network, this is a digital representation of the interconnected road or transport infrastructure within a geographic area. It consists of a set of interconnected road segments, intersections, and nodes that enable the calculation of travel routes and navigation between locations. It includes the information necessary for accurate routing and directions. And allows users to determine the most efficient and feasible routes for travel based on their preferences and constraints. The students were taken through the process of how to do data collection correctly and ensure its integrity, says Dr Hansen. This is fundamental to any GIS system and requires a significant amount of planning to get right. There was some frustration in the beginning, but they really appreciated being exposed to Charl and Christophers expertise and to have hands-on assistance from them. AfriGIS has long had a commitment to invest in skills training programmes through learnerships, support for youth skills development and its own internship programmes. We believe it is vital to bring together the theoretical and practical aspects of our sector, says Fouche. Correct data collection is vital in GIS to ensure accurate analysis, informed decision-making, data integration, collaboration, and long-term data maintenance. It forms the basis for reliable and effective geospatial information and supports the successful implementation of GIS in planning, resource allocation, infrastructure development, and environmental management. A follow-up workshop held at AfriGISs premises in Centurion further exposed the students to the real-world application of GIS, as well as AfriGISs industry leading software platform, data management system, web-based mapping applications, tools for geospatial analysis and modelling, hardware infrastructure and more. They also had the opportunity to talk to the AfriGIS team. The feedback from the students was extremely positive, says Dr Hansen. It was an experience that got them thinking about what it means to work in industry, what they can expect from the work environment, and which soft skills they need to develop, topics they are not often exposed to because of time constraints. For AfriGIS employees, the experience was equally positive. They have a wealth of knowledge and expertise and are so immersed in their work that they sometimes overlook its broader significance and how they are making a difference. Recognising the importance of their contributions and the value they bring to their profession helps our people to stay motivated, engaged, and committed to what we do, says Fouche. We look forward to continuing our collaboration with the university and playing our part in bringing together diverse expertise and promoting the development of the GIS industry in South Africa. In a sense Airlink has been forced to the forefront of the SA airline industry, but it hasn't all been plain sailing for this commercially vibrant operator with the carrier facing allegations of predatory pricing. Read more. On Thursday 10 August, a previously unidentified flying object descended from the heavens in Pietermaritzburg. It was the largest and most unusual commercial aeroplane ever seen at the citys small local airport. Airlink, which is the main carrier out of Pietermaritzburg, first unveiled the new Embraer E195 jetliner, resplendent in shiny black livery on a test flight out of OR Tambo on 13 July. The distinctive addition to the fleet made a grand touch down at Pietermaritzburg airport 28 days after its inaugural flight . Christa Fourie, who piloted the little black number has proudly declared it her new favourite in terms of looks and performance. The dramatic entrance was designed to bring attention to the airlines new rewards programme. Extra benefits for frequent flyers The frequent flyer programme, called Skybucks, launched in March 2023. It allows passengers four tiers of membership based on how many times they book Airlink flights. The levels are blue, red, emerald, and by-invitation-only black. Each tier offers increasing rewards up to a maximum value of 5% off the base rate plus YQ tax of each flight. Passengers who qualify for Skybucks Emerald also enjoy extra privileges, like: Priority check-in Priority boarding Priority baggage Slow lounge access Complimentary pre-seating and upgrades Airlink CEO, Rodger Foster, says the rewards programme, coupled with the striking new plane, highlights the airlines commitment to putting the glamour back into flying, and bringing back the allure of the skies. Challenging the competition Airlinks successes are not without criticism, though. The Airline is currently appearing before South Africas Competition Tribunal concerning its pricing between OR Tambo and Mthatha airports. The 2018 antitrust investigation hinges on three complaints, including one from the Fly Blue Crane airline, which operated from September 2015 to November 2016 out of OR Tambo. Fly Blue Crane launched with low-fare flights between Johannesburg and Kimberley, Cape Town, Bloemfontein, George, and Mthatha. The accusation is that Airlink abused its dominance on the Mthatha route by lowering its prices to force Blue Cranes exit from this route. The Competition Tribunal sought an administrative penalty comprising 10% of Airlinks annual turnover before referring the matter to the Competition Tribunal. Airlink disputes these accusations along with others accusing the airline of charging exorbitant prices along the Mthatha route. Meanwhile, the Mthatha-based OR Tambo District Chamber of Business is pleading with Airlink to reduce its prices and remain as an operator on the Johannesburg-Mthatha route. The matter commenced on 7 August and should run its course by 18 August. Onward and upward Despite this challenge, Airlink is forging ahead with its expansion into Africa. The following are recent developments on this front: New Malawi route In September, Airlink will launch flights between South Africa and Malawis Blantyre and Lilongwe airports. This makes Malawi the sixteenth African country among the airlines offering. Apart from its obvious touristic benefits, the flights also serve the aims of Malawis Growth and Development Strategy. Flights to Lilongwe Kamuzu Airport will take place on Mondays, Wednesdays, and Fridays, while the service to Blantyre Chileka Airport will run on Tuesdays, Thursdays, and Sundays. In both cases, return flights will depart on the same day as the inbound flights. Daily Nairobi flights In April 2023, Airlink became the first private sector airline to offer direct flights from Johannesburg to Nairobi. This service supports South Africas removal of visa requirement for Kenyan visitors and provides a vital connection between these major African economies. The flights depart daily from OR Tambo and return from Nairobi on the same day. The use of crypto for illegal activities has been a topic of concern since the early days of this new form of digital currency. The public's perception of cryptocurrencies as being inherently linked to criminal activities (such as money laundering, drug trafficking, and cybercrime) can largely be traced back to the early media coverage around cryptocurrency - specifically the infamous Silk Road marketplace. Silk Road was an online black market that operated on the dark web from 2011 to 2013, offering a platform for the anonymous buying and selling of illegal goods and services using Bitcoin. The marketplace was notorious for its involvement in drug trafficking, and the association between crypto and Silk Road's illicit activities contributed to the negative reputation of cryptocurrencies in the mainstream media. The perceived anonymity and decentralisation of crypto have given rise to concerns that they facilitate criminal activity. Many media outlets often choose to focus on high-profile cases of crypto-related crimes, furthering the idea that digital assets are mostly used by those seeking to engage in illegal activities while avoiding detection. Data shows that crypto is mainly used by ordinary people The reality is that crypto is primarily used by ordinary people and exists as a legitimate tool for a variety of everyday transactions. Binance alone has more than 120 million registered users. As with any emerging (or existing) technology, criminals will always use it for nefarious purposes. That said, illicit activity comprised just ~0.15% of crypto transactions in 2021 down from 0.62% in 2020 despite the industrys exponential growth and money laundering accounted for 0.05%. And dont just take our word for it. This is data from Chainalysis, an independent blockchain analysis company. Chainalysis data is often used by government agencies, including the United States Federal Bureau of Investigation (FBI), Drug Enforcement Administration (DEA), and Internal Revenue Service Criminal Investigation (IRS CI), as well as the UK's National Crime Agency (NCA), to investigate and combat crypto-related crimes. In the traditional fiat space, close to $800bn to $2tn is laundered every year, which is around 2-5% of the global GDP as reported by the United Nations Office on Drugs and Crime (UNODC). Compare that to crypto, and the amount is a minuscule 0.03% of that. Criminals dont like crypto because the fact that the transactions are publicly and permanently recorded actually enables investigators. In contrast with traditional financial investigations, the transparent nature of crypto makes it easier to identify bad actors. Criminals dont like transparency Blockchain is inherently transparent. All transaction data is recorded in a public ledger. Anyone at any time can examine the entire codebase. Using crypto for nefarious purposes leaves an excellent paper trail for prosecutors to lock in a conviction. Europol and the Basel Institute on Governance have stated that crypto is key to tackling organized crime. You simply cannot move large amounts of money around without getting noticed. In fact, crypto exchanges continue to be one of the primary allies in the fight against criminal activity. For example, in 2021, Binance helped take down a cybercriminal ring laundering $500 million in ransomware attacks. Law enforcement agencies remain the spearhead of the collective fight against crime. Acquiring the required resources, skills, and tools, as well as partnering closely with crypto companies, has been a top priority for agencies globally. In the US, the Treasury Dept has asked for more funding to track and fight crypto crime, and the DoJ and FBI have set up dedicated national cryptocurrency enforcement task forces. In addition, the Financial Action Task Force (FATF), the global money laundering and terrorist financing watchdog, has issued standards for virtual assets mirroring the ones for fiat. But implementation has lagged behind: out of 200 countries committed to FATF standards, only 19 have implemented the one for virtual assets (as of March 2023). Final thoughts The idea that crypto is primarily a hotbed of illicit activity is grossly overstated. In fact, the vast majority of crypto transactions and investments are legitimate and focused on real-world use cases with the potential to transform the global economy. The emergence of blockchain tech has opened up new opportunities for financial innovation, and cryptocurrencies are just one aspect of this rapidly evolving landscape. From decentralised finance (DeFi) to non-fungible tokens (NFTs), the potential applications of crypto and blockchain technology are vast and varied. We have only scratched the surface of what is possible. While there are certainly risks and challenges, its important to approach this exciting new tech with an open mind and a willingness to learn and adapt in order to fully realize its potential for positive impact. We should also have the appropriate guardrails in place to try and eliminate bad actors something no financial services ecosystem is immune to. Fact: Crypto is primarily used by ordinary people. Independent data shows that just 0.15% of crypto transactions involve illicit activity. If youre a criminal, youre more likely to get caught using crypto than if you use cash or the traditional finance system. August 15/16, 2023 - Information Clearing House - " Rolling Stone " -- Fulton County District Attorney Fani Willis Donald Trump on Monday for working to overturn the 2020 presidential election in Georgia, just weeks after Special Counsel Jack Smith indicted him on federal charges for his efforts to subvert the democratic process nationwide. It all seems pretty damning, but the wily former president has an ace up his sleeve: Hes going to simply prove the election was rigged and convince Willis and Smith to drop the charges. A Large, Complex, Detailed but Irrefutable REPORT on the Presidential Election Fraud which took place in Georgia is almost complete & will be presented by me at a major News Conference at 11:00 A.M. on Monday of next week in Bedminster, New Jersey, Trump announced Tuesday morning on Truth Social. Based on the results of this CONCLUSIVE Report, all charges should be dropped against me & others There will be a complete EXONERATION! They never went after those that Rigged the Election. They only went after those that fought to find the RIGGERS! Click Here To Get Our FREE Newsletter The post came hours after Trump railed late at night against an out of control and very corrupt Willis. Why didnt they Indict 2.5 years ago? he griped. Because they wanted to do it right in the middle of my political campaign. Witch Hunt! Trending Willis didnt indict Trump two-and-a-half years ago because an investigation this sprawling takes a long time to actually complete. It may make more sense to ask why Trump has waited so long to prove the election was stolen. It must take just as long to complete such a Large, Complex, Detailed but Irrefutable REPORT, one that must be chock-full of new information all of the other investigative bodies who worked to uncover proof of fraud were unable to obtain. WITCH HUNT! the former president added in a separate post after the announcement. Nederburg has teamed up with Chef Nti who has come up with exciting new flavour-popping kasi recipes to mark the 50th anniversary of the winery's red blend, Baronne. Chef Nti has come up with recipes for its 50th anniversary. Source: Supplied. Based on annual domestic volumes sold, the equivalent of a bottle of Baronne is opened somewhere in the country every 15 seconds. Inspiration Chef Nti, or to call her by her full name, Nthabiseng Ramaboa, has been inspired by the wines succulent, smooth spiciness, and mouth-filling palate to develop a suite of new-generation, street-style dishes. The high-profile fashionista and food influencer is widely followed for her imaginative foodie TV and social media content that recasts traditional township favourites with innovative flair. Shes the host of Street Food in Africa, airing in over 140 countries on the Food Network channel, sharing South African flavours and culinary culture with the world. Not surprising then, that diners queue up to attend her bespoke dinners at her award-winning Taste Kitchen venue in Jozi. Heritage For Nederburg she has developed a selection of Baronne-friendly dishes that, like the wine itself, blend heritage and modernity with delicious results. Maize, for example, gets a huge shout-out from her. In her debut cookbook, Chef Nti - My Modern African Kitchen, she called it not only as an essential part of South African culinary heritage but also as one of the few equalisers in our beautiful and diverse country. I want to instil a sense of pride in what we grew up eating. Now shes turning to ditlwadi, lerotse, morogo and other indigenous heritage ingredients, encouraging us to honour our culinary past with fresh and original takes on old favourites. But, always mindful that the dishes and techniques should be fun and accessible, shes identified substitutes for cooks who cant yet find the originals. Nederburg hopes that will soon change, though. It is participating in a multi-party project to protect and preserve indigenous vegetables, fruits, pulses and grains. The winery is working with the South African Chefs Association and two NGOs - Harvesting Heritage and SocioTech BBL - to support small-scale farmers in cultivating these ingredients and bringing them to market for professional and home cooks. Nederburg dates back to 1791 and has a long and proud South African provenance, says senior brand spokesperson Jackie Olivier. We value cultural and culinary heritage and invest in ideas and people who, like us, seek to conserve traditions while infusing them with contemporary technology, research and thinking. The Chief Inspector of Mines has issued an updated guideline outlining considerations that mines should incorporate into Mandatory Codes of Practice to tackle the health and safety concerns that women have faced from using PPE designed for men. Image source: Kindel Media from Pexels On 28 July 2023, the Chief Inspector of Mines introduced a new Guideline for a Mandatory Code of Practice (COP) for the Selection and Provision of Personal Protective Equipment (PPE) for Women in the South African Mining Industry (2023 Guideline). While the 2023 Guideline retains the core elements of the version first published in 2015 under section 49(6) of the Mine Health and Safety Act (MHSA), the new guideline seeks to address the very real body issues faced by women in mining (WIM). In previously male-centric work environments, the impact that PPE has on menstrual health as well as women's dignity is a much-skirted topic. Unique requirements The 2023 Guideline recognises the importance of addressing women's unique health and safety concerns around work attire, particularly ill-fitting PPE designed for male proportions, which can impact their comfort, mobility, and well-being in the mining industry. The objective of the guideline is to provide a framework within which all South African mines must compile and implement a mandatory code of practice addressing the provision of PPE for WIM. It should take into account the unique health and safety concerns faced by women in various work roles, from underground miners to engineers and managers. The 2023 Guideline expands the scope beyond the provision of PPE and now provides guidelines on the selection of PPE as well. The 2023 Guideline introduces an important emphasis on the establishment of WIM structures at mine sites. These structures must reflect technical, professional, and managerial demographics, ensuring that women in various roles are included. This will foster a more inclusive approach to addressing gender-related issues related to occupational health and safety and PPE. Associated health risks A significant addition in the 2023 Guideline is a summary of the SIMRAC Research Project SIM 10 09 04, which identified safety concerns related to PPE use for WIM. The research project revealed that female mineworkers have often encountered challenges related to using the bathroom or changing their sanitary products while wearing standard issue PPE. Ill-fitting PPE, such as overalls designed to male proportions, can be cumbersome to remove, making it difficult for women to address their sanitary needs. The research project found that this resulted in many women avoiding going to the bathroom or drinking water while on site. The health risks associated with dehydration (especially in high heat environments), ignoring the urge to urinate, and prolonged gaps between changing sanitary products can drastically affect the health, safety, and comfort of WIM. Inclusive input Building on the findings of the SIMRAC research, the 2023 Guideline emphasises the need for greater consultation with female employees before selecting and procuring PPE to ensure that the selected protective equipment is suitable for WIM, considering their anatomical and physiological attributes. For example, it may be appropriate to give women the option to wear a two-piece overall, depending on the working environment. There is also a possible link between certain types of PPE used by women and an increased vulnerability to skin conditions such as contact dermatitis, chafing, rashes, and infections. The research highlighted the health and safety risks associated with the coping mechanisms that women developed in response to wearing ill-fitting PPE designed and sized for men, such as lesions from wearing thick woollen socks to improve the fit of large safety boots. Designated facilities The SIMRAC research places significant emphasis on the need for adequate sanitary amenities at mine sites. Historically, mining facilities lacked separate toilet facilities for women. However, the increased presence of women in mining has highlighted the importance of dedicated and private female toilets, not only to attend to womens sanitary needs but also to guard against gender-based harassment and associated safety concerns. The updated guideline recommends providing additional separate toilets per work section to ensure privacy, protection, and dignity for female mineworkers. Such toilets should be equipped with sanitary bins that are regularly emptied to dispose of sanitary products. Proper waste management in these facilities ensures hygiene and reduces health risks for WIM. In addition, facilities should be equipped with toilet paper and hand washing facilities. Access to clean water is crucial in reducing the risk of infections, particularly for those who are menstruating. Well-lit areas surrounding toilets ensure safety for women users, especially during night shifts or in underground environments. Catering to all shapes and sizes To improve the provision of appropriate PPE for WIM, the 2023 Guideline advocates an approach towards selecting and providing PPE that considers ergonomics and comfort, taking into account the dimensions and individual attributes of female workers. A woman's body should not be a barrier to her career in mining. Sourcing PPE from manufacturers and suppliers that accommodates the broad range of sizes and designs that better suit the female anatomy is best done in consultation with WIM. Employers in the mining sector should review their COP for the provision of PPE for women to update it with the more detailed framework provided in the 2023 Guideline. By addressing the challenges identified during the implementation of the 2015 Guideline, this updated version represents a positive step towards progress and gender equality in the industry, fostering a safer and more inclusive work environment for WIM. Award-winning singer, Monique Hellenberg is the voice behind Goldfish's No 1 Hit, This is how it goes and was a contestant on The Voice South Africa in 2017. She is now a lecturer in Jazz & Contemporary Voice at Nelson Mandela University. Her latest single Saw It, Wrote It, Got It is now available on Spotify, iTunes and YouTube. Image supplied This song is about stepping into the life one dreams of. Dream it, put into action and then get it. It's also about simply appreciating what we have around us and knowing we are all loved and supported. A portion of the proceeds will go to Rainbow of Hope Childrens Home in Cape Town, for which she is an ambassador. We recently chatted... What is your purpose? To inspire and uplift through music. What does music mean to you? Music can create vibrations that can literally change us. My music is about Stepping into the life one dreams of. Dream it, put in the action and then get it! What is your motto? #AuntforLife! Fame is about Being able to reach a larger audience than most have access to. Retirement will happen when From music? Never! I don't do Playing psychological games. I would love to co-write with Bruno Mars, Daniela Andrade, Daniel Caesar, Jarrad Rickette, Emma Van Heyn, Tamia, Mariah Carey, Toni Braxton, Babyface, Mark Ronson, Laura Mvula, Tina Schouw or David Foster. Where do you go for inspiration to create? Usually home. Nowadays, Ive been co-creating music through Circle songs with my Nelson Mandela University students. Who knows what that could lead to? What is the most enjoyable aspect of your work? There are so many enjoyable aspects, so here goes, dressing glamorously, performing live, meeting and connecting with wonderful people from all over the world and sharing our stories with one another. The song you must do during every show? Since I am living in a small city, most of the time I vary my repertoire and dont repeat the same repertoire very regularly but in the past, my go-to songs would have been Saving All My Love by Whitney Houston and Georgia by Ray Charles. Any funny moments on stage? There are so many! Shaun Johannes used to make me laugh at some of our gigs by making guiro sounds while I was singing. My heroes are Tina Schouw, Daniela Andrade, Mariah Carey, Tamia, Whitney Houston, Bruno Mars, Toni Braxton and Doja Cat. My style icon is Toni Braxton. Which living person do you admire most and why? My parents. They have managed to achieve so much despite all odds since they grew up during the apartheid era. Image supplied What is your most treasured possession? My AKG40 microphone. It's your round, what are you drinking? Tequila, Sauvignon Blanc, Chardonnay OR Merlot wine. Dream gig to do? Singing at the Grammy Awards. What makes you stand out? I feel I am able to display a good balance between being a natural and trained musician. As you can tell by now, I also have a silly sense of humor that often comes out during live performances. Any nicknames? Nique, Niquoid, Mo, Momo, Moniqua, The Monique Showthe list goes on. If you were not a musician, what would you do? I would lecture singing full-time; wait a minute...Im already doing that! Pick five words to describe yourself? Thoughtful; organised; determined, grateful and happy. 5 must have songs on your Spotify playlist please Eyes for You - Maya Spector So Into You - Tamia Long As I Live - Toni Braxton Lay with You - El De Barge ft. Faith Evans Endless Lawns - Kurt Elling Greatest Movie Ever Made? The Matrix What book are you reading? The Story of South African Jazz: Volume 1 by Struan Douglas. What song changed your life? This is how it goes with Goldfish Who do you love? My family, friends, students and my audiences. What is your favorite word? Yes Top of your bucket list? Visit China again Your greatest achievement? Being hired as a full-time lecturer in Jazz & Contemporary Voice at Nelson Mandela University in 2023. What do you complain about most often? Inequality, greed and loadshedding. What is your biggest fear? Id prefer not to say. Happiness is Living life to the full. On stage, I tend to Step into my diva persona. The best life lesson you have learned? The most important and challenging one is that, the only thing in life that is certain, is change. What has been your favorite journey so far? Living in China mid-2017 until the end of 2018; currently my new favorite journey is where Im at right now. Do you do charity work, and if you do what do you do? I am an ambassador for Rainbow of Hope Childrens Home based in Cape Town. Wishes and dreams? There are so many! Most of all, I wish everyone would be kinder to one another and to our beautiful planet. Life is meant to be wonderful and it can be so, if we could simply be more considerate of those around us, especially our Mother Earth. Social media YouTube Twitter Instagram Facebook Oh Georgia, no peace I find (no peace I find). Those lyrics made famous by the late, great Ray Charles could have been written for former president Donald Trump this week as he awaits his expected fourth indictment. The long-anticipated indictment by Fulton County District Attorney Fani Willis is expected in the coming days and will focus on alleged election tampering and related offenses in the 2020 presidential election. If indictments were treated like frequent flyer miles, Donald Trump would get the Georgia indictment for free. However, it will be anything but costless. Regardless of the merits, it will magnify both the cost and complications for Trump. Like the New York indictment, a Georgia indictment would not be subject to a presidential pardon. Not only have GOP candidates indicated that they would pardon Trump on any federal charges if elected to the presidency, Trump could pardon himself (including a preemptive pardon before trial) if elected but that power does not reach state convictions. As with Manhattan District Attorney Alvin Bragg, many view Willis as a Democratic prosecutor pursuing the highly unpopular former president. However, given the three grand juries and the three years that have passed, Willis may have found new evidence or witnesses that could tie Trump to criminal conduct in seeking to challenge the results in the election. Thus far, the focus has been on the controversial call that Trump had with Georgia officials a call widely cited as indisputable evidence of an effort at voting fraud. Yet, the call was similar to a settlement discussion, as state officials and the Trump team hashed out their differences and a Trump demand for a statewide recount. Trump had lost the state by less than 12,000 votes. That might be what he meant when he stated, I just want to find 11,780 votes, which is one more than we have because we won the state. While others have portrayed the statement as a raw call for fabricating the votes, it seems more likely that Trump was swatting back claims that there was no value to a statewide recount by pointing out that he wouldnt have to find a statistically high number of votes to change the outcome of the election. It is telling that many politicians and pundits refuse to even acknowledge that obvious alternate meaning. For Trumps part, he is not helping with his signature, all-caps social media attacks. In addition to attacking Willis for a supposedly racist and unethical past, Trump recently declared that Willis wants to indict me for a perfect phone call; this was even better than my perfect call on Ukraine. I have previously disagreed with the claimed perfection of that Ukraine call, the subject of Trumps first impeachment. However, neither call needs to be perfect to be protected. The importance made of the call in the likely Georgia indictment will be one of the greatest tells as to what Willis has in terms of evidence. If the call is a critical linchpin to the prosecution, it will look like a political stunt out of the Bragg-school of prosecution. There have also been stories indicating that Willis is focusing on connections of Trump team members like Rudy Giuliani to a breach of the voting system on Jan. 7, 2021. The team was seeking access to the voting machines to show that they could be compromised or manipulated. Text messages state that the team secured an invitation to examine the machines in Coffee County. Click Here To Get Our FREE Newsletter That invitation was reportedly from a Coffee County elections official, who also reportedly claimed, incorrectly, that votes could be easily flipped from Trump to Biden. Coffee County was also discussed as an example of voting irregularities to justify a proposed draft executive order to seize voting machines. However, that order was never sent out. The problem is that these messages also apparently refer to voluntary access and that may have been what was conveyed to Trump. One message reads: Most immediately, we were just granted access by written invitation! to Coffee Countys systems. Yay! Yet, the Coffee County allegations highlight another risk in the Georgia prosecution. There are clearly a number of people beyond Trump who are being targeted, including his lawyers Rudy Giuliani and Sidney Powell. Indictments can unnerve associates who lack the money or support of Trump. That can lead to flipping key figures to offer state evidence. The greatest challenge for Georgia is to offer a discernible limiting principle on when challenges in close elections are permissible and when they are criminal. There is a relatively short period between the presidential election in November and counting of electoral votes in January. That means that challenges are often made on incomplete data or unresolved allegations. Generally, candidates are suing election officials who control the machines, data, and other evidence needed to make a case. They often (as they did in 2020) resist demands for access to evidence. That is not to excuse the claims made by the Trump team. In the coverage after the election, I criticized both sides. I could not understand how many experts were declaring that there was no evidence of voting irregularities a day after the election, before any data were available. However, I also said that the Trump campaign had failed to supply such evidence in critical court filings. I also publicly disagreed with Trumps fraud claims. It is important for campaigns to seek judicial review of election challenges without fear of prosecution. Some Democratic lawyers after 2020 made their own controversial (and unsuccessful) allegations of machines flipping or altering election outcomes. No one suggested that they should be criminally charged or disbarred. The pile-on of prosecutions could create a chilling effect for campaigns in seeking recounts and reviews in close elections. That does not mean that there may not be evidence of knowing fraud or criminal wrongdoing. However, another anemic filing like the one in New York will only fuel the deep political divisions and unrest in the country. It needs to be clearly based on a desire for justice, rather than just deserts. For Trump, of course, he may feel that (as Ray Charles sang) it always seems that the road leads back to you for Democratic prosecutors. That itself is not a problem so long as the road is both straight and well laid. Jonathan Turley, an attorney, constitutional law scholar and legal analyst, is the Shapiro Chair for Public Interest Law at The George Washington University Law School. 2024 presidential candidate RFK Jr. arguably the most censored man in American history, even surpassing Trump by my reckoning has launched a lawsuit against Google for working to censor him in a capacity as a "state actor" in collusion with the Brandon regime and the intelligence community. Per RFK Jr's campaign site, "[the presidential candidate] alleges that Google worked with the federal government to develop and enforce misinformation policies to censor the governments political opponents, including Kennedy, who is running against President Biden in the Democratic primary. Such actions violate the First Amendment when, as here, they result from a public-private partnership that relies on government sources. https://twitter.com/RobertKennedyJr/status/1687610443676954625?s=03 And therein lies the crux: the constant refrain from the corporate state is that when an entity such as Google suppresses speech, it is not a violation of First Amendment protections because Google is ostensibly a private sector actor, and the Constitution only constrains government censorship per se. Via Independent (UK): "Robert F Kennedy has claimed* his anti-vaxx interviews are being censored by YouTube and Google in a new lawsuit which accuses the big tech behemoths of being 'state actors'. The presidential candidate filed a 27-page complaint in California on Wednesday alleging the sites had caved to pressure to silence him by the federal government and his Democratic primary rival President Joe Biden." *Note the intentional use of the term "claimed," used here in order to establish doubt at the outset about the veracity of Kennedy's claims, which are readily verifiable were The Independent interested in doing actual journalism rather than obfuscation on behalf of the technocracy. Continuing: "Mr Kennedys lawyers alleged in the court filing that his misinformation about Covid vaccines was being censored through overt and covert means. 'Under these circumstances, YouTube is a state actor and it violated Mr Kennedys First Amendment rights by engaging in viewpoint discrimination,' [the suit states]." In the modern era, there is no longer any meaningful distinction between the multinational corporations that run the US government in concert with multinational organizations such as the WEF and "nonprofit" multinational NGOs and the government itself. For all intents and purposes, they are one and the same the textbook definition, incidentally, of fascism, per Benito Mussolini. Google is the government, and the government is Google, no matter what the diverse White House Press Secretary or Ayn Rand fans say to the contrary. Ben Bartee, author of Broken English Teacher: Notes From Exile, is an independent Bangkok-based American journalist with opposable thumbs. Follow his stuff Substack if you are inclined to support independent journalism free of corporate slant. Also, keep tabs via Twitter. For hip Armageddon Prose t-shirts, hats, etc., peruse the merch store. Insta-tip jar and Bitcoin public address: bc1qvq4hgnx3eu09e0m2kk5uanxnm8ljfmpefwhawv Hong Kong: Security chief leads Xian study tour Led by Secretary for Security Tang Ping-keung, members of the Security Bureau Youth Uniformed Group Leaders Forum and non-Chinese speaking students of Project Lighthouse completed a three-day visit and exchange in Xian in Shaanxi Province today. After arriving in Xian on Monday, the group visited various heritage and historic landmarks including Emperor Qinshihuangs Mausoleum Site Museum and Shaanxi History Museum. During the next day, they met Standing Committee Member & CPC Shaanxi Provincial Committee United Front Work Department Head Li Mingyuan. Also in attendance was Security Bureau Youth Uniformed Group Leaders Forum Chairman Cheng Cheung-ling. While thanking them for their support and co-ordination work for the study tour, Mr Tang said the members had benefited from the rich programme and gained a good understanding about the world-class historic landmarks and heritage in Shaanxi, allowing them to realise the importance the country attaches to heritage conservation and inheritance as well as the long Chinese history. The group today visited iHARBOUR of Xian Jiaotong University to learn about the countrys advanced technologies and toured the Yisushe Theaters cultural block to discover the arts and culture of Qinqiang. They also met Secretary of Communist Youth League Shaanxi Provincial Committee & Chairman of Provincial Youth Federation Xu Yongsheng. Apart from sharing their thoughts at the meeting, youth representatives noted that the journey enhanced their knowledge about the countrys development and culture. While Mr Tang returned to Hong Kong today, the youth members will depart tomorrow for a two-day visit to Yanan where Permanent Secretary for Security Patrick Li will lead the group to visit and attend a class at the Mausoleum of Yellow Emperor. Additionally, they will hear a lecture at Yanan University with the goal of studying the Yanan spirit and its value in modern times. Following the study tour in Yanan, the group will begin a two-day visit to Beijing where Mr Tang plans to join them. This story has been published on: 2023-08-16. To contact the author, please use the contact details within the article. August 15/16, 2023 - Information Clearing House - " Scheerpost " -- The long nightmare of oppression of Palestinians is not a tangential issue. It is a black and white issue of a settler-colonial state imposing a military occupation, horrific violence and apartheid, backed by billions of U.S. dollars, on the indigenous population of Palestine. It is the all powerful against the all powerless. Israel uses its modern weaponry against a captive population that has no army, no navy, no air force, no mechanized military units, no command and control and no heavy artillery, while pretending intermittent acts of wholesale slaughter are wars. The crude rockets fired at Israel by Hamas and other Palestinian resistance organizations a war crime because they target civilians are not remotely comparable to the 2,000 pound bunker-buster Mark-84 bombs with a kill radius of over 32 yards and which create a supersonic wave of pressure when they explode that have been dropped by Israel on crowded Palestinian neighborhoods, the thousands of Palestinian killed and wounded and the targeted destruction of basic infrastructure, including electrical grids and water purification plants. Palestinians in Gaza live in an open air prison that is one of the most densely populated spots on the planet. They are denied passports and travel documents. Malnutrition is endemic in the Occupied Territories. High proportions of the Palestinian population are deficient in vitamins A, D, and E, which play key roles in vision, bone health, and immune function, according to a 2022 World Bank report. The report also notes that over 50 percent of those aged six to 23 in Gaza and over half of its pregnant women are anemic and more than a quarter of pregnant women and more than a quarter of children aged 623 months [in the West Bank are] anemic. Eighty-eight percent of Gazas children suffer from depression, following 15 years of the Israeli blockade, according to a 2022 report from Save the Children and over 51 percent of children were diagnosed with PTSD following the third major war on Gaza in 2014. Only 4.3 percent of the water in Gaza is considered fit for human consumption. Palestinians in Gaza are crammed into unsanitary and overcrowded hovels. They often lack basic medical care. Unemployment rates are among the highest in the world at 46.6 percent. Zionisms goal, since before Israels inception, has been to displace Palestinians from their land and reduce those who remain to a struggle for basic subsistence, as Israeli historian Professor Ilan Pappe, notes: 10 March 1948, a group of eleven men, veteran Zionist leaders together with young military Jewish officers, put the final touches on a plan for the ethnic cleansing of Palestine. That same evening, military orders were dispatched to units on the ground to prepare for the systematic expulsion of Palestinians from vast areas of the country. The orders came with a detailed description of the methods to be used to forcibly evict the people: large-scale intimidation; laying siege to and bombarding villages and population centers; setting fire to homes, properties, and goods; expelling residents; demolishing homes; and, finally, planting mines in the rubble to prevent the expelled inhabitants from returning. Each unit was issued its own list of villages and neighborhoods to target in keeping with the master plan. Code-named Plan D (Dalet in Hebrew) Once the plan was finalized, it took six months to complete the mission. When it was over, more than half of Palestines native population, over 750,000 people, had been uprooted, 531 villages had been destroyed, and 11 urban neighborhoods had been emptied of their inhabitants. These political and historical facts, which I reported on as an Arabic speaker for seven years, four of them as The Middle East Bureau Chief for The New York Times, are hard to ignore. Even from a distance. Click Here To Get Our FREE Newsletter I watched Israeli soldiers taunt boys in Arabic over the loudspeakers of their armored jeep in the Khan Younis refugee camp in Gaza. The boys, about 10 years old, then threw stones at an Israeli vehicle. The soldiers opened fire, killing some, wounding others. In the Israeli lexicon this becomes children caught in crossfire. I was in Gaza when F-16 attack jets dropped 1,000-pound iron fragmentation bombs on densely packed neighborhoods. I saw the corpses of the victims, including children, lined up in neat rows. This became a surgical strike on a bomb-making factory. I watched Israel demolish homes and apartment blocks to create buffer zones between the Palestinians and Israeli troops. I interviewed destitute families camped in the rubble of their homes. The destruction becomes the demolition of the homes of terrorists. I stood in the bombed remains of schools as well as medical clinics and mosques. I heard Israel claim that errant rockets or mortar fire from the Palestinians caused these and other deaths, or that the attacked spots were being used as arms depots or launching sites. I, along with every other reporter I know who has worked in Gaza, have never seen any evidence that Hamas uses civilians as human shields. Ironically, there is evidence of the Israeli military using Palestinians as human shields, which Israels High Court deemed illegal in 2005. There is a perverted logic to Israels use of the Big Lie Groe Luge. The Big Lie feeds the two reactions Israel seeks to elicit racism among its supporters and terror among its victims. There is a heavy political price to pay for defying Israel, whose overt interference in our political process makes the most tepid protests about Israeli policy a political death wish. The Palestinians are poor, forgotten and alone. And this is why the defiance of Israels treatment of the Palestinians is the central issue facing any politician who claims to speak on behalf of the vulnerable and the marginalized. To stand up to Israel has a political cost few, including Robert F. Kennedy Jr., are willing to pay. But if you do stand up, it singles you out as someone who puts principles before expediency, who is willing to fight for the wretched of the earth and, if necessary, sacrifice your political future to retain your integrity. Kennedy fails this crucial test of political and moral courage. Kennedy, instead, regurgitates every lie, every racist trope, every distortion of history and every demeaning comment about the backwardness of the Palestinian people peddled by the most retrograde and far-right elements of Israeli society. He peddles the myth of what Pappe calls Fantasy Israel. This alone discredits him as a progressive candidate. It calls into question his judgment and sincerity. It makes him another Democratic Party hack who dances to the macabre tune the Israeli government plays. Kennedy has vowed to make the moral case for Israel, which is the equivalent of making the moral case for apartheid South Africa. He repeats, almost verbatim, talking points from the Israeli propaganda playbook put together by the Republican pollster and political strategist, Frank Luntz. The 112-page study, marked not for distribution or publication, which was leaked to Newsweek, was commissioned by The Israel Project. It was written in the aftermath of Operation Cast Lead in December 2008 and January 2009 when 1,387 Palestinians and nine Israelis were killed. The strategy document is the blueprint for how Israeli politicians and lobbyists sell Israel. It exposes the wide gap between what Israeli politicians say and what they know to be the truth. It is tailored to tell the outside world, especially Americans, what they want to hear. The report is required reading for anyone attempting to deal with the Israeli propaganda machine. The document, for example, suggests telling the outside world that Israel has a right to defensible borders, but advises Israelis to refuse to define what the borders should be. It advises Israeli politicians to justify the refusal by Israel to allow 750,000 Palestinians and their descendants, who were expelled from their country during the 1948 war, to return home, although the right of return is guaranteed under international law, by referring to this right as a demand. It also recommends arguing that Palestinians are seeking mass migrations to seize land inside Israel. It suggests mentioning the hundreds of thousands of Jewish refugees from Iraq, Syria and Egypt, who fled anti-Semitism and violence in the Arab world after the creation of the Jewish state. The document recommends saying these refugees also left property behind, in essence justifying the Israeli pogrom by the pogrom Arab states carried out after 1948. It recommends blaming the poverty among Palestinians on Arab nations that have not provided a better life for Palestinians. What is most cynical about the report is the tactic of expressing a faux sympathy for the Palestinians, who are blamed for their own oppression. Show Empathy for BOTH sides! the document reads. The goal of pro-Israel communications is not simply to make people who already love Israel feel good about that decision. The goal is to win new hearts and minds for Israel without losing the support Israel already has. It says that this tactic will disarm audiences. I doubt Kennedy has read or heard of Luntzs report. But he has been spoon-fed its talking points and naively spits them back. Israel only wants peace. Israel does not engage in torture. Israel is not an apartheid state. Israel gives Israeli Arabs political and civic rights they do not have in other parts of the Middle East. Palestinians are not deliberately targeted by the Israeli Defense Forces (IDF). Israel respects civil liberties and gender and marriage rights. Israel has the best judiciary in the world. Kennedy makes other claims, such as his bizarre statement that the Palestinian Authority pays Palestinians to kill Jews anywhere in the world along with falsifications of elemental Middle Eastern history, which are so absurd I will ignore them. But I list below examples from the volumes of evidence that implode the Luntz-inspired talking points Kennedy repeats on behalf of the Israel lobby, not that any evidence can probably puncture his self-serving attachment to Fantasy Israel. Apartheid The 2017 U.N. report: Israeli Practices towards the Palestinian People and the Question of Apartheid concludes that Israel has established an apartheid regime that dominates the Palestinian people as a whole. Since 1967, Palestinians as a people have lived in what the report refers to as four domains, in which the fragments of the Palestinian population are ostensibly treated differently but share in common the racial oppression that results from the apartheid regime. Those domains are: 1. Civil law, with special restrictions, governing Palestinians who live as citizens of Israel; 2. Permanent residency law governing Palestinians living in the city of Jerusalem; 3. Military law governing Palestinians, including those in refugee camps, living since 1967 under conditions of belligerent occupation in the West Bank and Gaza Strip; 4. Policy to preclude the return of Palestinians, whether refugees or exiles, living outside territory under Israels control. On 19 July 2018, the Israeli Knesset voted to approve the Jewish Nation-State Basic Law, constitutionally enshrining Jewish supremacy and the identity of the State of Israel as the nation-state of the Jewish people, the Haifa-based civil liberties group Adalah explained. It is the supreme law in Israel capable of overriding any ordinary legislation. In 2021 Israeli human rights group BTselem published its report A regime of Jewish supremacy from the Jordan River to the Mediterranean Sea: This is apartheid. The report reads: In the entire area between the Mediterranean Sea and the Jordan River, the Israeli regime implements laws, practices and state violence designed to cement the supremacy of one group Jews over another Palestinians. A key method in pursuing this goal is engineering space differently for each group. Jewish citizens live as though the entire area were a single space (excluding the Gaza Strip). The Green Line means next to nothing for them: whether they live west of it, within Israels sovereign territory, or east of it, in settlements not formally annexed to Israel, is irrelevant to their rights or status. Where Palestinians live, on the other hand, is crucial. The Israeli regime has divided the area into several units that it defines and governs differently, according Palestinians different rights in each. This division is relevant to Palestinians onlyIsrael accords Palestinians a different package of rights in every one of these units all of which are inferior compared to the rights afforded to Jewish citizens. Since 1948, the reports continues, Israel has taken over 90% of land within its sovereign territory and built hundreds of Jewish communities, yet not one for Palestinians (with the exception of several communities built to concentrate the Bedouin population, after dispossessing them of most of their property rights), the report reads. Since 1967, Israel has also enacted this policy in the Occupied Territories, dispossessing Palestinians of more than 2,000 km2 on various pretexts. In violation of international law, it has built over 280 settlements in the West Bank (including East Jerusalem) for more than 600,000 Jewish citizens. It has devised a separate planning system for Palestinians, designated primarily to prevent construction and development, and has not established a single new Palestinian community. Targeting Civilians Contrary to Kennedys claims that the policy of the Israeli military is to always only attack military targets, the deliberatetargeting of civilians and civilian infrastructure by the Israeli military, and other branches of the Israeli security apparatus, has been extensively documented by Israeli and international organizations. The 2010 Goldstone report, which is over 500 pages, investigated Israels 22-day air and ground assault on Gaza that took place from Dec. 27, 2008, to Jan. 18, 2009. The United Nations Human Rights Council and the European Parliament endorsed the report. The Israeli attack killed 1,434 people, including 960 civilians, according to the Palestinian Center for Human Rights. More than 6,000 homes were destroyed or damaged, leaving behind some $3 billion in destruction in one of the poorest areas on Earth. Three Israeli civilians were killed by rockets fired into Israel during the assault. The reports key findings include that: Numerous instances of Israeli lethal attacks on civilians and civilian objects were intentional, including with the aim of spreading terror, that Israeli forces used Palestinian civilians as human shields and that such tactics had no justifiable military objective. Israeli forces engaged in the deliberate killing, torture and other inhuman treatment of civilians and deliberately caused extensive destruction of property, outside any military necessity, carried out wantonly and unlawfully. Israel violated its duty to respect the right of Gazas population to an adequate standard of living, including access to adequate food, water and housing. On 14 June of this year, BTselem reported that Top Israeli officials are criminally liable for knowingly ordering airstrikes which were expected to harm civilians, including children, in the Gaza Strip. Contrary to the myth propagated by Kennedy, reports and investigations, both by the U.N. as well as by rights groups, domestic and international, routinely cover suspected or known violations by Palestinian militants when they investigate alleged war crimes. As BTselem noted in the same 2019 report, in total, four Israelis were killed and 123 wounded. Last month, the U.N.s expert on the situation of human rights in the Palestinian territories occupied since 1967, Italian international lawyer and academic Francesca Albanese, presented her report to the U.N. Human Rights Council. It makes for very grim reading. Deprivation of liberty has been a central element of Israels occupation since its inception. Between 1967-2006 Israel has incarcerated over 800,000 Palestinians in the occupied territory. Although spiking during Palestinian uprisings, incarceration has become a quotidian reality. Over 100,000 Palestinians were detained during the First Intifada (1987-1993), 70,000 during the Second Intifada (2000-2006), and over 6,000 during the Unity Intifada (2021). Approximately 7,000 Palestinians, including 882 children, were arrested in 2022. Currently, almost 5,000 Palestinians, including 155 children, are detained by Israel, 1,014 of them without charge or trial. Torture Around 1,200 complaints alleging violence in Shin Bet [The Israeli Security Agency] interrogations were filed between 2001 and 2019, according to the Public Committee Against Torture in Israel. Zero indictments have been brought, the committee reports. This is yet another illustration of the complete systemic impunity enjoyed by the Shin Bets interrogators. Coercive methods include sexual harassment and humiliation, beatings, stress positions imposed for hours and interrogations that lasted as long as 19 hours as well as threats of violence against family members. They said they would kill my wife and children. They said they would cancel my mothers and sisters permits for medical treatments, one survivor said in 2016. I couldnt sleep because even when I was in my cell, they would wake me up every 15 minutes I couldnt tell the difference between day and night I still scream in my sleep, another said in 2017. The U.N. Special Rapporteur on Torture, Nils Melzer, expressed his utmost concern after a December 2017 ruling by Israels Supreme Court exempting security agents from criminal investigation despite their undisputed use of coercive pressure techniques against a Palestinian detainee, Assad Abu Gosh. He called the ruling a license to torture. Abu Gosh was reportedly subjected to ill-treatment including beatings, being slammed against walls, having his body and fingers bent and tied into painful stress positions and sleep deprivation, as well as threats, verbal abuse, and humiliation. Medical examinations confirm that Mr. Abu Gosh suffers from various neurologic injuries resulting from the torture he suffered. Civil Liberties In the November 2022 elections in Israel, a far-right theocratic, nationalist and openly racist coalition took power. Itamar Ben-Gvir, from the ultra-nationalist Otzma Yehudit, Jewish Power, party, is the Minister of National Security. Otzma Yehudit is populated with former members of Rabbi Meir Kahanes Kach party, which was banned from running for the Knesset in 1988 for espousing a Nazi-like ideology that included advocating the ethnic cleansing of all Palestinian citizens of Israel, as well as all Palestinians living under Israeli military occupation. His appointment, along with that of other far-right ideologues, including Bezalel Smotrich, the Minister of Finance, effectively jettisons the old tropes liberal Zionists used to defend Israel that it is the only democracy in the Middle East, that it seeks a peaceful settlement with the Palestinians in a two-state solution, that extremism and racism have no place in Israeli society and that Israel must impose draconian forms of control on the Palestinians to prevent terrorism. The new coalition government is reportedly preparing legislation that would be used to disqualify almost all Palestinian/Arab Knesset members from serving in the Israeli parliament, as well as ban their parties from standing in elections. The recent judicial reforms gut the independence and oversight of the Israeli courts. The government has also proposed shutting down Kan, the public broadcasting network, although that has been amended to fixing its flaws. Smotrich, who opposes LGBTQ rights and refers to himself as a fascist homophobe, said on Tuesday he would freeze all funds to Israels Palestinian communities and East Jerusalem. Israel has promulgated a series of laws to curtail public freedoms, brand all forms of Palestinian resistance as terrorism, and label supporters of Palestinian rights, even if they are Jewish, as anti-Semites. The amendment of one of Israels principle apartheid laws, the 2010 Village Committees Law, grants neighborhoods with up to 700 households the right to reject people from moving in to preserve the fabric of the community. Israel has over 65 laws that are used to discriminate directly or indirectly against Palestinian citizens of Israel and those in the Occupied Territories. Israels Citizenship and Entry into Israel Law prevents Palestinian citizens of Israel from marrying Palestinians in the West Bank and Gaza. Interreligious marriage in Israel is also prohibited. As explained by Jacob N. Simon, who served as the President of the Jewish Legal Society at the Michigan State University College of Law: The combination of the blood line related requirements to be considered Jewish by the Orthodox Rabbinical Court and the restriction of marriage requiring religious ceremonies shows an intent to maintain race purity. At its core, this is no different than the desire for pure blooded Aryans in Nazi Germany or pure blooded whites in the Jim Crow Southern United States. Those who support these discriminatory laws and embrace Israeli apartheid are blinded by willful ignorance, racism or cynicism. Their goal is to dehumanize Palestinians, champion an intolerant Jewish chauvinism and entice the naive and the gullible into justifying the unjustifiable. Kennedy, bereft of a moral compass and a belief system rooted in verifiable fact, has not only failed the Palestinians, he has failed us. Chris Hedges is a Pulitzer Prizewinning journalist who was a foreign correspondent for fifteen years for The New York Times, where he served as the Middle East Bureau Chief and Balkan Bureau Chief for the paper. He previously worked overseas for The Dallas Morning News, The Christian Science Monitor, and NPR. He is the host of show The Chris Hedges Report. NOTE TO SCHEERPOST READERS FROM CHRIS HEDGES: There is now no way left for me to continue to write a weekly column for ScheerPost and produce my weekly television show without your help. The walls are closing in, with startling rapidity, on independent journalism, with the elites, including the Democratic Party elites, clamoring for more and more censorship. Bob Scheer, who runs ScheerPost on a shoestring budget, and I will not waver in our commitment to independent and honest journalism, and we will never put ScheerPost behind a paywall, charge a subscription for it, sell your data or accept advertising. Please, if you can, sign up at chrishedges.substack.com so I can continue to post my now weekly Monday column on ScheerPost and produce my weekly television show, The Chris Hedges Report. Prime Minister Narendra Modi pays floral tributes at the Samadhi of Mahatma Gandhi at Rajghat, on the occasion of the 77th Independence Day, in Delhi, Tuesday, Aug. 15, 2023. Photo: PTI. NEW DELHI (PTI): India has gained new strategic strength in recent years and its borders are now more secure than ever, Prime Minister Narendra Modi said on Tuesday even as he highlighted efforts to modernise the military and make it "young and battle ready" to confront future challenges. In his Independence Day address from the ramparts of Red Fort, Shri Narendra Modi also reiterated his government's unwavering resolve to ensure national security amid the current global security scenario. "India has gained a new strategic strength in recent years and today our borders are more secure than ever," Modi said. He said a number of military reforms are being undertaken to modernise the armed forces and make them young and battle ready to deal with the future challenges. The government last year rolled out the Agnipath recruitment scheme for the short-term induction of soldiers. The scheme is aimed at bringing down the age profile of the three services. Under the Agnipath scheme, announced on June 14 last year, the three services are recruiting youths between the age bracket of 17-and-half years and 21 for four years with a provision to retain 25 per cent of them for 15 more years. For 2022, the upper age limit was extended to 23 years. The prime minister also emphasised that the people of the country feel safe today as there has been a drastic decline in the number of terrorist attacks. When the country is peaceful and secure, new goals of development are achieved, he said. The prime minister also referred to the 'One Rank One Pension' scheme and said it was implemented by his government as soon as it came to power. "The OROP was a matter of respect for the soldiers of our country. We implemented it when we came to power. Rs 70,000 crore have reached the ex-servicemen and their families today," he added. Modi extended the Independence Day wishes to the Armed Forces personnel at the borders, who ensure that the country is secured and its interests are safeguarded. In the last few years, the government has taken a series of measures to bolster the combat capabilities of the armed forces. A series of initiatives were taken to enhance the country's military prowess following the border row with China in eastern Ladakh. The government has also been focusing on strengthening the border infrastructure in the last few years. On Monday, Defence Minister Rajnath Singh said India has always been a peace-loving nation, but that does not mean that it would spare those who cast an evil eye on it. In his address, Modi also referred to the Vibrant village programme. "We have started a program of Vibrant Border Village in the border villages of our country. Till now the vibrant border village was said to be the last village of the country," he said. "We have changed the whole thinking. It is not the last village of the country, the one visible on the border is the first village of my country," he added. State Alabama Alaska Arizona Arkansas California Colorado Connecticut Delaware Florida Georgia Hawaii Idaho Illinois Indiana Iowa Kansas Kentucky Louisiana Maine Maryland Massachusetts Michigan Minnesota Mississippi Missouri Montana Nebraska Nevada New Hampshire New Jersey New Mexico New York North Carolina North Dakota Ohio Oklahoma Oregon Pennsylvania Rhode Island South Carolina South Dakota Tennessee Texas Utah Vermont Virginia Washington Washington D.C. West Virginia Wisconsin Wyoming Puerto Rico US Virgin Islands Armed Forces Americas Armed Forces Pacific Armed Forces Europe Northern Mariana Islands Marshall Islands American Samoa Federated States of Micronesia Guam Palau Alberta, Canada British Columbia, Canada Manitoba, Canada New Brunswick, Canada Newfoundland, Canada Nova Scotia, Canada Northwest Territories, Canada Nunavut, Canada Ontario, Canada Prince Edward Island, Canada Quebec, Canada Saskatchewan, Canada Yukon Territory, Canada Postal Code The 280 million Center Parcs holiday resort this year recorded average weekly revenues of 1.68 million as pre-tax profits more than doubled to 19.8 million. New accounts filed by Center Parcs Ireland Ltd show that the Co Longford resort achieved the 144 per cent increase in pre-tax profits as revenues surged by 51 per cent or 29.6 million to 87.4 million in the 12 months to the end of April 20th this year. Advertisement The 87.4 million revenues also equates to average daily revenues of 239,453 for the 12 months. The resort achieved the record revenues as occupancy rates increased to 97.9 per cent - up from 73.2 per cent in the previous financial period. The directors report discloses that the average daily lodge rent achieved was 281.28 compared to 254.74 in the prior year. The firms Earnings Before Interest Depreciation Tax and Amortisation (EBIDTA) last year increased by 62 per cent to 34.8 million. Advertisement Advertisement The company recorded a post tax profit of 17.8 million after paying corporation tax of 2 million. The firm paid out dividends of 13.8 million last year. Planned sale In May of this year, Canadian owners of the Center Parc resorts in Ireland and the UK, private equity group, Brookfield announced that they were up for sale and is seeking between 4 billion and 5 billion. The directors refer to the planned sale in their report and state that they have satisfied themselves that any such transition would have no impact on the going concern position of the company. Advertisement The Co Longford resort first opened to the public in July 2019 and the year under review is the first time that the resorts annual performance has not been affected by Covid-19 restrictions. Center Parcs currently has a planning application before An Bord Pleanala for a major expansion within the existing 160 hectare site that includes 198 new lodges. Longford County Council granted planning permission for the proposal in September of last year and the application is before the appeals board due to third party appeals. Numbers employed at the Longford Village resort this year by 154 to 1,233 as staff costs increased by 32 per cent to 24.9 million. The Canadian-headquartered group also operates five UK Center Parc villages. Too hot. Too dry. Too many weapons. This world needs changing. But thats too vague. After all, this world is already changing, just not in ways that are good for you and me. You know the facts. July 2023 was the hottest month on record ever since we humans started keeping track of the temperature. And its only getting hotter. As Petteri Taalas, secretary general of the World Meteorological Organization, told the New York Times, the recent all-too-extreme weather is just a foretaste of the future. Declaring War on Ourselves Its not raining. Not at least where (and when) so many of us need it for drinking water or agriculture or recreation. Uruguay is out of water, with the government prioritizing data centers and multinational corporations instead of its thirsty people. In South Africa, the government is proposing purifying water from abandoned mines as a solution to a protracted water crisis and lack of drinking water. People in cities like Flint, Michigan, and Jackson, Mississippi, know what that feels like. Its not just thanks to natural shortages, but mismanagement, corporate misdeeds, lack of investment in critical infrastructure, and racism, all mixed with climate change. And thats only the beginning. Dozens of metropolises are in danger of ending up with contaminated or scarce drinking water (or both). Worse yet, when it does rain, its killing and destroying like the flash floods in Vermont a month or so ago or in Chinas partly devastated capital, Beijing, and environs just recently. And if nature taking aim at us werent enough, it seems that weve declared war on ourselves. Not just in places like Ukraine or Sudan, where the death tolls are in the thousands, but closer to home, too, where Americans are madly over-armed with nearly 400 million guns. Im thinking about our cities and towns, highways and byways, schools and synagogues. After all, according to the Gun Violence Archive, such weaponry has killed more than 24,000 people so far this year alone (and thats already more than the number of civilians killed in Ukraine and Sudan combined). Its as if we are at war, but the enemy is us. Its enough to make you hide under the covers, turn up your air conditioning (if you have it), and give up. But that, it turns out, just makes things worse. After all, cranking up the AC is part of what left us teetering at the edge of irreversible climate catastrophe. Meanwhile, research into loneliness suggests that isolation only creates more suspicion and further retards our ability to connect. Click Here To Get Our FREE Newsletter Still, is it all so bad, so completely awful that its not even worth trying anymore? Here are the statistics that stay with me from a new study, as reported by the Guardian. The worlds 7.6 billion people represent just 0.01% of all living things Yet since the dawn of civilization, humanity has caused the loss of 83% of all wild mammals and half of plants, while livestock kept by humans abounds. This staggering observation demonstrates the devastating impact human life has had on all life, which leads me to ask: With some large-scale tweaking and significant reorientation, could we humans have a similarly big impact in a positive way? Or at least a similarly big impact in not such a terribly negative one? Reinventing Myself Given the giant impression think major meteor-sized explosion we humans have made on Planet Earth, could we try something else? Could we adapt? Change? Continue to evolve? Live differently? As for myself, microscopic as I am in the giant scheme of things, Ive made a few small changes in the last year that might have been helpful. As a start, I stopped eating gluten, cut out refined sugar, cut down on alcohol, and limited myself to one cup of coffee a day. Now, I only occasionally eat meat. These were just personal decisions, taken with my aging body and changing metabolism in mind, rather than ones meant for the health of the planet. Still, small changes of this sort made me think differently, too. I stopped imagining the ideal breakfast as sausage, toast, and eggs, and started thinking about it as collard greens, brown rice, and eggs. There was both surprise and pride there, too, when I found that I could do it, that it wasnt even very hard. It just took a little thought. I went from drinking coffee as soon as I woke up until the pot was gone late in the day to making just a single cup. Period. And no, such small changes wont mitigate climate change or much of anything else. Still, if you told me a year ago that I would be a gluten-free, one-cup-of-coffee kind of person, I would have laughed in your face. Our planet needs this kind of small-scale change, but it needs so much more than that. During the 1960s, Spain flooded an eleventh-century town to harness hydroelectric power.. When the reservoir was full, you could still see the top of the ancient church tower sticking out of the water. That reservoir provided local drinking water, power, and a place for fishing and tourism. Today, however, in a distinctly overheated, drought-ridden, climate-change-battered Spain, that reservoir is almost empty and the remains of the town are completely dry. As the owner of a small kayaking business there told Bloomberg News, Everything is very uncertain. He used to take tourists out on the reservoir to paddle around the submerged ruins. If the drought keeps on going, he said, well have to reinvent ourselves somehow. And hes not alone on this sweltering planet of ours. Sooner or later, were all going to have to reinvent ourselves or else! We cant keep being the human beings who live to destroy. Bikes and Pedestrians Are Traffic, Too Yesterday, I set off to live my day without a car. I rode my bike to an appointment a mile away from my house in New London, Connecticut. It was hot low 90s and high humidity but on my bike there was a breeze. Sweet shady swaths of wind! Then I rode another mile to the post office and back to board a shuttle across the Gold Star Bridge. That shuttle is an adaptation, too. At the end of April, an accident involving a home-oil delivery truck killed the driver and engulfed the bridge in flames. Traffic was shut down for hours. The bridge reopened later that day to car traffic (120,000 of the gas-guzzlers every 24 hours), but three months later, the bike path for cyclists and pedestrians is still out of commission (no guzzling for us). Instead, I have to board a little bus that can carry two or three bicycles and a dozen pedestrians that gets us safely across the bridge. Its free and on time and an acknowledgment that cyclists and pedestrians are traffic, too, but it burns gas and takes about three times as long as my usual pedal. My mother is in a nursing home on the other side of that bridge and seeing her is a big part of my days. I used to bike to her. Now, I have to bike-shuttle-bike. No need for the gym. In this broiling summer, I come home completely drenched in sweat. Still, that shuttle aside, greater car-lessness (or fossil-fuel-burning-lessness) is doable, but it requires a mental change. It means accepting that its okay to be sweaty, to need a second shirt, to build in extra time to get from point A to point B (which, in fact, you really have to do with a car, too, given how bad traffic can be). I know everyone cant ride a bike everywhere, but even doing a little of it reminds me that cars are a relatively recent invention and that we should be able to figure out new or very old ways of doing things. Walking. Walking is good for us in every single way and most of us simply dont do enough of it. What else can I change? What else can I do? That urgent question asserts itself constantly, even though I know that Im not exactly the worlds biggest polluter. The United States military has that scandalous distinction. As Neta Crawford points out in her 2022 book The Pentagon, Climate Change, and War: Charting the Rise and Fall of U.S. Military Emissions, the military has been responsible for as much as 80% of federal energy consumption since 2001 the year the Bush administration launched the Global War on Terror. So, really, one striking way to improve the planet is to work for nuclear disarmament, lower military spending, and a smaller U.S. military footprint throughout the world. But while we are working on that, I can also be much more deliberate about driving (until we can afford to get an electric vehicle), especially since my family lives in a small town. The kids and I now walk the mile to their camp every day and my 9-year-old and 11-year-old are clearly up for walking on their own. Our commitment to walking only messed with us once, when the camp staff sent out the wrong morning meeting place and we arrived on foot three miles from where we were supposed to be. A nice mom offered to drive us the rest of the way. Earlier this summer on vacation, I walked Scotlands West Highland Way with my husband, my sister, my brother, and their spouses. The six of us trekked more than 90 miles along that ancient Scottish trail. We climbed mountains, followed the edges of lochs, crossed sheep fields, and cut through moors, including Rannoch, the largest wild area in Great Britain more than 50 square miles of heather and bog. Yes, we arrived from the U.S. by plane, but being outside all day on foot put me in a contemplative state of mind about our world. I was brought to tears by the beauty, the sheer scale and breadth of green that we encountered until we turned a corner and were confronted with muddy destruction. The trees were gone, all of them, replaced by tiny saplings growing out of tire treads. This, it turned out, wasnt just a forest. It was managed trees produced to be cut down and milled by the massive Scottish timber industry. In truth, the real world the one thats made our planet such a mess was never far from us as we played at being hobbits, minstrels, or nomads. We walked through what seemed like unfamiliar landscapes, but each footfall followed so many others. I was never alone, even when I slowed down and gathered wool from the fences and weeds, tucking clumps of it into the side pocket of my backpack. I was, in fact, walking in the history of this unfamiliar land. Part of our route was along Wades Road, built by hundreds of soldiers over more than a decade to help the British put down the Jacobite Rebellions in the 1700s. They fought then over who chose their kings: God or humans! Wars back then were so silly, werent they? (Unlike those today, ha ha!) There were drainage pipes and stairways and bridges, all evidence of the investment the Scottish government and park stewards had put into the West Highland Way as a generator of tourist dollars. And then there were the people. When we stopped for lunch or paused to take off our raincoats for the eighth or ninth time, groups of trekkers from Belgium, Holland, France, and so many other places passed by with quiet greetings. The West Highland Way turns out to be a giant cash generator for the Scottish government. Those streams of people who come to walk there and stay in the bed-and-breakfast inns, drink in the pubs, and buy the band-aids (they call them plasters) and potato chips (crisp packets) inject 5.5 million pounds sterling into local economies along the way. And in the end, all of it, sadly enough walking or not helps feed the burning of this planet. One Foot in Front of the Other So how do we keep going when the future is so uncertain and full of dread? One advantage of just walking is you just walk. You dont think about the future at all, just the next footfall. In our normal lives, we spend so much time trying to escape the elements rain delays, events postponed, heat an ever-increasing factor in our summer planning but in Scotland, we just kept walking. Now that Im home, Ive done the same. I find walking in my community a great antidote to despair about the world. We dont have AC, so these days, its often cooler (or at least breezier) outside our house than in it. Who walks in this heat? Poor people, people with dogs, and health enthusiasts. I dont feel hopeless when Im walking. I feel connected, attentive, and activated. Im too busy noticing the world, feeling my body, and keeping a lookout for cars (and bikes!). Admittedly, on a planet already heating to startling extremes, its not much and we desperately need the groups now organizing against climate change (just as we need governments and fossil-fuel companies to revolutionize their priorities and operations, as well as a dismantling of the military-industrial complex). But its not nothing either. I know that walking in an oven world doesnt end wars, but it doesnt use the oil that so many of our wars are fought over. The climate wont cool just because Im walking more. The world-to-come for my children wont broil less because of the tiny things Im doing in my life. But it wont get worse while Im walking either. And in the quiet contemplation of walking, maybe a new idea will spring forth. We can at least hope, as the work and the walking continue. Its a scary world to walk through when you realize that the enemy is us. Copyright 2023 Frida Berrigan The High Court has made orders directing the head of Christian Brothers to provide two men with details they require to progress civil actions against the order over the sexual abuse they suffered over 40 years ago. The two, Anthony Doherty and Donal Lynch claim that on dates between 1972 and 1980 they were sexually abused by former brother Jack Manning, who was a teacher at the primary school they attended during that period. Advertisement In July 2021Manning (87), who left the order in 1977, and had an address in Donnybrook, Dublin, was jailed for three years by the Circuit Criminal Court after admitting nine counts of indecently assaulting four of his pupils The four pupils include Mr Doherty with an address at Ranelagh and Mr Lynch, from Donnybrook in Dublin, and the abuse occured when they were all under 10 years of age and pupils at Westland Row CBS, Dublin 2. Advertisement The four victims, who are now aged in the 50's, waived their anonymity to allow Manning to be publicly identified. Mr Lynch and Mr Doherty, who are represented by Donal Spring and Company Solicitors have brought civil proceedings against Manning, the Minister for Education and Skills, and the Congregation of Christian Brothers and the Board of Management of Westland Row CBS seeking damages for the abuse they suffered. Advertisement The head of the Christian Brothers congregation Brother David Gibson has been added to the proceedings. Pre-trial motions Similar, but separate, proceedings have been brought before the High Court by the other two survivors Kieran Best from Tallaght, Dublin and Thomas O'Callaghan of Rathoath Co Meath of Manning's abuse. Pre-trial motions in Mr Lynch and Mr Doherty's cases were mentioned before a vacation sitting of the High Court earlier this week. Ms Justice Eileen Roberts was told that the arising out of a recent position adopted by the congregation, the Christian Brothers were no longer putting forward a nominee in civil claims where the order is being sued by persons who allege they were abused by brothers. Advertisement The Supreme Court ruled in 2017 that unincorporated associations such as religious orders cannot be sued directly and that cases must be brought against the members of the order at the time of the alleged wrongdoing. Advertisement A congregation can select someone to act as its nominee, but the Christian Brothers has opted not to do this, the court heard. In order to progress their claims against the Christian Brothers the plaintiffs are required to sue all those members of congregation at the time of the alleged abuse who are still alive. To do that the plaintiffs require the names and addresses of those individuals. Advertisement GDPR Due to GDPR, (General Data Protection Regulation), the EU's privacy and data protection requirements, the brothers say that information can't be handed over in the absence of a court order. As a result, the plaintiffs sought court orders against Brother Gibson seeking the names and addresses of the relevant living persons. Similar orders have been granted in other cases against the congregation, the court also heard. The court heard that Brother Gibson neither opposed nor consented to the orders being made. After considering the application's Ms Justice Roberts said that she was satisfied to grant the orders sought by Mr Lynch and Mr Doherty. Br. Gibson's lawyers argued that no order for costs be made in the matter, meaning the sides would pay their legal costs in this matter. However, the judge agreed with the plaintiff's lawyers that costs should be reserved, and that discrete issue should be determined by the courts at a later stage of the proceedings. The death toll in this weeks clashes between rival militias in Libyas capital has risen to 45 as troops fanned out across Tripoli to restore calm after a 24-hour bout of fighting that was the citys most intense violence this year. The clashes erupted late on Monday between militiamen from the 444 brigade and the Special Deterrence Force, and continued into Tuesday evening. Advertisement Tensions flared after Mahmoud Hamza, a senior commander of the 444 brigade, was allegedly detained by the rival group at an airport in Tripoli, according to local media reports. Hamza was later released as part of a deal aimed at quelling the violence, the reports said. The streets were deserted during clashes between rival militias in Tripoli (AP) Advertisement Malek Merset, the spokesperson for Libyas Emergency Medicine and Support Centre, said on Wednesday that the death toll had risen from 27 to 45, as more casualties were confirmed. Advertisement An additional 146 people were injured, up from 106 on Tuesday. It remains unclear how many of the dead were militiamen or civilians. On Wednesday, Libyan security forces patrolled the streets and fanned out across Tripoli. Advertisement The countrys Interior Ministry said security forces were deployed to areas where the fighting was most intense, including the southern Fernaj neighbourhood and the al-Shouk Road. A situation room was set up to monitor developments, though by Wednesday a tentative calm had returned to the city. The violence underscored the fragility of war-torn Libya following the 2011 uprising turned civil war, which toppled and later killed longtime dictator Muammar Gaddafi. Amid the chaos, militias grew in wealth and power, particularly in Tripoli and the west of the country. Advertisement Libyan security forces stand guard in Tripoli, Libya (Yousef Murad/AP) Since 2014, Libya has been divided between rival administrations in the east and the west, each supported by an array of well-armed militias and different foreign governments. Both of Libyas legislative chambers called for an end to the bloodshed in separate statements on Tuesday. Advertisement In a statement issued on Wednesday, the European Union called on all parties to continue to refrain from armed hostilities and to engage in dialogue in order to de-escalate the situation and restore calm. Advertisement Tripoli has seen similar episodes of violence in recent years, although most have only lasted a couple of hours. We heard many promises about imminent efforts to promote genuine security sector reform and militia disarmament, said Jalel Harchaoui, a Libya specialist and associate fellow at the Royal United Services Institute. No progress whatsoever was made on those fronts. The 444 brigade and the Special Deterrence Force are two of the largest militias operating in Tripoli. Both have previously been backed by the administration based there. Nigeriens are preparing for war against regional countries threatening to invade, three weeks after mutinous soldiers ousted the nations democratically-elected leader. Residents in the capital, Niamey, are calling for the mass recruitment of volunteers to assist the army in the face of a growing threat by the West African regional bloc, Ecowas, which says it will use military force if the junta does not reinstate the deposed President Mohamed Bazoum. Advertisement Ecowas has activated a stand-by force to restore order in Niger after the junta ignored a deadline to reinstate and release Mr Bazoum. The initiative, spearhead by a group of locals in Niamey, aims to recruit tens of thousands of volunteers from across the country to register for the Volunteers for the Defence of Niger, to fight, assist with medical care, and provide technical and engineering logistics among other functions, in case the junta needs help, according to Amsarou Bako, one of the founders. Advertisement Its an eventuality. We need to be ready whenever it happens, he said. The French military retains a presence in the Sahel region (AP) Advertisement The recruitment drive will launch on Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin two countries which have said they would participate in any military intervention. Anyone over 18 can register and the list will be given to the junta to call upon people if needed, said Mr Bako. The junta is not involved, but is aware of the initiative, he said. Regional tensions are deepening as the stand-off between Niger and Ecowas shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Advertisement Last week the junta said it was open to dialogue with Ecowas after rebuffing the blocs multiple efforts at talks, but shortly afterwards charged Mr Bazoum with high treason and recalled its ambassador from neighbouring Ivory Coast. Ecowas defence chiefs are expected to meet this week, for the first time since the bloc announced the deployment of the stand-by force. It is unclear when or if the force will invade, but it would probably include several thousand troops and would have devastating consequences, say conflict experts. Advertisement Mucahid Durmaz, a senior analyst at Verisk Maplecroft, a global risk intelligence company, said: A military intervention with no end in sight risks triggering a regional war, with catastrophic consequences for the vast Sahel that is already plagued by insecurity, displacement and poverty. Advertisement Niger was seen as one of the last democratic countries in the Sahel region south of the Sahara Desert, and a partner for Western nations in the effort to beat back growing jihadi violence linked to al-Qaida and the so-called Islamic State group (IS). The democratically-elected president Mohamed Bazoum was deposed by the junta (AP) France, the former colonial ruler, and the United States have approximately 2,500 military personnel in the region which train Nigers military and, in the case of France, conduct joint operations. Advertisement Coups in the region have been rampant and the one in Niger is seen by the international community as one too many. But analysts say the longer this drags on, the probability of an intervention fades as the junta cements its grip on power, likely forcing the international community to accept the status quo. A diplomatic solution is likely, with the main question being how much military pressure is applied to make it happen, a Western official said. Advertisement On Tuesday, US secretary of state Antony Blinken said there was still space for diplomacy to return the country to constitutional rule and said the US supported Ecowass dialogue efforts, including its contingency plans. The new US ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to an American official. Soldiers who ousted President Mohamed Bazoum addresses supporters of the ruling junta in Niamey (AP) The United States has not had an ambassador in the country for nearly two years. Some Sahel experts say this has left Washington with less access to key players and information. While regional and western countries scramble for how to respond, many Nigeriens are convinced they will soon be invaded. The details of Nigers volunteer force are still vague, but similar initiatives in neighbouring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organizing Nigerien volunteers, said Nigers situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers The difference with us is our people will fight against an intrusion, he said. North Korea has offered its first official confirmation that it has detained a US soldier who bolted into the country last month, releasing a statement through its propaganda outlet attributing unverified statements to the army private that criticised the United States. One expert called the announcement 100% North Korean propaganda. Advertisement There was no immediate verification that Private Travis King actually made any of the comments about his home country. Pte King, who had served in South Korea and sprinted into the North while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. Advertisement The official Korean Central News Agency (KCNA), citing an investigation, reported that Pte King told them he decided to enter North Korea because he harboured ill feelings against inhuman mistreatment and racial discrimination within the US army. Advertisement The report said Pte King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda outlet and an official voice of leader Kim Jong Uns government, and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. Advertisement The report said North Koreas investigation into Pte Kings illegal entry would continue. Verifying the authenticity of the comments attributed to Pte King in North Koreas state media is impossible. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. Advertisement A US Defence Department official said the US had no way to verify North Koreas claims about Pte King. Advertisement The official said the Pentagon was working through all available channels to bring Pte King back to the US. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. Advertisement As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the US. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defence Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean embassy in London before his defection in 2016, speculated that North Korea might release Pte King eventually because it did not immediately express its intentions of accepting Pte King as a refugee in the North and spoke about a third country resettlement. Mr Tae, now a legislator in South Korea, also cited North Koreas description of Pte King as an illegal entrant, rather than someone who voluntarily entered the North. A TV screen shows an image of Travis King during a news programme at Seoul railway station in South Korea (Ahn Young-joon/AP) Mr Tae earlier said North Korea would be reluctant to hold a low-ranked soldier such as Pte King for long because he will not provide it with high-profile US intelligence and would require high costs and resources to manage his life. Advertisement Some analysts have said North Korea might try to tie Pte Kings release to the US cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of US-South Korean military drills. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military co-operation on ballistic missile defence in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to US officials. On Tuesday, North Korea slammed US-led plans for an open UN Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean vice foreign minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Mr Kim accused the US of fostering racial discrimination, gun-related crimes, child maltreatment and forced labour. Advertisement A TV screen shows a file image of American soldier Travis King during a news programme at Seoul railway station in South Korea (Ahn Young-joon/AP) Pte King, 23, was among about 28,000 US troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. US officials say he has been declared Awol, which can be punished by confinement in the brig, forfeiture of pay or dishonourable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the Covid-19 pandemic. Russia has resumed its targeting of grain infrastructure in Ukraines southern Odesa region, local officials said. Drones were used in overnight strikes on storage facilities and ports along the Danube River that Kyiv has increasingly used for grain transport to Europe after Moscow broke off a key wartime export deal through the Black Sea. Advertisement At the same time, a loaded container ship stuck at the port of Odesa since Russias full-scale invasion more than 17 months ago set sail and was heading through the Black Sea to the Bosporus along a temporary corridor established by Ukraine for merchant shipping. Ukraines economy, badly hit by the war, is heavily dependent on farming. Its agricultural exports, like those of Russia, are also crucial for world supplies of wheat, barley, sunflower oil and other food that developing nations rely on. Advertisement The container ship Joseph Schulte leaves the port of Odesa to proceed through the temporary corridor established for merchant vessels from Ukraines Black Sea ports (Ukraines Infrastructure Ministry Press Office via AP) Advertisement After the Kremlin last month tore up an agreement brokered last summer by the UN and Turkey to ensure safe Ukraine grain exports through the Black Sea, Kyiv has sought to reroute transport through the Danube and road and rail links into Europe. But transport costs that way are much higher, some European countries have balked at the consequences for local grain prices, and the Danube ports cannot handle the same volume as seaports. Odesa governor Oleh Kiper said the primary targets of Russias overnight drone bombardment were port terminals and grain silos, including at the ports in the Danube delta. Air defences managed to intercept 13 drones, according to Mr Kiper. Advertisement It was the latest attack amid weeks of aerial strikes as Russia has targeted the Danube delta ports, which are about 10 miles from the Romanian border. The Danube is Europes second-longest river and a key transport route. Meanwhile, the container ship departing Odesa was the first vessel to set sail since July 16, according to Oleksandr Kubrakov, Ukraines deputy prime minister. It had been stuck in Odesa since February 2022. Advertisement The Hong Kong-flagged Joseph Schulte was traveling down a temporary corridor that Ukraine asked the International Maritime Organisation (IMO) to ratify. Many developing nations depend on Ukrainian grain (Odesa Regional Administration Press Office via AP) Advertisement The United States has warned that the Russian military is preparing for possible attacks on civilian shipping vessels in the Black Sea. Sea mines also make the voyage risky, and ship insurance costs are likely to be high for operators. Ukraine told the IMO it would provide guarantees of compensation for damage. Last Sunday, a Russian warship fired warning shots at a Palau-flagged cargo ship in the south Black Sea. According to Russias defence ministry, the Sukru Okan was heading northwards to the Ukrainian Danube River port of Izmail. Advertisement Ship-tracking data analysed by The Associated Press confirmed that the Joseph Schulte was steaming south. The Joseph Schulte is carrying more than 30,000 tonnes of cargo, with 2,114 containers, including food products, according to Mr Kubrakov. Smoke rises from a burning armoured vehicle on the frontline in the outskirts of Lyman (AP) He said the corridor will be primarily used to evacuate ships stuck in the Ukrainian ports of Chornomorsk, Odesa and Pivdennyi since the outbreak of war. Advertisement On the wars front line, Ukrainian officials claimed another milestone in Kyivs counter-offensive, with Deputy defence minister Hanna Maliar saying troops have retaken a village in the eastern Donetsk region. The village of Urozhaine is near Staromaiorske, a hamlet that Ukraine also claimed to have recaptured recently. The claims could not be independently verified. Ukraine appears to be trying to drive a wedge between Russian forces in the south, but it is up against strong defensive lines and is advancing without air support. Also on Wednesday, the Russian military said it shot down three drones over the Kaluga region southwest of Moscow and blamed the attack on Ukraine. No damage or casualties were reported. Former British prime minister Gordon Brown has said the International Criminal Court (ICC) should prosecute Taliban leaders over a crime against humanity for denying Afghan women and girls education and employment. Mr Brown, the UNs special envoy for global education, told a virtual UN press conference on the second anniversary of the Taliban takeover of Afghanistan that its rulers are responsible for the most egregious, vicious and indefensible violation of womens rights and girls rights in the world today. Advertisement The former Labour leader said he has sent a legal opinion to ICC prosecutor Karim Khan that shows the denial of education and employment is gender discrimination, which should count as a crime against humanity, and it should be prosecuted by the International Criminal Court. The Taliban took power in August 2021 during the final weeks of the US and Nato forces pullout after 20 years of war. Advertisement Mr Brown accused the Afghan regime of vicious repression of women and girls rights. Photo: Jane Barlow/PA. Advertisement As they did during their previous rule in Afghanistan from 1996 to 2001, the Taliban gradually reimposed their harsh interpretation of Islamic law, or Sharia, barring girls from school beyond the sixth grade (aged 11-12) and banning women from most jobs, public spaces and gyms. They have also closed beauty salons. Mr Brown urged major Muslim countries to send a delegation of clerics to Afghanistans southern city of Kandahar, the home of Taliban supreme leader Hibatullah Akhundzada, to make the case that bans on womens education and employment have no basis in the Koran or the Islamic religion, and to lift them. He said he believes theres a split within the regime, with many people in the education ministry and around the government in the capital, Kabul, who want to see the rights of girls to education restored. And I believe that the clerics in Kandahar have stood firmly against that, and indeed continue to issue instructions, Mr Brown said. Advertisement The Talibans chief spokesman, Zabihullah Mujahid, brushed aside questions about restrictions on girls and women in an Associated Press interview on Monday in Kabul, saying the status quo will remain. He also said the Taliban view their rule of Afghanistan as open-ended, drawing legitimacy from Islamic law and facing no significant threat. Advertisement The Taliban have marked the second anniversary of their takeover of the country after the US pullout. Photo: AP. Advertisement Mr Brown said the Taliban should be told that if girls are allowed to go to secondary school and university again, education aid to Afghanistan, which was cut after the bans were announced, will be restored. He also called for monitoring and reporting on abuses and violations of the rights of women and girls, sanctions against those directly responsible for the bans including by the UK and US, and the release of those imprisoned for defending womens and girls rights. Mr Brown said 54 of the 80 edicts issued by the Taliban explicitly target women and girls and dismantle their rights, most recently banning them from taking university exams and visiting public places including cemeteries to pay respects to loved ones. He announced that the UN and other organisations will sponsor and fund internet learning for girls and support underground schools as well as education for Afghan girls forced to leave the country who need help to go to school. Advertisement The international community must show that education can get through to the people of Afghanistan, in spite of the Afghan governments bans, he said. The Afghanistan and Central Asian Association organised a peaceful protest outside Parliament in solidarity with the people of Afghanistan on Tuesday. Photo: AP. Mr Brown said there are a number of organisations supporting underground schools and there is a new initiative in the last few weeks to provide curriculum through mobile phones, which are popular in Afghanistan. Advertisement He would not discuss details over concerns for the safety of students and teachers, but there is no doubt that girls are still trying to learn sometimes risking a lot to be able to do so. During the 20 years the Taliban were out of power, Mr Brown said six million girls got an education, becoming doctors, lawyers, judges, members of parliament and cabinet ministers. Today, he said, 2.5 million girls are being denied education, and three million more will leave primary school in the next few years, so were losing the talents of a whole generation. Mr Brown urged global action and pressure not just words to convince the Taliban to restore the rights of women and girls. We have not done enough in the last two years, he said. I dont want another year to go by when girls in Afghanistan and women there feel that they are powerless because we have not done enough to support them. Origin Energy still plans to close Australias largest coal-fired power station in 2025, but vows to continue assessing market conditions before making a final call amid concerns about the slow roll-out of new transmission lines and clean energy projects to help replace it. The power and gas giant last year notified authorities of its intention to bring forward the retirement of the 2880-megawatt Eraring generator in NSW by up to seven years to as early as August 2025, while outlining ambitious new goals for a massive expansion of renewables. Origin Energy could close its Eraring coal-fired power station in NSW by as early as 2025. Credit: Dean Sewell Like many of Australias ageing coal-fired power plants, Erarings viability is under pressure as the influx of rooftop solar panels and wind and solar farms radically reshapes the market and slashes daytime wholesale electricity prices to levels where it cannot compete. However, the proposed earlier shutdown of Eraring has stoked concern in the energy market about delays in building other projects that would help compensate for its closure, and the risk it may pose to the availability of reliable and affordable power when the wind isnt blowing and the sun isnt shining. A young John Weiley. Credit: The Dream of Perfection As part of the celebrations, it will be followed by a Q&A with Weiley and Maynard in conversation with Museums of History NSW curator Dr Jacqui Newling. It is thought to be only the second time that both have screened in Sydney. It was finally screened on the ABC during the 40th anniversary of the Opera House. Newling says it is a fascinating snapshot of 1960s Sydney, with the cultural cringe in full swing. Weiley said he searched for decades to find traces of the film until researcher Sam Doust found a silent print that had survived. Finding it was like some child taken away at birth and got in touch, he said. Weiley had kept the audio for 45 years. I still have it right here, a Globite suitcase full of it. I couldnt bear to throw it away. I was appalled, and thought if they destroyed the print, they would destroy [the audio] too. Jorn Utzon, left, with a model of the Opera House in 1966. Credit: Geoffrey Bull Working together, Weiley and Maynard restored the film one of the first screened on TV in colour and matched the sound as best as they could. It was tricky some negative had been cut for use in other films, and never returned. Weiley asked the original narrator Bob Ellis to do it again. [The Sydney Opera House] is an object of reverence, roars the late Ellis in the remade version of Autopsy. And yet, it is a failure. An antipodean Babel, the greatest local joke on record, the product of people who had a bash and went back to their beer. There was an element of the surreal about the project. Maynard said: Here is a country building an Opera House, and they dont have an opera company. Weiley says he has always been in love with the Opera House. It still takes my breath away. It is just amazing. Loading As a cadet reporter at the ABC before leaving for the United Kingdom, one of his jobs was to document its construction: climbing the sails and talking to the workers and engineers who attempted to nut out a way to build the shells. But he was appalled by the treatment of Utzon by Sir William Davis Hughes, who became the public works minister responsible for the Opera House when the Askin government came to power in the 1965. When Utzon asked for total control, Hughes replied: Your wish to build the perfect Opera House is understood, but it must be accepted that all such proposals must be considered in relation to cost ... reported the Heralds Tony Stephens. Weileys personal and professional life also clashed. By chance, he ended up in a share house with Utzons daughter, Lin, and became friendly with Utzon. But Weileys father Bill was also an MP in the government, and friendly with Hughes. I would spend a day with Utzon, and then have dinner with Davis Hughes and my father, he said. Sir David Attenborough in the Dream of Perfection. When the Opera House began construction, an optimism swept the country. Soon that hope of perfection gave way to political pressure to cut costs. The Opera House is not a project with a beginning or an end. It is a cathedral to the idea of excellence and the whole idea of pursuing perfection, Weiley wrote in the Dream of Perfection. Utzons departure at a critical stage of the Houses construction left a generation of young people like artist Martin Sharp in mourning for what could have been. Many quit the country. Photographer David Moore described it as the biggest setback to the arts in 50 years. Loading It was a generations lament for a homelands loss, said Weiley of the lost film. After leaving Australia in disappointment, he pitched the idea for Autopsy on a Dream to the BBC2s controller, Sir David Attenborough. He sought the ABCs support, asking for use of a camera crew. In an unusual break with convention, the ABCs general manager Sir Talbot Duckmanton refused for the first time ever, and sent back a long telex complaining about Weiley. Attenborough was defiant: Tell him to get f---ed. Attenborough has achieved worldwide fame with his wildlife films. And Weiley also made a range of wildlife films made a range of IMAX films, including Antarctica. Android smartwatch owners may want to update their devices soon. The search giant will reportedly stop supporting Assistant on old Android watches soon; it wants customers to upgrade to Wear OS 3 and newer. Google released Wear OS 2 in Sept. 2018 and has been updating it up until Dec. 2020 with its release of Wear OS 2.23. End Of The Line Users of old Android smartwatches may want to update their OS soon or get a new watch entirely. According to a report from 9to5Google, the search giant recently released version Version 2.65.11.552438494 of the Wear OS companion app with a string stating that it is ending Google Assistant support for certain smartwatches soon. Watches running Wear OS 2 and earlier are the only smartwatches to use this companion app. Meanwhile, Google Assistant is powered by and updated with the Google app in a way similar to Android phones and tablets. As a result, people using Android smartwatches that still run Wear OS 2 must update them to Wear OS 3 or later or consider getting a new smartwatch that uses Google's more recent Wear OS releases. Unfortunately, Google has yet to officially announce when it will end support for Wear OS 2, though it could happen anytime soon with the recent release of its Wear OS 4, which happened on Aug. 11, per Android Authority. Read More: Grindr WFH Employees Must Return to the Office or Face Termination Google Wear OS 3 Update Advantages Google's Wear OS 3 didn't have the smoothest rollout when it came in 2021, per The Verge. However, years of improvement made it a good OS to update if Android smartwatch users choose to do so. For example, Google Maps can use features like turn-by-turn directions when updated to Wear OS 3 while also providing users with quick app switching, an improved Google Assistant, and an SOS feature in the event of an accident or emergency, per Wareable. Additionally, Wear OS 3 comes with a 30% performance increase compared to Wear OS 2, giving users smoother animations, an improved user interface, and a faster app load time. It even features a "much speedier, bug-free experience" compared to older Wear OS. Most importantly, Google added the ability to download and install apps direct from the Play Store to an Android Smartwatch, removing the need to download them from a device before they could be installed. How To Install Wear OS 3 Before updating your Android smartwatch with Wear OS 3, you will want to check if it's eligible for the update. Some companies decided to either roll out their eligibility at a later date, or skip it entirely. If your smartwatch is eligible, you'll need to factory reset your smartwatch and uninstall the Wear OS by Google app on your Android or iOS phone. Afterward, download your watch's companion app from the App Store or Play Store. Doing so will display a pop-up on your watch telling you to download Wear OS 3. You can do so using two methods: tapping the notification and doing it manually. To do it manually, head over to your smartwatch's main menu and open the Settings app, then select System and About. You'll want to find System Updates; tap it and download Wear OS 3 or Wear OS 4 if your smartwatch is eligible for it. Follow the on-screen prompts until the process is complete. Voila, you now have an updated Android Smartwatch. Related Article: Leaked Pixel 8 Lineup Promo Video Hints New Feature, Color GODLAND (M) 143 minutes Godland is inspired by a box of seven photographs made in the 19th century, the first ever taken of the southeast coast of Iceland except that they never existed. The writer and director Hlynur Palmason invented them, then based his film on the idea they had existed. He makes us believe they did to entice us into the films icy reality. Who took these fictional photographs? And what became of the photographer? Godland is the bone-chilling, eye-dazzling, heart-wrenching response to those questions and one of the best films of the year. Elliott Crosset Hove plays a priest trying to make sense of a hostile land. Credit: Palace Films It is both an epic saga of landscape cinema and a terrifying philosophical voyage. If God exists, why would he send a young fool like Lucas (Elliott Crosset Hove) to do his bidding? The idea finds a buyer. The money rolls in, the stakes grow higher and the fun disappears, elbowed aside by competitiveness, followed by greed, aggressiveness and desperation. Its a scenario that can be viewed in close-up in the Canadian film BlackBerry, which anatomises the success and subsequent decline of the BlackBerry, now a distant milestone in the history of the smartphone. The morality tales thrown up by the hi-tech age conform to a pattern. A gang of smart friends hooked on computers and all their capabilities make a groundbreaking discovery while simply having fun. In the 1990s, Canadian engineering graduates Mike Lazaridis and Doug Fregin produce an interactive pager with a network allowing it to incorporate email. Lazaridis is the electronics whiz a prematurely grey-haired young dreamer with a way of staring into the distance as if enthralled by something no one else can see. Fregin is his antithesis. With a large wardrobe of T-shirts and long, curly hair held down by a sweatband, hes a funster which, in this domain, makes him a natural team leader. Hes aware his fellow nerds in their rackety office in Waterloo, Ontario, need video game breaks and movie nights to work the long hours required if their tiny company is to get anywhere. Matt Johnson, who plays Fregin, directed and co-wrote the film, which is a Canadian production reflecting the grainy urgency of documentaries such as The War Room, Chris Hegedus and J.D. Pennebakers inside account of Clintons 1992 presidential campaign, or Adam McKays The Big Short, another Johnson favourite. It simplifies the story considerably, which is pardonable. The book on which the script is based written by Toronto Globe journalists Jacquie McNish and Sean Silcoff is packed with so many technical and financial refinements that a faithful screen translation would almost certainly bamboozle and frustrate. The fun begins to evaporate when Fregin and Lazaridis (Jay Baruchel) realise they lack the skills to sell their invention. So they make a deal with Jim Balsillie (Glenn Howerton), a fast-talking, hard-driving marketing man who has just lost his job with another tech company. Flight delays are about to become a whole lot more appetising as Lukes Bistro & Bar prepares to open in December, complete with champagne and oyster bar. Sydney domestic air travellers are set to cruise at a higher food altitude and celebrity chef Luke Mangan is among the expected new arrivals when he opens a new 200-seat restaurant later this year. Its at Sydney Qantas domestic airport (T3). I hope to open in December, if all goes well, Mangan says. Lukes Bistro & Bar will slide into the current site of Bar Roma, which is located on the gate side of security. Lukes Bistro & Bar will be a more casual pitch than glitzy CBD restaurant Lukes Kitchen (pictured), but customers will still be able to grab a quick bite - or a three-course meal. Oscar Colman Mangan points to advances in the airport food offerings, including Sydneys international T1, where travellers can snack at a Kitchen by Mike spin-off, chef Mike McEnearneys CBD restaurant. I certainly think things are getting better and airports here are taking these venues seriously, Mangan says. Already well versed in airline food, Mangan developed Virgin Australias highly regarded business class menu before the airline entered voluntary administration during the pandemic, in 2020. Mangan confirmed he is no longer involved at Virgin under its new owners, but he is clued up on what airline customers want, whether thats in the air or before departure. A separated bike lane from the Shrine of Remembrance to Port Philip Bay may be back on the agenda after the government shelved plans for it earlier this year. But a push to revive it by Port Phillip Council is likely to face stiff opposition from residents who object to cutting the speed limit by 20km/h and abolishing a lane of traffic in each direction. Alison McCormack, chief executive of the Bicycle Network, at Kerferd Road where the Shrine to Sea bike lanes would run. Credit: Wayne Taylor The bike lane along Albert Road and Kerferd Road in South Melbourne was first proposed by the government in May 2018 as part of its $13 million Shrine to Sea project. The masterplan envisaged a boulevard connecting the Domain Gardens to Port Phillip Bay, via Albert and Kerferd roads, planted with 140 jacaranda trees. Jonathan Sriranganathans announcement he is the Greens candidate for Brisbane mayor in 2024 has prompted a fiery response from City Hall. Despite the local government elections being 213 days away, it felt as if the starters gun had been fired on the Ekka public holiday. Jonathan Sriranganathan officially announcing he will be the Greens candidate for mayor. Credit: Felicity Caldwell An email arrived from LNP lord mayor Adrian Schrinners media team at 8am, declaring Brisbane residents had a choice between stability or a destructive Green/ Labor coalition of chaos at the next election. The destructive Greens, led by a self-declared anarchist, want to defund the police, eradicate road funding and support shoplifting and breaking-and-entering, a spokeswoman said. When the district attorney in Fulton County, Georgia, read out the details of her historic indictment of Donald Trump and 17 others this week, there was a distinct moment of drama as we waited to hear who would have the dubious honour of being named right after the former president: Rudolph William Louis Giuliani Rudy Giuliani, of course, was once a powerful law-enforcement official himself. For years he was a US attorney in Manhattan, fearlessly going up against mafia figures and Wall Street bad guys. Then he was the two-term mayor of New York City. He was heralded by Oprah Winfrey as Americas mayor after he responded to the terrorist attack of September 11, 2001, with sobriety and calm. He was for a time the front-runner for the Republican nomination in the 2008 US presidential election. Trump has even turned on Rudy Giuliani, his personal attorney. Credit: Bloomberg These days, by contrast, he sinks from one low to another. Two weeks ago he was Co-conspirator No. 1 in the federal indictment of Trump for working to overthrow the results of the 2020 election. Legal experts on both sides say, given the allegations in the indictment, Giuliani is likely to be the subject of a formal prosecution in the matter at some point. Anyone who holds the reins of a sprawling mess like NYC for eight years is not an insignificant person. But it must be said that, absent September 11, Giulianis career would have been much different. Hed have worn out his welcome in New York by the end of his second term; his contempt for racial minorities was plain, and he embarked on a crusade against a museum that showed art he didnt like. Latest News Can physical advertising still generate leads for brokers? Broker shares his marketing wins Prospa recognised as a great place to work It also got its 2023 certification as a Great Place to Work in Australia and New Zealand Fifo Capital has launched a training program designed for mortgage brokers to expand into the commercial finance market. The SME finance lender, which funds over 3,000 SMEs with more than $2 billion in funds, has also offered a new fintech platform white-labelled for free with the program. Both initiatives highlight Fifo Capital's commitment to delivering cutting-edge solutions and providing greater support for SMEs through direct-to-client relationships and broker channels, said Fifo Capital director Mark Occhiuto (pictured above left). Led by expert working capital finance professionals, Occhiuto, Simon Suggett and Andrew Allen, Fifo Capital said its Fast-Track Program program offers mortgage brokers invaluable insights and industry knowledge, with exclusive mentorship covering essential commercial lending aspects. Occhiuto said the lender has committed to providing crucial support and training to brokers, fostering greater viability, and elevating knowledge in SME finance. "By strengthening the connections between brokers and working capital lenders, we aim to empower a new generation of brokers to offer genuine, sustainable, and powerful finance solutions for SMEs, Occhiuto said. Diversifying into SME finance With the home loan market has become increasingly competitive, many mortgage brokers recently have branched out into other facets of finance broking. The number of mortgage brokers also writing commercial loans hit a new high (6,118) April September 2022 period representing nearly one third of the total mortgage broker market, according to the latest MFAA ISS report. The ISS report also showed the total loan book value of commercial lending for mortgage brokers has also continued to grow, and recent data indicates this is likely to continue, especially in the SME finance space. However, some brokers lack the knowledge in this space and still choose to specialise in home loan lending. Occhiuto said Fifo Capitals program, which was developed after collaborating with Australian Broker, is designed to change that, offering access to comprehensive training modules, advanced technology solutions, and personalised support. We are proud to join forces with Australian Broker and support the esteemed Australian Mortgage Awards, he said. This collaboration has inspired us to develop a one-of-a-kind program that showcases our unwavering dedication to supporting brokers. We're excited to offer this exclusive opportunity to assist more brokers in successfully entering commercial lending. Occhiuto also highlighted the issue of false promises in the finance industry. "While many lenders claim to offer working capital finance, few truly deliver on this promise, he said. That's why we're taking a leading role in this space, striving to provide better finance options and elevate the standards of the commercial lending landscape." Complimentary white label fintech platform The announcement followed Fifo Capital's successful launch of its finance platform, Fifopay, which offers businesses a way to manage and access payments. Fifopay has many features such as easy access to supplier discounts, AI smarts for smarter financial decisions, and seamless working capital management that drives business growth, Occhiuto said. As part of the training program, brokers will have access to a white-label version of the Fifopay platform, valued at more than $45,000. Don't miss out on this opportunity to fast-track your lending capabilities and unlock new opportunities in the commercial market, Occhiuto said. Another move the lender has made was to establish a local corporate office in New South Wales to further its impact amid a turbulent business landscape. The company said the move would enable Fifo Capital to enhance its capabilities and expand its funding offerings, providing even greater support to businesses in the region. New senior business development manager Brandon Robins (pictured above right) will lead this corporate model expansion in the state. This came after Fifo Capital boosted its presence in other states and bolstered its broker market team late last year. We are dedicated to empowering brokers and their SME clients with essential tools and knowledge to enhance their service offerings, Robins said. To register for the Broker Fast Track program, click here: https://fifocapital.com.au/broker-fast-track-program. Are you thinking of diversifying into SME finance? Comment below. By Chris Kay Adani Power Ltd. had 8.1% of its total outstanding shares worth about $1 billion traded in five blocks on Wednesday as the ports-to-power conglomerate deepened its efforts to recover from a short-seller attack. The buyers and sellers were not immediately known, but 310.9 million shares changed hands, according to data compiled by Bloomberg. The companys stock fell as much as 4.1% after the bunched trades to 274.4 rupees ($3.30) and based on the average price, the deals are worth $1 billion, according to Bloomberg calculations. An Adani family entity is likely the seller while a global fund probably bought the stock, CNBC-TV18 reported, citing unnamed sources. The block deals come after the Qatar Investment Authority bought a roughly 2.7% stake in billionaire Gautam Adanis listed green energy business earlier this month as the tycoon has spent months attempting to repair the damage from Hindenburg Researchs January broadside. The report by the US short-seller alleged that Adani Group engaged in years-long corporate fraud and stock price manipulation, charges that the company has repeatedly denied. It nevertheless fueled a major stock and bond sell off from which conglomerate has only partly recovered from. Sale of more shares in Adani companies could help meet the groups large funding needs and fund growth, assuming governance concerns persist and lead to weakened funding access, Sharon Chen, a Bloomberg Intelligence analyst, wrote in a research note earlier on Wednesday. Adanis family could raise more than $15 billion while maintaining control of its key listed entities, based on share prices as of Aug. 14, she said. Australia-listed investment firm GQG Partners on Wednesday invested $1.1 billion (Rs9,000 crore) in Adani Power by buying an 8.1 per cent stake from the Adani family via multiple block deals. With this investment, GQG Partners has bought Rs34,000 crore ($4.2 billion) worth of shares in Adani group companies since March this year. Interestingly, the move comes days after Qatar Investment Authority, a sovereign fund, picked up a 2.7 per cent stake in Adani Green Energy for Rs3,920 crore. Adani Powers shares closed 2.2 per cent lower to settle at Rs279.30 apiece on the BSE. This historic trade, marked as the largest block between a single buyer and a single seller in Indian history, a stock exchange transaction of 31 crore shares, representing 8.1 per cent of Adani Power's total equity, said a banker close to the development. "The success of this investment programme underscores the Group's unparalleled ability to raise substantial funds seamlessly across all its portfolio of companies," they said. The proceeds will be used by the Adani group to reduce its debt and for other general corporate purposes. Also Read Who is Rajiv Jain, and how he built his $92 billion empire at GQG Partners Rajiv Jain's GQG Partners to invest another $1 bn in Adani group stocks Adani group promoters looking to trim more stake to build cash reserves Adani Group shares rally up to 10% as GQG Partners buy Rs 15,446 cr stake Adani promoters sell stakes worth Rs 15,446 crore to GQG Partners Wipro and IIT Delhi launch centre of excellence for AI innovation Boeing commences production of AH-64E Apache choppers for Indian Army Shares of SBFC Finance gains 62% on trading debut, subscribed over 70 times Wipro partners with IIT Delhi to launch generative AI centre of excellence Amara Raja Batteries to expand into two-wheeler market to power growth GQG Partners is always known for taking contrarian bets and its assets under management are worth close to $100 billion. It holds about $13 billion in Indian companies including ITC, Sun Pharmaceutical Industries, State Bank of India, ICICI Bank and Housing Development Finance Corp (now merged with HDFC Bank). In March this year, GQG Partners first acquired almost $2 billion worth of shares in four of Adanis firms. In May, it raised its stake in the Adani Group by about 10 per cent by buying shares from the market. Two months ago, GQG Partners and other investors bought around $1 billion of additional stake in Adani Transmission. Bankers said at the core of these milestone investments is the broader narrative of India's ongoing energy transition. As the economy strides ahead, the role of thermal power remains pivotal in bolstering Indias energy security. Bankers said that as global conversations around sustainable energy grew louder, it was essential to recognise the continued relevance of thermal energy within the larger mosaic of energy sources. Furthermore, bankers said Adani Power's 360-degree energy suite encompasses renewables, power generation, transmission, gas, and more, reflecting a well-rounded perspective on India's energy landscape. India stands at a crucial juncture, balancing its colossal energy demands with global sustainability commitments, they said. In this context, companies like Adani Power, with their expansive and diversified portfolios, play a critical role. Adani Power has recently commissioned the 1.6 GW ultra-supercritical Godda power plant and is now supplying power to Bangladesh, marking the groups entry into transnational power projects. Their ventures span the entire gamut of the energy sector, reaffirming the importance of a diversified approach to ensure energy security and sustainability, they added. The US has always been wary of China and its ability to access private data on social networks. It's what's gotten TikTok in trouble in the first place. The tension may not be completely unfounded as a US politician claims that his emails were hacked by China. Nebraska Representative's Email Hacked Republican Congressman Don Bacon claims that his emails were accessed without permission on behalf of the Chinese Communist Party (CCP) in their espionage campaign. He believes that they did so by exploiting a vulnerability in Microsoft's software. The politician was notified by the FBI that the hackers obtained personal and campaign emails between May 15th to June 16th, 2023, further suspecting that it was due to his skepticism towards China and his support for Taiwan, as mentioned in Gizmodo. He also addressed this in a post on X, saying that he was hacked because he stands against "the Uighur genocide and abuses conducted in Tibet and Hong Kong" as well as his public support for an "independent Taiwan." His press secretary says that he received the alert from Microsoft as well, warning him that he may have been targeted in the hack and advised that he changed his password. This was a different alert from the one Bacon received from the agency. According to Bacon's secretary, his emails did not hold information about matters relating to China and Taiwan. However, there are other sensitive data such as political strategies, personal banking information, and fundraising. The Federal Bureau of Investigation believes that the illegal access to Bacon's emails has no connection with the previous hacks that affected several emails of State Department officials. Microsoft confirmed that the latter impacted around 25 organizations in the public cloud. Read Also: Estee Lauder Reports Data Breach - Are Customers' Information Safe? Microsoft Has Already Been Called Out This was not the first time Microsoft was linked to cybersecurity issues wherein government officials had their emails accessed. US Senator Ron Wyden wanted the Justice Department to hold Microsoft responsible for its "negligent cybersecurity practices." Microsoft says that the flaw was exploited by triggering the weaknesses in either Azure AD or its Exchange Online email service. Still, the weakness allowed hackers to use even an expired Microsoft Account encryption key to log into Exchange accounts. The company still has no idea how the hackers behind the incidents managed to acquire the key. It was possible that it was a forgery, which managed to work because of a "validation error in the Microsoft code, as mentioned in Ars Technica. The China-based hackers, also called Storm-0558, are said to be conducting the cybercrimes on behalf of the CCP. Coincidentally, the exploit started on May 15th and was driven out by June 16th, which questions how the FBI came to the conclusion that Bacon's email incident was not related. According to reports, Wyden called on US Attorney General Merrick B. Garland, Cybersecurity and Infrastructure Security Agency Director Jen Easterly, and Federal Trade Commission Chair Lina Khan, for holding Microsoft accountable for its shortcomings, leading to the emails being accessed by bad actors. Related: Chinese Hackers Accessed US Government Email Accounts, Microsoft Confirmed Fair trade regulator Competition Commission of India (CCI) on Wednesday said it has approved Apax Partners' proposed acquisition of the stake in IBS Software Pte. The proposed combination relates to the acquisition of approximately 30 per cent of the issued share capital on a fully diluted basis of IBS Software Pte Ltd from Techware Singapore Holdings Pte Ltd, a portfolio company of Blackstone Inc by Pelipper HoldCo SARL, according to an official release. Pelipper HoldCo SARL is a special-purpose vehicle indirectly wholly owned by investment funds advised by Apax Partners LLP. IBS Software Pte is the parent company of the IBS group of companies, which is mainly active as a software vendor and predominantly for the aviation and travel industry. In May this year, global travel and logistics SaaS company IBS Software said Apax Funds will buy a minority stake in the company for USD 450 million. Post the latest transaction, IBS Software's Founder and Executive Chairman V K Mathews will remain the majority shareholder. Also Read Apax Funds to buy stake in travel tech firm IBS Software from Blackstone Apax Funds buys $450 mn minority stake in travel tech company IBS Software Blackstone sells stake worth $450 mn in SaaS firm IBS Software to Apax Amazon Great Freedom Festival Sale: Hurry up! Get incredible deals today CCI clears investment by Qatar Investment Authority in BTS Investment 1 Pte Parminder Chopra takes over as first full-time women PFC Chairman, MD Wockhardt shareholders reject resolution on related party transaction Alibaba's Antfin transfers 10.3% stake to Paytm chief Vijay Shekhar Sharma Wipro launches GenAI centre of excellence in collaboration with IIT Delhi Shobha Gangwal sells 3% in InterGlobe Aviation stake, mops up Rs 2,802 cr The deals beyond a certain threshold require approval from the regulator, which keeps a tab on unfair business practices and promotes fair competition in the marketplace. Among Indias top 10 export destinations, Indias merchandise exports in the first four months (April-July) of 2023-24 expanded only to the United Kingdom (UK) while shipments to all other major economies contracted amid sluggish global demand.Overall merchandise exports fell 14.5 per cent to $136.2 billion during the April-July period with outbound shipments contracting for the sixth consecutive month. However, exports to the UK grew at a robust 20.6 per cent to $4.5 billion during the same period, elevating the country to Indias fifth largest export destination from eighth position during the same period in FY23.While disaggregated country-wise trade data for July is not available, data for April-June period shows exports of aviation turbine fuel ($324 million), smartphones ($292.5 million) and wallpapers ($147.2 million) drove Indias exports to the UK.Among other top export destinations, outbound shipments to the US (-12.5 per cent), China (-14.9 per cent), Singapore (-13 per cent) and Bangladesh (-36.5 per cent) contracted in double digits.Among Indias top 10 sources for merchandise imports, except for Russia (96.3 per cent) and Switzerland (15.8 per cent), inbound shipments from the rest of the countries contracted. While a 171 per cent jump in discounted crude oil imports drove inbound shipments from Russia during April-June period, a 30 per cent jump in gold shipments propelled imports from Switzerland during the same period.India and the UK are currently negotiating a Free Trade Agreement (FTA). Out of the total 26 chapters in the proposed FTA, 19 chapters have been closed. India is hoping to resolve pending issues like the rules of origin, bilateral investment treaty and intellectual property rights, among others, by the end of this month. Next week, key officials from the UK would be visiting India for the Trade and Investment Working Group (TIWG) meeting in Jaipur. Minister of Commerce and Industry Piyush Goyal visited London last month to hold discussions with his counterpart Kemi Badenoch that saw the "closure of several chapters" in the negotiations.The ministers identified and focused on low-hanging fruits, which included the closure of several chapters in the negotiations. This pragmatic approach aimed at resolving issues where negotiators had encountered challenges. The visit proved to be critical in overcoming crucial obstacles and charting a path towards an ambitious and mutually beneficial trade deal, according to a statement released by the commerce department.India is also negotiating a Trade and Economic Partnership Agreement (TEPA) with the European Free Trade Association (EFTA) states that include Iceland, Liechtenstein, Norway, and Switzerland. Goyal held discussions on the progress of the agreement with a delegation from the European Free Trade Association, led by the Swiss State Secretary for Economic Affairs, Helene Budliger Artieda, in London on July 11 and 12.Union Minister of State for Commerce and Industry Anupriya Patel last week informed Parliament that FTA negotiations or its review are a long-drawn process and the timeline for completion of such negotiations cannot be predicted since the parties to the negotiations are required to agree to the outcome.The Government enters into FTA with its trading partners considering various factors such as leveraging comparative advantages and the market access thereof for Indian products including those from agriculture sector, the trade complementarities, the strategic relationship, promotion of cooperative and collaborative activities as well as the domestic sensitivities. The benefits under FTA in terms of exports or competitive and diversified imports depend on a number of factors including externalities like the domestic industrial growth, domestic consumption trajectory, growth in partner country, Patel had told Rajya Sabha. The jute industrys revenue could fall 5-6 per cent in Financial Year 2023-24 (FY24) as weak overseas demand squeezes exports, said a report on Wednesday. It would be the industrys second consecutive year of decline. CRISIL Ratings said domestic demand is expected to be stable, but operating margin is seen down 200-250 basis points to 5 per cent as more-profitable exports will be lower. CRISIL analysed jute companies comprising 30 per cent of the sectors revenue to predict that credit profiles will be stable due to healthy balance sheets and little capital expenditure (capex). The report said exports, which form a third of the sectors revenue of Rs 12,000 crore, were seen 15 per cent lower in FY24, after falling 8 per cent in FY23 with overseas channel partners continuing to destock amid slowdown worries in the US and Europe. (The two markets account for more than 60 per cent of Indian exports.) The end-use of jute in the export markets is largely discretionary. Domestic demand will be stable because of steady orders for bags used to store and transport grain procured by the government. The domestic market, which accounts for two-third of the sectors revenue, depends on demand by the government that uses nodal agencies to procure almost 80 per cent of the jute produced. Also Read Jute goods exports get the sack on global slump; economies taper off buying Toll operators in India to see a revenue jump of 16-18% in FY23: CRISIL Global environment gloomier, India to grow at 6% next year: CRISIL Residential real estate sales to grow by 8-10% in FY22-23: CRISIL study Onion prices might touch Rs 60/70 per kg by month-end, says Crisil ADB approves $40.5 mn loan for Meghalaya early childhood development scheme Govt approves Digital India project's extension with outlay of Rs 14,903 cr Govt approves Rs 13,000 cr PM Vishwakarma scheme, will benefit 3 mn workers PM-eBus Sewa: Cabinet approves Rs 57,613 cr for 10k e-buses across cities Tomatoes on 'vacation' as India battles food inflation, says Burger King Mandatory norms under the Jute Packaging Materials Act 1987 provide 100 per cent reservation for packaging of food grains and 20 per cent reservation for packaging of sugar in jute bags. The norms lend stability to demand for jute bags domestically and the trend is unlikely to change over the medium term, according to CRISIL. But the revenue comes at a lower operating margin compared to exports. Weak export demand will reduce capacity utilisation of specialised looms and weigh on sales of specialised jute products such as hessian, gift articles and decorative fabrics. Hence, companies may defer capacity addition and only undertake minor maintenance capex, said Nitin Kansal, director at CRISIL Ratings. Jute companies may woo overseas customers by offering a longer credit period, which may lengthen working capital cycles from 100 days to 140 days, on average, leading to higher reliance on working capital debt, said Kansal. Argha Chanda, associate director at CRISIL Ratings, said that despite lower cash accruals and a likely increase in working capital borrowings, healthy balance sheets should keep debt levels comfortable. Moreover, the capex outlay will be minimal and will be funded through cash accrual. Hence, credit profiles of jute companies will remain stable. CRISIL expected leverage and interest coverage for its jute companies' portfolio at 0.55 and 3.7 times, respectively, in FY24, compared with an average of 0.45 times and 8 times, respectively, in the last three financial years. It said the industry should monitor global recessionary pressures and any dilution in jute packaging reservation norms. Union Power Minister R K Singh on Wednesday said that the discussions are underway to establish grid interconnection with Singapore and United Arab Emirates (UAE) for cross border electricity transmission. The discussions come on the back of India's initiative 'One Sun, One World, One Grid' or global solar grid for transmission of electricity across the globe for mitigating emission challenges. Singh said that India is also working on strengthening its power trade infrastructure with neighbouring countries such as Nepal, Bhutan, Myanmar and Bangladesh. Furthermore, plans are underway to establish infrastructure connectivity with Sri Lanka for power trade. "Discussions are ongoing with Singapore for grid connectivity, and similar discussions are taking place with UAE for grid interconnection," he said. An MoU has been signed between India and Sri Lanka to enhance electric connectivity and promote the use of renewables, especially wind energy. Also Read Singapore hangs first woman in 19 years for drug trafficking, says report CWC Qualifier Day 2 preview: Sri Lanka take on UAE, Oman challenge Ireland PM Modi leaves for his trip to France and UAE; check trip details here Power demand in India shrinks in March thanks to unexpected rains: CRISIL UAE, Morocco review strengthening financial, economic cooperation Fitch warns rapid growth in unsecured retail credit needs management Cabinet approves 'PM-eBus Sewa', to deploy 10,000 e-buses in 169 cities Jute industry revenue to drop 5-6% on weak overseas demand: Report ADB approves $40.5 mn loan for Meghalaya early childhood development scheme Govt approves Digital India project's extension with outlay of Rs 14,903 cr "Nepal is seeking investments from India to develop its hydro potential. Although Nepal has significant potential, it has yet to tap into it fully," he said. Nepal prime minister has invited Indian companies to participate in developing this potential and has requested India to purchase surplus power. India, despite being a power-surplus country, has committed to purchasing 10,000 MW of power from Nepal. Regarding the expedited progress of 12 hydro projects in Arunachal Pradesh, Singh mentioned the importance of maintaining balance on India's side. "This is crucial because on the other side of the border, construction of large dams are underway, which could pose a future threat without sufficient infrastructure on the Indian side." Singh also noted that an investment of around 1.5 lakh crore in a state with a population of approximately 70 lakh would significantly boost the locals' per capita income. Tamil Nadu is set to host India's first drone common testing centre under the Defence Testing Infrastructure (DTI) scheme. The facility, costing Rs 45 crore, will be established on 2.3 acres of land at the SIPCOT Industrial Park, Vallam Vadagal near Sriperumbudur. The Tamil Nadu Industrial Development Corporation (TIDCO) had floated a request for a proposal to identify industrial partners for establishing this testing centre as a joint venture, in accordance with the guidelines of the DTI Scheme. A consortium of companies comprising Keltron, Sense Image Technologies, Standards Testing and Compliance, and Avishka Retailers was selected to partner with TIDCO in establishing the facility. They were chosen based on their response to a transparent bidding process, and the project is estimated to cost Rs 45 crore. "Setting up India's first Unmanned Aerial Systems (Drone) Common Testing Centre here in Tamil Nadu highlights our chief minister's commitment to building a thriving defence and aerospace manufacturing ecosystem in the state. We are attracting investors in this sector by addressing the industry's needs in an innovative manner," stated TRB Rajaa, Minister for Industries, Investment Promotion and Commerce. He further added, "This testing centre will enable Tamil Nadu to become a preferred destination for aerospace and defence companies looking to establish their Indian operations. Many other suggestions were received at a recent round table with representatives from the aerospace and defence manufacturing sectors. We are committed to acting on these suggestions, and positive outcomes will be seen soon." TIDCO is the nodal agency responsible for the implementation of the Tamil Nadu Defence Industrial Corridor (TNDIC). As part of the TNDIC implementation, the Government of Tamil Nadu has adopted a multi-pronged strategy, one facet of which is creating an enabling ecosystem that includes Common Testing Centres for the Aerospace and Defence Industry. At present, the lack of test centres that can support the Aerospace and Defence Standards outside DPSU/DRDO represents a barrier to entry for many organisations. TIDCO has planned to mitigate this barrier by establishing test centres for multiple sub-domains, including Unmanned Aerial Systems (UAS). Currently, the testing for UAS (Drones) is conducted component-wise at various centres, diminishing organisational efficiency and escalating costs. An integrated facility of this nature is only available with DRDO at Chitradurga, Karnataka, and is reserved for its own use. Jute industry's revenue is likely to witness a decline of 5-6 per cent in this financial year due to lower exports, a report said on Wednesday. According to Crisil Ratings, this would be the second consecutive year of fall for Jute industry revenue, However, domestic demand is expected to be stable, it added. Exports, which form a third of the sector's revenue of Rs 12,000 crore, are seeing a 15 per cent dip this fiscal, after falling 8 per cent last fiscal, as overseas channel partners continue to destock amid slowdown worries in the US and Europe, Crisil Ratings said in the report. Weak overseas demand is likely to snip 5-6 per cent off revenue of the jute industry in the country this fiscal, the report added. The US and Europe are the key export markets accounting for over 60 per cent of the total jute exports from India, it noted. The end-use of jute in these markets is largely discretionary, the report added. Also Read Govt approves extension of mandatory jute packaging norms: Report Domino effect: India rice export ban puts market on edge for similar curbs Need for universal, country-specific food safety standards: Mandaviya WATCH IND vs WI 1st Test Highlights: Ashwin, Jaiswal star on Day 1 Police confirmed 10 deaths in panchayat poll-related violence: Bengal SEC Avg monthly rent of warehousing space up 4% in Delhi-NCR in 1st six months Telecom sector to see 7-9% revenue growth, Rs 70,000 cr capex in FY24: ICRA Adventure travel firm Intrepid expects to bring 12,000 travellers to India Aerospace major Boeing starts production of Indian Army's Apache choppers Indian Railways planning PLI for train components to curb imports In contrast, the report stated that the domestic demand is expected to be stable because of steady orders for storage and transportation bags (made of jute) owing to higher grain procurement by the government. The domestic market, which accounts for the balance two-third of the sector's revenue, depends on the government demand as it procures almost 80 per cent of the jute produced through nodal agencies, Crisil Ratings report noted. Mandatory norms under the Jute Packaging Materials Act 1987, provides 100 per cent reservation for packaging of food grains and 20 per cent reservation for packaging of sugar in jute bags, it added. This lends stability to demand for jute bags domestically and this trend is unlikely to change over the medium term, it said. However, the revenue comes at a lower operating margin compared with exports. "Weak export demand will reduce capacity utilisation of specialised looms and weigh on sales of specialised jute products such as hessian, gift articles and decorative fabrics. Hence, companies may defer capacity addition and only undertake minor maintenance capex. "At the same time, companies may woo overseas customers through longer credit periods, which may lengthen working capital cycles from 100 days to 140 days, on average, leading to higher reliance on working capital debt, Crisil Ratings Director Nitin Kansal said. However, healthy balance sheets will ensure comfortable debt metrics, lending stability to credit profiles. Jute companies had used cash accruals from strong operating performance in fiscal 2022 to deleverage their balance sheets. "Despite lower cash accruals and a likely increase in working capital borrowings, healthy balance sheets should keep debt metrics comfortable. Moreover, the capex outlay will be minimal and will be funded through cash accrual. Hence, credit profiles of jute companies will remain stable," Crisil Ratings Associate Director Argha Chanda added. The Congress on Wednesday reviewed the preparedness of its Jharkhand unit for the 2024 Lok Sabha polls and said the Indian National Developmental Inclusive Alliance (INDIA) will try to win all 14 parliamentary seats in the state. Congress president Mallikarjun Kharge said preparations of the party's Jharkhand unit for the general elections were reviewed at a meeting. "We are committed to a stable government in the state of Jharkhand, and are continuously striving for the betterment of the people," he said in a post on X, formerly known as Twitter, and added that the Congress "is dedicated to Jharkhand's water, forest, land rights and development". The Congress is part of the Jharkhand Mukti Morcha-led government in the state. All India Congress Committee (AICC) general secretary, organisation, K C Venugopal said the Jharkhand Pradesh Congress Committee's preparedness for the Lok Sabha elections was reviewed at the meeting chaired by Kharge. Congress leader Rahul Gandhi and senior leaders from Jharkhand were also present, he said. Venugopal said that "along with our INDIA alliance partners, we will ensure that Jharkhand emerges as yet another state that resists the BJP's divisive agenda". The people of Jharkhand are completely ready to reject the anti-poor, anti-tribal and pro-rich BJP government at the Centre in 2024, he said. Also Read Opposition, NDA expected to set tone for 2024 Lok Sabha elections today Jharkhand Assembly adjourned twice on ruckus over new employment policy Sonia Gandhi extends dinner invite to united Opposition, including AAP Prez Murmu pays obeisance at Ranchi temple, garlands Birsa Munda statue All-party Opposition meet ahead of 2024 elections today; all you must know Rahul Gandhi, Cong MP Amar Singh nominated to House panel for defence Entire country pained by Manipur ethnic violence: Mizoram CM Zoramthanga Rajasthan elections: Congress adopts Karnataka model for ticket allocation Congress won't ban Bajrang Dal in Madhya Pradesh, says Digvijaya Singh Chhattisgarh CM Baghel announces key schemes ahead of Assembly elections AICC in-charge of Jharkhand Avinash Pande said that the "biggest challenge before us is to defeat the BJP in the 2024 Lok Sabha polls". "Democracy is being weakened in the country, it is being mocked, and there is an undeclared emergency situation," he said The Constitution is being disregarded and elected governments are being threatened. This is not only harmful for the Constitution and democracy, but a question mark on the rights of the people, Pande said. "As far as Jharkhand is concerned, according to the directives of the Congress president and the guidance of former Congress chief Rahul Gandhi, we will strengthen our organisation. We are ready for the challenge of 2024," the Congress leader said. "In the coming months the party will be strengthened in the 14 Lok Sabha constituencies. A mass contact programme will also be run. Among the important decisions taken one was rallies by Priyanka Gandhi, Rahul Gandhi and the Congress president," he said. Through the committee formed to coordinate with the state government, "we will cooperate with all INDIA (bloc) parties and try to win all 14 seats" im Jharkhand, Pande said. X, formerly known as Twitter, is throttling the traffic to several websites, including the New York Times, Facebook, Instagram, Reuters and Threads, The Washington Post reported on Tuesday. The move, according to the report, seems to be aimed at the websites that have been attacked in the past by X's owner, Elon Musk. Clicking a link on X to one of the affected websites resulted in a delay of about five seconds before the webpage loaded, the report said, citing tests it conducted. By late Tuesday afternoon, X appeared to have eliminated the delay. Another report by Reuters said that when contacted for comment, X confirmed the delay was removed but did not elaborate. However, some websites like The Washington Post, Fox News and YouTube did not face such delays in the redirection. Musk, who bought Twitter in October, has previously lashed out at news organizations and journalists who have reported critically on his companies, which include Tesla and SpaceX. Twitter has previously prevented users from posting links to competing social media platforms. A user on Hacker News, a tech forum, posted about the delay earlier on Tuesday and wrote that X began delaying links to The New York Times on August 4. On that day, Musk criticised the publication's coverage of South Africa and accused it of supporting calls for genocide. Also Read New York Times loses gold tick on Twitter; Musk calls its feed 'diarrhea' Threads breaches the 100 million signups-mark in just 5 days of its launch A day after Instagram Threads launch, Jack Dorsey calls it 'Twitter clone' Instagram Threads gets more Twitter-like features in new update: Details Instagram Threads: Meta's Twitter rival sees 10 million downloads in 7 hrs Zuckerberg's real threat is still TikTok, rather than former Twitter Airtel Wynk music streaming app gets Dolby Atmos on Android, iOS: Details Curbing misinformation critical as AI-powered tools come in: YouTube WhatsApp rolls out screen sharing, landscape mode for video calls Google lifts search, download experience on mobile with new Chrome features Notably, online companies invest millions of dollars into ensuring their websites open as quickly as possible. Most companies know that even tiny delays can lead their traffic to plunge as users grow impatient with the delay and go elsewhere. A 2016 study by Google on mobile traffic said that 53 per cent of users abandoned a website if it took longer than three seconds to load. X has also throttled traffic to Bluesky, an X rival that has Twitter co-founder Jack Dorsey on its board. A spokesperson for The New York Times told Reuters that it had not received an explanation from X about the link delay. "While we don't know the rationale behind the application of this time delay, we would be concerned by targeted pressure applied to any news organisation for unclear reasons," the spokesperson said. Mastodon and Substack were the other websites affected by the delays. Prime Minister Benjamin Netanyahu sought to reassure the Israeli public and army Tuesday of his full support for the military following recent rhetorical attacks on senior security officials by his far-right political allies and divisive son. Thousands of military pilots and soldiers have vowed not to turn up for reserve duty in protest of Netanyahu's contentious plan to overhaul the country's judiciary, sparking a backlash from the Israeli leader's coalition allies and other ultranationalists. The prime minister and defence minister reject any attack on senior security establishment officials and fully back the commanders and soldiers of the IDF (Israel Defence Forces), who are working day and night for the security of Israel, Netanyahu's office said. Growing opposition within the Israeli military to government plans to weaken the Supreme Court poses perhaps the most serious threat to the legislation, which has already spurred unrelenting street demonstrations and fury from former Israeli officials and top business leaders. Since its founding, the relatively tiny Israeli army has relied on reservists to maintain its operational readiness in a historically hostile region. Netanyahu's allies have pushed back against the military's warnings and criticism of the proposed judicial changes. David Amsalem, a minister in Netanyahu's government and a member of his right-wing Likud party, attacked chief of staff Gen. Herzi Halevi and air force chief Tomer Bar on Tuesday over the reservist protests. Also Read Netanyahu discharged from hospital after overnight stay after a dizzy spell Israel's Netanyahu appoints a Joe Biden critic as new media advisor: Report Israeli president urges Netanyahu to halt legal overhaul amid protests Israel PM Netanyahu fires defence minister, sparks protest across country Israel PM Netanyahu delays judicial reform plan amid general strike Taliban believe their rule open-ended, ban on female education to continue N Korea denounces US plans for open UNSC meet on its human rights record Pak's top election body asks interim govts to provide with assistance Mar-a-Lago property manager pleads not guilty to charges in Trump's case India well placed to face, address emerging challenges: Amb Sandhu Halevi and other army officials have warned that turmoil in the military could affect Israel's preparedness. In a scathing rant, Amsalem blamed Halevi and Bar for the anti-government protests roiling their ranks and asked they be punished for undermining Israel's security. In any normal army, you treat rebels like rebels should be treated, Amsalem told Israel's Army Radio. Amsalem also berated prominent judicial figures and former military officials backing the protest movement, demanding that they rot in prison until the end of their lives. Meanwhile Netanyahu's son Yair, long a strident voice on radio and social media, called chief of staff Halevi the most failing and destructive chief of staff in the history of the IDF and the State of Israel." The post he shared was originally written by a far-right activist on X, formerly known as Twitter, and was later deleted. In their joint statement, Netanyahu and Israeli Defence Minister Yoav Gallant said they give full backing to the military's chief of staff and other officers to ensure the security of the state its citizens. Netanyahu and his allies say the changes limit the authority of unelected judges and give elected officials more powers over decision-making. Critics say the move would endanger Israel's democratic ideals and upend the country's system of checks and balances by concentrating too much power in the hands of politicians. The deepening social divisions over the plan have plunged Israel into its gravest domestic crisis. Nigeriens are preparing for a possible invasion by countries in the region, three weeks after mutinous soldiers ousted the nation's democratically elected president. Residents in the capital, Niamey, are calling for the mass recruitment of volunteers to assist the army in the face of a growing threat by the West African regional bloc, ECOWAS, which says it will use military force if the junta doesn't reinstate deposed President Mohamed Bazoum. ECOWAS has activated a standby force" to restore order in Niger after the junta ignored a deadline to release and reinstate Bazoum. The initiative, spearhead by a group of locals in Niamey, aims to recruit tens of thousands of volunteers from across the country to register for the Volunteers for the Defence of Niger. The group would fight, assist with medical care, and provide technical and engineering logistics among other functions, in case the junta needs help, Amsarou Bako, one of the founders, told The Associated Press on Tuesday. "It's an eventuality. We need to be ready whenever it happens," he said. Also Read French embassy in Niger attacked as protesters with Russian flags march Support for Niger at risk as military takeover threatens stability: Blinken Niger crisis: Govt asks Indian nationals to leave 'as soon as possible' Niger's neighbours, UN looking to reduce tension with last-minute diplomacy West African defence chiefs mull response to Niger coup, meeting concludes Churches vandalised in Pak's Punjab province over blasphemy allegations US treasury secretary Janet Yellen says she ate 'magic mushrooms' in China FedEx grapples with improving contractor safety amid push to merge fleets Pakistan hikes petrol, diesel prices for a second time in a month Intel to drop $5.4 billion Tower deal after China review delayed: Reports The recruitment drive will launch Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin, two countries that have said they would participate in an intervention. Anyone over 18 years old can register and the list will be given to the junta to call upon people if needed, said Bako. The junta is not involved but is aware of the initiative, he said. Regional tensions are deepening as the standoff between Niger and ECOWAS shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Last week the junta said it was open to dialogue with ECOWAS after rebuffing the bloc's multiple efforts at talks, but shortly afterwards charged Bazoum with high treason and recalled its ambassador from neighbouring Ivory Coast. ECOWAS defense chiefs are expected to meet this week for the first time since the bloc announced the deployment of the standby force. It's unclear when or if the force will invade, but it would probably include several thousand troops and would have devastating consequences, conflict experts say. A military intervention with no end in sight risks triggering a regional war, with catastrophic consequences for the vast Sahel that is already plagued by insecurity, displacement and poverty, said Mucahid Durmaz, senior analyst at Verisk Maplecroft, a global risk intelligence company. Niger was seen as one of the last democratic countries in the Sahel region south of the Sahara Desert, and a partner for Western nations in the effort to beat back growing jihadi violence linked to al-Qaeda and the Islamic State group. France, the former colonial ruler of Niger, and the United States have approximately 2,500 military personnel in the region that train Niger's military and, in the case of France, conduct joint operations. Since the coup, France and the US have suspended military operations and jihadi attacks are increasing. At least 17 soldiers were killed and nearly two dozen wounded in an ambush by extremists in the Tillaberi region, said the Ministry of Defense on state television on Tuesday. The attack occurred Tuesday afternoon when a military detachment was travelling between Boni and Torodi villages. The wounded were evacuated to Niamey. It was the first major attack against Niger's army in six months and is a worrying sign of potential escalation, said Wassim Nasr, a journalist and senior research fellow at the Soufan Centre. What we are witnessing today is both jihadi warring factions, the Islamic State group and (al-Qaeda affiliate Jama'at Nusrat al-Islam wal-Muslimin), marking their territory because of the security void caused by the coup. This definitely should be seen in the context of the ongoing war between the two groups, he said. Analysts say the longer the coup drags on, the less likely an intervention will occur as the junta cements its grip on power, likely forcing the international community to accept the status quo. United States Secretary of State Antony Blinken said on Tuesday there was still room for diplomacy to return the country to constitutional rule and said the US supported ECOWAS' dialogue efforts, including its contingency plans. The new US ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to a US official. The United States hasn't had an ambassador in the country for nearly two years. Some Sahel experts say this has left Washington with less access to key players and information. The US is in a difficult situation with no good choices, said Michael Shurkin, a senior fellow at the Atlantic Council and director of global programs at 14 North Strategies, an Africa-focused risk advisory. It either sticks to a principled position and pushes for democracy while alienating the junta and risk pushing it into Russia's arms, or we give up on principle and work with the junta in the hope of salvaging a productive working relationship." While regional and western countries scramble for how to respond, many Nigeriens are convinced they'll soon be invaded. The details of Niger's volunteer force are still vague, but similar initiatives in neighbouring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organising Nigerien volunteers, said Niger's situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers ... The difference with us is our people will fight against an intrusion, he said. Chang Uc-chin's "Family" (1955) / Courtesy of MMCA By Park Han-sol Modern Korean art master Chang Uc-chin's (1917-90) first family portrait, "Family" (1955), thought to have been missing for six decades after its last known sale to a Japanese collector in 1964, has been rediscovered by the National Museum of Modern and Contemporary Art, Korea (MMCA). The work will be unveiled in the upcoming exhibition, "The Most Honest Confession: Chang Ucchin Retrospective," which is slated to open on Sept. 14 at the national museum's Deoksugung branch. "Family" has long been known as the painting that Chang, who produced over 30 family portraits during his lifetime, adored so much that he hung it by his bedside. It was also the first piece he ever sold during his debut show held at Bando Art Gallery, Korea's first commercial gallery, in 1964. After selling it to Japanese collector Sadao Shiozawa, the artist reportedly recreated the work in 1972 and named it "Family Portrait," which is currently in the collection of Chang Ucchin Museum of Art in Yangju, Gyeonggi Province. Chang Uc-chin's "Family Portrait" (1972) / Courtesy of Chang Ucchin Museum of Art Bae Won-jung, MMCA's curator behind the upcoming "The Most Honest Confession: Chang Ucchin Retrospective," looks at "Family" (1955) that she discovered during a trip to the late Japanese collector Sadao Shiozawa's old atelier on the outskirts of Osaka. Courtesy of MMCA The Taliban view their rule of Afghanistan as open-ended, drawing legitimacy from Islamic law and facing no significant threat, their chief spokesman said in an interview marking the second anniversary of the Taliban takeover of the country. He also indicated a ban on female education will remain in place. Zabihullah Mujahid brushed aside any questions from The Associated Press about restrictions on girls and women, saying the status quo will remain. The ban on girls attending school beyond sixth grade was the first of what became a flurry of restrictions that now keep Afghan women from classrooms, most jobs and much of public life. The Taliban seized power on August 15, 2021, as US and Nato forces withdrew from the country after two decades of war. To mark the anniversary, Tuesday was declared a public holiday. Women, largely barred from public life, didn't take part in the festivities. In the southern city of Kandahar, the spiritual birthplace of the Taliban, military personnel posed with armored vehicles. Young men rode through the city on bicycles, motorcycles and cars, waving flags and brandishing weapons. Toddlers clutched small white Taliban flags bearing a photo of Defense Minister Maulvi Mohammad Yaqoob on the bottom right corner. In the capital, Kabul, pick-up trucks crammed with men and boys wound their way through the city. Men swarmed Martyrs Square, taking selfies and clambering onto a monument. Boys posed with rifles. Also Read UN report urges Afghanistan's Taliban to end floggings, executions Threat of terrorism from Taliban rising in Afghanistan, region: UN report Taliban-run Afghanistan calls for removal of sanctions in meeting with US UN Women chief Sima Bahous condemns Taliban ban on its female Afghan staff Terror strikes in Pakistan surged after Taliban takeover of Afghanistan N Korea denounces US plans for open UNSC meet on its human rights record Pak's top election body asks interim govts to provide with assistance Mar-a-Lago property manager pleads not guilty to charges in Trump's case India well placed to face, address emerging challenges: Amb Sandhu Global household wealth drops for first time since 2008 financial crisis Over the past two years, it has become increasingly apparent that the seat of power is in Kandahar, the home of supreme leader Hibatullah Akhundzada, rather than the Taliban-led government in Kabul. The interview with Mujahid took place late Monday in a TV studio on a rundown former military compound in Kandahar. The UN Mission in Afghanistan and local government departments are located nearby. The Taliban spokesman arrived in a white SUV, accompanied by a guard and a driver. He spoke calmly and politely, falling back on Taliban talking points on issues like women's rights and international recognition. "There is no fixed term for the Islamic government, he said of Taliban rule, which he claimed draws legitimacy from Islamic law, or Sharia. "It will serve for as long as it can and as long as the emir (the supreme leader) isn't removed for doing something that goes against Sharia. Taking stock after two years, Mujahid said Taliban rule faces no threats from inside or outside the country. He claimed the current government is acting responsibly, and that Afghans crave consensus and unity. There is no need for anyone to rebel," Mujahid said. In a statement Tuesday, the Taliban government listed what it considered its accomplishments, including restoring a sense of personal safety and national pride. The statement made no mention of the tens of thousands of Afghans who fled in the aftermath of the takeover or the severe economic downturn and deepening poverty as international aid dried up. At the same time, the Taliban appear to have settled in, avoiding internal divisions and even keeping their struggling economy afloat, in part by holding investment talks with capital-rich regional countries. Mujahid was reluctant to discuss the restrictions on girls and women, brushing aside questions about the issue as repetitive and saying there was no point talking about it unless there were updates. He did suggest change was unlikely. In conversations with foreign diplomats and aid officials, the Taliban typically avoid saying they oppose female education on principle, arguing instead that they need more resources and time to allow for gender segregation in classrooms and university campuses, in line with their interpretation of Sharia. Mujahid presented this argument in the interview, noting that everything will be under the influence of Sharia. Asked why the Taliban aren't enlisting Muslim-majority countries with Sharia-based systems to restart female education, he said the Taliban don't need the help of others. Akhundzada, the supreme leader, is seen as the leading force behind the classroom ban which was issued unexpectedly in March 2022, just as Kabul-based government ministers said they were preparing to allow girls from seventh grade and up to return to school. Mujahid said there was disagreement among religious scholars on female education, and suggested that maintaining harmony among them was more important than getting girls and women back into classrooms. Speaking to reporters in Washington, Secretary of State Antony Blinken insisted the path to a more normal relationship between the Taliban and other countries will be blocked unless and until the rights of women and girls were supported. The prospect of international isolation and the lack of recognition as Afghanistan's legitimate government because of restrictions on women and girls isn't a pressing concern for the Taliban leadership, Mujahid said. Our interaction with China, Russia, Tajikistan, Uzbekistan, Turkmenistan, Iran, Pakistan and other countries in the region is official, he said. We have embassies, travel, consulates. We have businesses. Traders come and go and transfer goods. These are all the things that mean the recognition of officialdom." Aid agencies, rights groups and the UN this week issued statements condemning the Taliban's rule and warning of the humanitarian crisis gripping the Afghan population. World Vision said the number of people in need of assistance has increased by around 5 million. It said 15 million people will face crisis levels of food insecurity this year, with 2.8 million in the emergency category, the fourth highest in the world. An alliance of rights groups, including Amnesty International, said the Taliban should be pressured to end violations and repression and should be investigated for alleged crimes under international law, including gender persecution against women and girls. In Geneva, the World Health Organisation expressed concern about Afghans' lack of access to basic health services. Spokeswoman Dr. Margaret Harris said 20 per cent of the population suffer from mental health problems and 4 million from drug addiction and associated disorders. Most health facilities have poor infrastructure, and there are fewer qualified health care workers due to immigration, limits on women's movement and employment, and reduced funds to pay salaries and keep facilities open, Harris said. Rochester Electronics, LLC has joined forces with SkyHigh Memory Ltd. to provide a continued source of supply for low-density, mature NAND storage solutions. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230815306980/en/ Rochester Electronics Partners with SkyHigh Memory Ltd. (Graphic: Business Wire) The collaboration between Rochester Electronics and SkyHigh Memory is powerful. Rochester Electronics focuses on 100% authorized legacy and end-of-life (EOL) semiconductor technologies, while SkyHigh Memory specializes in NAND storage solutions in SLC and eMMC. With those combined talents, they aim to support customers' storage needs worldwide. EOL microprocessors within existing designs may continue to require storage solutions with low-density capabilities, which perfectly complement SkyHigh Memorys SLC NAND products ranging from 1Gb to 8Gb and 4GB eMMC. These mature densities can be supported by Rochesters core microprocessor components lifecycles. Rochester Electronics stocks an extensive portfolio of processors, including continued production of numerous legacy and EOL processors through licensing, die banks, and die product replications. Our partnership with SkyHigh Memory bolsters Rochester Electronics customer base and offerings with a continuity of supply in low-density mature NAND storage solutions. Memory longevity is achieved alongside legacy microprocessor technology. Paul Mason, Global Director Memory Technology Rochester Electronics. Rochester Electronics strengths in managing and supporting legacy and EOL devices, particularly in the realm of microprocessors align with SkyHigh Memorys mature SLC NAND and eMMC storage solutions. By partnering together, we can offer our customers seamless continuity for their NAND storage needs. Alexander Stempfle, Director, Supplier Development EMEA Rochester Electronics We are looking forward to a strong partnership with Rochester Electronics in order to increase the service provided to our customers globally. - Yildirim Vural, Sales Director Central & Eastern Europe About Rochester Electronics Rochester Electronics is the worlds largest continuous source of semiconductors100% Authorized by over 70 leading semiconductor manufacturers. As an original manufacturer stocking distributor, Rochester has over 15 billion devices in stock encompassing more than 200,000-part numbers, providing the worlds most extensive range of end-of-life (EOL) semiconductors and the broadest range of active semiconductors. As a licensed semiconductor manufacturer, Rochester has manufactured over 20,000 device types. With over 12 billion die in stock, Rochester has the capability to manufacture over 70,000 device types. Rochester oers a full range of manufacturing services including Design, Wafer Processing, Assembly, Test, Reliability, and IP Archiving, providing single solutions through to full turnkey manufacturing, enabling faster time-to-market. Rochester is the Semiconductor Lifecycle Solution. No other company compares to the breadth of Rochesters product selection, value-added services, and manufacturing solutions. With direct sales and support staff in all major markets, complemented by a network of regional and global authorized channel partners, we aim to meet your needs over the phone or via our e-commerce platforms anytime, anywhere. For more information visit: www.rocelec.com About SkyHigh Memory Founded in 2019, SkyHigh Memory is the leader in advanced embedded system solutions for the world's most innovative automotive, industrial, home automation and appliances, consumer electronics, and medical products. SkyHigh Memory reliable, high-performance memories help engineers design differentiated products and get them to market first. SkyHigh Memory is committed to providing customers with the best support and engineering resources, enabling innovators and out-of-the-box thinkers to disrupt markets and create new product categories in record time. To learn more, go to www.SkyHighMemory.com View source version on businesswire.com: https://www.businesswire.com/news/home/20230815306980/en/ A promotional image for Stockspot's customer service / Courtesy of Mirae Asset Global Investments By Lee Min-hyung Mirae Asset Global Investments has acquired a 53-percent stake in Australia's leading robo-adviser Stockspot for around 24 billion won ($180 million), to accelerate its expansion into the artificial intelligence (AI)-converged financial market, the company said Wednesday. The Korean asset management company aims to focus more on developing hyper-accurate and customized financial products by utilizing Stockspot's technological prowess in AI and automated financial advisory systems. Stockspot offers AI-driven asset management services based on each individual's investment patterns via its big data analytic tools. The company has around 13,000 customers and offers diverse financial services, such as investment consultation and financial portfolio management to them. "Mirae Asset will aggressively expand its footing into the next-generation financial market featured with the AI and robo-advisory services," Mirae Asset Financial Group founder and Global Strategy Officer Park Hyeon-joo said. Global asset management firms and investment banks are also paying close attention to the AI-driven financial market. In 2015, Blackrock acquired FutureAdvisor, an automated investment advisory firm, and Goldman Sacks took over U.S. retirement savings platform operator, Honest Dollar, the following year. This year marks the 20th anniversary since the Mirae Asset affiliate started expanding its overseas presence. The company is one of the country's main asset managers, operating businesses in 14 countries across the globe including the U.S., Canada, Australia and Hong Kong. The firm's assets under management currently reach around 287 billion won. Mirae Asset also aims to widen its influence in the Australian financial market through the latest deal. The company already operates an exchange-traded fund (ETF) affiliate in the country, Global X Australia. Generating synergies with the company and Stockspot, Mirae Asset is also gearing up for an expansion into Australia's pensions market. A man holding an umbrella looks out at Seoul's skyline from Mount Nam, in this August 2022 photo. Yonhap By Lee Yeon-woo For many Koreans, real estate represents more than a place to live. For decades, it has yielded remarkable returns for investors, fostering the belief that prices will continue to climb as long as others keep investing in property. By the end of 2021, real estate accounted for 64.4 percent of assets owned by Korean households. This blind faith has recently created various social problems, including fraud, ballooning household debt and a rising default rate for real estate project financing (PF) loans. While the inherent risks of profits and losses lie with the involved parties, at the heart of these challenges is a discernible problematic structure within the industry. "Equity capital required for development projects is very low in Korea typically between 5 and 10 percent of equity capital to sales revenue. This structure facilitates a massive supply of real estate. This was the gateway for many firms to enter the real estate industry during Korea's booming economy," said Kim Min-seok, a local real estate developer at Cube Property, in a recent interview with The Korea Times. "It is concerning that developers, responsible for projects, operate with less than 10 percent of the required capital and offload the potential burden of losses onto society. In nations like the U.S. or Japan, developers must secure at least 20 to 30 percent of a project's total cost to be eligible for PF loans, reflecting much stricter capital prerequisites," Kim added. He also cited challenges like the rising cost of raw materials and the public's preference leaning heavily towards major construction firms, which adversely affects small and mid-sized construction companies. "Addressing one issue alone won't better the situation. The challenges in our industry are interconnected, almost like a chain, so no single person can resolve them independently," Kim said. Kim Min-seok, a real estate developer at Cube Property / Courtesy of Kim Min-seok Nevertheless, the era of soaring real estate prices is likely to end, bringing significant changes, he said. "In times of economic and population growth, all properties from new apartments in Seoul to old villas, enjoyed a price surge in a thriving real estate market. However, in the coming years, we'll see a growing divide between properties or cities that can transparently showcase their intrinsic value, uniqueness and pricing, and those that remain vague in these areas," Kim noted. With extensive experience as a developer and insight from his academic background in urban planning, Kim recently published a book titled, "The Politics of Redevelopment: Inside the Real Estate Development Wars." The book cautions that Seoul's ambition to evolve into an envisioned "future city" faces challenges if Korea continues to rely on its past urban planning methodologies. To catalyze this transformation, Kim emphasizes the importance of a human-centric approach. Cover of the book, "The Politics of Redevelopment: Inside the Real Estate Development Wars" / Courtesy of Threechairs Publishing Company Korea's exports of information and communication technology (ICT) products fell for 13 straight months in July on sluggish demand for high-tech goods and declining chip prices, government data showed Wednesday. Outbound shipments of ICT products came to $14.6 billion last month, down 24.3 percent from $19.3 billion a year earlier, according to the data compiled by the Ministry of Science and ICT. Monthly ICT exports have been on the decline since July last year, but the on-year drop has been slowing down after hitting a 35.9 percent decrease in April. The country's ICT imports in July fell 13.7 percent on-year to $11.4 billion, resulting in a trade surplus of $3.2 billion in the sector. The ministry said ICT exports fell across nearly all product categories last month amid a global slowdown. Exports of semiconductors, which accounted for nearly half of all ICT shipments, sank 33.7 percent on-year to $7.5 billion. The decrease was led by sluggish DRAM prices in the global market, which fell sharply to $1.34 in July from $2.88 a year ago, added the ministry. Overseas sales of displays dropped 5.4 percent on-year to $1.9 billion in July, and those of mobile phones and computers went down 19.6 percent and 28 percent to $720 million and $870 million, respectively. By nation, combined shipments to China and Hong Kong tumbled 27.7 percent on-year to $6 billion. Exports to Vietnam, the United States and the European Union decreased 18.6 percent, 28.3 percent and 24.9 percent, respectively. (Yonhap) Due to the importance it has on your resume, MS is one of the most sought-after courses nowadays. The ideal country for MS is a constant concern for those who desire to pursue their MS overseas. The type of school and MS program you choose to enroll in will have a lasting effect on your career, so bear that in mind. Decide where you want to study carefully as a result. The cost, reputation, educational standards, student testimonials, return on investment (ROI), and other factors should all be taken into consideration while selecting an MS college overseas. The finest international destinations for MS are covered in-depth in this article. Why do students pursue MS degrees overseas? For Indian students, there are more than 2,000 MS programs available abroad. MS is the first step for individuals who want to pursue further education, even though admission is competitive. There are many reasons why international students want to pursue an MS abroad, some of which are listed below: MS abroad aids international students in laying the groundwork for their academic and research endeavors. Students can pursue an MS in research that is available in Australia, which aids them in completing their coursework by providing them with in-depth knowledge and research-focused study. Candidates can find it challenging to select a single country for MS. There are numerous countries that provide the best master's programs to Indian students. Although the costs and course structures may differ, earning an MS abroad is a completely unique experience. Indian students frequently choose to pursue an MS overseas in order to strengthen their resumes and get better job chances. Students from all backgrounds come together to learn and network more effectively. There are many reasons, and each person may have a different objective and motivation. Let's discuss MS in some of the top countries abroad. Best Countries for MS Abroad The following are a few of the top countries for MS that are well-liked among international students: MS in the United States Numerous thousands of aspirational students from all over the world have always been drawn to the US. Because US institutions provide academic brilliance, substantial support services for international students, generous funding, a large selection of educational opportunities, flexible curricula, and OPT and CPT that offer practical training, Indian students choose the US over other nations as their destination. The US is regarded as one of the greatest nations to study MS because it is home to some of the top universities. The US is the only country that provides an all-inclusive package, and it already has a vibrant Indian community. Additionally, many students believe that it is worthwhile to get an MS and can quit their secure and well-paying jobs in India. Studying in the US: Tuition and Living Expenses Depending on where you live, the cost of staying in the US might range from $12,000 to $35,000 each year. If the student is living in a suburb, their annual budget should be between $10,000 and $12,000. While costs can increase to $18,000 for students living in large cities like New York or Chicago. The price of studying in the US varies depending on the course level and university that the student chooses. Stay Back Period in the US after MS? OPT gives international students the choice to continue studying in the United States for their MS after receiving their degree. It is a temporary job permit that gives students the opportunity to work in their field of study for a year. U.S. student visa prices US student visas come in three different categories. Three different types of US student visas are available: F1 visa, J1 visa, and M1 visa. A student visa application to the US costs $160. A few of the universities in USA for MS are as follows: California State University Harvard University Massachusetts Institute of Technology Southern Illinois University Stanford University MS in Canada Over the past few years, the number of foreign students attending Canadian universities has increased year after year. The diversity of the higher education market in Canada is what makes it unique. The sizes, histories, and portfolios of programs and specialities offered by its universities differ. Canada has emerged as the ideal location for overseas students to pursue an MS because of tougher standards in the US. A Canadian degree or credential is regarded as having the finest caliber worldwide. Additionally, the expense of both your education and your standard of living is extremely inexpensive for all of this. Studying in Canada: Tuition and Living Expenses The annual cost of tuition in Canada can range from $10,000 to $40,000 CDN. And for those considering a post-graduate school, the annual cost of earning an MS in Canada might range from CDN $15,000 to $55,000. A student also needs between $10,000 and $12,000 CDN for living expenses. Stay Back Period in Canada after MS in Canada Canada is regarded as the best nation to study MS. Additionally, you can stay in Canada for a least of 8 months and a maximum of 3 years after receiving your MS if you obtain a post-graduation work permit that is valid for the same amount of time as your program of study. To be eligible for this, your course duration must be of minimum 8 months. Student Visa Cost for Canada The cost of a study permit in Canada is $150 CAD. Popular Canadian universities that offer an MS include: University of Toronto University of Ottawa University of Alberta University of Windsor Western University Canada MS in Australia Australian university degrees are respected and regarded by employers and academics around the world. Professional Development Masters, Professional Masters, and Traditional Academic Masters are three categories of degrees offered in Australia. Masters degrees in professional development give students the chance to focus on a particular subject. Students who have general or non-professional undergraduate backgrounds can prepare for and become qualified to work in a particular field with the help of professional master's degrees. Studying in Australia: Tuition and Living Expenses The Department of Immigration and Border Protection has financial obligations that must be met by overseas students. The cost of attending university in Australia for the first year must be shown as INR 10.30 lakhs by the applicant. The cost of a postgraduate degree might be in the range of INR 17.30 lakhs. Stay Back Period in Australia after MS After completing two years of school in Australia, you are eligible to stay for an additional 18 months to work and develop experience. Once you have earned your MS in Australia, you will need to apply for a post-graduate work visa. Australia's expense for a student visa For overseas students, each subclass visa costs AUD$680. Several of the well-known Australian universities that offer an MS are: The University of Adelaide Queensland University of Technology The University of Sydney Charles Darwin University University of Canberra In Germany, MS German master's degree programs make use of internationally renowned knowledge in many domains, particularly in applied sciences, engineering, and allied professions. Germany only considers applicants who can demonstrate academic excellence through publications, inventions, academic credentials, and other ways. One is permitted to remain in Germany for 18 months after completing their degree program at a German university in order to hunt for employment that matches their qualifications. Studying in Germany: Tuition and Living Expenses While private institutions might charge anywhere between INR 9 and 10 lakhs, public universities in Germany either charge nothing or a little amount. In addition, students need about INR 7 lakhs for living expenses. Stay Back Period in Germany after MS International students can obtain a Residence Permit for 18 months in Germany to hunt for a career in their field of study after completing their MS in Germany. German student visa prices A German student visa costs 75 in visa fees. Popular German universities that offer an MS include: University of Bayreuth Technical University of Berlin Braunschweig University of Technology Technical University of Munich Dresden University of Technology MS in the United Kingdom Since master's programs in the UK are shorter and more intensive than those in other nations, you can complete them faster (often within a year) without sacrificing the standard of your education. You would be able to avoid wasting time and money on living expenses and tuition. According to the 2023-2024 Times Higher Education World Rankings, the UK has the second-highest number of universities that are listed in the top 100. Some of the UK's universities date back to the 12th or 13th century, demonstrating the country's longstanding commitment to education. Tuition and living expenses in the United Kingdom The tuition cost for international students in the UK can range from 10,000 (about $14,130 USD) to 38,000 (almost $53,700 USD). Additionally, according to the UK Border Agency (UKBA), an international student must demonstrate a monthly budget of at least 1,265 for lodging in London and 1,015 for accommodations outside the city, excluding tuition costs. Stay Back Period in the UK after MS After completing their MS in the UK, international students are allowed to stay for four months. Cost of a student visa for the UK The Tier 4 General Visa has a 363 cost. Popular UK colleges that offer an MS include: University of Salford University of Glasgow University of Birmingham University of Oxford University of Nottingham Other Popular Countries for MS International students can choose to study a Master's degree in other well-known nations. Several of these nations include New Zealand, Italy, France, Ireland, etc Korea Times President-Publisher Oh Young-jin, bottom, and Taipei Times Editor-in-Chief Jennifer Huang display copies of a memorandum of understanding on cooperation between the two media outlets, during an online signing ceremony, Monday. Screenshot from online ceremony Some people cry during ads, while others cry only in sad or joyous situations. We even cry at random times. But what is the cause of the uproar? What causes some people to cry more than others? Is it possible to regulate it? Many people fear these tears and wish they could completely avoid them. Others struggle to produce anything, even when they are in desperate need of a good sob. But, regardless of how you feel about crying, the truth remains that it is entirely normal. And, believe it or not, it has a purpose other than blocking your nose and making you look bad in public. Individuals who do not cry may be less socially attached. A German psychologist examined 120 people and discovered that those who did not cry withdrew more, felt less connected, and felt greater wrath, disgust, and rage. However, further research is needed to investigate the distinctions between criers and non-criers. Although the science of why we weep is still being studied, emotional sobbing is a component of the human experience and most likely helps us bond and provide emotional support to one another. And, no matter what type of eye issue you have, maintaining your vision is critical. Why do we cry? Even though sobbing is a natural component of our emotional composition, many people fear being seen crying in public. Some people cry frequently, while others may find it difficult to cry even when they feel the urge to. Regardless of your feelings towards crying, it's vital to remember that it's entirely normal. Science of Crying Tears begin in the lacrimal system, which is located adjacent to your eyeball. The lacrimal system is in charge of both the production and drainage of tears. When you make a tear, you blink, spreading the tear across your eye. The tear will then trickle down the lacrimal punctum before entering your nose (this is why your nose runs when you weep). When you're experiencing powerful emotions, your tears flow faster than the lacrimal punctum can drain them, causing the extra liquid to burst out your eyelids and cascade down your cheeks. Tears Your tear fluid contains water for hydration and oils for lubricating and to keep your tear liquid from evaporating. Mucus is also present in your tears, which allows them to distribute uniformly across the surface of your eyes. Furthermore, the mucus contains antibodies and unique proteins that aid in infection resistance. Because there are no blood arteries in your eyes, tears transfer oxygen and nutrients to the surface cells. Tears (particularly "emotional" tears, as opposed to tears caused by foreign objects in the eye) include stress chemicals such as cortisol and so eliminate these hormones from the body. This helps you relax. Crying, according to some researchers and scientists, is beneficial to immune resilience. Laughter is also OK. Crying can cause the production of opioids, which can impact immune cell function and assist our immune system become more effective. It could be viewed as a crucial system that protects our bodies from both internal and external stimuli. Types of Tears Basal Tears These are the tears that have been drenching your eyes all day. When you blink, you disperse your tears evenly across the surface of your eye, which improves your vision and concentration. They remove dirt and germs from your eyes, keeping them healthy and clear of illness. Reflex Tears When you cut an onion, your eyes create these tears. They wash away irritants such as smoke or dust. They are largely water since they are produced by your lacrimal gland. They are produced in greater quantities by your eyes than basal tears and contain chemicals that aid in the fight against pathogens, such as antibodies. Emotional Tears Emotional tears are produced in response to strong emotions and are perhaps the most easily identifiable sort of tears. Traces of stress hormones have been detected in emotional tears, suggesting that crying can help relieve stress. However, there is significant disagreement as to whether this is correct. Other research suggests that crying causes the body to release endorphins, which are feel-good chemicals that reduce our experience of pain. What Happens to the Body when We Cry? When you cry, the diaphragm (the muscle beneath your lungs) contracts and expands rapidly. Simultaneously, your body releases a slew of chemicals that will restore balance to your system. Crying causes the production of acetylcholine, a neurotransmitter that restores balance to your body. Cortisol (the stress hormone) is released along with tears when you cry. And when you get rid of the stress hormone, your emotions become more under control. So, in some ways, crying is beneficial. Controlling your tears, on the other hand, leads to increased tension, which is bad. Furthermore, when you weep, hormone levels such as serotonin (happy hormone), oxytocin (which gives you a sense of belonging), and dopamine (pleasure hormone) rise. The bodily tension subsides, and we experience a sense of relief. Health Advantages of Crying Crying is a uniquely human response to a wide range of emotions, from deep sadness and loss to intense delight and ecstasy. But is it good for your health to cry? Yes, it appears that the answer is yes. Crying has been used for medicinal purposes since the Classical era. Tears, according to ancient Greek and Roman philosophers and medics, act as a purgative, draining and purifying us. Today's psychology theory mostly agrees, emphasizing the function of tears as a release mechanism for stress and emotional distress. Crying is a vital safety valve because keeping uncomfortable feelings inside - what psychologists refer to as repressive coping - can be harmful to our health. Repressive coping has been related to a less resilient immune system, cardiovascular disease, and hypertension, as well as mental health disorders such as stress, anxiety, and depression. Crying has also been found to improve attachment behaviour by promoting closeness, empathy, and support from friends and family. According to institute director Rangan Banerjee, the Indian Institute of Technology, Delhi has updated its evaluation process and eliminated a set of mid-semester tests to lessen the stress on students. The decision is made in light of a number of student suicide instances that have occurred at IITs, which have spurred discussion about the possible effects of the demanding course load and timetable on students' mental health. In the past, we had two exams per semester, a final exam at the conclusion of each semester, and several continuing evaluation methods. We performed an internal survey, and we have decided to cancel one set of exams based on the responses from all students and faculty. Therefore, in addition to regular evaluations, there will now be two sets of exams, Banerjee told PTI in an interview. Reason behind the decision We made the decision to lessen student stress and strain since we thought the exam schedule was overly full. The Senate has also given its approval, and the decision will go into effect this semester. The weighted average for the two exams has been capped at 80%, he continued. At its meeting in April, the IIT Council concluded that strong grievance procedures, more psychological counseling services, and a reduction in student pressure, failure- and rejection-related anxiety are all necessary. The gathering covered a wide range of topics, including preventing student suicide, alleged prejudice, and student mental health. IITs have reported the highest number of student suicide cases over the preceding five years, according to data disclosed before Parliament last month. IITs were home to at least 39 of the 98 suicide deaths that occurred in India's premier universities between 2018 and 2023. "IIT Delhi is pushing to strengthen some mentorship and interactive verticals, especially to encourage interactions with students outside the classrooms, preferably at an individual level," Banerjee said. "In addition to existing mechanisms to deal with stress and ensure mental well-being among the students." Through the current Student-Teacher Interaction Program, "We are also increasing the frequency of 'open house' interactions with students in addition to the frequency of periodic informal dinners or meals between students and faculty in small groups." Through faculty mentorships that are both academic domain independent and academic domain dependent, the institute is also encouraging contacts between teachers and students. Speaking of student suicides, Banerjee stated, "Students at IITs go through an incredibly competitive process and enter a classroom full of smart individuals.We need to be able to explain to people how to deal with failure; this is our main concern. "We have expanded our counseling setup; in our hostels, we have both professional and student counselors." We want to keep tabs on pupils whose performance is declining so that they can receive the proper mentoring,'' he said. ''Any suicide is awful and it's heartbreaking for everyone,'' Banerjee added. We must establish a supportive environment so that students can contact someone and receive the appropriate counseling and mentorship if they are experiencing emotional or mental challenges. Last month, a 20-year-old IIT Delhi B Tech final-year student committed suicide in a dorm on campus. The family of the deceased student has told us that he was dissatisfied with his performance in a few subjects during the most recent final-year exams, according to police officers looking into the issue. This family photo shows a portrait of American soldier Travis King displayed at the home of his grandfather Carl Gates, Wednesday, July 19, in Kenosha, Wis. AP-Yonhap The United States remains focused on ensuring the safe return of a U.S. service member who crossed the inter-Korean border into North Korea last month, a U.S. Department of Defense spokesperson said Tuesday. The remark comes shortly after North Korea claimed Pvt. Travis King has expressed a willingness to seek asylum in the North or a third country. "We cannot verify these alleged comments," the Pentagon spokesperson told Yonhap News Agency when asked about the North's claim, carried by the country's state-run Korean Central News Agency. "The department's priority is to bring Pvt. King home, and we are working through all available channels to achieve that outcome," the official added. Cockburn Cement awards contract to SIMPEC 16 August 2023 Cockburn Cement, a subsidiary of Adbri, has awarded Australian construction firm SIMPEC with the contract for the expansion of its Kwinana cement plant. The contract is valued at AUD105m (US$68m) with the total value of the expansion project put at AUD420m, and includes two 100tph grinding units, a 110,000t raw materials store, and a reclamation system. This new contract demonstrates the company's track record of delivering for its clients. We are very pleased to secure this work and are committed to maintaining a long-standing relationship with Cockburn Cement and Adbri, said SIMPEC Managing Director, Mark Dimasi. I would like to thank Cockburn Cement for this opportunity to deliver such a high-profile local project and would also like to thank our team for their commitment in helping secure this contract. Published under Unification Minister Kim Yung-ho speaks during a press conference on the possible resumption of China's deportation of North Koreans against their will at the Press Center in Seoul, Wednesday. Yonhap Unification minister asks China to abide by UN treaties on refugees, torture By Jung Min-ho Seoul urged Beijing, Wednesday, to stop repatriating North Korean escapees against their will amid signs that China is about to resume the practice, which would put the lives of those people in grave danger after returning to their homeland. At a press conference on the issue in Seoul, Unification Minister Kim Yung-ho asked Chinese authorities to abide by U.N. treaties on human rights the country signed and to recognize North Korean escapees as refugees. "The forcible repatriation of people against their will is a violation of the spirit and principle of the international law that bans it," Kim said during the event held at the Press Center. "All North Koreans in China should be treated based on the international human rights standard and be allowed into the countries they wish. I ask for the Chinese government's cooperation on the issue regarding North Korean escapees detained in China, which has been brought up repeatedly by South Korea and the international community." His statement came as a pair of buses were detected crossing the North Korean border city of Sinuiju into China in an indication that the two countries are moving to lift restrictions set up on their border during the COVID-19 pandemic. This may well lead to the deportation of up to 2,000 North Korean escapees held in China due to the coronavirus over the past three years, according to rights groups. Rep. Choe Jae-hyeong, third from right, of the ruling People Power Party speaks during a press conference on the possible resumption of China's deportation of North Koreans against their will at the Press Center in Seoul, Wednesday. Newsis Rep. Choe Jae-hyeong of the ruling People Power Party, who hosted the event, urged Beijing to take necessary steps as a responsible member of the U.N. Human Rights Council. "The declaration of the end of the COVID-19, welcomed by people around the world, could be terrible news, like a prelude to death, for North Korean escapees detained in China," he said. Over many decades, he added, Beijing has blatantly violated multiple U.N. treaties, including the Convention Relating to the Status of Refugees and the Convention Against Torture, which China promised to respect as a participating party. "The Chinese government is turning a blind eye to the human rights abuses, executions and torture of North Korean escapees," Choe said. "We urge relevant international organizations, such as the UNHCR, to intensify their efforts to protect the human rights and safety of North Korean escapees." This photo, taken in the Chinese city of Dandong, Wednesday, shows two buses crossing the ChinaNorth Korea Friendship Bridge. Yonhap By Jun Ji-hye The number of malaria patients has already surpassed 500 this year, raising concerns as the figure already exceeded last year's total at 420. Anopheles mosquito which can transmit malaria / Courtesy of Korea Disease Control and Prevention Agency Foreign Minister Park Jin, right, meets with his U.S. and Japanese counterparts, Antony Blinken, left, and Yoshimasa Hayashi, respectively, in Munich, Germany, to discuss measures against North Korea's missile provocations in this Feb. 18 file photo. Yonhap The top diplomats of South Korea, the United States and Japan on Tuesday held discussions virtually ahead of a trilateral summit among their leaders slated for later this week. The virtual meeting among South Korean Foreign Minister Park Jin, U.S. Secretary of State Antony Blinken and Japanese Foreign Minister Yoshimasa Hayashi took place to fine-tune details for the upcoming summit of their leaders, according to diplomatic sources. U.S. President Joe Biden is set to host South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida for a trilateral summit at Camp David on Aug. 18 (local time). The upcoming meeting will be the first stand-alone trilateral summit arranged solely for their shared agenda, not on the sidelines of a multilateral forum. WesBanco, Inc., a diversified, multi-state bank holding company, announced Tuesday that it has opened a new loan production office to serve the commercial lending needs of the Chattanooga metropolitan market."The Chattanooga LPO, WesBancos second in Tennessee, is another step in the execution of the companys long-term, sustainable growth strategy, which includes opening LPOs in attractive major markets adjacent to its existing footprint," officials said. "WesBanco opened a successful LPO in the Nashville, Tennessee area in 2022.With the opening of the Chattanooga LPO, the company extends its commercial lending capabilities to tap opportunity in another of the states strong growth areas. Tennessees economy and population are among the fastest-growing in the nation, according to government agency estimates."We are pleased to continue to advance our strategic growth in Tennessee with the opening of a commercial loan production office in the up-and-coming Chattanooga market. The business landscape is diverse and dynamic, and weve brought on a proven team that is primed to bring WesBancos strength, stability and lending capacity to support customers growth needs, said Jeffrey Jackson, president and chief executive officer, WesBanco. WesBanco strives to be an integral part of the communities where we operate, and as a Chattanooga native, I look forward to seeing us grow strong roots and rewarding relationships in this vibrant region.WesBancos banking affiliate, WesBanco Bank, has hired a team of industry veterans with deep roots in the region to launch the LPO, develop new client relationships and drive growth across the commercial banking spectrum.Adam Thomas leads the LPO as city president and senior commercial banker. He is joined by Brian Paris, senior vice president, senior commercial banker and team lead; Stephen Ratterman, senior vice president and commercial banker; Michael Feher, associate vice president and commercial banker; and Avery Smith, associate vice president and portfolio manager."Together, they bring decades of commercial lending experience, regional relationships and community engagement to the LPO," officials said.WesBanco has a long and respected legacy as a trusted financial institution and community partner, and we are thrilled to help expand its capabilities and commitment to the Chattanooga region, said Mr. Thomas. Our goal is to be a valued financial partner to local businesses, providing them with tailored loan solutions that meet their unique needs. Through exceptional customer service and our deep understanding of the local market, we look forward to significantly impacting the business landscape in Chattanooga."WesBanco has earned a series of accolades for soundness and profitability, customer service, employer of choice and community development, including its eighth consecutive Outstanding FDIC Community Reinvestment Act rating and repeated rankings on Forbes Americas Best Banks, Worlds Best Banks and Americas Best Mid-Size Employers lists," officials said.The Chattanooga LPO is located at 325 Cherokee Blvd., Suite 201. Celtain Batterson Christopher Dyess Nicholas Ayres Previous Next Several hundred people protesting the shooting of Roger Heard at the Speedway on Third Street overflowed the City Council chambers on Tuesday night. Police said Heard was shot after he pulled a gun. A city officer was struck by bullets in both arms, it was stated. Heard died, while the officer was treated and released. During time for the public to speak at the close of the meeting, most in the crowd walked out, saying city officials were not providing any answers. They moved to the City Hall steps, where Marie Mott and longtime protester Lorenzo Ervin exhorted the crowd. At the start of the council meeting, Police Chief Celeste Murphy expressed her condolences to Heard's family and friends. She said she had met with his mother, and she said when it is available she would share a video of the incident first with the mother. She asked for "patience" as the TBI investigates the case. Its report will be submitted to District Attorney Coty Wamp. The injured officer and two others are on paid administrative leave per protocol when an officer is involved in a shooting. Officer Celtain Batterson was wounded in the gunfire and is on leave. He is a nine-year veteran of the department. Also on leave are Nicholas Ayres, who has been with the department for five years, and Officer Christopher Dyess who has been with the department since 2019. Police said officers were on scene for a street crime related detail and encountered the 34-year-old Heard at 9:41 p.m. on Friday. Police stated, "At some point during the stop and attempt to serve outstanding warrants, gunfire was exchanged and Batterson and the suspect were both shot. The suspect was pronounced dead after being taken to the hospital. Officials said the TBI "is the independent, fact-finding state agency that handles the investigations into most of the states use-of-force cases. "TBI agents are working independently to determine the series of events leading to the shooting, including collecting evidence and conducting interviews. Throughout the process, investigative findings will be shared with the District Attorney General for her review and consideration. The TBI acts solely as fact-finders in its cases and does not determine whether the actions of an officer were justified in these types of matters; that decision rests solely with the District Attorney General." This is a terribly tragic incident for a lot of people, said Chief Murphy. Its tragic for the Chattanooga Police Department, the officer who was wounded and other officers who responded to the scene, certainly the family and friends of the man who lost his life, for people who were nearby at the time, and for people hearing and reading about it now. This is something we never want to happen and something we take very seriously. We are in full cooperation with the TBI investigation and have turned over all available footage and other evidence to them so that they can quickly and impartially determine the facts of this incident. My priority is to do everything above board and to follow procedure, and I urge the community to let the process run its course and to not jump to conclusions. We will continue to provide updates and share any new developments as appropriate and as we are able as TBI conducts its investigation." The Chattanooga Hamilton County Branch of the NAACP issued a statement, "While we do not know all the facts as it pertains to this incident, we do believe it is in the best interest of the community to have a full and transparent investigation into this unfortunate occurrence. "The investigation should include: an immediate release of convenience store videos of Speedway cameras, unedited dash camera videos, body camera videos, any known cellular phone videos, pole camera video and any local business or government videos. In addition, there should be a timely release of the identity of the officers involved and the medical examiner's report. "We do recognize the unique role of law enforcement in protecting and serving the community and acknowledge that most encounters between law enforcement and the citizenry have peaceful outcomes. It is for this very fact that the public must be assured that in these types of incidents officers acted appropriately in the performance of their duties, and within the full compliance of all state and constitutional laws. "We are also mindful of the family of Mr. Roger Heard are grieving at this time and pray they may be comforted in their time of grief. Therefore, it is imperative that Mr. Heards family have the information needed to work toward closure. "Let us finally say, that this incident serves as a stark reminder of the need for continued discussion with the community and law enforcement to mitigate these types of incidents. The need for civilian oversight boards, despite the Tennessee State Legislature's recent order to dispose of them and meaningful legislation aimed at reducing gun violence. "When we all humble ourselves and work toward truth and justice, we can begin to heal our land. We call on the elected officials of the city of Chattanooga, who are elected by the people, to serve in a manner that puts the peoples needs first." A second person has been arrested and charged in connection with an animal hoarding case that has now resulted in 101 cats and three dogs being removed from a property in south Walker County. Dee Darren Decker, 76, faces one count of aggravated cruelty to animals in connection with a deceased cat found at his home on South Dick Creek Road last week. A Magistrate Court judge set his bond at $5,000. Deckers wife, Kathryn, 70, also faces charges of aggravated animal cruelty and willful obstruction of a law enforcement officer. Animal control officers, along with a Walker County Sheriffs deputy, found dogs chained to trees, animal feces inside the couples primary residence, and dozens of cats stacked in crates, including the one that was deceased, when they inspected the property on a welfare check. Authorities say Decker enabled his wife by paying for all of the food for the animals and had a disregard for the living conditions of the animals, in which the couple also resided. All of the animals removed from the property over the past several days have been relocated to the Walker County Animal Shelter and a temporary shelter site pending court proceedings. This case remains an active investigation. The Hamilton County Water and Wastewater Treatment Authority is addressing sewage that has been a problem on Signal Mountain for 50 years, primarily because of where the pipes were located when the system was built. The pipes and manholes carrying wastewater were often put in stream beds where gravity controls the water flow leading it down the mountain and ultimately into the Tennessee River. During periods of heavy rain, water infiltrates the sewer pipes, and time has caused pipes in the streams and underground to crack and break resulting in overflows that cause heavy contamination of E Coli in many of the creeks. Town officials said they want more input on the major project. The WWTA and Jacobs Engineering have created a plan to clean up the water in Signal Mountain so it conforms to state and federal requirements. The new system will abandon the old pipes and manholes and move wastewater to two pumping stations along the edges of Green Gorge Park. One would be on Green Gorge Road between Fern Trail and Druid Road and the other is planned to be on Ravine Road near Whippoorwill Drive. The park land where they will be located is owned by the town of Signal Mountain which must give WWTA easements to build there. Another part of the new system will be for houses in two neighborhoods that have areas of high infiltration of ground and stormwater entering the pipes. Low pressure grinder pumps will be installed at those individual houses. Sewage from the houses pass through these grinder pumps on the way to the main line in the street. The town has not yet been asked to give the easements, but the issue was discussed at the Monday council meeting. Since it has been determined that there are no alternative locations to place the pumping stations, and it is necessary for the contamination to be stopped, the council wants to be prepared when they are asked. A list of conditions is being compiled that they would like placed on building the new system in addition to those recommended by the municipal planning commission. Many citizens are not happy that the park will be disturbed and that sewage facilities will be built in their neighborhoods. Mayor Charles Poss has stressed that the project is being conducted by WWTA and not the town. He said that he appreciates that the WWTA has been cooperative, and asks the community to have a spirit of cooperation. Civility is vital, he said. The list of requests includes creating a citizens advisory committee which would have only recommending powers, but could give opinions regarding aesthetics. The city plans to ask the WWTA to build a bike/pedestrian path around the park in the areas of the pumping stations where the work will be done. The council wants a condition to be put on the project that the pump stations will be only to benefit the citizens of the town of Signal Mountain, who are the ones donating the land. They should not be used for the unincorporated parts of the mountain or Walden. That limitation would safeguard the capacity for town residents. And no new connections would be allowed to feed into the stations which could cause a need to expand the pump stations in the future. Councilman Andrew Gardner would like to move the pump stations back as far as is feasible from the road which he said would be less visible and have less impact to property values of homes near them. He also wants to add the condition that chemical tanks will be at each location for odor control. There will be some protections from noise for those who live nearby, which could come from the city codes already in place or from creating a new set of rules about work hours and days of the week. It is recognized that too many restrictions could slow the deadline and could complicate the process of WWTA getting reimbursed by the federal government. Mayor Poss said he had expected to hear more comments about the grinder pumps than about pump stations. Council member Susannah Murdock said it is likely that people do not understand what they are used for, and that it is the responsibility of the WWTA, not the town, to explain what they do at the homes that will be required to have them installed. Another topic of discussion at the meeting was the review plan for building and codes. Town Manager Elanie Brunelle said a study of the budget by the advisory organization, MTAS, showed expenses in Building and Codes have exceeded revenue for years, so a review was warranted. Adjustment of the fees was recommended and a chart with the proposed increases was created based on fees charged by peers of Signal Mountain. Reviewing what the town charges will be assessed on a regular time frame, possibly every two years. There will also be a mechanism created for the council to initiate a review of fees and update them at any time, if they do not seem to be sufficient. State law has changed and now municipalities are required to provide a time during meetings for the public to speak and that time must be included in the advertised agenda. The council discussed the possibility of creating a sign-up list for people who wish to speak, which may mean one list for citizens of the town and another for non-residents. This could identify and give priority to citizens of the town of Signal Mountain. Items on the agenda Monday night for a vote include the approval of reorganizing the fire department and the corresponding job descriptions. Money allocated to the fire department in the budget, allows the additional personnel, including a training officer for the department and several firefighters. The costs to make repairs to the sewer and to replace windows at the MACC that leak, were included in this years budget. Thet amount was estimated to be $32,500 for both projects. The sewer problem was repaired for $11,000 which left enough money to replace the two worst windows. The council approved a contract for $16,464 with Chattanooga Exteriors for the window replacements. Matt Justice, the Signal Mountain water utility director, was appointed to be the towns liaison with the WWTA board. The council approved a new contract with Town Manager Elaine Brunelle. Mayor Poss said two changes from the previous year involve salary and vacation time. In council reviews of the town managers performance, a suggestion was made for her to have a mentorship relationship with the former interim city manager Mitchell Moore. The two have a good working relationship said the mayor and Ms. Brunelle is in agreement. An investigation by special agents with the Tennessee Bureau of Investigation has resulted in the indictment of a Hawkins County attorney and judge. On May 12, at the request of 3rd Judicial District Attorney General Dan Armstrong, TBI agents began investigating allegations of forgery and bribery involving Daniel Boyd, 47, an attorney and Juvenile Court Judge in Hawkins County. On May 17, General Armstrong requested a pro tempore district attorney assume the case and 2nd Judicial District Attorney General Barry Staubus was appointed. During the course of the investigation, agents learned that Boyd, while working as an attorney, provided a client with a default judgment declaring her divorce to be finalized. The document appeared to be signed by the Chancellor of the 3rd Judicial District. Further investigation revealed that no document existed with the Clerk and Masters Office and that the case was never presented to the Chancellor. Additionally, the investigation revealed that when Boyds client filed a complaint, he offered her money if she would withdraw the complaint. On Monday, the Hawkins County Grand Jury returned indictments charging Boyd with three counts of Forgery, one count of Criminal Simulation, and one count of Bribery. Today, he turned himself in and was booked into the Hawkins County Jail on a $25,000 bond. U.S. Ambassador to Korea Philip Goldberg gives a special lecture during a forum at a hotel in Seoul to discuss the geopolitical situation on the Korean Peninsula, as the Korea-U.S. alliance marks its 70th anniversary, June 29. Yonhap U.S. Ambassador to Korea Philip Goldberg said Wednesday he won't be able to travel to an upcoming trilateral summit between Korea, the United States and Japan in his home country due to a health issue. U.S. President Joe Biden is set to host Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida for the trilateral summit at Camp David on Friday (local time). "I regret that a health issue will prevent me from traveling for the historic trilateral meetings at Camp David," Goldberg wrote on social media without elaborating on his condition. The ambassador said he will "continue to be engaged on preparations and monitor progress in consultations with senior U.S. counterparts in Washington." A 46-year-old woman has spent the last 5 years married to her 51-year-old husband named David. Now, she and David both entered their marriage with daughters from previous relationships. Her daughter is named Amy, and Davids daughter is named Erin. Both girls are 21-years-old and their birthdays are a couple of months apart. Amy and Erin each have been in college for several years, and Amy got a scholarship to go to the school she is at. This mom had money set aside for Amy, as did her ex, so Amy basically has a full-ride to college and all of her expenses are covered. Meanwhile, Erin chose to go to college in a separate state from where they live, and her college doe not give out scholarships. David had a college fund for her, and the rest shell cover herself, she explained. Whats going on now is David said we have a fair amount of savings, and he wants to give some of that to Erin for her student loans. Thats not exactly fair to Amy, he said that hers are paid off, but I replied he should give the same amount to Amy for rent or something even if she doesnt have college fees. This is just the tip of the iceberg, Erin plays violin with the local orchestra, she had her first (small) show about a month ago- we went all the way to MA because David wanted to see her perform. He was also the one who bought her the violin as a gift when she got into college, but no gift for Amy. She and David did get into a disagreement regarding this, and Davids reply was that its really hard on Erin to do everything all on her own. Sign up for Chip Chicks newsletter and get stories like this delivered straight to your inbox. Much of Terry LeBlancs adult life has been driven by one question: Can you be fully Indigenous and fully a follower of Jesus? His answer has been a resounding yes. Over the past three decades, he and others have built a seminary to offer theological education to Indigenous people in the United States, Canada, and the world, so that they can answer yes too. NAIITS, previously known as the North American Institute for Indigenous Theological Studies, was founded in 1998 with a vision of seeing men and women journey down the road of a living heart relationship with Jesus in a transformative way which does not require the rejection of their Creator-given social and cultural identity. In 2021, it became the first Indigenous school to receive full accreditation from the Association of Theological Schools. NAIITS can now offer accredited master of arts, master of theological studies, and master of divinity degrees, as well as doctorates in Indigenous Christian theology. Last year, NAIITS received two grants worth $6 million from Lilly Endowment to do just that. The school will use $1 million to develop a masters program in trauma-informed spiritual care. The other $5 million will go toward creating the Canadian Learning Community for Decolonization and Innovation, a collaborative project with four other universities. LeBlanc, who is Mikmaq-Acadian and holds a PhD from Asbury Theological Seminary, said NAIITS teaches people how to reimagine the relationship between faith and culture. The academic term is decolonization, which LeBlanc said doesnt mean diminishing the power of Jesus or the gospel, but making space for Indigenous perspectives and learning to see Indigenous identity and culture as God-given instead of something to be discarded. There is often an assumption that Indigenous cultures are negative and should be left behind, LeBlanc said. All theology is culturally bound and engaged, and none is ideal or perfect. But we believed that we too could embed our faith in our culture and identity. At the schools 20th annual symposium this June, scholars and church leaders looked at how Christian faith can be expressed through Indigenous music. Historically, some Christians have condemned using drums, saying they are inherently sinful. The NAIITS teachers believe they can be used to proclaim the gospel and worship God. At the gathering, in Manitoba, one professor taught people an Indigenous worship song on drums and shakers. The people sang with him: Jesus is good medicine / good medicine, ah hey. Article continues below NAIITS also celebrated 11 graduates at the symposium. The school operates on a trimester schedule, with students taking online classes for two semesters and attending a third in person. The in-person trimester takes place at three partnering institutionsAcadia Divinity College in Nova Scotia; Tyndale University and Seminary in Toronto; Kairos University in South Dakotaso NAIITS does not need to maintain a physical campus. NAIITS also parnters with Meachum School of Haymanot in Missouri and Sydney College of Divinity Australia. Keeping the education mostly virtual allows students to remain in their own communities, which is less disruptive and less expensive. NAIITS hopes the graduates will form deep connections to better minister in their own contexts. At the same time, they come together enough to form a common bond. Its a communitywe share life together, LeBlanc said. Its not simply academics. And its also not simply Indigenous people coming together and having a hug fest. As NAIITS expands its vision and reach, its leadership is also growingand changing. LeBlanc, the schools founding director, is transitioning to director emeritus and will join the NAIITS elders along with his wife, Bev. NAIITS leadership is being taken up by Shari Russell, who is treaty-status Saulteaux (Anishinaabe) from the Yellow Quill First Nation in Saskatchewan. Russell, who is also an ordained officer in the Salvation Army, is an example of how NAIITS hopes to help people reconcile their faith and culture. I didnt know what it meant to be Indigenous and a follower of Jesus, Russell said. And then I met these guys. Its truly been a wonderful journey. As a child, Russell and two of her siblings were removed from their home on the reserveseparated from their family and communityand put in the child welfare system. This is known in Canada as the Sixties Scoop, part of the long and brutal record of white Canadian Christian attempts to help by eradicating Indigenous culture. That history left many, like Russell, with the sense that they had to choose: If they were going to follow Jesus, they would have to completely assimilate to Western Christian culture. If they were going to embrace their Indigenous identity, they would have to reject Jesus. Article continues below NAIITS proposes the two can be reconciled and were, in fact, never really opposed. For people like Russell, NAIITS offers the space to reclaim and relearn the parts of their identities and cultures once taken from them. Its been a process. Even for some of the founders, said Russell, who was reunited with her family and joined NAIITS in 2002. A lot of people have been wounded before. But people come to NAIITS because its different. Danny Zacharias, a NAIITS New Testament professor who met LeBlanc while working on his doctorate, remembers when LeBlanc urged him to make his Indigenous identity centralas a person and a Christian. That wasnt something we were told was important, said Zacharias, who is Cree and Anishinaabe on his mothers side. We were even told it was demonic sometimes. But Zacharias, who is ordained by the Canadian Baptists of Atlantic Canada, came to see that LeBlanc was right. The integration of his Christian identity with his cultural heritage was transformative for him. Indigenous believers would say, I am an Indigenous Christiannot that Im just a Christian, he said. Its both the decolonizing of theology and rethinking what gets packaged with the Christian message. Another faculty member, Kimberlee Medicine Horn Jackson, a Yankton Sioux poet and writing professor, said the NAIITS community is special because of its Indigenous leadership. In the mainstream, most of the academic voices who were speaking were outside of those Indigenous communities, said Jackson, who is also a NAIITS PhD student. When that happens, there is a level of disconnection. Since the beginning, NAIITS has been Indigenous taught and governed. The school also welcomes non-Indigenous students, but their total number is capped. Part of the mission of NAIITS, according to Russell, is to create a space where Indigenous people can do theology. But the goal is to do more than that. Indigenous theologies challenge the Western framework Christian theology often comes in. She said that many Christian theological perspectives, for example, begin with the Fall in Genesis 3, when sin enters the world and separates humanity from God. Indigenous theologians, on the other hand, often start with the beauty and goodness of Gods creation. According to Russell, a learning community that cultivates those kinds of insights not only leads to healing and flourishing for many Indigenous Christiansfully Indigenous, fully followers of Christit can also be a blessing to the broader church. Article continues below The value that Indigenous world-views and epistemologies bring to our expression as Christ followers sometimes is missed, Russell said. But theres so much that we have to bring that will enhance, I think, the full body of Christ. Hannah McClellan is a reporter in North Carolina. Correction: An earlier version of this story said NAIITS was founded in 2000. It was founded in 1998 and incorporated in 2000. Have something to add about this? See something we missed? Share your feedback here. When the news broke that world-famous apologist Ravi Zacharias had perpetrated years of abuse, many evangelicals felt like their world had been flipped upside down. Sam Allberry knows the feelinghe was a staff apologist at Ravi Zacharias International Ministries (RZIM) when the allegations emerged. On a new Losing Our Religion episode of The Russell Moore Podcast, Moore and Allberry talk about the devastating impact of Christian leaders who are living secret lives of sin. Allberry, a pastor, apologist, author, and speaker, shares what he learned about how institutions deal with crises and how he emotionally and mentally stepped away from the job. He and Moore discuss knowing when its time to leave and time to stay, grieving severed relationships, and how Gods grace shows up in seasons of loss. Tune in for a discussion of faith and doubt, growth in Christ, and gender and sexuality. Moore and Allberry also discuss the ministry of their dear friend, the late Tim Keller. And they point to the power of the gospel in discipleship, sanctification, and changing the lives of those we might be tempted to think of as far from God. This special series of episodes around Russell Moores newest book, Losing Our Religion: An Altar Call for Evangelical America, explores the Christian faith in confusing times. Resources mentioned in this episode include: Grab a copy of Russells new title, Losing Our Religion: An Altar Call for Evangelical America, here! Do you have a question for Russell Moore? Send it to questions@russellmoore.com. Click here for a trial membership at Christianity Today. The Russell Moore Show is a production of Christianity Today Executive Producers: Erik Petrik, Russell Moore, and Mike Cosper Host: Russell Moore Producer: Ashley Hales Associate Producers: Abby Perry and Azurae Phelps CT Administration: Christine Kolb Social Media: Kate Lucky Director of Operations for CT Media: Matt Stevens Audio engineering by Dan Phelps Video producer: Abby Egan Theme Song: Dusty Delta Day by Lennon Hutton 'The Lord's blessing': 114-year-old Texas woman gives thanks to God for her longevity A Texas woman marked her 114th birthday surrounded by five generations of family and friends. Known as a supercentenarian, she is the oldest person in Texas, the second oldest in the United States, and the seventh oldest in the world. Despite her age, she feels young at heart and attributes her longevity to the grace of God and a healthy lifestyle. Elizabeth Francis, born in 1909, celebrated her birthday last week in Houston with her family, including her 94-year-old daughter, Dorothy Ray Williams. Francis still lives in her own home and is the second-oldest person in the world who does so, according to Florida researcher Ben Meyer, as reported by KTRK-TV. Francis longevity seems to be genetic, with her sister living to be 106 and her daughter at 94. She also lived a healthy lifestyle, growing her own vegetables and avoiding fast food. Im very, very young. Look at me, Im like a little young chicken, Francis told KTRK-TV. When asked about her age, she replied, I dont know, I just thank the good Lord for keeping me. Her granddaughter, Ethel Harrison, told KTRK that Francis gift to others is the wisdom and advice she shares. I think generosity, being generous, Harrison said. Treating people like you want to be treated, even though sometimes it might not be reciprocated, but thats not the way God wants you to do. He wants you to be generous and kind. Francis never smoked or drank and ate everything, she said with a laugh. Her family also remembered how she always cooked homemade meals. Whenever you went to her house, I dont care what day of the week, she was cooking, Harrison was quoted as saying. In addition to her family, a film crew from Norway and a researcher from Florida came to record her birthday and present her with a plaque. Franciss daughter, Dorothy Williams, expressed disbelief at her mothers age. They dont say youre crazy, they just say youre lying. Born during the time of President William Howard Taft, when 90% of U.S. babies were born at home, and women and black citizens could not vote, Francis life has spanned significant historical events. Her longevity is seen as a blessing by her family, who describe her as a wonderful mother and grandmother and their backbone. Francis has three grandchildren, five great-grandchildren, and four great-great-grandchildren. Her healthy lifestyle is considered a contributing factor to her long life. When asked why shed lived so long, she simply said, This is a blessing, the Lords blessing. Anglican bishop faces church trial amid allegations of mishandling sex abuse claims A bishop in the Anglican Church in North America is heading toward a church trial as he has been accused of mishandling allegations of sexual abuse and spiritual abuse. ACNA announced on Tuesday that Bishop Stewart Ruch III of the Anglican Diocese of the Upper Midwest, which is based in Wheaton, Illinois, will face an ecclesiastical trial. This decision came via a Board of Inquiry that investigated a presentment, or a written document laying out charges filed by multiple clergy, submitted in June. The presentment will next be sent to the Court for the Trial of a Bishop, according to ACNA. A public declaration Tuesday states that in the judgment of at least two-thirds of the Board of Inquiry, there is probable cause to bring Ruch to trial for violations of Canon IV.2.3, Canon IV.2.4, and Canon IV.2.9. Specifically, he is being tried for violating ordination vows, conduct giving just cause for scandal or offense, including the abuse of ecclesiastical power and for disobedience, or willful contravention of denominational bylaws. In a statement, Ruch said he is thankful and relieved that there will be an occasion to hear all sides and gain some resolution after two years. Please bring your concerns to your clergy, vestry, or parish councils, who will offer care in every way possible. I am so thankful for your faithfulness in praying for healing for all and for the Lords leading during this painful season, Ruch stated. Please pray for everyone involved in these proceedings. And pray that, in the meantime, our diocesan churches receive Gods blessing as they continue to carry out their Gospel work. In June 2021, ACNA was informed that there had been an erosion of trust in the Diocese of the Upper Midwest due to allegations that the Diocese mishandled accusations of sexual misconduct. One prominent example was ACNA lay leader Mark Rivera, who was arrested and eventually found guilty of multiple charges of sexual assault, including against his 9-year-old goddaughter. Issues over how the diocese handled the allegations led to the creation of ACNAtoo, a watchdog group centered on abuse survivors and their advocates within the denomination. Last year, ACNA hired Husch Blackwell LLP to investigate the Upper Midwest Diocese, with the law firm releasing a report last September detailing allegations of sexual misconduct and how the claims were handled. Regarding allegations against Rivera, the report said that Ruch believed that it was a matter for law enforcement to uncover whether there was criminal conduct or not and that the church was there to provide pastoral care to both the alleged victim and alleged perpetrator. Last October, 16 months after Ruch voluntarily went on a leave of absence in response to the concerns over his handling of allegations, the bishop returned to his office, with Bishop Martyn Minns serving in a supervisory role at the regional body. In June, ACNA Archbishop Foley Beach announced that Ruch had made a secret appeal to the Provincial Tribunal in January to try and declare a presentment made against him by multiple bishops invalid. The Tribunal failed to give proper notice to me, the Presenting Bishops, or the Provincial Chancellors. We were not given a copy of what Bishop Ruch filed and we were not given opportunity to address the issue, Beach said. We did not even know the Tribunal was involved until it issued on February 4, 2023, what it called a stay order, attempting to block the process and compel me to halt the process of fulfilling my canonically mandated responsibility to appoint a Board of Inquiry and referring the Presentment to it. According to Beach, four of the seven tribunal members had clear conflicts of interest in the related controversy and should have recused themselves, with the archbishop accusing the body of attempts to usurp authority not granted to it in the Constitution and Canons. The tribunal denied Beachs request for recusal of the four members, with the body concluding that recusal was an individual decision and defended the stay order by noting that it was in the best interest of the principles of fundamental justice and procedural fairness to preserve the status quo. 5 things to know about Craig Robertson, man killed in FBI raid over social media death threats The FBI shot Craig Robertson, 75, while attempting to arrest him at his Provo, Utah, home over threats made to President Joe Biden and other elected officials on social media. The social media posts in question were outlined in a criminal complaint published on Aug. 8, one day before his death. While the FBI maintains that their shooting of Robertson was justified because he pointed a gun at them, those closest to Robertson are pushing back on claims that he posed a danger to the public. Here are five things to know about Craig Robertson. 1 2 3 4 5 6 Next Melissa Joan Hart details born-again,' Holy Spirit encounter, lives out faith with global missions Actress Melissa Joan Hart says prayer has deepened her faith walk with Jesus exponentially and described a Holy Spirit encounter as her born again moment. In recent years, Hart has linked arms with World Vision to be a part of the life-changing work the organization does around the world to provide clean water and resources to those in need. The veteran entertainer said her work with the organization in Zambia has been immensely impactful to her and her family. She recently returned from a trip to the country where she, her husband and three sons visited with several families in need, including a family she has sponsored for the past several years. "It was really eye-opening. It was encouraging, Hart told The Christian Post. The difference in her sponsored family since her first trip in 2019 was noticeable. She praised World Vision because she could see firsthand that her sponsorship money was benefitting the family. Despite her many years of mainstream success, Hart, who was raised Catholic, has managed to grow stronger in her faith in God. After marrying her husband, Mark Wilkerson, who was Baptist at the time, the couple decided to change their denominational affiliations and start attending a Presbyterian church where their faith continues to grow. Hart has been committed to the Community Bible Study program which she's participated in for the last 13 years. Relocating from California to Tennessee has also enabled her family to attend Sunday school, which she admittedly had never done before. "Everyone's always talking about their moment of coming to Jesus or their moment of being born again. I feel like I've always walked with God, it's just gotten deeper and closer and more fulfilling, she explained to CP. The combination of the story of The Footprints on Sand, and the testimony of Katherine Wolf greatly impacted Hart and taught her how to pray. "While I was in a mom's group [at the church], Katherine Wolf fell into a coma because of an aneurysm. And we, the mom's group, wanted to pray for her. They wanted to surround her with 24 hours of prayer. Everyone had to take an hour. I just had my second son so I was breastfeeding at night, so I took something in the middle of night, Hart recalled. I get my son out of the crib and I'm feeding him and I start to pray and I did my Our Father and my Hail Mary and My Glory Be and then I didn't know what to do and I had 55 more minutes. "I feel like that's the moment that really opened up my prayer life. I had a big moment there where my prayer life changed and where I started to understand more about how to pray and then my husband also helped me, she testified. My husband taught me to pray for mercy. The podcaster credited her husband and a friend named Jeannie for giving her spiritual guidance. My friend Jeannie, who leads the Community Bible Study up in Connecticut, she taught me to go to the throne before you go to the phone, always go to God before you go to a friend with stuff, Hart added. As she shared her faith story, Hart remembered having a moment that she calls her born-again moment. I did have a born-again, Holy Spirit moment, she declared. I never really understood the Holy Spirit or the Trinity in a sense. One day, we were in Bible study and it just hit, like the Holy Spirit made sense to me all of a sudden! One day, I just felt it and I was like, Oh my gosh, that's the Holy Spirit talking to me. I get it now, she recounted. "Like a lightning bolt just hit me and I was like, 'The Holy Spirit! I don't know why it just hit me and I got it. Then I better understood the Trinity and all that. "My father-in-law once said to me, too, about the Trinity because I was struggling to understand that he said, 'Well, I'm a father, but I'm also a son, and I'm also a brother, and I'm also an uncle. And he said, that's how God can be all these things, so that hit me too. The Hollywood star has picked up bits of wisdom along the way, and now says her prayer life is thriving despite initially thinking of giving up on prayer altogether. I actually wanted to stop praying for a while because I was having such terrible thoughts that would frighten me so badly, she confessed. I was like, I'm not gonna pray anymore because I can't handle these thoughts. It's giving me anxiety. It's keeping me from sleeping. It's like, literally choking me with how scared it's making me. When I pray, these other thoughts are coming in. And that's when I realized that Satan is trying to take over so I have to keep doing it! I fought through it and that doesn't happen anymore, Hart celebrated. During Harts recent trip to Zambia with World Vision, she described a conversation she had with locals that struck her deeply concerning prayer. "It's a Christian country and almost every household we went to they were praying with us. But they couldn't understand why we pray, Hart said. "They couldn't understand why we pray when we have so much. They're like, 'It's weird to see a family pray that already has everything, like what would you pray for, or how would you pray?' she continued. "Sometimes I think, in our country [the United States], we hear people more likely say, 'Why would I pray, everything's a wreck? Everything's falling apart around me. God doesn't care. Why would I pray? Hart illustrated. But what they're doing, they're like: Well, we need to pray because we need our goats to be healthy. We need rain to come but not too much. We need our crops to do well. We need our children to be healthy. We need our school to be improved. It's estimated that 800 children aged 5 and younger die daily from diarrhea caused by contaminated water worldwide, according to UNICEF. Hart and her work with World Vision has been an answer to prayer for the people of Zambia. The organization has been the leading nongovernmental organization providing clean water in the developing world and is on the ground in Zambia, responding to the water crisis. Along with being an ambassador, Hart has been a long-time spokesperson for the World Vision Gift Catalog which also helps contribute to helping those in need. Mobs interfere with Christian worship at 2 churches in Indonesia Protesters damage church building SURABAYA, Indonesia Mobs in northern Indonesia this month blocked a church from its Sunday service venue in one town and damaged a worship building under construction in another, sources said. In Riau Islands Province, about 30 people last Wednesday used hammers and clubs to break huge holes in the walls of the church building under construction in Kabil village, Nongsa District, Batam City, at the address of RT 004, RW 21, according to local media. The site belongs to the Pentecostal Mission Church in Indonesia (Gereja Utusan Pantekosta di Indonesia, or GUPdI). In a video on social media showing the attack, a man from the group stated that the church had no permit to build a worship building, a common tactic by hard-line Muslims in Indonesia where applications for permits are ignored or denied and carry onerous requirements. GUPdI Pastor Sham Jack Sean Napitupulu reportedly said the church had a letter allowing the construction from the Batam Free Zone Authority. Local police and the chairman of the Batam Interreligious Communication Forum (Forum Kerukunan Umat Beragama, or FKUB), Chabullah Wibisono, denied religious motives for the destruction, saying the conflict was rooted only in the desire of residents to use the site as a public facility, local media reported. Church leaders filed a complaint about the damages with Riau Islands Police. The chairman of the Association of Indonesian Evangelical Churches and Institutions (Persatuan Gereja dan Lembaga-Lembaga Injili Indonesia, or PGLII) Riau Islands, Pastor Jimmy Loho, denounced the destruction as anarchistic and unacceptable, according to local media. A GUPdI administrator on Friday met at area police headquarters with Batam City Muslim leaders, Indonesian Ministry of Religion representative Proverbs Yowei, and area residents and reached an agreement stipulating that construction of the church building would be halted until a permit is issued, according to Keprionline.co.id. The legal case against those who damaged the church building under construction remains in progress. In nearby North Sumatra Province, Muslim demonstrators in Tanjung Morawa village on Aug. 6 protested against the Mawar Sharon Church (Gereja Mawar Sharon, or GMS) congregation using a warehouse for its Sunday service and told members to drop plans to construct a worship building at a nearby site, according to a video posted by Aktualonline.com. The video shows the protestors with banners stating, We, the residents of Sub-village 1, Tanjung Morawa village, refuse non-Muslims activities in this village, and, The residents of Sub-village 1, Tanjung Morawa Village, sternly refuse worship activities that are in violation of government regulations. Some of the demonstrators were local residents, while others came from outside the area, according to Emmy Marlina, secretary to the churchs senior pastor and founder, Philip Muntofa. A church member who owns a warehouse had made it available for the church to use as a temporary place of worship, but demonstrations kept the church from worshipping there, Marlina told Morning Star News. For temporary places of worship, no permit is required, she noted. The local division of the Deli Serdang Regency has begun efforts to find a solution to the conflict, Marlina said. We consider the governments attitude to be very accommodative, she told Morning Star News. The director general of Christian Community Guidance as well as the Minister of Religion are taking incidents like this seriously. We thank them for their efforts to bring justice to all groups. Indonesia ranked 33rd on Christian support organization Open Doors 2023 World Watch List of the 50 countries where it is most difficult to be a Christian. Indonesian society has adopted a more conservative Islamic character, and churches involved in evangelistic outreach are at risk of being targeted by Islamic extremist groups, according to Open Doors WWL report. If a church is seen to be preaching and spreading the gospel, they soon run into opposition from Islamic extremist groups, especially in rural areas, the report noted. In some regions of Indonesia, non-traditional churches struggle to get permission for church buildings, with the authorities often ignoring their paperwork. Atheist group demands SC school district stop praying at board meetings A prominent atheist organization is again demanding that a South Carolina school district halt its practice of opening official meetings with prayers from board members. The Freedom From Religion Foundation has asked the Summerville-based Dorchester School District Two to discontinue its practice of allowing school board members to open meetings with Christian prayers. We ask that the Board immediately cease imposing prayer upon students, staff, and community members in order to comply with the Establishment Clause of the First Amendment and to respect the rights of every member of the community, wrote FFRF Staff Attorney Christopher Line in a complaint letter sent earlier this month. Board members are free to pray privately or to worship on their own time in their own way. However, the Board ought not to lend its power and prestige to religion or coerce attendees into participating in religious exercise. FFRF Co-President Annie Laurie Gaylor said in a statement released last week that school officials like the Dorchester board should strive to be inclusive, instead of indulging in exclusionary practices. The school board exists to oversee a secular public school system that must be welcoming to all students and parents, of every religion and of no religion, stated Gaylor. The Christian Post reached out to the school district for this report, however a spokesperson said that they do not have a comment at this time. The FFRF had previously sent a complaint letter to Dorchester school district officials back in March 2021, when they were first alerted to the boards prayer practice by a concerned parent. Although the FFRF had been told that the invocation had been resolved, the atheist group reported that they heard from a local that the invocation practice had returned last year. In July 2018, a three-judge panel of the U.S. Court of Appeals for the 9th Circuit issued a per curiam opinion in favor of FFRF after it sued a California school district that allowed its board members to give sectarian prayers at official meetings. The decision upheld a lower court injunction against the invocation practices of Chino Valley Unified School District, with the circuit court panel concluding that the prayers were unconstitutional. The invocations to start the open portions of Board meetings are not within the legislative prayer tradition that allows certain types of prayer to open legislative sessions, said the per curiam opinion. This is not the sort of solemnizing and unifying prayer, directed at lawmakers themselves and conducted before an audience of mature adults free from coercive pressures to participate, that the legislative-prayer tradition contemplates. Greg Laurie says the rapture is next event on prophetic calendar: 'Get right with God' In Pastor Greg Laurie's second installment of his sermon series called The End of the World at the Harvest Christian Fellowship, he preached on the rapture, saying it's the next event on the prophetic calendar and could occur at any time. However, no one knows the day or the hour. In the Aug. 6 sermon, Laurie encouraged the congregation and those watching worldwide to "get right with God" before the rapture happens, stressing that it "can happen at any moment." The rapture is that moment when Christ descends from Heaven and we're caught up to meet Him," he said. "It is at this moment that we receive our new resurrection bodies, and that's also at this moment that we are reunited with loved ones who have preceded us. The rapture is the first phase of the return of Christ." Christians should be ready for Christs return, Laurie stressed, and they should keep in mind that if they are struggling, its still not too late to repent and turn to God. The Bible says, Prepare to meet your God. You want to be ready to meet God. Are you ready to meet God? If Christ were to come back tonight, would you be caught up to meet Him in the air? Or would you be like one of those wicked people living a compromised life that would be left behind?" That can all change because Jesus died on the cross for your sin. He paid the price for every wrong you've ever done. And if you will turn from your sin and put your faith in Jesus to be your Savior and Lord, you can be forgiven and you can be given a fresh start, a new beginning. The rapture, Laurie said, is not something Christians should fear because it will be a time of great reunion, as believers will be reunited with loved ones who've died. Laurie quoted Paul of Tarsus who wrote in 1 Thessalonians 4:13, "We don't want you to be uninformed brothers, about those who have died so that you will grieve as those who have no hope." I want to comfort you and I want to give you a heavenly perspective on what happens to loved ones who believe in Jesus when they die," Laurie said. "You're going to see them again. So I want to establish that fact. And I don't want you to grieve like people who have no hope. Laurie acknowledged that some people are hesitant to study End Times events because, as some claim, no one can figure it out anyway." "That's not true," he declared. We should study End Times events and we need to understand what they mean to us today. Again, Paul says, I don't want you to be ignorant.' Jesus said, 'When you see the abomination of desolation spoken of by Daniel the prophet, let the reader understand. But the key here is, he says, Let the reader understand.'" "So, it is important that we understand these things, and also I think when we understand prophetic teaching or eschatology, the study of last things, as it's sometimes called if we understand it as we ought to, it will bring hope to us." Laurie stressed the importance of approaching each day as if Jesus is returning. He quoted Luke 12:35-36, where Jesus says, "Be dressed for service and keep your lamps burning, as though you were waiting for your master to return from the wedding feast. Then you will be ready to open the door and let him in the moment he arrives and knocks." Let me ask you this: if you knew somehow we couldn't know this, but let's say for the sake of a point, we could if you knew somehow that Jesus was coming back today, at three oclock in the afternoon, I think we would all be living, breathing saints at 2:45, don't you? Laurie said. We'd be wearing our Sunday morning smiles and our 'Come quickly, Jesus' attitude. Wow, 15 minutes and it's going to happen. But we don't know when that day is going to be. So we need to live every day as though it can be the day that Christ returns." South Korean President Yoon Suk Yeol, right, speaks with U.S. President Joe Biden, left, and Japanese Prime Minister Fumio Kishida ahead of their three-way talks in Hiroshima, Japan, May 21. Joint Press Corps President Yoon Suk Yeol has said South Korea is open to holding trilateral consultations with the United States and Japan on extended deterrence, according to an interview published Wednesday. Yoon made the remark in a written interview with Bloomberg days before he is set to hold a trilateral summit with U.S. President Joe Biden and Japanese Prime Minister Fumio Kishida at the Camp David presidential retreat in Maryland. "Regarding extended deterrence, we are also open to separate consultations among the Republic of Korea, the United States and Japan," Yoon was quoted as saying, referring to South Korea by its formal name. Extended deterrence refers to the U.S. commitment to defending an ally using all of its military capabilities, including nuclear weapons. South Korea and the U.S. recently launched the Nuclear Consultative Group (NCG) to strengthen the credibility of U.S. extended deterrence as part of an agreement reached by Yoon and Biden during their summit in Washington in April. Yoon told Bloomberg he expects Friday's trilateral summit will lead to agreement on ways to enhance the three countries' capabilities to respond to North Korea's nuclear and missile threats. The discussions will cover ways to increase trilateral defense exercises, he said, and the three countries will work to operationalize their sharing of missile warning data on North Korea in real time within this year. "The complete denuclearization of North Korea is a clear and consistent goal of the international community, including the Republic of Korea and the United States," Yoon was quoted as saying. "The international community will never accept North Korea as a nuclear power under any circumstances." In a notice to reporters, the presidential office clarified that Yoon's remark on being open to trilateral consultations on extended deterrence was in line with the government's "basic stance" until now. "The issue is not currently under discussion among the three countries, and is not included on the agenda of the Camp David trilateral summit," it said, adding the government is currently focused on firmly establishing the NCG at an early date. When asked what he hopes to see from the summit in terms of economic cooperation, Yoon said the three countries plan to "further solidify the framework for our cooperation to strengthen the resilience of supply chains." "Also, we will conduct joint research and enhance cooperation in AI, quantum, space and other key critical and emerging technologies, which will become future growth engines, and we will work together to set global standards," he added. (Yonhap) How a former El Salvadoran gang member found Jesus after fleeing to America on foot Reflecting on his past, Franklin Rivas Hodge, once a gang member but now a committed Christian, believes God pulled him out of profound despair and guided him through a transformation beyond his wildest dreams. Born to a community in El Salvador where heavy crime and gang violence was the norm, the 25-year-old says that he suffered from many dark and traumatizing experiences during his childhood and adolescence. As a baby, he was abandoned by his parents and left to live with family members who sexually abused him starting at age 3, continuing for many years that followed. He witnessed countless people he loved murdered in front of his eyes. He also saw friends he played with as a boy kidnapped from their front yards. His adolescence could be described in one word: darkness. Feeling as though he had no support or true love in life, he began to look for both in all the wrong places. "At age 9, I joined a gang in El Salvador," he told The Christian Post in a recent interview. "I saw that they were united. I saw that they had power. I saw that they had money. I saw that people were afraid of them and that they were respected in a way, and all that seemed really appealing." For about a decade, Hodge was active in a gang. On multiple occasions, he was caught with illegal weapons or illegal possession of drugs. As a result, he spent many years in and out of prison. Fleeing on foot As time progressed, Hodge said things continued to get darker and more dangerous in El Salvador. He began to fear for his life as he continued in the gang. To run from danger at around age 16, Hodge fled with a few of his friends to escape El Salvador. Together, they traveled by foot to the United States for safety. "I crossed the borders of El Salvador and Guatemala, Guatemala and Mexico and Mexico and then to the U.S. by foot. I crossed rivers swimming. From the moment that I left my hometown, I was on a bus, and then the bus stopped near the river, and I had to get out and swim across the river. And then, I was always getting into trucks, jumping off trucks, walking, hiking mountains, or swimming in rivers or walking through the forest or running. Every day I was either walking, I was running, or jumping into trucks and jumping out of trucks." He estimated the journey took around a month and a half, though he admitted difficulty recalling the exact duration. "We had men that were helping us along the way and leading us. When we got to Guatemala, we had someone lead us through Guatemala. We had somebody else lead us through parts of Mexico," he said. "There were times when they just threw us in the forest, and they were like, 'Alright, you're supposed to go this direction.' And we had to just go. We would just start walking, and we would walk for literally five to six hours without knowing if we were even walking in the right direction or not. We didn't have water or food." "I'm here. I'm in the U.S. now. So I was walking in the right direction. But, in the moment, it was like, 'I don't even know where I'm going to go.'" Upon arriving in the U.S., immigration authorities detained him in Corpus Christi, Texas. With no living parents to return to, he was placed in a foster care program in Dallas, Texas, due to his minor status. Hodge's first foster family was Catholic but not particularly devout. While they showed him love and care, he struggled to accept it, having never experienced genuine familial affection in his past. Not knowing how to love or be loved In 2014, Hodge left his foster family and was missing for five months. When found, he was placed with a deeply religious Christian family. "From the moment that I met them, I knew there was something different about these people. I had come across so many people of all kinds. But, these people are the first ones that I got, and I was like, 'OK, they are different,' And I was wondering why," Hodge recalled. "It was because of the Gospel. They believed the Gospel. They knew the Gospel. They lived out the Gospel. And so, from the moment that they took me in, they took me to church. I didn't understand the language, but they still took me to church," he added. The family also introduced him to the significance of the dinner table as a sacred space for the family to gather, converse and bond. He noticed their consistent Christian practices, like praying before meals. "They would always pray. They would do all the things that we do as Christians, that I do as a Christian now. But, at that time, I didn't know any of those things," Hodge said. "They were the ones that introduced me to the Gospel. And that was the cool thing about it. Because we didn't even speak the same language. And that's what the Gospel is about. We should live the Gospel to the point where from the moment that we come into any room people should notice that we're different because we are a temple of the Holy Spirit," he continued. "The family just lived it. They didn't need words to show me what God did for me. They just knew it. They took me to church all the time." Believing in Christ but not fully accepting Him In 2019, when Hodge was 20, his foster family enrolled him in high school. As an adult attending school with teenagers, he felt out of place and frequently got into altercations, grappling with the anger stemming from his traumatic past. One evening, overwhelmed by his emotions, Hodge felt he reached his lowest point and was brought to tears. With the support of his foster family, they guided him through a sinner's prayer. That day, Hodge accepted Jesus Christ into his life. "I was in the living room, and I was in tears. I literally hit rock bottom at that time. And they shared the Gospel with me. I was broken. I needed help and everything, and I ended up accepting the Gospel in 2019. I got on my knees and prayed to accept Him," Hodge said. Even after this spiritual acceptance, Hodge confessed that he found it challenging to fully trust in God. His past traumas left him wary, making it difficult for him to trust anyone, including God. Living for partying, money, fame and sex In high school, Hodge found his niche on the competitive fighting team. He discovered his natural talent in combat sports, allowing him to graduate a year early. Later, a professional MMA team from Colorado scouted him. "I moved to Colorado for a while and I began kind of like a career as a professional MMA fighter and that's kind of where I would say I started drifting away in my life because of money. Like I started making money. Fame started coming into my life. I was recognized in places that I went to," Hodge said. "It was a weird transition. Literally coming from a background where I literally had nothing. I mean, I went days without eating and to get my food I sometimes had to literally go and kill wild animals and cut them up to eat and survive," he continued. "I went through all that, came here, went to a family, and I started being taught how to be in a family. Then, I graduated high school, and I'm introduced to this world of money and fame and kind of getting what I wanted." This newfound fame, however, rekindled old vices he'd been exposed to since he was 9 indulging in relationships, smoking and frequent partying. "I started living for people. I started seeing how other MMA fighters would act, and I adapted those behaviors and lived that way," he said. Between 2017 and 2020, Hodge was deeply immersed in the MMA world, sidelining his faith. At the same time, he grappled with Post Traumatic Stress Disorder due to the extensive abuse he had experienced from a young age. He wrestled with depression, anxiety, bitterness and an inability to forgive those who wronged him, including his parents and the relatives who abused him in El Salvador. "I was spending literally weeks at a time not being able to sleep because of my PTSD. That's when I got to a point where I started doing dumb things. I started mistreating my [foster] parents and stealing money from them. Even though I was making money but, I was stealing money from my parents to help my people back in El Salvador," Hodge recalled. Finding God again One evening in late 2019, filled with remorse, Hodge admitted to his foster parents that he had been stealing from them. Rather than rejecting or dismissing him, his foster parents responded with compassion. "They didn't reject me. They didn't push me out. They didn't say, 'You're the adopted one,' and walk out. They loved me. They cared for me. They showed me the Gospel again," Hodge said. "My parents said, 'Hey, we know that you're doing all this and therefore, there's going to be consequences. But we love you. We care for you. You are our kid. And we have chosen you.' I was kind of ready for them to reject me, for them to throw me away, so I could go turn around and go kill myself," Hodge said. "They did the whole opposite. That's when my mom shared the Gospel with me and she said: 'Hey, this is what the Lord has done for you and He loves you.' And at the end of the night, I was like, 'Alright, if you say that that's the way, then I want it. That's when I truly accepted the Gospel.'" Embracing God's healing After his profound realization, Hodge grew more connected to God and the teachings of the Gospel. He became a regular at his local church and enrolled in a recovery program there, which helped him address and heal from his past traumas, ultimately guiding him toward forgiveness. Although he affectionately refers to his foster parents as "mom" and "dad," Hodge has recently reconnected with his biological mother. He forgave her for her earlier absence, and they have since forged a stronger bond. Embracing his newfound faith, Hodge established an online ministry via Instagram and completed a year-long evangelistic seminary course. Hodge is about to take on two new roles: he's training to be a commercial pilot and will soon marry his fiancee, Meghan, on Aug. 19. They met at church, and he calls her "a gift from God's outpouring of blessings" on his life. "Jesus changed my life," he said. "Now, all I want to do is to dedicate my time to sharing the Gospel literally anywhere: in coffee shops, where I go eat or in the streets or with people that I interact with and with different juveniles and in the prison systems." NJ Supreme Court says Catholic school can fire teacher for engaging in premarital sex The New Jersey Supreme Court has unanimously ruled that a Roman Catholic school can lawfully fire a teacher because she violated Church teaching by having sex outside of marriage. New Jerseys highest court ruled Monday in the case of Victoria Crisitello v. St. Theresa School that a Catholic elementary school could fire an art teacher who became pregnant while unmarried, which violated her employment agreement with the school, which requires employees to adhere to Catholic teaching. Justice Lee Solomon authored the majority opinion, which permanently dismissed the complaint against the Catholic school, with Justice Fabiana Pierre-Louis authoring a concurring opinion. According to a syllabus of the decision, Solomon concluded that the school was allowed to fire the teacher because state law, namely New Jersey Statutes Annotated 10:5-12(a), permits religious entities to use their religious tenets as employment criteria. Here, it is uncontroverted that St. Theresas followed the religious tenets of the Catholic Church in terminating Crisitello. St. Theresas was therefore entitled to summary judgment and the dismissal of the complaint with prejudice, wrote Solomon in the syllabus. The record evidence demonstrates that St. Theresas consistently maintained its position that Crisitello was terminated for violating Catholic law by engaging in premarital sex. And Crisitello has presented no evidence to counter St. Theresas asserted position. Becket, a religious liberty law firm that filed an amicus brief in the case on behalf of the Jewish organization Agudath Israel of America, celebrated the state Supreme Court's ruling. Teachers make the school, said Eric Rassbach, vice president and senior counsel at Becket, in a statement released Monday. The whole point of a religious school is to help parents educate their children in their faith. And to do that, schools must have teachers who believe in and follow their faith. Alexander Shalom, the director of Supreme Court Advocacy at the New Jersey chapter of the American Civil Liberties Union, expressed disappointment in the decision in a statement. While we recognize that the United States Supreme Courts prior decisions provide broad latitude to religious employers regarding hiring and firing, we believe the NJ Supreme Court could have, and should have, held that a second grade art teacher was entitled to the protections of the Law Against Discrimination, Shalom stated. Tim Keller remembered by thousands gathered at St. Patrick's Cathedral: 'Extraordinary servant' Pastor and author Tim Keller was remembered for his deep wisdom, passion for encouraging others to grow in their faith, and for living the life of an extraordinary servant whose greatest love was sharing the Gospel at his memorial service on Tuesday. Thousands from around the world gathered at St. Patricks Cathedral in New York City to honor Keller, who passed away at 72 on May 19 after a lengthy battle with cancer. Keller served in pastoral ministry for four decades, including founding and pastoring Redeemer Presbyterian Church in Manhattan. He also co-founded the church-planting network Redeemer City to City. You may have noticed this isnt the usual sort of memorial service, Kathy Keller, the late pastor's wife, told the 2,000 people gathered at the cathedral. Thats because Tim wrote it himself, just the way he liked to do funerals for other people, she said. You mention the dead person, certainly, but then you talk about the God that person is now facing. So, blame Tim if there aren't videos and choirs and lengthy testimonials. Tim is buried in St. Michaels Cemetery ... but that place is huge and you couldnt find the grave even if you tried, she said. But please dont try, and heres why I dont want you to: you know those scenes in movies, like at the end of 'Saving Private Ryan' where someone has a heart-to-heart talk standing at the headstone of the deceased person? Tim and I were always uncomfortable with those because the person isnt actually there. Tim is with Jesus healed, loved, more alive, and happier than he has ever been, she said, keeping her tone conversational. Having mentioned the headstone, I will tell you Ive been considering various Bible verses for it. ... Let me tell you my favorite right now. She read Isaiah 25:69 for context, before revealing Kellers headstone will feature Isaiah 26:1, 12 and 19, In that day this song will be sung. ... All that we have accomplished you have done for us. ... Your dead will live, LORD, their bodies will rise. Let those who dwell in the dust wake up and shout for joy. Isaiah was speaking of a future reality, with no more death or tears, she said. Tim is living in that reality now, she said. How I yearn for all of us to trust the God he now worships face to face so that one day we may all sit down to that feast together. Jonathan Keller remembered his father as an exceptional encourager, urging everyone to find encouragement from Kellers life legacy. Keller was a fundamentally a gifted encourager, he said, adding: Lets be encouraged, friends and family, even in our sadness, from the memory of his life, which is a testament to something greater and longer-lasting than we have here on Earth. David Keller emotionally prayed, Heavenly Father, we are sad at the loss, but we take comfort in knowing dad is filled with joy. We ask you to meet us in our grief and remind us that your loving sacrifice on the cross and resurrection has conquered death, so that when you call us home we can joyfully say what he did, Im ready to see Jesus. Send me home. The service also featured readings from the Bible and excerpts from C. S. Lewis' work, read by actor Max Mclean, hymns chosen by Keller, including There is a Redeemer, Immortal, Invisible God Only Wise and a homily from Sam Allberry. Alberry, a family friend of the Kellers, reminded attendees that the very qualities we have loved in Tim are all reflections of what can be found so clearly in Christ. Using one of Tims phrases, he said, Jesus is the true and better Tim Keller. The best way to appreciate and understand Tim is to think about Christ, he said. Tim was an extraordinary servant because he had let Jesus serve him, he said. It was being served by Christ that enabled him to serve so many of the rest of us so beautifully. So will you let Jesus serve you? If youve never done so, would you let Jesus serve you today, this afternoon, this very moment? Scriptures were woven throughout the service, read by the pastors of the four Redeemer campuses. Each verse emphasized the promise of resurrection, including John 14, 1 Corinthians 15, 2 Corinthians 4, Romans 8 and Mark 10. Michael Keller, Tim's middle son, concluded the service by encouraging everyone to find solace in the fact that through Christ, they are able to grieve with hope. He quoted D. L. Moody: Some day you will read in the papers that D. L. Moody of East Northfield, is dead. Dont you believe a word of it! At that moment I shall be more alive than I am now; I shall have gone up higher. The world to come is brighter and better and more real, Michael Keller said. We are going to see him again in the new world, so there is joy and grace and love and light forever more. Let that comfort you, let that sustain you in all things now and always. In addition to the thousands gathered at St. Patricks Cathedral, nearly 10,000 people from around the world watched the funeral livestream broadcast by Redeemer City to City. According to The Gospel Coalition, which Keller co-founded, attendees included actress Patricia Heaton, New York Times columnist David Brooks, historian Molly Worthen, rapper Lecrae and others. On Twitter, Lecrae revealed that a line of people was wrapped around 50th and 5th Ave to attend Kellers funeral. I met a man who flew from Ethiopia just to be there. What a testament to Kellers faithfulness, he wrote. In a recent interview with The Christian Post, Lecrae shared how Kellers 2018 bookProdigal Prophetsaved his life during an internal struggle with deconstruction and doubt. "One of the things I admire about Tim Keller is that he was not there to teach Christians how to become pastors, but he was there to use his biblical insight and wisdom to unpack the scriptures for Christians so that they can be effective believers in society, whatever field of endeavor they functioned in," Lecrae said. "He was very kind and generous and caring and nurturing toward those outside of the faith while still holding on to his views and his biblical beliefs," he added. "There's a way to do it where you're not obnoxious and you're not condescending, but you're, in many ways, saying, 'Listen, I'm a broken human being like the rest of you all. It's just this grace that is transformed me and allows me to have the confidence in who God is and who I am in Christ." The Baptist preacher on Trafalgar Square's Fourth Plinth The writer of the Letter to the Hebrews reminds Christians that they are surrounded by a great "cloud of witnesses." (NRSV) That "cloud" has continued to grow in size since then. In this monthly column we will be thinking about some of the people and events, over the past 2000 years, that have helped make up this "cloud." People and events that have helped build the community of the Christian church as it exists today. The history of European colonisation, de-colonisation, and its aftermath, continues to prompt heated debate. In September 2022, a new temporary statue was placed on the Fourth Plinth in London's historic Trafalgar Square, the fourteenth commission in the Mayor of London's Fourth Plinth Programme. Since 2003, the Fourth Plinth has showcased different pieces of artwork every two years. The plinth itself was originally intended to display a statue of King William IV, who reigned from 1830 to 37, but remained empty due to insufficient funds. Today it exhibits temporary artworks which are selected through public consultation and a commissioning group. Its past examples have included artworks as varied as: a dollop of whipped cream with an assortment of toppings; a recreation of a statue destroyed by ISIS; a child on a rocking horse; and a depiction of Nelson's ship, HMS Victory, inside a large glass bottle stopped with a cork. However, the installation which was placed there in 2022 (and which will remain there until 2024) provides an insight into an oft-forgotten period of British imperial history. It is entitled "Antelope," and is the work of Samson Kambalu. The dramatic sculpture restages a photograph of two men which dates from 1914. The two men are Baptist preacher and pan-Africanist John Chilembwe and a European missionary named John Chorley. The photograph was taken outside Chilembwe's church in Mbombwe village, in what is today southern Malawi. As in the photograph, Chilembwe is wearing a hat. In so doing, he was defying the colonial convention that Africans should not wear hats in front of white people. It was a fashion convention which embodied political and social expectations that deference should be shown to whites. There is, though, something more striking about the modern sculpture. This is that Chilembwe is depicted much larger than life, while Chorley is life-size. In fact, his statue stands at five metres, and towers over that of Chorley's. The disparity is explained on the Mayor of London's website: "By increasing his scale, the artist elevates Chilembwe and his story, revealing the hidden narratives of underrepresented peoples in the history of the British Empire in Africa, and beyond." Most people in the UK today will not previously have heard of John Chilembwe, but his history is intimately intertwined with that of British colonial rule in Africa and, also, with the impact of the First World War on that continent and its peoples. The impact of the First World War on sub-Saharan Africa In the popular shared memory of the First World War (1914-18) in the UK, it is largely remembered as a European conflict. The war on the Eastern Front usually only gets attention once we think of the Russian Revolution(s) of 1917. The fronts in Italy, and in the Balkans (where it started), are rarely mentioned. If attention moves beyond the Western Front, it rarely moves further than Gallipoli, with perhaps an excursion into the Middle East via memories of Lawrence of Arabia or imperial forces capturing Jerusalem from the Turks. When it comes to sub-Saharan Africa, the conflict there rarely makes it onto the popular mental map of the war. And yet the impact of the war there was enormous, as the rival colonial powers fought out localised versions of the conflict which was tearing the European continent apart. It has been estimated that over 250,000 African soldiers and porters, as well as approximately 750,000 African civilians, died in African campaigns that are largely unknown today outside of Africa. To get some idea of the scale of these casualties, it should be remembered that about 994,000 UK citizens (soldiers and civilians) died in that war. As an aside, about 25% of the soldiers fighting for the British Crown in the First World War were Indians. But that is another also often forgotten or sidelined aspect of the war. But to return to Africa. As well as the huge human cost, the war dislocated economies and societies in those areas on which it impacted. It also raised questions over the permanence of white European rule, since it was white European nations which were tearing each other apart; and whose attention was now occupied with fighting the conflict. For those within colonial populations already restless under colonial rule and economic exploitation, the war triggered anti-colonial activities. It was one of these that was led by John Chilembwe. The Chilembwe uprising, 1915 Chilembwe was born sometime in the early 1870s (exact date unknown) and grew up in southern Malawi's Chiradzulu District. There he was greatly influenced by the work and teaching of Christian missionaries. Among these was a radical missionary named Joseph Booth, whose outlook was summed up as: "Africa for Africans." With Booth, Chilembwe travelled to the US where he studied theology and saw, first hand, the struggle of black people for basic rights following the end of slavery. It was a struggle against white-controlled systems that were designed to keep them in a subservient place. This energised him to confront comparable colonial injustices in his homeland. As an ordained Baptist minister, he established his own church within a context of black African agriculturalists being forced off their ancestral land in order to make room for white farmers. The poorly paid labour on these white-owned farms was carried out by landless black people. It was a land-rights issue replicated across the colonised world. Chilembwe preached black advancement through hard work and education and was influenced by the ideas of the black American educator Booker T Washington. During the First World War there was huge recruitment of Africans to support the British forces in East Africa. In Chilembwe's region, large numbers of black Africans were taken to fight against the German army in what is now Tanzania. Others were employed as porters. Large numbers died of disease. Chilembwe opposed this recruitment and the conditions experienced by fellow Africans. He had earlier been shocked at the British colonial authorities' lack of care for African refugees who had arrived in Malawi from neighbouring Mozambique, following a famine there which had occurred in 1913. At the same time as he was beginning to agitate against the latest manifestation of colonial rule. African Christian millenarians in the region encouraged by what appeared to be an apocalyptic conflict were preaching that the war would lead to the end of white colonial rule. Earlier, his mentor Booth had predicted that by 1914 European colonialism would end in Africa; following this, independent black nations would unite with black people in America in common cause against injustice. This was not, strictly speaking, an eschatological vision but it complemented it. However, Booth was a pacifist. Taken together, it was a powerful mixture of suffering and hope. And the hope was deeply influenced by both gospel principles of the equality of all before God (a belief promoted, but with its social implications often defused, by missionary activity) and Christian end-times beliefs. After Chilembwe was defeated, the British colonial authorities accused him of wanting to create a theocratic state in the Malawian highlands, which suggests they read some millenarian aspirations in his actions. In January 1915, Chilembwe and his followers rose up against the colonists. The uprising occurred in Nyasaland (modern Malawi). There were relatively few casualties among those attacked by his followers; but a number of white colonists were killed, even if (as some historians argue) Chilembwe was not directly involved in the killings. Although Chilembwe was a member of the Chewa ethnic group, the brief rebellion pulled in support from several other ethnic groups, including the Yao, Lomwe, Nyanja, Chikunda, Ngoni and Tonga. This was consistent with other pan-African aspects of his life and ideology. In response, the colonial forces rapidly mobilized. Facing defeat at the hands of the British, Chilembwe and a number of his followers tried to escape into Portuguese East Africa (modern Mozambique). However, many were captured. Following this, forty rebels were executed and 300 imprisoned. Chilembwe himself was shot dead by a police patrol (of fellow Africans, employed by the British) near the border. The legacy of John Chilembwe The placing of "Antelope" on the Fourth Plinth has triggered much debate, including explicit opposition. However, it is a fair guess that some of those who oppose its placing there accept the continued existence of statues of white colonists responsible for the subjection of black populations. It is likely that many of those who oppose the erecting of this statue would probably also resist the taking down of other statues. We live in contested times and history and commemoration are at the heart of this turbulence, as they are at the heart of much national myth-making. Chilembwe was one of the first to lead opposition to colonial rule in Africa. While his revolt was short-lived, its impact reverberated across the continent and among those of African descent living elsewhere under white colonial rule. Today, Chilembwe's legacy can be seen across the modern state of Malawi. Several roads are named after him and his picture appears on the country's currency (the kwacha), as well as on stamps. He even has an annual commemorative day and is seen, by many, as a founding figure in the fight for Malawian independence. However, some modern commentators though recognising his importance have argued that his uprising was premature and lacked the necessary groundwork of political activism required for resistance to colonial power. Chilembwe is also considered by many commentators and historians to have influenced a number of later figures involved in black liberation. These include the Jamaican political activist Marcus Garvey and John Langalibalele Dube, who was the founding president of what later became the African National Congress (ANC) in South Africa. What seems clear is that we should not separate Chilembwe's political activism from his Christian background as a church leader and preacher. This clearly energised him, since he considered his actions expressed Christian opposition to racially-organised oppressive rule. However we assess the rights and wrongs of his actions and of the commemoration of him on the Fourth Plinth Christian attitudes towards injustice must play a part within the assessment. This raises the very difficult question of what is an appropriate way to express opposition to systemic and powerful forces which subjugate and exploit people. This can make for uncomfortable discussions in our present age. But that these discussions need to take place seems indisputable. Perhaps we can reflect on that whatever conclusions we reach as we gaze at those two contrasting figures in Trafalgar Square. Martyn Whittock is an evangelical historian and a Licensed Lay Minister in the Church of England. As an historian and author, or co-author, of fifty-five books, his work covers a wide range of historical and theological themes. In addition, as a commentator and columnist, he has written for several print and online news platforms; has been interviewed on TV and radio shows exploring the interaction of faith and politics; and appeared on Sky News discussing political events in the USA. Recently, he has been interviewed on several news platforms concerning faith and the Crown in the UK, and the war in Ukraine. His most recent books include: Trump and the Puritans (2020), The Secret History of Soviet Russia's Police State (2020), Daughters of Eve (2021), Jesus the Unauthorized Biography (2021), The End Times, Again? (2021) and The Story of the Cross (2021). His latest book, Apocalyptic Politics (2022), explores the connection between end-times beliefs and radicalised politics across religions, time, and cultures. Tribal Christians in Manipur plan mass burial for victims of violence (CP) A tribal group representing the Christian Kuki-Zo community in the northeast Indian state of Manipur is preparing to hold a mass burial for victims killed in the ongoing ethnic violence, a decision that has ignited fresh tensions and more violence. The Indigenous Tribal Leaders' Forum had previously announced that the mass burial would take place on Aug. 3. However, it was postponed at the request of India's interior minister, Amit Shah, a tribal leader told The Christian Post, adding that preparations were in progress, but the exact date of the burial had not yet been announced. The earlier announcement ignited fresh tensions and violence, as the proposed site was located in a "buffer zone" between the Hindu Meitei-dominated Bishnupur district and Churachandpur district, where the Kuki-Zo Christians reside. The Manipur High Court also passed an order against the planned burial. Following a request from the Ministry of Home Affairs and the intervention of Chief Minister Zoramthanga from the neighboring Mizoram state, the ITLF decided to postpone the mass burial, de-escalating a likely fresh confrontation between the Kuki and Meitei communities. The ongoing ethnic violence in Manipur since May 3 has left at least 150 dead, over 300 injured, thousands of houses and churches destroyed, and displaced more than 50,000 people. There are at least 87 bodies lying unclaimed in hospitals in Imphal and Churachandpur. Many families have been unable to collect the bodies of their loved ones due to fear of attacks, while others wanted to keep the bodies without burial as a mark of protest. Tribal leaders from Manipur recently traveled to Delhi to meet with Union Home Minister Shah. After the meeting, they announced that an alternative location would be finalized for the burial of bodies belonging to the Kuki-Zo community, who were victims of ethnic violence. The ITLF put forth five demands, including the legalization of the burial site, non-deployment of Meitei state security forces in Kuki-Zo areas, return of bodies of Kuki victims lying in two hospitals in Imphal, Kuki-Zo areas' "total separation from Manipur," and the transfer of tribal jail inmates lodged in prisons in Imphal to other states. Although 130 people from the Kuki-Zo community have been killed, the bodies of only 35 victims are in hospitals in Churachandpur. Dozens of bodies are lying in hospitals in the Meitei-dominated Imphal area, where the majority of the Kuki-Zo victims were killed. The tribal leader told CP that the mass burial might take longer than two weeks to conduct. They are waiting for the bodies lying in Imphal to be transported to Churachandpur, he said. The violence erupted in early May following a controversial court order for the state to consider extending special economic benefits and quotas, previously reserved for the tribal Kuki-Zo people to the Meitei population. It would also give the Meiteis the right to buy land in the hills where the Kuki-Zo people live. The conflict in Manipur, a state bordering Myanmar and home to 3.2 million people, has escalated into a national security crisis. Analysts warn that the conflict could spread beyond Manipur due to the Kuki-Zo community's ethnic ties with groups in the Indian state of Mizoram, Myanmar and Bangladesh. The Naga tribal community, Manipur's second-largest ethnic group, has remained neutral but has expressed concerns about being dragged into the conflict. The government has deployed about 50,000 soldiers, armed police and other security personnel to enforce buffer zones between the warring communities. Despite these measures, mobs have looted more than 4,000 weapons and half a million rounds of ammunition from police in Manipur, according to official estimates. Last month, the European Parliament passed a resolution urging the Indian government to urgently restore peace in Manipur. "There have been concerns about politically motivated, divisive policies promoting Hindu majoritarianism, and about an increase in activity by militant groups," the resolution stated. There are also "accounts of partisan involvement by security forces in the killings have increased distrust in the authorities." Kuki-Zo leaders have accused the state police, their commandos, and the India Reserve Battalion of attacking Christian tribals along with Meitei extremist groups Arambai Tenggol and Meitei Leepun. The Christian Post We're always interested in hearing about news in our community. Let us know what's going on! Go to form President Yoon Suk Yeol talks with U.S. President Joe Biden and Japanese Prime Minister Fumio Kishida during their meeting on the sidelines of the Group of Seven summit in Hiroshima, Japan, May 21. Joint Press Corps By Nam Hyun-woo The trilateral summit between South Korea, the United States and Japan scheduled for Friday (local time) at the U.S. presidential retreat of Camp David is anticipated to be an inflection point where the three countries can set up a united front against North Korea's increasing missile and nuclear threats. President Yoon Suk Yeol has alluded to the fact that the three countries are committed to the strongest-ever level of trilateral security cooperation, by opening up the possibility of expanding the concept of extended deterrence between Seoul and Tokyo. Yoon will depart for the U.S. today for the summit, after attending his father's funeral, who died on Tuesday. In a written interview with Bloomberg published on Wednesday, Yoon said, "The complete denuclearization of North Korea is a clear and consistent goal of the international community, including the Republic of Korea and the United States." He also said: "Regarding extended deterrence, we are also open to separate consultations among the Republic of Korea, the United States and Japan." After Yoon's summit with U.S. President Joe Biden in April, the two leaders announced the Washington Declaration to upgrade the U.S.' extended deterrence for South Korea against the North's nuclear threats. Since then, the U.S. has deployed its nuclear submarines near the Korean Peninsula, and set up a Nuclear Consultative Group that enhances Seoul's understanding of the U.S.' nuclear planning. However, Seoul remained cautious about Japan's participation in the platform, with multiple senior national security officials denying Japan's involvement due to the existing bilateral defense arrangements between Seoul and Washington and Tokyo and Washington. North Korean leader Kim Jong-un inspects a munitions factory at an undisclosed location in North Korea in this photo released by the North's official Korean Central News Agency, Monday. Yonhap As Yoon acknowledged the possibility of "separate consultations," it comes as a strong signal that the three countries will set up a united front against the North's threats, and this could take shape via an official announcement at the upcoming summit, which is envisaged as the "Camp David Principles." U.S. news website Axios reported that Yoon, Biden and Japanese Prime Minister Fumio Kishida "are preparing to announce the Camp David Principles, a new set of protocols to govern their relationship." The principles are expected to contain articles on regular joint military exercises by the three countries, regular meetings of their national security advisers and an early warning system regarding North Korea's missiles. By stipulating these principles in a written document, the leaders appear to be seeking continued trilateral security cooperation, even if relations between Seoul and Tokyo become aggravated at some point down the line. "With the summit, the three-country summit could be elevated to a trilateral mechanism comparable to AUKUS (the trilateral security pact between the U.S., the United Kingdom and Australia) or the Quadrilateral Security Dialogue (a strategic dialogue between the U.S., Japan, India and Australia," said Go Myong-hyun, a senior fellow at the Asan Institute for Policy Studies. "It will be the three countries' strategic dialogue at ordinary times, meaning their strategic interests are already aligned. The dialogue will also signal the end of an era in which South Korea expresses a certain desire to the U.S. in return for certain incentives." The nuclear-powered USS George Washington leaves Yokosuka U.S. naval base in Japan, Nov. 24, 2010. Reuters-Yonhap A TV screen shows a file image of American soldier Travis King during a news program at Seoul Station, Wednesday. AP-Yonhap Pyongyang says inhuman treatment in the army triggered U.S. soldier's defection By Lee Hyo-jin North Korea's claim that "racial discrimination and unequal American society" drove U.S. soldier Travis King to defect to the North is seen as a message firing back at U.S.-led plans to scale up international scrutiny against Pyongyang's human rights record, analysts said Wednesday. The North's official Korean Central News Agency (KCNA) disclosed a report on interim findings of an investigation into King, Wednesday, breaking a month-long silence over the American soldier's shocking border-crossing on July 18. "During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," the report read. DPRK is the acronym of the Democratic People's Party of Korea, North Korea's official name. "He also expressed willingness to seek refuge in the DPRK or a third country, saying that he was disillusioned at the unequal American society," it added. Referring to King's border crossing as an "illegal intrusion," the report also detailed how the American soldier "deliberately intruded" onto the North Korean side during a guided tour of the Joint Security Area (JSA) in the inter-Korean border village of Panmunjeom. Park Won-gon, a professor of North Korean studies at Ewha Womans University, looked at the timing of Pyongyang's first official statement on King's defection, which came just two days ahead of a trilateral summit between South Korea, the United States and Japan. A North Korean guard post is seen from Imjingak Peace Park on the South Korean side of the Demilitarized Zone (DMZ) in Paju, Gyeonggi Province, July 20. Korea Times photo by Choi Won-suk "The statement is interpreted as a pre-emptive action against the United Nations Security Council (UNSC) meeting as well as the trilateral summit," he said. The U.S., which holds the UNSC presidency this month, requested a meeting on North Korean human rights for Thursday. If held, it will be the first such meeting in six years since December 2017. Pyongyang has already reacted furiously against the U.S.-led move. Hours before releasing its report about King's defection, the KCNA released a statement by Vice Foreign Minister Kim Son-gyong condemning the upcoming UNSC meeting. "If a certain country has to be dealt at the UNSC over the 'human rights issue,' the U.S. should be the first before anyone else as it is the anti-people empire of evils, totally depraved due to all sorts of social evils," the statement read. It also described U.S. society as "fostering racial discrimination, gun-related crimes, child maltreatment and rampant forced labor." A joint statement between President Yoon Suk Yeol, U.S. President Joe Biden and Japanese Prime Minister Fumio Kishida following their summit at Camp David on Friday (local time) may also contain messages denouncing North Korea's human rights abuses, Park said, although King's border-crossing issue is unlikely to be on the official agenda. "As expected, the North Korean regime is beginning to use King as a propaganda tool," Park commented. South Korean soldiers stand guard during a media tour at the Joint Security Area (JSA) on the Demilitarized Zone (DMZ) in the border village of Panmunjeom in Paju, Gyeonggi Province, March 3. Reuters-Yonhap S&P Global is testing Llama 2, Biem says, as well as other open source models on the Hugging Face platform. Many companies start out with OpenAI, says Sreekar Krishna, managing director for data and analytics at KPMG. But they dont necessarily stop there. Most of the institutions Im working with are not taking a single vendor strategy, he says. Theyre all very aware that even if you just start with OpenAI, its just a starting gate. Most often, he sees companies look at Googles Bard next, especially if theyre already using Google cloud or other Google platforms. Another popular option is Databricks, which is a popular data pipeline platform for enterprise data science teams. The company then introduced Dolly, its open source LLMs, in April, licensed for both research and commercial use, and in July, also added support for Llama 2. The Databricks platform is capable of consuming large volumes of data and is already one of the most widely used open source platforms in enterprises, says Krishna. The Dolly model, as well as Llama 2 and the open source models from Hugging Face, will also become available on Microsoft, Krishna says. Its such a fast-evolving landscape, he says. We feel that every hyperscaler will have open source generative AI models quickly. But given how fast the space is evolving, he says, companies should focus less on what model is the best, and spend more time thinking about building flexible architectures. If you build a good architecture, he says, your LLM model is just plug-and-play; you can quickly plug in more of them. Thats what were doing. KPMG is also experimenting with building systems that can use OpenAI, Dolly, Claude, and Bard, he says. But Databricks isnt the only data platform with its own LLM. John Carey, MD of the technology solutions group at global consulting firm AArete, uses Document AI, a new model now in early release from Snowflake that allows people to ask questions about unstructured documents. But, most importantly, it allows AArete to provide security for their enterprise clients. They trust you with their data that might have customer information, says Carey. Youre directly obligated to protect their privacy. Snowflakes Document AI is a LLM that runs within a secure, private environment, he says, without any risk that private data would be shipped off to an outside service or wind up being used to train the vendors model. We need to secure this data, and make sure it has access controls and all the standard data governance, he says. Beyond large foundation models Using large foundation models and then customizing them for business use by fine-tuning or embedding is one way enterprises are deploying generative AI. But another path some companies are taking is to look for narrow, specialized models. Weve been seeing domain-specific models emerging in the market, says Gartner analyst Arun Chandrasekaran. They also tend to be less complex and less expensive. Databricks, IBM, and AWS all have offerings in this category, he says. There are models specifically designed to generate computer code, models that can describe images, and those that perform specialized scientific tasks. There are probably a hundred other models, says Chandrasekaran, and several different ways companies can use them. Companies can use public versions of generative AI models, like ChatGPT, Bard, or Claude, when there are no privacy or security issues, or run the models in private clouds, like Azure. They can access the models via APIs, augment them with embeddings, or develop a new custom model by fine-tuning an existing model via training it on new data, which is the most complex approach, according to Chandrasekaran. You have to get your data and annotate it, he says. So you now own the model and have to pay for inference and hosting costs. As a result, were not seeing a lot of fine-tuning at this point. But that will probably change, he says, with new models emerging that are smaller, and therefore easier and cheaper for companies to do the additional training and deploy them. Theres one other option for companies, he adds. Thats where you build your own model from scratch, he says. Thats not something a lot of enterprises are going to do, unless youre a Fortune 50 company, and even then, only for very specific use cases. For many companies, using off-the-shelf models and adding embeddings will be the way to go. Plus, using embedding has an extra benefit, he says. If youre using the right architecture, like a vector database, the AI can include references with its answers, he says. And you can actually tune these models not to provide a response if they dont have reference data. Thats not usually the case with public chatbots like ChatGPT. Humility is not a virtue of the online chatbots, says Chandrasekaran. But with the enterprise chatbots, it would say, I dont know the answer.' Going small Smaller models arent just easier to fine-tune, they can also run in a wider variety of deployment options, including on desktop computers or even mobile phones. The days of six-plus months of training and billions of parameters are gone, says Bradley Shimmin, chief analyst for AI platforms, analytics, and data management at tech research and advisory group, Omdia. It now takes just hours to train a model. You can iterate rapidly and improve that model, fine tune it, and optimize it to run on less hardware or more efficiently. A company can take open source code for a model such as Llama 2which comes in three different sizesand customize it to do exactly what it wants. Thats going to cost me phenomenally less than using GPT 4s API, says Shimmin. The smaller models also make it possible for companies to experiment, even when they dont know much about AI when theyre starting out. You can stumble around without having a lot of money, he says, And stumble into success very rapidly. Take Gorilla, for example. Its an LLM based on Llama, fine-tuned on 1,600 APIs. Its built to learn how to navigate APIs, Shimmin adds. Use cases include data integration in the enterprise. Youll no longer have to maintain a pipeline, and it can do root cause analysis, self-heal, build new integrations rapidlyyour jaw will drop. The challenge, he says, is to figure out which model to use where, and to navigate all the different license terms and compliance requirements. Plus, theres still a lot of work to do when it comes to operationalizing LLMs. Gen AI isnt just about language Language models are getting most of the attention in the corporate world because they can write code, answer questions, summarize documents, and generate marketing emails. But theres more to generative AI than text. Several months before ChatGPT hit the news headlines, another generative AI tool that made wavesMidjourney. Image generators evolved quickly, to the point where the images produced were indistinguishable from human work, even winning art and photography awards. DeadLizard, a boutique creative agency that counts Disney among its clients, uses not only Midjourney but several other image tools, including Stable Diffusion and ClipDrop for image editing, and Runway for adding motion. The images are used in the companys own branded social media content, but also as part of the idea-generation and creative development process. By adding an open generative AI toolset, its the equivalent of opening an entire Internet worth of brains and perspectives, says DeadLizard co-founder Todd Reinhart. This helps accelerate ideation. Even weird or illogical suggestions can be helpful at this stage, he says, since they can inspire solutions outside the usual comfort zones. In addition, new generative AI tools can dramatically improve photo editing capabilities. Previously, the company had to do custom shoots, which are usually prohibitively expensive for all but the biggest projects, or use stock photography and Photoshop. We find entirely new workflows and toolsets coming to light on nearly a weekly basis, he said. Critical Manufacturing to Host MES & Industry 4.0 International Summit Published: 16 August 2023 by Tyler Hanes by Tyler Hanes PORTO, PORTUGAL Critical Manufacturing, a global leader in next-level automation and manufacturing execution systems (MES), has announced a stellar slate of speakers and panelists for its upcoming MES & Industry 4.0 (MESI) International Summit. Portugals Secretary of State for Digitalization and Administrative Modernization Mario Campolargo will deliver the inaugural speech to the conference, Industry 4.0 strategy advisor Jeff Winter from Hitachi Solutions will deliver the opening keynote, and experts implementing digitalization projects will share their experience and insights in panels and presentations throughout the two-day event, taking place September 7-8, 2023. This is a very exciting time to be involved in manufacturing automation. Industry 4.0 is taking hold, and many companies are finding smarter ways to cut costs, streamline operations and improve quality. We created this summit as a platform for bringing those who have cross industry and cross company experience with smart manufacturing together with those applying it in their own companies, said Francisco Almada Lobo, CEO at Critical Manufacturing. MES & Industry 4.0 International Summit provides industry leaders, experts, and visionaries who are shaping the future of the manufacturing industry a platform to connect, collaborate, and explore the latest innovations, trends, and best practices in an environment conducive to learning and growth. It includes a panel discussion, hands-on round tables, captivating demonstrations, and thought- provoking case studies. Jeff Winter, the keynote speaker, is an expert in helping manufacturers implement Industry 4.0 to improve their operations. The second day will feature a keynote presentation on Supercharging Manufacturing Transformation: Delivering business value from shop floor to top floor by Hari Sadarhalli and Shantanu Rai, corporate vice president and associate vice president respectively, at HCL Technologies Ltd. The event will further encompass roundtable discussions led by Critical Manufacturing MES users and other industry leaders, focusing on the following topics: Role of MES and IIoT in Building Resilient and Data-driven Enterprise. Thomas Beeg, Managing Director and CEO at Fabmatics USA will moderate the panel, which will include Frank Hochschultz from Fraunhofer, Thomas Amrein from Vishay, and Christian Schmidbauer from AMS Osram. Thomas Beeg, Managing Director and CEO at Fabmatics USA will moderate the panel, which will include Frank Hochschultz from Fraunhofer, Thomas Amrein from Vishay, and Christian Schmidbauer from AMS Osram. Decoding IoT: Practical Guidance for Success in Modern Manufacturing, which will focus on the Internet of Things (IoT) in the context of modern manufacturing and shop-floor equipment integration. Panelists include John Vargo, Jeff Rericha and Yi Han Lee, all of Rovisys. which will focus on the Internet of Things (IoT) in the context of modern manufacturing and shop-floor equipment integration. Panelists include John Vargo, Jeff Rericha and Yi Han Lee, all of Rovisys. Partnering for the Digital Plant of Future, which will examine the divide between the Industry 4.0 vision and the reality. Panelists include Cognizant IOT industrial operations specialists Amol Akre and NS Mahadevan. which will examine the divide between the Industry 4.0 vision and the reality. Panelists include Cognizant IOT industrial operations specialists Amol Akre and NS Mahadevan. Docker Containers, the Future of Industry 4 Software Development. Panelists include Neil Cresswell and Tobia Muhlnikel, both of Portainer.io. Complementing the keynotes and panels will be industry use case presentations from experts representing the following industries: Semiconductor production, including Didier Chavet, head of manufacturing IT operations, Micron Technology; David Fried, corporate vice president, Lam Research; Priya Almelkar, VP IT manufacturing and product engineering, Wolfspeed, and Missy Stigall SVP, global fab Wolfspeed. including Didier Chavet, head of manufacturing IT operations, Micron Technology; David Fried, corporate vice president, Lam Research; Priya Almelkar, VP IT manufacturing and product engineering, Wolfspeed, and Missy Stigall SVP, global fab Wolfspeed. Medical device manufacturing, including Michael Kaiser, global process coordinator, B.Braun and Tonniele Naeher, MES program manager, Integer. including Michael Kaiser, global process coordinator, B.Braun and Tonniele Naeher, MES program manager, Integer. Industrial equipment manufacturing, including Tarun Chopra, head of manufacturing services for Danfoss Group IT. including Tarun Chopra, head of manufacturing services for Danfoss Group IT. Electronics manufacturing, including Alexander Hagenfeldt, Senior Manager Service EMEA at ASMPT. Expected attendees include CXOs, VPs, directors, and managers of manufacturing, production, IT, and operations, many of whom are managing digitalization, innovation initiatives. Space is limited and available on a first come, first service basis. For more information about MESI 4.0 or to pre-register for the event, please visit https://mesi40- summit.com?utm_source=pressrelease&utm_medium=mw&utm_campaign=MESI40 Primeste notificari pe email Nota bene: Adresele email cu extensia .ru nu sunt acceptate. Contractare si Achizitie Bunuri Anunturi de Angajare Granturi - Finantari Burse de studiu Stagii Profesionale Oportunitati de voluntariat Toate Articolele The New Jersey Supreme Court has ruled in favor of a Roman Catholic school that fired a teacher who became pregnant out of wedlock. The high court found that where an employment decision is clearly based on the tenets of the religion, the firing is legal under a recognized exception to the states anti-discrimination law. The court said it was uncontroverted that the Church of St. Theresa followed the religious tenets of the Catholic Church in terminating art teacher Victoria Crisitello and thus the church was entitled to a dismissal of the discrimination claim against it. The high court ruling reversed an appellate court that found St. Theresas had violated the anti-discrimination law in firing Crisitello because of her marital status. While the states law against discrimination provides that it is unlawful for an employer to discharge an employee because of marital status or pregnancy, the law also explicitly states it shall not be an unlawful employment practice for a religious association or organization to follow the tenets of its religion in establishing and utilizing criteria for employment of an employee. The state Supreme Court said that this exception to liability is an affirmative defense which St. Theresas was able to prove. St. Theresas required Crisitello to abide by the tenets of the Catholic faith, including that she abstain from premarital sex, as a condition of her employment. The evidence showed that St. Theresas consistently maintained its position that Crisitello was terminated for violating Catholic law by engaging in premarital sex. The court noted that Crisitello presented no evidence to counter St. Theresas position. The high court ruling reflected the view of the trial court that had granted summary judgment in favor of St. Theresas, finding that the law clearly protects the religious institution in requiring that its employees abide by the principles of the Catholic faith. The trial court also found that Crisitello was not terminated for her pregnancy or marital status but was instead terminated for violating the Code of Ethics. It also found the First Amendment barred her claims. However, the Superior Court, appellate division, reversed, holding that the First Amendment did not bar Crisitellos claim or discovery and that she had made a prima facie case. The court noted that Crisitello did not perform vital religious duties and that neither the Code of Ethics nor the employee handbook expressly mentioned premarital sex or that it would result in termination. St. Theresas appealed that reversal to the state Supreme Court, which has now taken its side. St. Theresa School uses the official Archdiocese of Newark policies on professional and ministerial conduct, which contains a Code of Ethics that requires employees to conduct themselves in a manner that is consistent with the discipline, norms and teachings of the Catholic Church. In 2011, St. Theresas hired Crisitello, who signed an acknowledgment of her receipt and understanding of employment documents including the Code of Ethics. In 2014, the school principal approached Crisitello about the possibility of teaching art full time. During their meeting, Crisitello informed the principal that she was pregnant. A few weeks later, the principal told Crisitello that she had violated the Code of Ethics by engaging in premarital sex and thus could not remain on St. Theresas staff. Crisitello filed her complaint alleging discrimination based on pregnancy and marital status. South Korea and Brazil discussed ways Wednesday to boost bilateral cooperation on trade, energy and a range of industry fields, Seoul's industry ministry said Wednesday. During a working-level videoconference, the two sides discussed the potential signing of a bilateral Trade and Investment Promotion Framework (TIPF) to deepen bilateral trade and investment ties, according to the Ministry of Trade, Industry and Energy. They also sought to push for joint projects on technology, energy and resources, digital issues, the green economy and bioeconomy, among other sectors, it added. "We will strengthen cooperation channels with Brazil further to create greater business chances for South Korean companies and to address difficulties facing our firms doing business in Brazil," the ministry said in a release. The likelihood of bilateral cooperation is greater, particularly as the Brazilian government is pushing "neo-industrialization" policy measures to spur growth, it added. Brazil is the No. 1 market in South America and is rich in major resources and minerals, such as nickel and rare earth minerals. (Yonhap) A China-based tutoring company has agreed to settle a U.S. government agencys novel lawsuit claiming it used hiring software powered by artificial intelligence to illegally weed out older job applicants. The 2022 lawsuit against iTutorGroup Inc. was the first by the U.S. Equal Employment Opportunity Commission (EEOC) involving a companys use of AI to make employment decisions. The commission, which enforces workplace bias laws, in 2021 launched an initiative to ensure that AI software used by U.S. employers complies with anti-discrimination laws. The EEOC has warned that it will focus enforcement efforts on companies that misuse AI. ITutorGroup agreed to pay $365,000 to more than 200 job applicants allegedly passed over because of their age, according to a joint filing made in New York federal court on Wednesday. The settlement must be approved by a federal judge. The company, which provides English-language tutoring to students in China, denied wrongdoing in the settlement. The EEOC had alleged that iTutorGroup in 2020 programmed online recruitment software to screen out women aged 55 or older and men who were 60 or older. ITutorGroup, a unit of Ping An Insurance Group Co of China 601318.SS, did not immediately respond to a request for comment. An EEOC spokesperson said the agency would not comment until the settlement is approved. At least 85% of large U.S. employers are using AI in some aspects of employment, according to recent surveys. That includes software that screens out job applicants before a human reviews any applications, human resources chatbots, and programs that conduct performance reviews and make recommendations for promotions. Many worker advocates and policymakers are concerned about the potential for existing biases to be baked into AI software, even unintentionally. In a pending proposed class action in California federal court, Workday is accused of designing hiring software used by scores of large companies that screens out Black, disabled and older applicants. Workday has denied wrongdoing. Experts expect an increasing number of lawsuits accusing employers of discriminating through their use of AI software. CLEVELAND, Ohio -- As long expected, Ex-President Donald Trump was finally indicted in Georgia late Monday by an Atlanta grand jury. Trump was indicted along with 18 others on racketeering charges, using the RICO act, as the leader of an alleged criminal enterprise scheming to overturn his 2020 Presidential defeat to the Biden/Harris ticket. Its Trumps fourth indictment after instigating the Jan. 6 insurrection attack on the U.S Capitol in a failed coup attempt to thwart Congress certifying his election loss by more than 7 million votes. A total of 41 counts, 18 against Trump alone, were charged by Fulton County District Attorney Fani Willis in a 98 page indictment. While Trumps indictment was anticipated, it was unknown who might be charged with him. Some of the 18 other names are familiar and not surprising. Those are Rudy Giuliani, Mark Meadows (Trump Chief of Staff) John Eastman, Sidney Powell, Jeffrey Clark, Jenna Ellis and Kenneth Chesebro. The rest of those indicted were Georgia officials involved in fake electors plots and Trump campaign associates who tried to pressure Georgia election workers Ruby Freeman and her daughter. Willis investigation into Trump was prompted in part by his infamous Jan. 2, 2021 phone call to Georgia Secretary of State Brad Raffensperger hoping to find just 11,780 more votes to win Georgia after losing it. All I want to do is this: I just want to find 11,780 votes, which is one more than we have. Because we won this state. and the flipping the state is a great testament to the country. I only need 11,000 votes. Fellas, I need 11,000 votes. Give me a break. Its just not possible to have lost Georgia. Its not possible. Trump was recorded saying on the call Now all Trump wants is just 1 acquittal, his, if not 18 others for his codefendants. A jury needs to find only 2 elements of any RICO charge are true to find someone guilty of it. The minimum sentence is 5 years in prison, with some prosecutors granting probation. Mark Meadows lawyers have already filed paperwork asking for his case be moved to federal court, claiming he was only carrying out his duties as Trumps Chief of Staff. However to have a case moved to federal court the person must show they were performing a federal function that excludes any campaign work, which is exactly what all the charges against Meadows involve. The perceived pluses of having a case moved to federal court, is that it wont be televised like the state trial will be, and a larger jury pool. Defendants have 30 days to request the move. Trump is also expected to do so, but in keeping with his defense strategy to delay, will likely wait till the deadline. Willis has given all 19 defendants until August 25 to surrender themselves to the Fulton County Jail. VANDALIA, Ohio A 28-year-old Ohio man who was a former Marine died in a drone strike last month while fighting for Ukrainian forces. The city of Vandalia announced the death of Lance Lawrence in a post on social media. Lawrence was killed in the drone attack on July 29, the post says. Ryan OLeary, a U.S. Army veteran who also is fighting in Ukraine, said in a post on social media that Lawrence was providing cover fire under heavy enemy fire when he was killed in the drone strike. Lance was our brother, our friend, and we will always cherish the time he was with us, OLeary writes in the post. He came because he thought what was happening in Ukraine by Russia was wrong. Rest easy, brother. Lawrence was a member of the U.S. Marines from 2013 to 2016 after graduating from Vandalia-Butler High School, according to his obituary. He last served with the 3rd Battalion, 6th Marine Regiment, 2nd Marine Division at Camp Lejeune, N.C., the Dayton Daily News reports. He possessed unwavering determination and was extremely intelligent, the obituary says. Lance enlisted in the Ukrainian Armed Forces earlier this year, as this cause was important to him. In April, Cooper Andrews, 26, a former Marine from Cleveland Heights, was killed when he was struck by a mortar outside Bakhmut on the Road of Life, an access artery into the eastern Ukraine city used to evacuate civilians and resupply the Ukrainian military. According to military.com, nearly 20 Americans have been killed fighting for Ukraine. ATLANTA A dangerous and predatory species of hornets has been detected in the United States for the first time, according to the Georgia Department of Agriculture. The yellow-legged hornet, scientifically known as Vespa velutina, was identified by the University of Georgia earlier this month after a beekeeper found the species in Savannah, Georgia. Native to Southeast Asia, the yellow-legged hornet is a social wasp species that constructs egg-shaped nests above ground and is predatory to honeybees, according to GDA. The yellow-legged hornet is also established in other parts of the world aside from Southeast Asia, including parts of the Middle East, most of Europe, and parts of Asia where it isnt native. However, its discovery in Georgia this month marks the species first detection in the open United States, GDA said in a news release. RELATED: 3 Ohio metro areas among most likely to be impacted by newly invasive species, report says The species could threaten native pollinators and honey production, as well as the entire agricultural industry, if allowed to establish in Georgia or the U.S., according to the release. GDA is requesting the public to report any sightings of the yellow-legged hornet, including the location and date of the sighting, the location and approximate height of the nest, which direction the hornets flew away from the nest, and photographic evidence if safe and possible. If a yellow-legged hornet is seen out of Georgia, GDA advises contacting that states Department of Agriculture. The Department does note, however, there are numerous domestic hornet species similar in appearance to the yellow-legged hornet that do not pose a threat to honeybees. In the meantime, GDA says it will partner with the U.S. Department of Agricultures Animal and Plant Health Inspection Service to provide public education and outreach about the yellow-legged hornets and its potential impact on domestic agriculture. COLUMBUS, Ohio A state appeals court panel has overturned a court injunction allowing Columbus to enact gun-control ordinances as the city challenges a state prohibition on local governments passing such rules. The ruling, issued Tuesday by the 10th District Court of Appeals, means that two Columbus ordinances that generally prohibit large-capacity ammunition magazines and require guns to be safely stored out of reach from children, will remain on hold pending the outcome of Columbus lawsuit and others similar to it. CLEVELAND, Ohio -- A bipartisan group of lawmakers have asked the Ohio EPA to work with Washington to end the E-check requirement in seven Northeast Ohio counties that drivers get the emissions checked on their vehicles every two years. Were talking about how about how its not going to happen on Today in Ohio. Listen online here. Editor Chris Quinn hosts our daily half-hour news podcast, with impact editor Leila Atassi, editorial board member Lisa Garvin and content director Laura Johnston. Youve been sending Chris lots of thoughts and suggestions on our from-the-newsroom text account, in which he shares what were thinking about at cleveland.com. You can sign up here: https://joinsubtext.com/chrisquinn. Heres what were asking about today: Northeast Ohio hates echeck. Lawmakers love to complain about e-check. Every year or so we report a story on the latest effort to get rid of echeck. And we still have echeck. Lets do it one more time. Whos behind the latest effort to kill off the 27-year-old e-check program? Joe Blystone won a bunch of counties in the Republican primary elections for Ohio governor last year, so his endorsement in the next race for governor, in 2026, could matter. Who is he already backing? Weve asked repeatedly on this podcast where Mike DeWine is on the Cleveland summer of crime. Hes been to East Palestine multiple times, but weve had nary word about the gun violence and car theft issues in Cleveland. Is that about to change? Weve talked about the spotted lanternfly a few times on this podcast, as it increases its harmful presence in Northeast Ohio. But now we have a new scourge in an invasive species. What is it, and how might the lanternfly help fight it? We ran a story over the weekend about scams, and one thing it said is that any email you get in which gift cards are your reward is 100 percent a scam. Except one, it turns out. We talked about it last week, but now the Better Business Bureau is on the case. What does the agency say? One of the co-founders of the heartless felons, a gang that wreaked havon in Cleveland, state prisons and juvenile lockups for years, claimed to be reformed a few years ago. So why is he headed back into prison? Will basements ever dry out? Is it our imagination, or has the heavy downpours in recent rainfalls increased the inch count for rain in recent months beyond what we are used to seeing? Its not just rainfall thats up. Gas prices are soaring, as well. Whats the picture in Northeast Ohio? What is an immaculate grid, which is a hot new thing for Guardians fans? We have an Apple podcasts channel exclusively for this podcast. Subscribe here. Do you get your podcasts on Spotify? Find us here. If you use Stitcher, we are here. RadioPublic is another popular podcast vehicle, and we are here. On Google Podcasts, we are here. On PodParadise, find us here. And on PlayerFM, we are here. Read the automated transcript below. Because its a computer-generated transcript, it contains many errors and misspellings. COLUMBUS, OhioMaureen OConnor, the former chief justice of the Ohio Supreme Court, made headlines last year when she defied her fellow Republicans by repeatedly striking down GOP-authored congressional and legislative redistricting maps as being unconstitutionally gerrymandered. Now retired, OConnor is one of the leaders of a coalition called Citizens Not Politicians thats pushing for a statewide vote in 2024 to put Ohios redistricting process in the hands of an independent 15-member bipartisan commission, rather than elected officials. The proposal would specifically prohibit current or former elected officials from serving on the commission, whose members would be chosen by a bipartisan panel of retired judges. Judges would select finalists and the commissions initial membership, leaving those members to choose from the pool of finalists to fill out the rest of the panel. Backers of the proposed constitutional amendment include liberal-leaning groups like Common Cause but also Republicans such as OConnor and ex-Attorney General Betty Montgomery. The coalition has submitted an initial batch of voter signatures to Attorney General Dave Yost; if the signatures are validated and the proposal isnt split into separate amendments, the group would then get the go-ahead to start collecting the hundreds of thousands of signatures needed to place the measure on the statewide ballot. Speaking with cleveland.com/The Plain Dealer, OConnor said she spent considerable time over the last eight months crafting the redistricting plan with the help of a number of other people from coalition groups. While drafting the proposal, OConnor said she and the other co-authors looked to redistricting systems in other states, including Michigan. But she stressed that the plan they came up with is designed specifically for Ohio, which she called one of the most gerrymandered states in the country. OConnor said the proposed plan is highly technical, but she added that Ohios current redistricting system, which voters passed in the past eight years by significant majorities, was even more complex. The message that you would distill it to is that this Citizens Not Politicians amendment is going to ensure that districts arent drawn to protect incumbent politicians and ensures that lines arent drawn to benefit or to hurt voters of any political party, OConnor said. So, its not a politically driven exercise. She also noted that last week, Ohio voters from both parties voted down State Issue 1, a Republican-backed constitutional amendment proposal that would have made it harder for Ohio voters to pass future proposed amendments. What we have now is a much more educated citizenry here in Ohio, thanks to the August election, said OConnor, who opposed Issue 1. And I think that that momentum is going to carry through until next November. Under the current GOP-authored maps, which were put into place despite the Ohio Supreme Courts rulings, Republicans control 10 of 15 Ohio congressional districts, 67 of 99 Ohio House seats, and 26 of 33 Ohio Senate seats. The aim here is to break gerrymandering, and I believe that thats what this amendment will do, OConnor said. Some Republicans have already criticized the proposal as being a ploy for Democrats to seize control over Ohios redistricting process. OConnor, who some Republicans talked about impeaching after her redistricting rulings, disputed that characterization. Read: Ohios top Republicans react to redistricting proposal This isnt a Democrat versus Republican initiative, she said. This is a citizens initiative. When OConnor was asked how hard she thinks it will be to passed the proposed amendment, given opposition from many Republican officials, she replied, Its so premature to even talk about easy, hard, uphill, downhill. However, she predicted, When it gets on the ballot in November, I predict this will be maybe second only to the presidential (race) in interest by the people of Ohio. Jeremy Pelzer covers state politics and policy for Cleveland.com and The Plain Dealer. CLEVELAND -- Members of the United Steelworkers (USW) union manufacture the worlds highest-quality tin sheet, the primary material needed to make food cans and similar products. These workers and the companies employing them Cleveland-Cliffs Inc., U.S. Steel Corp. and Ohio Coatings Co. are essential to safeguarding the nations food supply and maintaining supply chains. Fortunately, companies like Cleveland-Cliffs invested millions in their mills to ensure USW members have the equipment and other resources they need to continue leading the industry. But none of these efforts matter unless the U.S. government stops the illegal dumping of cheap, low-quality tin mill products in U.S. markets. In January, the USW and Cleveland-Cliffs filed a countervailing duty (CVD) petition against China and antidumping (AD) petitions against China and seven other countries. The petitions documented the illegal trading practices and demanded that the Commerce Department and the U.S. International Trade Commission (ITC) act to eliminate this existential threat to a critical industry. USW members will out-compete workers anywhere in the world, given a level playing field. But its impossible to beat a rigged system in which rivals subsidize production, pollute the environment with impunity and cut costs on workers backs. The duties sought by the USW and Cleveland-Cliffs would not ban imports of tin mill products, only ensure that the imports are traded at fair prices. Steel imports have a role to play in serving the domestic market, but foreign manufacturers must follow the rules. In recent months, both the Commerce Department and the ITC issued preliminary affirmative determinations finding merit in the petitions. Now, the government needs to complete its investigation, impose duties on all of the cheaters, and even the scales for American workers before its too late. While U.S. Steels Portage, Indiana, facility remains in operation, the company idled tin mills in Gary, Indiana, last spring and East Chicago, Indiana, in 2019, putting hundreds of workers and their communities at risk. In addition, Cleveland-Cliffs last month was left with no choice but to lay off 300 workers at its Weirton, West Virginia, tin mill about one-third of the workforce because of the dumped and subsidized tin sheet import crisis. Adding insult to injury, USW members regularly see tractor-trailers rolling through Weirton with loads of foreign-made tin sheet, bound for can manufacturers that previously sourced the material domestically. Despite the challenges, USW members and steelmakers refuse to let go of this industry. Its simply too important, especially on the heels of a pandemic that underscored the dangers of offshoring manufacturing capacity and relying too heavily on foreign-made goods. USW members negotiate capital investments as part of their contracts. But employers have pledged to invest still more in their facilities, paving the way for additional capacity and applications for tin mill products, if the government will just do its part and enforce the nations trade laws. U.S. Sen. Sherrod Brown of Ohio Senate co-chair of the Congressional Steel Caucus understands the high stakes. Sen. Brown, along with Sens. Joe Manchin, Shelley Moore Capito, J.D. Vance, and others, sent the Commerce Department and the ITC a letter emphasizing the industrys importance to food security and the economy. David McCall is International Vice President (Administration) of the United Steelworkers union and a former USW Director for Ohio. Many more members of Congress need to add their voices to the fight and its important that they do so quickly because time is running out for workers and their communities. The Commerce Department and the ITC are expected to issue their final determinations in coming months. Unless cheaters begin to face meaningful duties and real consequences, Americas tin mill industry will die. That is an outcome this country, and its workers, cannot afford. David McCall is International Vice President (Administration) for the United Steelworkers (USW). He previously served as USW District Director for Ohio. Have something to say about this topic? * Send a letter to the editor, which will be considered for print publication. * Email general questions about our editorial board or comments or corrections on this editorial to Elizabeth Sullivan, director of opinion, at esullivan@cleveland.com Two FA-50GFs, below, which have been delivered to the Polish Air Force, make their maiden flight alongside a MiG-29 fighter over Warsaw, Poland, Tuesday (local time), to celebrate the Central European country's Armed Forces Day. The two light attackers, manufactured by Korea Aerospace Industries, are the first in a shipment of 48 FA-50s that Poland purchased last year. Courtesy of Korea Aerospace Industries MARIETTA, Ohio -- At a time when electrified transportation is on the threshold of making major contributions to improving air quality and the environment, the inability of our national legislators to break a political deadlock over mining threatens to have just the opposite effect. Such a failure will force manufacturers of electric vehicle (EV) batteries in Ohio and other industrial states to continue importing vital minerals and metals from unreliable suppliers overseas, making it difficult for U.S. companies to stay competitive. Dependence on imported minerals will raise the cost of batteries needed not only for electric cars but also wind and solar storage, smartphones, computers and weapons systems. An additional problem is that most of the minerals and metals come from China, which poses unacceptable strategic risks. According to Wood Mackenzie, an energy research and consulting firm, China now possesses about 90% of the global capacity to refine raw lithium, a key component of lithium-ion batteries, and almost all of the manganese, graphite and rare earths capacity. Such battery metals as these are in great demand in international markets. Will China keep the supply lines open to America? Or will China cut off exports? It is this leverage that puts an emboldened China in the drivers seat in the race for the future. To my mind, the path forward begins with policymakers recognizing that demand for critically important metals is expected to grow exponentially -- and understanding the importance of creating secure supply chains to meet the need. For the world to achieve net-zero emissions by 2050, according to the International Energy Agency, we will have to mine, by 2030, six times the current amounts of critical metals -- nickel, cobalt, copper, lithium, manganese, graphite, and rare earths -- needed for electric vehicles and wind and solar electricity storage. The latest estimates show that the global supply of lithium alone needs to increase by 42 times by 2050 to meet the rising demand for electric vehicles. Consider that a single wind turbine typically requires about a ton of rare earth metals as well as three metric tons of copper, according to a 2022 New York Times column by Bret Stephens. Benchmark Mineral Intelligence says we will need more than 300 new mines globally in the next decade to meet growing demand for battery metals. Its already clear that the United States and countries that are responsible U.S. trading partners like Canada, Mexico and Australia must ramp up minerals production. For starters, consider that, despite the war against Ukraine, Russia and two of its allies, Kazakhstan and Uzbekistan, are still the source of nearly 50% of the uranium used at U.S. nuclear power plants, the Reuters news agency reported last year. Robert W. Chase is Professor Emeritus at Marietta College, where he led the department of petroleum engineering and geology until 2015. For all these reasons, Congress should take whatever steps are necessary to reshore mining and processing of vital minerals and metals. Regulatory reform can speed up the mine permitting process by designating a lead agency to oversee environmental reviews and requiring that they be completed in one or two years. Developers should be allowed to sue if the process extends beyond that. We still have to figure out how we will deal with adversaries like China and Russia and keep our assembly lines rolling and power plants operating. So, for the United States, the best hope is to get a grip on our own supply chain. The message for policymakers: Its time to get moving. Robert W. Chase served as a professor and head of the Petroleum Engineering and Geology Department at Marietta College from 1978 to 2015. He is a registered professional engineer in the state of Ohio and has consulted for numerous oil and gas companies. Have something to say about this topic? * Send a letter to the editor, which will be considered for print publication. * Email general questions about our editorial board or comments or corrections on this opinion column to Elizabeth Sullivan, director of opinion, at esullivan@cleveland.com Military personnel, along with a sniffer dog, search City Hall in Suwon, Gyeonggi Province, after an email sent from a Japanese account to Seoul City Hall said bombs have been planted in hundreds of locations, including schools and government offices, across the country, Aug. 16. Yonhap Police are investigating emails threatening to blow up the Supreme Prosecutors Office, universities and government offices in the fourth email bomb threat believed to have been sent from Japan, officials said Wednesday. Seoul City Hall alerted police Wednesday morning that it received two emails the previous day with threats to detonate explosives at the Supreme Prosecutors Office, the city halls in Busan, Daegu, Suwon and Hwaseong, and several universities, including Seoul National University, according to the police. The emails also said 270 million explosives had already been planted and would be set off Wednesday and Thursday. Police searched the locations subject to the threats but didn't find any explosives, the officials said. The emails were sent in the name of a Japanese law firm with the same address as the one used for the three previous bomb threats received in the country in recent weeks and could be related to an email phishing scam prevalent in Japan, the sources said. The cybercrime investigation team of the Seoul Metropolitan Police Agency suspects that all four emails were sent by the same person and is taking steps to ask Japanese police for cooperation in the investigation, the officials said. On July 7, an email was delivered to a number of people, including officials in the Seoul metropolitan government, threatening to detonate a bomb at a library in the capital if opposition leader Lee Jae-myung of the Democratic Party of Korea (DPK) was not killed by 3:34 p.m. Some emails also targeted the N Seoul Tower, the National Museum of Korea, the Japanese School in Seoul and the Embassy of Japan. The police on Monday searched Seoul City Hall after an email containing bomb threats was received, saying high-powered bombs were planted in several places within the building and that the blast time was 3:34 p.m. on Tuesday, but they found no explosives in the area. (Yonhap) This photo shows an entrance at state-run housing developer Korea Land & Housing Corp.'s head office in Jinju, South Gyeongsang Province, Aug. 16. Yonhap Police searched the headquarters of state-run housing developer Korea Land Housing Corp. (LH) on Wednesday as part of an investigation into 15 shoddily-built public apartment complexes that were found to lack reinforcing bars. The Gwangju Metropolitan Police Agency sent 16 investigators to the LH head office in Jinju, 280 kilometers southeast of Seoul, its South Jeolla branch and two other places to seize evidence, officials said. The search came after it was found that 15 of the LH-built apartment complexes using the flat-slab design were constructed without sufficient reinforcing bars. A flat slab is a reinforced concrete slab supported directly by concrete columns without the use of beams. The government launched an inspection in early August into other apartment buildings built using the construction method after the parking lot at an LH-built apartment building in Incheon, west of Seoul, collapsed in April due to a lack of reinforcing bars. Police have since opened an investigation into the 15 shoddy LH apartment complexes, and Wednesday's search, conducted to seize evidence connected to one of them in the southwestern city of Gwangju, marks the first police search in the case. The police agency in Gwangju plan to follow up the search by booking two construction design company officials for investigation while police are expected to conduct more office raids connected to the construction of the 14 other LH apartment complexes in other parts of the country. (Yonhap) Former New York Mayor Rudy Giuliani and Republican presidential candidate Donald Trump arrive to speak to police gathered at a Fraternal Order of Police lodge during a campaign event in Statesville, North Carolina, Aug. 18, 2016. Carlo Allegri | Reuters Several of the attorneys who spearheaded President Donald Trump's frenzied effort to overturn the results of the 2020 election tried, and failed, to collect payment for the work they did for Trump's political operation, according to testimony to congressional investigators and Federal Election Commission records. This is despite the fact that their lawsuits and false claims of election interference helped the Trump campaign and allied committees raise $250 million in the weeks following the November vote, the House select committee investigating the Jan. 6 Capitol riot said in its final report. Among them was Trump's closest ally, former New York Mayor Rudy Giuliani. Trump and Giuliani had a handshake agreement that Giuliani and his team would get paid by the Trump political operation for their post-election work, according to Timothy Parlatore, an attorney for longtime Giuliani ally Bernard Kerik. But the Trump campaign and its affiliated committees ultimately did not honor that pledge, according to campaign finance records. The records show that Giuliani's companies were only reimbursed for travel and not the $20,000 a day he requested to be paid. Parlatore also told CNBC that the Giuliani operation was never compensated for its work. According to Parlatore, the failure to pay Giuliani and his team came up last week in a private interview between prosecutors on special counsel Jack Smith's team and Kerik, a member of Giuliani's team in late 2020. "Lawyers and law firms that didn't do s--- were paid lots of money and the people that worked their ass off, got nothing," Kerik complained in a 2021 tweet. Bob Costello, Giuliani's attorney, declined to comment further about the agreement, citing privileged conversations between his client and then-President Trump. watch now Trump has a long history of not paying his bills. But the revelation that he likely stiffed Giuliani, a longtime friend, is all the more striking given that much of the work Giuliani did for the Trump operation is detailed in a sprawling RICO indictment in Georgia released Monday, in which Giuliani is a co-defendant alongside Trump and 17 other people. The indictment details trips Giuliani made, phone calls he placed and meetings he attended, all in service of what prosecutors say was a criminal conspiracy to overturn the election. Criminal or not, what is indisputable is that Giuliani and his team did a lot of legal and PR work for Trump. Over more than two months, Giuliani served as the public face of Trump's election challenges, which ultimately failed. Nonetheless, these challenges helped Trump and his allies raise an unprecedented $250 million from small-dollar donors in the weeks following the November election, according to the final congressional report by the House select committee on the Jan. 6, 2021, attack on the Capitol. The money came in response to countless fundraising appeals that claimed it was needed to fund Trump's election challenges in court. Yet instead of paying the lawyers who tried unsuccessfully to overturn his loss, the money went into Trump's leadership PAC, Save America, according to the select committee. According to the final report by the House select committee, "After raising $250 million dollars on false voter fraud claims, mostly from small-dollar donors, President Trump did not spend it on fighting an election he knew he lost." Trump's entire political network, including his joint fundraising committees, spent over $47 million combined from the start of 2020 through the end of 2021 on legal fees, according to a report by OpenSecrets. Today, that money raised by Trump's political operation is instead helping Trump pay his own legal bills in the criminal cases against him. Trump's Save America PAC spent over $20 million in the first half of the year alone on legal fees as the president faced the first two of his four indictments. The PAC began the second half of the year with only about $3 million in cash on hand. Sidney Powell, an attorney later disavowed by the Trump campaign, participates in a news conference with President Donald Trump's personal lawyer Rudy Giuliani at the Republican National Committee headquarters in Washington, D.C., Nov. 19, 2020. Jonathan Ernst | Reuters Giuliani is not the only unindicted co-conspirator in the special counsel's election case who got stiffed by the Trump operation. Federal Election Commission records and testimony from the House Jan. 6 select committee hearings reveal that none of the private-sector lawyers identified but not indicted in that case got paid for their post-election work: Not Sidney Powell, Kenneth Chesebro or John Eastman. Giuliani and Eastman wanted a mix of reimbursements and payments, but records show they received virtually none of that money. Powell had to turn to her own law firm to pay her volunteers. All the while, the Trump team raised hundreds of millions of dollars off the false claims of election fraud that Powell and Giuliani promoted on TV and in court. Chesebro, for his part, told the House committee that the work he did for the Trump team was pro bono. On Monday, all four lawyers entered a new phase in their legal relationship with Trump, when they were charged alongside him in the Georgia RICO case. Giuliani, Chesebro, Powell and Eastman were among the more than a dozen other co-defendants in the indictment brought against Trump in Georgia on charges of trying to illegally overturn the 2020 election results in the state and elsewhere. Giuliani wanted $20,000 a day Matthew Morgan, an election lawyer for the Trump campaign, recalled to the House select committee in 2022 that Giuliani requested $20,000 a day from the Trump political operation to fight the election results. Working five days a week for two months, November and December 2020, this would have amounted to around $800,000 in legal fees. But Giuliani never got it. According to federal records, two companies linked to the former New York City mayor got about $100,000 in travel fees and reimbursements from the Trump operation. Kerik's company saw about $85,000 for travel-related expenses, according to the records. But not a penny more from team Trump for their services. Eastman wanted refunds and payment Longtime conservative attorney John Eastman had an alleged role in trying to stall the certification of the 2020 election results. Attorney John Eastman speaks next to President Donald Trump's personal attorney Rudy Giuliani, as Trump supporters gather ahead of the presidents speech to contest the certification by Congress of the results of the 2020 U.S. presidential election on the Ellipse in Washington, D.C., Jan. 6, 2021. Jim Bourg | Reuters Morgan told the House select committee that when Eastman first officially came on board in December, he did so on a voluntary basis, but he requested that his expenses be reimbursed by Trump's team. Federal Election Commission records show that Eastman didn't directly receive a single reimbursement from Trump's campaign, despite that agreement. Shortly after Jan. 6, 2021, Eastman requested payment "for services rendered," according to Morgan's testimony to the select committee. Though Morgan did not recall how much Eastman asked for, he said his understanding was that "the services requested was for the totality of all the work he'd done for the campaign." Morgan told the committee that he sent the request to another Trump campaign legal advisor, Justin Clark. FEC records show that no payments were ever made by any of Trump's committees to Eastman. Eastman's attorneys declined to comment. The fact that neither Giuliani nor Eastman got paid also reflected a deep rift that emerged after the election between top staffers on Trump's formal campaign and the small band of lawyers pushing fringe theories of how Trump could overturn his loss. A group of Trump campaign leaders and legal minds, occasionally referred to as "Team Normal," pushed back against the conspiracy theories being peddled by the outside attorneys. Ultimately, it was members of "Team Normal" that had a say in the campaign's purse strings. Clark later recounted an email he received on Christmas Eve 2020 from Giuliani associates, seeking payment. "What I make of it is that I think these guys were reporting directly to Mr. Giuliani, and when it came time to get paid, they were looking to me to get money, and I was never in the position to be prepared to just write checks to people ....we're not just going to set money on fire to do stuff," Clark told the House committee. An attorney for Clark declined to comment. Powell paid staff through her own firm U.S. President Joe Biden and first lady Jill Biden disembark Air Force One while traveling to visit families affected by devastation from recent flooding, as they arrive at Blue Grass Airport in Lexington, Kentucky, U.S., August 8, 2022. President Joe Biden will travel Monday to Maui, where a wildfire has ravaged the island and killed more than 100 people. Biden and first lady Jill Biden will meet with first responders, survivors and government officials and take stock of the damage, the White House said Wednesday. "The president continues to marshal a whole-of-government response to the deadly Maui fires, and he has committed to delivering everything that the people of Hawaii need from the federal government as they recover from this disaster," White House press secretary Karine Jean-Pierre said in a statement announcing the trip. Biden had faced criticism for not visiting the island sooner, but he has been in near-daily contact with officials to determine when a visit could be timed so as not to disrupt rescue and recovery efforts. "My wife Jill and I are going to travel to Hawaii as soon as we can," Biden said at an event in Wisconsin on Tuesday. "That's what we've been talking to the governor about, but I don't want to get in the way. I've been to too many disaster areas, but I want to go and make sure we've got everything they need. I want to be sure we don't disrupt the ongoing recovery efforts." Fulton County District Attorney Fani Willis on Wednesday proposed a March 4 trial date for her case accusing former President Donald Trump and others of trying to overturn Georgia's 2020 election results. Willis also suggested that Trump and the 18 other defendants in the state-level election interference case should be arraigned during the week of Sept. 5, a court filing showed. The Atlanta-area prosecutor had previously given the defendants until noon on Aug. 25 to voluntarily surrender to Georgia authorities. Trump is likely to challenge the timeline sketched out by Willis' office. In his other active criminal cases, Trump's attorneys have advocated for delaying the trials until after the 2024 presidential election. So far, they have not been successful. Trump's criminal trial in New York, on charges of falsifying business records related to hush money payments, is set for March 25. A federal judge in Florida, meanwhile, set a May 20 trial date in special counsel Jack Smith's case accusing Trump of mishandling classified records. In a separate federal case charging Trump with election-related crimes, Smith's office has proposed a Jan. 2 trial start. "In light of Defendant Donald John Trump's other criminal and civil matters pending in the courts of our sister sovereigns, the State of Georgia proposes certain deadlines that do not conflict with these other courts' already-scheduled hearings and trial dates," Willis wrote in the filing Wednesday afternoon in Fulton County Superior Court. Trump has reacted angrily to those dates, claiming that he is being targeted with bogus charges as part of a conspiracy to undermine his campaign for the Republican presidential nomination. A Jan. 2 trial date would fall just ahead of the first-in-the-nation nominating contests in Iowa, he wrote last week in a wrathful social media post. Trump's claim that he is the victim of "election interference" the very thing he is accused of in two separate criminal cases has featured prominently in his recent campaign messaging. While there is no evidence of prosecutors charging Trump to hamper his reelection bid, his legal troubles are indeed looming over his campaign schedule and putting a major financial strain on his political operations. Four days before his deadline to surrender in Georgia, Trump said he plans to lead a news conference at his golf club in New Jersey to unveil a "report" containing allegations of election fraud. Later in August, Trump's lawyers and Smith's prosecutors are set to appear for a hearing about how classified information will be handled in the federal election case. Despite Trump's increasing focus on relitigating his false claims of widespread fraud in the 2020 election, he continues to dominate the 2024 Republican primary field. Quinnipiac University's latest poll of the primary race found 57% support for Trump among Republicans and GOP-leaning voters. His top rival, Florida Gov. Ron DeSantis, has seen his support shrink to just 18% of that same group. Here's our Club Mailbag email investingclubmailbag@cnbc.com so you send your questions directly to Jim Cramer and his team of analysts. We can't offer personal investing advice. We will only consider more general questions about the investment process or stocks in the portfolio or related industries. This week's question: I'm learning so much from the Club about when to buy and sell. One piece of the puzzle that still confuses me is the price target. Using Eli Lilly as an example: Jim posted a new 12-month price target of $600 on Aug. 9 and the stock is around $528 on Aug. 11. A purchase now would result in a nice increase. Of course, getting shares at a lower cost would be more profitable, but I would also not want to give up a potential gain. How does an investor take the price target into consideration? Thank you, Kathy As much as we like Eli Lilly's (LLY) long-term story, we aren't comfortable calling the stock a buy at these levels given the recent rally to record high after record high. We tend to view parabolic gains as an opportunity to book profits not a chance to chase the stock higher. Despite boosting our Lilly price target on Aug. 9 to $600 per share , which reflects more than 9% upside as of Tuesday's close, we kept our 2 rating . That means we would prefer to see a pullback in shares before adding to our position. We made the LLY price target adjustment one day after great earnings . (For a primer on how we arrive at our price targets and how to use them, check out our recent commentary .) LLY YTD mountain Eli Lilly YTD peformance If you're still interested in starting a position in LLY at current levels, because a potential 9% advance isn't too shabby, consider making a small purchase. Our discipline and investing strategy is unwavering: You never buy a full position in one swoop . Get some exposure but keep cash available for future buys should the share price come back down. We will continue to monitor LLY for any opportunity to upgrade the name to our buy-it-here 1 rating. That would likely require shares to come down or at least consolidate into a narrow trading range, which would provide time for the expected earnings growth to happen. We would also like to see a positive near-term catalyst. There's no doubt we believe in the long-term prospects of Eli Lilly. Jim Cramer has called LLY one of the best growth stories in large-cap pharma and believes Mounjaro could be the biggest drug ever. Our investment thesis centers around the potential of that type-2 diabetes treatment getting regulatory approval for weight loss as well. That's expected to happen later this year and if it does, Mounjaro would directly compete with Novo Nordisk's wildly successful weight-loss drug Wegovy. Regulatory clearance of Lilly's drug to treat Alzheimer's would be an added bonus. (See here for a full list of the stocks in Jim Cramer's Charitable Trust.) As a subscriber to the CNBC Investing Club with Jim Cramer, you will receive a trade alert before Jim makes a trade. Jim waits 45 minutes after sending a trade alert before buying or selling a stock in his charitable trust's portfolio. If Jim has talked about a stock on CNBC TV, he waits 72 hours after issuing the trade alert before executing the trade. THE ABOVE INVESTING CLUB INFORMATION IS SUBJECT TO OUR TERMS AND CONDITIONS AND PRIVACY POLICY , TOGETHER WITH OUR DISCLAIMER . NO FIDUCIARY OBLIGATION OR DUTY EXISTS, OR IS CREATED, BY VIRTUE OF YOUR RECEIPT OF ANY INFORMATION PROVIDED IN CONNECTION WITH THE INVESTING CLUB. NO SPECIFIC OUTCOME OR PROFIT IS GUARANTEED. Here's our Club Mailbag email investingclubmailbag@cnbc.com so you send your questions directly to Jim Cramer and his team of analysts. We can't offer personal investing advice. We will only consider more general questions about the investment process or stocks in the portfolio or related industries. This week's question: I'm learning so much from the Club about when to buy and sell. One piece of the puzzle that still confuses me is the price target. Using Eli Lilly as an example: Jim posted a new 12-month price target of $600 on Aug. 9 and the stock is around $528 on Aug. 11. A purchase now would result in a nice increase. Of course, getting shares at a lower cost would be more profitable, but I would also not want to give up a potential gain. How does an investor take the price target into consideration? Thank you, Kathy U.S. Rep. George Santos leaves the U.S. District Court for the Eastern District of New York in Central Islip, New York, May 10, 2023. A man who was a paid campaign fundraiser for Rep. George Santos was indicted in New York on federal criminal charges related to his allegedly impersonating a top aide to House Speaker Kevin McCarthy as he solicited donations for Santos. The fundraiser, Samuel Miele, allegedly sent a letter to Santos Sept. 26 of last year "in which he admitted to 'faking my identity to a big donor,' but stated that he was 'high risk, high reward in everything I do,' " according to the indictment in U.S. District Court for the Eastern District of New York. Miele, who raised money for Santos' campaigns in 2020 and 2022, was charged with four counts of wire fraud and one count of aggravated identity theft in the six-page indictment unsealed Wednesday. Miele, 27, is accused of pretending to be McCarthy's aide in order to get campaign donations for Santos from more than a dozen potential contributors, while also enriching himself via 15% commissions on each donation. His arrest comes three months after the New York Republican lawmaker was criminally charged in the same court with fraud, theft, money laundering and making false statements. Santos, who was first elected in 2022, represents a House district that covers parts of Queens in New York City, and Nassau County in Long Island. It is the wealthiest congressional district in New York state, and one of the wealthiest in the nation. After his election, Santos was exposed for having lied repeatedly about his family, his education and his work experience. CNBC reported in January that Miele had made calls and sent emails to would-be donors claiming to be Dan Meyer, McCarthy's chief of staff, during the 2020 and 2022 election cycles. The indictment says that between August and December 2021, Miele "falsely and without authorization impersonated" a person who was "a high-ranking aide to a member of the House with leadership responsibilities." At the time, McCarthy was the House minority leader. Miele impersonated that person "for the purpose of soliciting contributions to the campaign and enriching himself through commissions earned," the indictment says. As part of the alleged scam, Miele created an email account using the first letter and full last name of McCarthy's aide, and signed the emails with the aide's full name and title. Miele did not immediately respond to a request for comment. His lawyer Kevin Marino told CNBC, "Mr. Miele is not guilty of these charges," adding that his client "looks forward to complete vindication at trial as soon as possible." John Marzulli, a spokesman for the U.S. attorney's office, declined to comment. Miele was arraigned on the indictment and pleaded not guilty. He was released on $150,000 bail. McCarthy, a California Republican, has defended Santos' right to continue serving in the House even as he fights his own criminal charges. With a narrow majority in the House and a restive caucus, McCarthy has had to rely on Santos' vote in order to pass legislation more than once this year. Democrats have made political hay out of Santos' legal woes. Rep. Dan Goldman, a Democrat who represents a district covering lower Manhattan and part of Brooklyn, blasted McCarthy for not turning on the troubled Republican. "According to a federal indictment, George Santos paid someone to impersonate Kevin McCarthy's chief of staff to raise money, yet McCarthy continues to protect Santos," Goldman wrote. "Pathetic and shameful." In a court filing Wednesday, prosecutors notified two judges in the Eastern District Court that the criminal cases against Santos and Miele "may be presumptively related because the facts of each case arise out of overlapping events." Because the cases are related, prosecutors wrote, it "may be appropriate" to reassign them so that one judge is handling both which "may result in a significant savings of judicial resources and serve the interests of justice." Additional reporting by CNBC's Kevin Breuninger and Brian Schwartz Getty Images Bank Single drug rehab facility for women shut down By Jung Da-hyun Baek Seung-un, a 27-year-old who had been seeking to overcome drug addiction at "Saebit-teo," a drug addiction rehabilitation center (DARC), found herself devastated when the nation's first and only drug addiction center exclusively for women was closed last month just one month after its opening due to financial difficulties. "As the center had helped me stay away from drugs a lot, the closure of Saebit-teo gave me huge concerns over a possible relapse if I deal with addiction at home," Baek told The Korea Times. Following the shutdown, she had to move to another DARC also located in Gyeonggi, where she is now staying and receiving treatment alongside 13 male addicts. After the closure, a fellow addict from the now-defunct women's only facility was sent back to prison for using drugs again. "No matter how well I get along with men, there is a psychological burden on me I hope more rehab centers for female addicts will be established," she added. As Baek said, the closure of Saebit-teo has left female drug addicts without a vital support system for their recovery. Nestled in a townhouse in Namyangju, Gyeonggi Province, Saebit-teo had offered treatment and residence exclusively for women in need of help for recovery purposes. Until the closure of the center, four women grappling with drug addiction had been staying there. Before the opening of Saebit-teo, female addicts had limited options available in their fight against drug addiction. Unlike male addicts, they could only attend the daily routine cure program at Gyeonggi DARC without the option of residence. The necessity for a rehabilitation center for women has come to the fore, with the number of female drug-related arrests on the rise. According to a report by the Supreme Prosecutors' Office, the number of female drug-related arrests surged from 1,398 in May 2022 to 1,885 in May of this year. In that respect, Lim Sang-hyeon, the chief of the Gyeonggi DARC, decided to establish Saebit-teo and it opened, June 8. Patients participate in a recovery session at Gyeonggi Drug Addiction Rehabilitation Center (DARC) in Namyangju, Gyeonggi Province in this undated photo. Courtesy of Gyeonggi DARC However, the operation of Saebit-teo stopped abruptly last month after Namyangju City accused the center of failing to submit relevant documents, which meant that it was an unlicensed facility. In the wake of the accusation, operating both the Gyeonggi DARC and Saebit-teo was unfeasible for Lim, and so he decided to close the latter. However, Lim is also under pressure to find a new place to host Gyeonggi DARC by Aug. 24 because the city government ordered Gyeonggi DARC to leave its jurisdiction as well. Despite accepting responsibility regarding the licensing issue, Lim believes that finding a new place within such a short period is a daunting task. He worries it may lead to the closure of the rehabilitation center and subsequent addiction relapses. Participants of the Gyeonggi DARC community enjoy the beach. Courtesy of Gyeonggi DARC A fire investigator inspects a pole that is believed to be the origin of a deadly wildfire that may have been started when a transformer exploded due to high winds on August 16, 2023 in Lahaina, Hawaii. Hawaii's biggest power utility faces growing scrutiny for the role it might have played in the deadliest wildfire in modern American history, including detailed allegations in a lawsuit filed Wednesday that it was negligent and knowingly failed to take proper action to prevent catastrophe. The new lawsuit, first obtained by NBC News, alleges that Hawaiian Electric helped set the stage for the monstrous wildfires last week. The plaintiffs allege years of inaction and negligence by the utility company, and argue that the firm should have had plans in place to shut down power systems before fierce winds blew across Hawaii. "Hawaiian Electric is not just responsible and they weren't just negligent," said Mikal Watts, a lead attorney on the case. "They were grossly negligent by making conscious decisions to delay grid modernization projects that would have prevented this very tragedy." Three other lawsuits filed in the aftermath of the fire that were reviewed by NBC News make similar claims against the company, which provides electricity to 95% of the state. Hawaiian Electric Company declined to comment on the pending lawsuits, saying that would violate an internal policy. Darren Pai, a spokesperson for the company, said Hawaiian Electric was aware of the allegations but remained focused on restoring power to Maui. He emphasized that "the cause of the fire has not been determined and we will work with the state and county as they conduct their review." Officials are still investigating the causes of the massive blazes that ravaged historic seaside communities and killed at least 101 people. Hawaii residents and people across the continental U.S. are desperate for answers, devastated by the environmental destruction and loss of life. Watts, who said his team has been approached by hundreds of potential plaintiffs, said his lawsuit is aimed at preventing the islands from ever experiencing fires like this again. He said similar litigation in California has led to safety improvements and processes that have limited recent wildfire fallout, and that Hawaiian Electric was aware of those efforts. The lawsuit details multiple instances and documents in which Hawaiian Electric and public utility officials acknowledge the dangers of wildfires, and the potential for downed power lines and grid infrastructure to start them in areas where vegetation growth was not mitigated. The risks were outlined in Hawaiian Electric news releases, documents it filed to the state, and in its own expenditure plans, and the lawsuit alleges that the firm's downed lines and grid equipment were the root cause. "Their own papers say they knew how to prevent it, and their own papers showed their conscious and deliberate decision to delay the implementation of safety measures that would have prevented this tragedy the most deadly wildfire in American history," Watts said. In one instance, a 2022 funding request for $189.7 million from the Hawaii Public Utilities Commission to harden its power grid statewide, Hawaiian Electric said that the risk of its utility system "causing a wildfire ignition is significant." The company said it needed the funding to ensure its facilities were not "the origin or a contributing source of ignition for a wildfire." Despite the request being approved, Hawaiian Electric did not act, the lawsuit alleges. "This wildfire was not only predictable, it was predicted," Watts added, "both by (Hawaiian Electric) and anyone else that bothered to look into the issue." Some survivors and attorneys across multiple lawsuits contend the company should have deployed a "public power shutoff plan," which would require the utility to intentionally turn off electric power in areas where wind could cause a fire. In an era when wildfires have grown more prevalent and deadly, similar plans have been adopted to mitigate destruction in states such as California. Pai said that the electric company did not have a formal power shutoff plan and that any "short-notice power shutoffs have to be coordinated with first responders" because electricity was needed in Lahaina to power the pumps used for firefighting. He said electricity shutoffs can also pose risks to people with medical needs. Stian Jenssen (left), Director of the Private Office of the NATO Secretary General, talks to the NATO Deputy Secretary General, Mircea Geoana during the informal meeting of NATO Ministers of foreign affairs on May 15, 2022 in Berlin, Germany. NATO official Stian Jenssen on Wednesday said comments he made the previous day regarding Ukraine ceding land in order to gain membership in the military alliance were a "mistake." Jenssen said during a panel debate in Arendal, Norway, "I think that a solution could be for Ukraine to give up territory, and get NATO membership in return," Norwegian newspaper VG reported Tuesday. He also said it was up to Ukraine to decide when and on what terms it negotiates. He told VG in a follow-up interview Wednesday, "My statement about this was part of a larger discussion about possible future scenarios in Ukraine, and I shouldn't have said it that way. It was a mistake." A NATO press officer directed CNBC to the new VG article when asked for a statement from Jenssen, who is director of the private office of NATO Secretary-General Jens Stoltenberg. The initial comment caused a stir in Kyiv. His words appeared to be criticized by Ukrainian presidential advisor Mykhailo Podolyak on social media, who called the concept of giving up territory for NATO membership "ridiculous." Podolyak added that to do so would be "deliberately choosing the defeat of democracy, encouraging a global criminal, preserving the Russian regime, destroying international law, and passing the war on to other generations." Barricades are placed near the Unification Bridge, which leads to the Panmunjom in the Demilitarized Zone on July 19, 2023 in Paju, South Korea. A U.S. soldier who had served in South Korea crossed the military demarcation line separating the two Koreas into North Korea without authorization. The man moved into the North during a tour at the Panmunjom Joint Security Area in the Demilitarized Zone. North Korea commented for the first time about a U.S. soldier who ran into the isolated country's territory last month. North Korean state-run media KCNA claimed in a statement issued Wednesday local time that "Travis King admitted that he illegally intruded into the territory of the DPRK," using the initialism for the Democratic People's Republic of Korea. Pvt. 2nd Class Travis King, 23, crossed the Demilitarized Zone, or DMZ, the heavily fortified border between North and South Korea, "willfully and without authorization" in July, Defense Secretary Lloyd Austin told reporters last month. North Korea also alleged that King said he decided to cross into North Korean territory. KCNA said King "confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army." "He also expressed his willingness to seek refugee in the DPRK or a third country," the KCNA report says. The claims could not immediately be verified. Jonathan Franks, a spokesman for King's family, said his mother, Claudia Gates, is concerned for her son's safety. "Ms. Gates is aware of today's 'report' from KCNA. DPRK authorities are responsible for Travis' well-being, and she continues to appeal to them to treat him humanely," Franks said in a statement. "She's a mom worried about her son and would be grateful for a phone call from him." "Lastly, she has been in touch with the Army this evening and appreciates DoD's statement that it remains focused on bringing Travis home," the statement said. KCNA, officially the Korean Central News Agency, is essentially a mouthpiece for North Korea's authoritarian government. Defense Department spokesman Martin Meiners said, "We can't verify these alleged comments." "We remain focused on his safe return. The Department's priority is to bring Private King home, and that we are working through all available channels to achieve that outcome," Meiners said in a statement. North Korea's statement cannot be trusted because the words aren't coming from King himself, said Victor Cha, senior vice president for Asia and Korea Chair at the Center for Strategic and International Studies, a research organization in Washington. "Once the North Koreans have custody of Private King, we don't know whether his words are true, or whether they are coerced or exaggerated for the purpose of propaganda," he said. The Pentagon said earlier this month that North Korea had offered a very brief response on King to United Nations officials, who administer the area of the DMZ where King dashed across the border. The North acknowledged their request for information about King but did not provide any details about his status. King, who had recently been released from a South Korean prison, was being sent home on U.S. military orders from an airport outside Seoul when he blended in with a group of tourists bound for the DMZ and "bolted" across the border on July 18, the Pentagon has said. The return of other Americans held in North Korea has usually required a visit by a high-level official or former official from the United States, which does not have official relations with the North. "What we've seen in the past with detained Americans is a trial, a show trial, and then some sort of sentence usually involving hard labor and prison time," Cha said. "And then the sort of high-politics diplomacy starts to try to get the American out of the country." North Korea's comments on King come days before President Joe Biden is set to host South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida at his Camp David country retreat in Maryland. The growing threat from North Korea is expected to be a major topic of discussion among the three leaders, who are meeting Friday in what the U.S. hopes will be the first of an annual gathering as it strengthens ties with the two major Asian allies. Tensions between North and South Korea are still high 70 years after the Korean War. An armistice signed in 1953 ended the fighting, but a peace treaty has never been signed. North Korea routinely objects to South Korea-U.S. combined military drills, and it has stepped up its weapons testing since early last year, including of intercontinental ballistic missiles that may be capable of reaching the continental U.S. North Korea is also thought to be preparing for its seventh nuclear test, which would be its first since 2017 and like other weapons testing would be a violation of U.N. resolutions. Packages of "Impossible Burger" and Beyond Meat sit on a shelf for sale on November 15, 2019 in New York City. Vegetarian alternatives to burgers and sausages are enjoying a certain enthusiasm that meat giants also want to enjoy. Reducing meat and dairy consumption could be the key to Southeast Asia's climate crisis, experts say. But will consumers bite? If the region wants to keep a lid on global warming, it must lower production of animal proteins and shift to plant-based, cultivated and other alternative sources by 2030, according to a new report by Asia Research Engagement (ARE), a Singapore-based organization focused on investment in sustainable development. By 2060, alternative proteins around Southeast Asia and other Asia-Pacific nations will need to account for more than half of protein production, the report added. "Achieving this will entail dedicated funding, necessitating a sustained commitment by the Asian food industry, investors, and banks," it said. Large-scale production of livestock is widely considered a major emitter of carbon emissions as well as the main culprit of deforestation and biodiversity loss. That's because suppliers clear forests to grow animal feed like soybean meal and build new farms. According to the report, livestock production leaves a bigger environmental mark than all edible crops combined because it's more resource-intensive, and uses more land, water, animals and antibiotics. While this is a global issue, it's particularly important for Asian countries because the continent supplies more than half the world's animal proteins, including land animals and seafood, ARE said in its report. Plus, the region is home to some of the fastest-growing populations, which has driven up meat consumption. In 2020, Malaysia and Vietnam consumed between 8.9 to 12.3 kilograms of protein per capita from meat and seafood, well above the recommended level of 5.1 kilograms recommended by The EAT-Lancet Commission, a global group of scientists, data from ARE showed. "This is doubly problematic because a significant portion of the soybeans fed to Asia's farmed animals is imported from Brazil, Argentina, and Paraguay," Mirte Gosker, managing director of The Good Food Institute Asia Pacific, a think tank focused on alternative proteins, told CNBC. That adds to the overall environmental footprint of animal production. Most Americans support the decision by special counsel Jack Smith to prosecute former President Donald Trump for allegedly trying to overturn his loss in the 2020 election, a new poll from Quinnipiac University found. More than half of U.S. adults, 54%, said they think Trump should face criminal charges in that case, while 42% disagreed, according to the university's latest national survey, released Wednesday. That majority included 57% of respondents who said they were independents, and 12% of Republicans, along with nearly all Democrats. Also, nearly two-thirds of respondents said they considered the federal charges against Trump to be serious, including 52% who said they were "very serious," the poll found. "Not only do a large majority of Americans regard the federal charges as serious, more than half of Americans think the former president should face prosecution," Quinnipiac's polling analyst Tim Malloy said in a statement. But the poll also found that Trump's lead is growing larger in the 2024 Republican presidential primary while his nearest rival, Florida Gov. Ron DeSantis, hemorrhages support. The governor, who was just 6 points behind Trump in Quinnipiac's national poll in February, trailed the former president by 39 points in the survey released Wednesday. Trump has pleaded not guilty to the four-count federal indictment charging him with conspiring to subvert the will of voters and reverse President Joe Biden's legitimate victory in the 2020 contest. Quinnipiac surveyed 1,818 American adults Aug. 10-14 in its latest poll, which had a margin of error of 2.3 percentage points. The polling period ended on the same day that Trump was hit with his fourth criminal indictment, this one related to his alleged scheme to reverse his 2020 election loss in the state of Georgia. The state-level indictment, which was returned by a grand jury Monday night, charges Trump and 18 other defendants with a total of 41 felony counts, 13 against Trump. All the defendants are charged with violating Georgia's racketeering statute, which carries a five-year minimum sentence if convicted. Trump and his co-defendants are required to surrender before Aug. 25. Quinnipiac did not ask respondents about the Georgia case. Trump now faces four active criminal cases, an unprecedented situation for any former U.S. president or current presidential candidate to be in. Trump's legal troubles are looming over his campaign schedule and putting a major financial strain on his political operation. At least one of Trump's cases is scheduled to begin before the November 2024 election. Sixty-eight percent of respondents in Quinnipiac's poll said that if a person is convicted of a felony, they should not be eligible to run for president. Yet Trump's prior indictments all of which were filed after he launched his latest White House bid have done no discernible damage to his status as the clear front-runner in the Republican primary. The U.K. has faced criticisms from some in the industry that it is posing barriers to its fintech entrepreneurs and forcing them to consider listings overseas. The U.K. has created an investment vehicle to back growth-stage financial technology companies until they can go public, in a bid to bolster Britain's global image as a fintech investment hub. Backed by the likes of Mastercard , Barclays and the London Stock Exchange Group , the Fintech Growth Fund aims to invest between 10 million to 100 million into fintech companies, ranging from consumer-focused challenger banks and payments tech groups to financial infrastructure and regulatory technology. The fund, which is being advised by U.K. investment bank Peel Hunt, looks to support companies at the growth stage of their funding cycle, as they seek Series C rounds and above. The venture was created in response to a 2021 government-commissioned review helmed by former Worldpay Vice Chairman Ron Kalifa and examined whether the U.K.'s listings environment is unattractive for tech firms. "It's definitely a start," Gautam Pillai, an equity analyst at Peel Hunt covering fintech, told CNBC in an interview Wednesday. It marks a rare commitment to a specialized fund focused on fintech backed by mega-industry players. While fintech-focused funds like Augmentum Fintech and Anthemis Group exist, the U.K. has yet to see a fintech-oriented fund that came about from a government-led strategy. Britain has faced some industry criticisms that it poses barriers to fintech entrepreneurs and forces them to consider listings overseas particularly after the country's exit from the European Union, which has cast some shadow over the U.K.'s status as a global financial center. The London Stock Exchange has committed to a number of reforms to encourage fintech firms to float in the U.K. rather than in the U.S. a particularly pressing step, following British chip design firm Arm's decision to ditch a London listing for New York. "It's about finding the next Stripe, the next Worldpay, the next Adyen," Pillai said. The fund also counts Philip Hammond, the former U.K. finance minister, as an advisor. Metro Manila (CNN Philippines, August 16) Senators questioned the government's plan to borrow more despite the country's ballooning debt, with one lawmaker suggesting that Filipinos should bear more children to help solve the problem. During a briefing with the Marcos administration's economic team on the proposed 2024 budget, Senate Minority Leader Koko Pimentel expressed his disbelief after Finance chief Benjamin Diokno reiterated that the debt situation was not worrisome. "How come the position is we should not worry about debt? How come Filipinos should not worry about their ballooning debt?" Pimentel asked. The country's debt stock jumped to 14.15 trillion by the end of June, data from the Bureau of the Treasury showed. READ: PH debt further climbs to 14.15 trillion as of end-June For next year, the national government would need to shell out 1.9 trillion for debt payments, according to National Treasurer Rosalia de Leon. By the end of 2024, the country's debt is expected to further rise to 15.8 trillion a projection that did not sit well with Pimentel. "Sir, kasi po 'di ba deficit pa po tayo (since we're still recording deficit) so meaning to say we have to continue to borrow to finance our budget," De Leon explained. The president's sister, Sen. Imee Marcos, echoed Pimentel's sentiment, as she questioned the plan to borrow 3 trillion next year when the Philippines has been moving on from the COVID-19 pandemic. Sen. Ronald 'Bato' dela Rosa, meanwhile, floated the idea of increasing the Filipino population to settle the mounting debt. "Merong nagsasabi na mas maganda siguro kung mas manganak tayo ng maraming anak kasi para lumaki ang population natin at pag lumaki ang population, mas marami ang mahahati-hati sa utang. So don't panic, mga Pilipino. Utang lang 'yan kayang kaya 'yan," Dela Rosa said. [Translation: Some say it would be better if we had more children because our population would grow and when the population grows, more people will be able to contribute to paying the debt. So don't panic, Filipinos. That's just a debt, we can settle that.] Economic managers, however, insisted that the Philippines has to incur more loans to finance investments and spur economic growth. They also stressed that in the end, it is the poor who will benefit. "We can grow at a rate without borrowing but we have to be content with 1% growth. Is that the growth we want? We cannot become even an upper middle country in the next 20 years," National Economic and Development Authority Secretary Arsenio Balisacan said. "Kung ikaw ay mahirap, hindi po naman napakalaki ang binabayad niyong buwis e (If you're poor, you're not paying high taxes). But you get a lot of benefit free education, free health care, social protection measures. That's the way you should look at the budget," Diokno added. But opposition Sen. Risa Hontiveros was not convinced, saying the government has been failing to deliver its promises to the masses. "Nasa krisis po sila at nag-alala po sila hindi po sila nakikinabang talaga sa kumpletong libreng health care, yung mga problema sa proteksyon, damang-dama pa rin nila. Hindi pa rin nila naranasan na magmura na ang pagkain, ni pamasahe," she said. [Translation: They are in crisis and they are worried that they are not really benefiting from the complete free health care, the problems in protection, they still feel it. They still haven't experienced affordable food, or fares.] CNN Philippines Correspondent Eimor Santos and Digital Producer Lisbet Esmael contributed to this report. Metro Manila (CNN Philippines, August 16) The Philippines has ruled out imposing a ban on nickel ore exports to China and other overseas markets. Trade Secretary Alfredo Pascual instead is exploring other ways to compel miners to build local processing plants to keep the mineral here and sell them later overseas as a high-value product like nickel batteries. China and Japan are the biggest markets for Philippine raw nickel. Nickel is valued as a mineral for producing stainless steel and nickel batteries that power electric vehicles. There were earlier efforts by past administrations to compel local miners to set up ore processing plants here, but so far, only Philippine Stock Exchange-listed Nickel Asia Corp. runs two nickel processing plants. Nickel Asia, the Philippines biggest ore producer, is partly owned by Sumitomo Metal Mining Co Ltd. During the weekly Kapihan sa Manila Bay forum in Malate, Pascual said at least two related foreign companies have signified interest in putting up a local nickel ore processing plant in the Philippines. He did not disclose their names citing non-disclosure agreements. It is unlikely that the Philippines will follow in the footsteps of Indonesia which imposed a blanket ban against nickel ore exports, Pascual said when asked if the country will go the way of Jakarta. The government would instead allow market forces to dictate nickel ore trade and local processing rather than implement a policy as drastic as a total ban, the Trade chief pointed out. Were studying the option of how to encourage processing versus exportation of ore," Pascual said. "We might adapt other means of encouraging processing," he also said. "Sabi ng mga kausap ko, they will do it (build ore processing plant) for love of country, pwede ba yun? [The ones I talked to told me they will do it for love of country, is that possible?] Pascual said. We are considering it as an option, but we really have not decided probably we will not go on banning exports kasi may fallout yan [because there would be a fallout], he added. Indonesia made gains from its ban against exporting raw nickel, but Pascual pointed out the Asian neighbor had the flexibility to do that. Malaki sila eh [Theyre big]. Theyre the biggest, biggest reserves," he explained. "Tayo third lang eh kaya medyo titimplahin natin ang action natin [Meanwhile, were only third so we have to carefully consider our action]." Taxing nickel, other minerals In the past Congress, bills were filed to tax the mining sector more, including a 10% tax on nickel ore exports. The omnibus mining tax bill, which covers nickel and other minerals, proposes royalty payments of 3% on gross output of large-scale miners, a margin-based windfall tax, plus other taxes. Marcos economic team wanted to reform the mining tax regime, but Pascual said the specific provisions are yet to be ironed out. We will consider that (10% tax and other taxes) in the process of finding ways to encourage local producers to process their nickel ore," he said. "Pwedeng excise tax [It can be excise tax]. We make (it) expensive for them to export. Hindi pa namin napag-usapan specifics [We have not yet discussed specifics]. Metro Manila (CNN Philippines, August 16) The Makati City local government on Wednesday said it wants the Department of the Interior and Local Government (DILG) to be the third party in settling the formers jurisdiction issue with Taguig City. The only solution that I can think of is for a third party to mediate, Makati Mayor Abby Binay told CNN Philippines The Source, noting that the DILG is the other party who should take this role. In June, the Supreme Court rejected Makati's second motion for reconsideration challenging the earlier ruling on the two-decade territorial dispute with Taguig City on the jurisdiction over the Bonifacio Military Reservation, which includes the 10 EMBO barangays. Binay has said a transition team was formed to comply with the decision, but Taguig Mayor Lani Cayetano claimed that no proper turnover had been done. The DILG has urged the two LGUs to coordinate and to ensure that public services are not disrupted by the dispute. Some of those greatly affected by the conflict are teachers and some 48,000 students from 14 schools located in the covered barangays. Binay said another meeting with the Department of Education and the Taguig City government is set on Wednesday afternoon to discuss issues related to the concerned schools. The Makati mayor also emphasized this is why it is important to have a writ of execution. She said such a document will clarify if there is a need to sell the school buildings owned by Makati to Taguig and to determine when the former should terminate its assistance to said residents. Metro Manila (CNN Philippines, August 16) Mayor Abby Binay on Wednesday responded to the denial of Taguig City that it rejected the offer of Makati City to continue providing school supplies to public school students affected by the two local government units dispute. May konting sense of reality ba sila nandito na 'yung supplies, ayaw mong ibigay ko. Bakit? Someone is being generous to you with no strings attached and yet youre saying no. Why? Binay said in an interview with CNN Philippines The Source. [Translation: Do they have a little sense of reality? The supplies are here and yet you don't want it. Why?] The 14 schools affected by the turf dispute are located within 10 barangays previously under Makati, which were transferred to Taguig following a Supreme Court decision In a statement on Tuesday, the local government of Taguig said Makati City Administrator Claro Certeza was lying when he announced that officials of Taguig City rejected the offer for continued supply of free uniforms, shoes, supplies and other school needs of some 30,000 public school students. Binay said the supplies are already with the schools ready for distribution. However, the Taguig government said these should just be donated to local government units affected by calamities, she added. Based on the last count, she noted there are around 48,000 students enrolled for the incoming school year. Can you be able to procure, supply for 30,000 to 48,000 students when the school is going to start before the end of this month? she asked. Binay earlier said that the city spends 459.9 million for school supplies, free food and financial incentives of students in the 14 schools affected by the issue. Taguig Mayor Lani Cayetano has said her city has continuously provided school supplies to its students for years. Taguig also vowed to grant 15,000-110,000 worth of scholarships to students taking vocational and two-year or four-year courses, master's and doctorate degrees, and those reviewing for board and bar exams. CNN Philippines correspondent EJ Gomez contributed to this report. Jeju Provincial Police Agency / Courtesy of Jeju Provincial Police Agency A woman has been arrested on the southern island of Jeju on charges of smothering her newborn daughter to death in late 2020 and discarding her body at a seaport, wrapped in a shopping bag, police officials said Wednesday. The 26-year-old mother is accused of murdering the 3-month-old baby in December 2020 by covering her face with a blanket. The same day, the mother allegedly wrapped the baby's body with a blanket, put it into a shopping bag and threw it away onto a heap of concrete tetrapods at a seaport near her house. The baby's birth had been registered with the government. Police opened an investigation into the case upon a report from the Seogwipo city government in Jeju that the baby, who would be 2 years old if she was alive, had not showed up for regular medical checkups for a long time. In prior investigations, she told police that she had experienced economic difficulties after giving birth, and she had to vacate her rented home by the day after her crime due to overdue rents. A man who she pinpointed as the baby's biological father admitted that they had dated but said he was not aware of her pregnancy. The port where she said she threw the baby's body is now reclaimed land. The Jeju Provincial Police Agency arrested the woman the previous day on charges of murder and disposal of a dead body. (Yonhap) Metro Manila (CNN Philippines, August 16) Foreign Affairs Secretary Enrique Manalo said the Philippine government has filed more than 400 diplomatic protests over the West Philippine Sea issue since 2020. Manalo said during the deliberations on the proposed 2024 Department of Foreign Affairs (DFA) budget that the bulk of these protests was lodged against Beijing and that the East Asian giant responded to some of these diplomatic representations. We have issued 447 protests since 2020, of which 400 have more or less been addressed to China," Manalo said on Tuesday. "The others have been addressed to other countries such as Vietnam and Malaysia." Lawmakers asked the countrys top diplomat if there has been any response from Beijing when it comes to these protests. Now China has responded in many ways, but in general, I cannot give all the details," Manalo said. "But in general, they have justified what has happened." Among the recent incident involving China in the West Philippine Sea was the Aug. 5 firing of water cannons at Philippine resupply boats en route to Ayungin Shoal. They [Chinese goverment] sometimes don't always reply to our notes, but they have replied on at least since this year 25 of our protests, the DFA chief added. China maintained that the actions of the Chinese Coast Guard were restrained and they only implemented necessary measures to prevent Manila from bringing large-scale construction materials to BRP Sierra Madre in Ayungin Shoal. The Philippine government stressed that it has every right to deliver supplies and provisions to troops stationed in BRP Sierra Madre. We will continue to file our regular protest as well as in case there are other events or incidents like what [happened] to Ayungin, and that will be subject of a separate new protest again to China, Manalo said. Metro Manila (CNN Philippines, August 16) Department of Interior and the Local Government (DILG) Secretary Benhur Abalos said they are now coordinating with the countrys poll body for the participation of Taguig Citys new barangays for the upcoming October elections. In a statement on Wednesday, Abalos said that aside from the Commission on Elections (Comelec), the DILG has also tapped other government agencies for the territorial change while waiting for further orders from the Supreme Court. READ: Taguig hails Makati's recognition of SC ruling on territorial dispute Comelec Chairman George Garcia and I agree that its imperative to take action because theres not much time left before the elections. We respect the Supreme Courts definition of the cities territorial boundaries and are coordinating accordingly, he said. Were working with the Chiefs of the Philippine National Police, Bureau of Jail Management and Penology and Bureau of Fire Protection to revise the areas of responsibility and reporting lines of their affected local offices, in light of the decided boundaries, Abalos added. The Barangay and Sangguniang Kabataan Elections are scheduled for October 30. The DILG chief stressed that the actions being deployed now would only cover matters of territorial supervision. As for specific rights or obligations over affected property, these will be dealt with in accordance with the procedure provided by law. We will also respect any further court orders in this regard, he said. Last week, the Makati City government slammed Taguig City for its forcible takeover of some schools within the disputed land despite the absence of a Writ of Execution. READ: SC: Writ of Execution needed before Taguig can take over 10 barangays from Makati Metro Manila (CNN Philippines, August 16) President Ferdinand Marcos Jr. has named Philippine ambassador to the United Kingdom and former Foreign Affairs Secretary Teodoro Locsin Jr. as a special representative to China, Malacanang announced on Wednesday. The Presidential Communications Office (PCO) said Locsin will now serve as Special Envoy of the President to the Peoples Republic of China for Special Concerns. Last year, Marcos appointed Locsin as ambassador to the United Kingdom and Northern Ireland. This role is concurrent with his new position, PCO Secretary Cheloy Velicaria-Garafil confirmed. Locsin was the head of the Department of Foreign Affairs during the administration of former President Rodrigo Duterte. Prior to serving as the countrys top diplomat, he also became the Philippine ambassador to the United Nations. Metro Manila (CNN Philippines, August 16) The Makati City government on Wednesday said it has always been willing to compromise with Taguig City over their jurisdiction issue despite the latters lack of response. Thats always been on the table, a compromise. The one thats not budging is them. They dont want to sit down and talk about compensation, they dont want to sit down to talk about lets enter into MOA (memorandum of agreement), Binay told CNN Philippines The Source. The Supreme Court in June rejected Makatis second motion for reconsideration challenging the earlier ruling on the two-decade territorial dispute with Taguig City on the jurisdiction over the Bonifacio Military Reservation, which includes the 10 EMBO barangays. Makati said it has complied with the ruling, creating a team for a seamless transition. This was contrary to what Taguig Mayor Lani Cayetano said that no proper turnover had been done. Among those greatly affected by the issue are around 48,000 students of 14 schools located in the 10 barangays now under Taguig's jurisdiction. The new school year is set to open later this month. In their previous meetings with the Department of Education, Binay said she made it clear that DepEd Makati wants to retain management of three schools, including the Makati Science High School and two others located beside University of Makati. This was supposed to ensure that they have enough school facilities in Makati City. However, Binay said all this along with their other requests and suggestions were rejected. The Makati mayor maintained that Taguig should pay for the use of the school facilities since these are properties of the former and are listed under its accounts. For these to be removed from Makati's accounts, there should be a sale or transfer, Binay noted. Taguig should also make it clear when its takeover will start through writing so Makati will know when it can stop providing assistance to residents covered by the 10 barangays, she added. Earlier, Taguig Mayor Lani Cayetano said that the issue of ownership or reimbursement should be deferred as it only distracts the two cities from urgent issues that need to be addressed. Metro Manila (CNN Philippines, August 16) Navotas City police chief PCol. Allan Umipig was relieved from his post and will face an administrative complaint in relation to the fatal shooting of 17-year-old Jemboy Baltazar, the National Capital Region Police Office said Wednesday. "The Chief of Police failed to supervise the conduct of police operation and corresponding investigation hence, he is liable under the Doctrine of Command responsibility," the NCRPO memorandum dated August 15 read. The NCRPO also noted that "irregularity and neglect of duty exist on the part of the PNP personnel" as none used body cameras. They also failed to justify the use of firearms and observe proper procedures during armed confrontations. Six cops had already been relieved after they shot Baltazar on August 2 in Brgy. NBBS Kaunlaran due to mistaken identity. But the police officers claimed they had fired warning shots aimed at the water. The NCRPO also ordered the relief and the filing of complaints for neglect of duty against Station Investigation and Detective Management Section head PCpt. Juanito Arabejo and chief clerk PCMS Aurelito Galvez. The NCRPO said that Arabejo and Galvez failed to subject the suspects to paraffin examination, and to preserve and record the evidence. Meanwhile, 14 others were sacked from their posts. They are facing criminal and administrative complaints for failing to help the wounded during the police operation and for grave misconduct. The Department of the Interior and Local Government earlier called for a review of the Philippine National Police's operational procedures. The Department of Migrant Workers and the Overseas Workers Welfare Administration will shoulder the funeral and burial expenses of Baltazar, whose mother Rodaliza is an overseas Filipino worker. Metro Manila (CNN Philippines, August 16) The Sandiganbayan has found former Sarangani officials guilty of graft and malversation for using barangay officials and cooperatives as dummies in the release of fake financial assistance worth 450,000 in 2002. In a decision signed August 15, the anti-graft courts sixth division sentenced former Sarangani governor Miguel Escobar to up to 20 years imprisonment for the crime. The prosecution established that accused Escobar acted with gross inexcusable negligence when he signed and approved the disbursement voucher and check despite the lack of supporting documents, the court said. Former Sarangani management analyst Alexis Jude dela Cruz faces the same conviction. Aside from criminal liability, Escobar and Dela Cruz must refund 450,000 to the Bureau of Treasury. They were both also directed to pay a fine of 5,000 each. The court, meantime, will archive the cases against three other accused namely Amelia Carmina Zoleta, Suzette Clerigo, and Velsie Banzon as they remain at large. Metro Manila (CNN Philippines, August 16) The Supreme Court (SC) has sided with indigenous cultural communities (ICCs) in Palawan, as it demanded explanation from the Environment department and two private firms regarding mining operations which it said may cause irreparable environmental damage and place residents of Brookes Point in danger. The SC en banc on Tuesday granted the petition for writ of kalikasan filed against the Department of Environment and Natural Resources (DENR) and its attached agency the Mines and Geosciences Bureau (MGB), as well as mining firms Ipilan Nickel Corporation (INC) and Celestial Nickel Mining and Exploration Corporation (Celestial). The petition was lodged by indigenous peoples of the BICAMM Ancestral Domain of Brookes Point in Palawan, who accused the INC and Celestial of engaging in unlawful mining operations and of illegally cutting trees. In 1993, the national government and Celestial Mining entered into a mineral production sharing agreement (MPSA) covering around 2,835 hectares of land in Brookes Point, with INC as the designated mining operator. According to the indigenous peoples, the contract area in the deal overlaps a protected area which is not open to mining operations. They also alleged that the MPSA was illegally extended to 2025. The petitioners argue that the mining firms activities have adversely affected the life and health of Brookes Points residents, and it also hit the DENR and the MGB for their inaction. In its resolution made public on Wednesday, the SC agreed that there is a possibility of serious and irreversible harm on the Mt. Mantalingahan protected area and the ICCs ancestral domain. The continued mining operations and excavation of nickel minerals lead to environmental damage in the said mountain ranges, as exhibited by extreme flooding and contamination of fishing areas, which continually prejudice the life, health, and property of the residents, the court said. With the issuance of the writ of kalikasan, the SC required INC and Celestial to provide evidence that would dispel concerns regarding their operations' potentially harmful environmental impact. The same applies to the DENR and MGB, whose inaction over the strong pleas of the residents of Brookes Point shows their indifference to the rights of the ICCs to a balanced and healthful ecology, the court said. The DENR, the MGB, and the mining companies were ordered to file a verified return of the writ within a non-extendible period of 10 days from receipt of the order. Asked for comment on the SC order, the DENR said it will have to pass on any reply given that the case is pending before the courts. CNN Philippines has also contacted INC for a statement. Metro Manila (CNN Philippines, August 16) Taguig on Tuesday denied it rejected Makati's offer to continue providing school supplies to public school students affected by their territorial dispute. "Makati City Administrator Claro Certeza is again lying to the public when he announced that officials of Taguig City rejected an offer from Makati to continue providing free uniforms, shoes, supplies and other school needs of some 30,000 public schools students," the local government said in a statement. "Atty. Certeza alleges that the offer was made during a meeting called by the Department of Education (DepEd) which was attended by both Mayors Abby Binay of Makati and Lani Cayetano of Taguig. What he deliberately fails to disclose is that he himself was not present during the meeting held on July 18," it added. WATCH: Around 30,000 students in Makati affected by territorial row with Taguig During the meeting, Taguig said Binay threatened to close down all enlisted men's barrio (EMBO) public schools unless it pays for the cost of the school buildings or pays rent for their use. "That was the first and only matter she wanted to talk about during the meeting She (Binay) was using the students as bargaining chips for her misguided cause," it added. In response, Mayor Lani Cayetano said the issue of ownership or reimbursement should be deferred as it only distracts the two cities from urgent issues that need to be addressed. She added that focus should be for the opening of the upcoming school year. READ: Taguig denies 'forcible' takeover of EMBO schools from Makati The local government said Binay withdrew her threat after DepEd agreed with Taguig's position. "Despite all the obstacles, delays, and difficulties thrown its way, Taguig is ready to extend to our new students in EMBO all the benefits that we presently give to our students," it added. Taguig also vowed to grant 15,000-110,000 worth of scholarships for students taking vocational and two-year or four-year courses, master's and doctorate degrees, and those reviewing for board and bar exams. Colorado Politics is published both in print and online. Our website features subscriber-only news stories daily, designed for public policy arena professionals. Member subscribers also receive the weekly print edition of our award-winning newspaper, containing outstanding features and news stories, in their mailboxes every Saturday. Would you like to receive our news updates? Signup today! Sign up to receive notifications when a new Columbia Gorge News e-Edition is published. Error! There was an error processing your request. Success! An email has been sent to with a link to confirm list signup. Gorge Social Information from the News and our advertisers (Want to add your business to this to this feed?) Seoul Mayor Oh Se-hoon announces the city's new brand slogan "Seoul, my soul" at Seoul City Hall in Seoul, Aug. 16. Newsis The city of Seoul on Wednesday unveiled its new promotion logo, "Seoul, My Soul," to be used in its campaign to advertise the city's allure to the world. The new slogan, adorned with colorful pictograms, will replace the city's former logo, "I SEOUL YOU," which had been in use for the past eight years, city officials said. The new logo comes with colorful pictograms, including a pink heart symbol and a blue smile icon, to elevate its visibility to people from around the world. In particular, the pink heart representing the O in Seoul signifies the city's love toward Seoulites and people around the world, while the yellow exclamation mark representing the Y in My means the new experiences and inspiration that the city provides. The blue smile represents the pleasure and happiness that come from the city. The logo also comes with a subtitle in Korean, which translates to "Hearts combined form Seoul," which, city officials said, means citizens are at the center of Seoul and the assemblage of diverse people will make the city better. The new slogan has English and Korean versions, both using the same font and style to convey a sense of unity. "With the new brand that carries Seoul's identity, the city will do its utmost to become a place where citizens are happier and a place that people around the world pay attention to," Seoul Mayor Oh Se-hoon said. (Yonhap) Are you a current print subscriber to Columbia Gorge News? If so, you qualify for free access to all content on columbiagorgenews.com. Simply verify with your subscriber id to receive free access. Your subscriber id may be found on your bill or mailing label. Seoul Mayor Oh Se-hoon speaks during a presentation at Seoul City Hall, Wednesday, to unveil the capital's new brand slogan. Yonhap 850,000 people participate in slogan project By Ko Dong-hwan Seoul City unveiled its new brand slogan "Seoul, My Soul," Wednesday, after a yearlong selection process. Seoul Mayor Oh Se-hoon made the introduction during a presentation held at City Hall. In August 2022, the city government launched a project to look for a new city brand slogan to replace the city's former logo, "I.Seoul.U," which had been in use for the past eight years. Four finalists were chosen and after two rounds of public surveys, and the winner was chosen by over 63 percent of 260,513 voters in a final selection between the winning slogan and the runner-up, "Seoul for you." Design experts then started working on the new brand, adding pictograms to the text to add universality so that the brand can be understood by the global community. "The image of a heart represents 'love' or the affectionate attention local residents and people from around the world have toward the city," the city authority said. "The exclamation mark means how the city 'inspires' the residents and visitors with diverse and new experiences. The smile symbolizes 'fun' or the attraction points the city can offer to people." Some 850,000 people partook in creating the city's new brand slogan, according to the city government. They were the local citizens and residents who submitted their own ideas for a catchphrase and evaluated suggestions by others, as well as experts in branding, marketing and design. The new slogan brand for Seoul in English version, left, and Korean version / Courtesy of Seoul Metropolitan Government Is Windows 11 better than Windows 10? Should you upgrade? Thats a question Ive been getting a lot from the readers of my Windows Intelligence newsletter. People also want to know whether Microsoft has fixed the problems Windows 11 had at launch, nearly two years ago. Theres no one-size-fits-all answer. Thats actually great news: If youre happy with Windows 10, you can keep using it, and theres nothing wrong with that. But there are some things you need to know. Lets dig into it. Ready for more helpful Windows advice? My free Windows Intelligence newsletter delivers all the best Windows tips straight to your inbox. Plus, youll get free copies of Paul Thurrotts Windows 11 and Windows 10 Field Guides (a $10 value) just for subscribing! Windows 10 and Windows 11 are both great Windows 10 and Windows 11 are both solid operating systems. Windows 10 isnt dead yet: It has years of life left in it. In fact, Windows 10 is better than ever. Its gotten a lot of improvements since its initial release. Maybe even more importantly, Microsoft is done adding big new features to Windows 10, so its a solid, stable version of Windows that isnt getting frequent major updates anymore. Thats what a lot of us always wanted! On the other hand, if you do want new features arriving frequently, youll need Windows 11. Windows 11 is also a solid Windows operating system. Its modern, it's slick, and it has some features Windows 10 simply doesnt offer. While I use Windows 11 on my main PC Im typing this on it right now! there are some things about Windows 11 that still need work. In particular, Windows 11s new taskbar remains a work in progress, and I know people who are sticking with Windows 10 for that reason alone. Theres no rush to upgrade: Microsoft will continue supporting Windows 10 with security updates until October 2025. Until then, Windows 10 will work just fine, and Windows 10 is still a great choice. Some people will prefer it, while others will prefer Windows 11. But there are PCs I definitely wouldnt upgrade to Windows 11 and there are PCs I wouldnt want to downgrade to Windows 10, either. Windows 10 vs. Windows 11: Whats different? Microsoft proclaimed Windows 10 was the last version of Windows when it launched in 2015. The idea was that Windows 10 would be continually updated and Microsoft wouldnt launch another big new version upgrade. Obviously, that didnt pan out; we now have Windows 11, and I expect Microsoft will announce Windows 12 at some point, too. So, rather than perpetually piling more features onto Windows 10, Microsoft decided to make those big changes in a new operating system instead, the old-fashioned way. Windows 11 has gained some features over Windows 10. For example, you can run Android apps on Windows. Theres a new Widgets menu accessible from the taskbar. The modern Snipping Tool lets you record videos of your desktop, not just take screenshots. Windows 10 has some features that Microsoft dropped in Windows 11, however. Live tiles are gone from the Start menu, for example. The Timeline feature that let you track your history of applications and tasks from devices you signed into with the same Microsoft account is also gone. These arent particularly important features to most people, and theres a reason Microsoft dropped them. Under the hood, there are some great things going on in Windows 11. For example, Windows 11 works better with multiple monitors. It has a lot more options, and it also remembers where windows were on your monitors so, if you unplug a monitor and plug it back in, Windows can move your application windows back to the monitor where they belong. The Snap feature for multitasking got some big upgrades on Windows 11, too. Windows 11 has a different-looking interface and Microsoft has done more work than usual this time, making everything look like part of a more cohesive whole than usual. The Start menu looks different. The taskbar is different, too, with centered application icons by default, though you could move them back to the left, if you like. Chris Hoffman, IDG The taskbar is a big step back from Windows 10 That taskbar is one of the big problems users have with Windows 11. Microsoft has removed some taskbar features sort of. Windows 11s taskbar appears to be completely rewritten, so its not that Microsoft removed features it just hasnt found time to add all the classic Windows taskbar features back to Windows 11. Some of missing features have been restored. For example, you can now drag and drop to a taskbar icon on Windows 11, just as you can on Windows 10; this feature was missing at launch, but Microsoft restored it in the 22H2 update last year. Microsoft is working on restoring the Never combine taskbar labels setting that will make Windows 11s taskbar function more like Windows XPs when you enable it, with text-based window titles rather than just application icons. It will likely arrive this fall with Windows 11s 23H2 update. In Windows 11, the taskbar is also stuck at the bottom of the screen. If you want to move it to another edge of the screen, you have to use unsupported registry hacks, which dont work properly in my experience. I dont know whether Microsoft is planning on bringing back the ability to move the taskbar. So, if youre a Windows 10 user who likes customizing your taskbar, Windows 11 really isnt ready yet; Id recommend avoiding it. If you dont use these options, Windows 11 will be fine. Chris Hoffman, IDG Which is better, really? Both Windows 10 and Windows 11 are solid operating systems that are getting security updates. You can run the same Windows applications on each. Benchmarks have repeatedly shown that the operating systems are very close to each other in performance. In a lot of ways, its a matter of personal taste. Are you happy with Windows 10? Then theres no rush to upgrade. Do you use those taskbar features I mentioned? If so, definitely stick with Windows 10. On the other hand, Windows 11 is sleek and modern. I like how the Start menu isnt full of live tiles. I love the Snap Assist feature for snapping apps, and I hope that leads to more Windows PC users taking advantage of it. I dont mind that features like the Timeline are gone; I dont use them, and I felt the Timeline cluttered the experience. The design is more cohesive overall. (I remember that there was a lot of bad with Windows 10 this was the operating system that came with Candy Crush pinned to the Start menu, for example.) Some people dont like Windows 11s design, and thats fine its all personal preference. But if you want the latest features, youll want Windows 11: it will eventually get the Windows Copilot AI feature, for example. Thats not coming to Windows 10 in the same way. For some, its not just about which version of Windows is better. If youre talking about upgrading, the PC hardware youll be using plays an important role in your decision. Should you upgrade a PC to Windows 11? Not every Windows 10 PC is eligible for an upgrade to Windows 11. In fact, most arent! Windows 11 has some pretty stringent hardware requirements, and a lot of older computers cant run it not officially, at least. The good news is that the upgrade is free. To check whether your Windows 10 PC can run Windows 11, open the Settings app from the Start menu, click Update & Security, and look at the Windows Update screen. Youll either see a message saying your PC cant run Windows 11, or youll see a prompt to download and install Windows 11. Chris Hoffman, IDG If you want Windows 11 and its offered, go right ahead. On the other hand, if your PC cant technically run it, there are some ways to upgrade your officially unsupported PC to Windows 11. Ive previously dug into what you need to know. The things you can do vary depending on your PC, you might only need a settings change, or you might have to jump through some hoops. I recommend you stick with Windows 10 if your PC doesn't officially support Windows 11. Youll have the best experience. You might well upgrade only to find something breaks in the future when Windows 11 upgrades. What if you get Windows 11 on a new PC? On the other hand, when its time to buy a new PC, its going to come with Windows 11 and you should stick with it rather than downgrading to 10. While you can downgrade a Windows 11 PC, I dont think thats a good idea. New PCs have hardware and drivers specifically designed for 11. Heres one example: Windows 11 is better at working with modern Intel CPUs that use Intels hybrid architecture. Tech-speak translated: Windows 11 can get better performance out of many modern Intel CPUs, and youll see worse performance if you downgrade to Windows 10. Most people are better off just sticking with Windows 11 on a PC that comes with it. The final word Overall, Windows 11 is a fine operating system as is Windows 10. Theres no one winner. I recommend sticking with Windows 11 on PCs that came with it and sticking with Windows 10 on PCs that cant officially upgrade. If you have a PC somewhere in the middle one that came with Windows 10, but that can officially upgrade to Windows 11 which you want is up to you. Windows 10 still has years of life (and security updates) left in it. If you are upgrading a Windows 10 PC to Windows 11, I recommend backing up your PC using something like File History first. You probably wont run into any big problems, but its a good idea to maintain up-to-date backups, anyway especially when doing an operating system upgrade. Get even more advice for mastering your Windows PC with my free Windows Intelligence newsletter three things to try every Friday. Plus, get free copies of Paul Thurrotts Windows 11 and Windows 10 Field Guides (a $10 value) for signing up. Paris: Two drunk American tourists found asleep inside Eiffel Tower Two drunk American tourists were caught sleeping in the Eiffel Tower in France following a wild night out in the capital Paris city, media reports said on Wednesday. A file picture of the Eiffel Tower in Paris. Photo Courtesy: Unsplash Security guards were making their morning rounds before the iconic landmarks 9 a.m. opening when they stumbled upon the dozing duo and woke them up, according to the publicly owned Eiffel Tower operator Sete, reports New York Post. Reports said that they were found in the area which normally remains closed to the public. A police source told the newspaper that the visitors had bought entry tickets to the monument around 10:40 p.m. Sunday and then jumped security barriers while climbing down the stairs from the towers top platform, 905 feet in the air. Paris firefighters, including a special unit for recovering people from dangerous heights, were sent to bring down the hapless interlopers, the source added. After recovery, the two persons were taken to the police station in Paris seventh district for questioning. Back in the days of Saturday Night Live 1.0, training with Second City (John Belushi, BIll Murray, Gilda Radner) was the surest route to becoming a Not Ready for Primetime Player. Twenty years later, working with the Groundlings (Will Ferrell, Phil Hartman, Kristen Wiig) was the pipeline to comedy stardom. But in this century, more and more of SNLs biggest stars have been discovered online. Here are five SNL success stories that began on the internet Click right here to get the best of Cracked sent to your inbox. 5 Chloe Fineman Okay, okay, Fineman also trained with the Groundlings. But what really got her noticed were weird videos and celebrity impressions she posted on Instagram. Celebs like Drew Barrymore herself weighed in (@chloeiscrazy is the greatest thing), and casting directors took note, Fineman told Bazaar. Next stop: 30 Rock. 4 Andy Samberg Is Samberg the first SNL comic discovered on the internet? When Samberg auditioned, he says in SNL oral history Live From New York, the SNL powers-that-be asked, So whats the deal with these guys? And they were like, Theyre a group and they work really well together, they have this website with all these videos, and there was material to be looked at if someone cared to look at it. Play Advertisement Advertisement The videos were funny enough that SNL hired Sambergs Lonely Island partners Jorma Taccone and Akiva Schaffer to write on the show as well. 3 Kyle Mooney and Beck Bennett As members of the YouTube comedy collective known as Good Neighbor, Mooney and Bennett amassed millions of views with videos like Toast. Mooneys solo channel, aptly named Kyle, also had more than 100,000 followers. When the Lonely Island guys departed, SNL hired the pair in an effort to make lightning strike twice. Play Advertisement Advertisement 2 James Austin Johnson Johnson was invited to audition for SNL after his TikTok impressions of Donald Trump went super viral, he told Brooklyn Mag. (It didnt hurt that he also did a killer Joe Biden.) Play Advertisement Johnson knows that Trump is aware of the impression since he supposedly told a crowd, They got a new guy doing me. I heard its pretty good. We dont watch the show, its such an awful show. But apparently the new guy is better than Alec. Advertisement Seth MacFarlane and the writers over at Family Guy are never ones to shy away from taking the piss out of celebrities. From Madonna to a very tiny Tom Cruise, no one is safe from a scathing Family Guy cutaway. But sometimes, celebrities like to get in on the fun, too. And so, over on the Family Guy subreddit, Asheto320 asked their fellow Family Guy fans, Whos your favorite (celebrity) on Family Guy? These were seven most popular responses 7 Ryan Reynolds Reynolds starred as himself in Season 10s Stewie Goes for a Drive when he moved into Cleveland Browns old house while filming in Quahog. Of course, the real fun of the episode was when Reynolds took a very affectionate liking to Peter and confessed to being attracted to him in the way that a man is attracted to a woman while rebuking any notion that hes gay. Redditor Silvanyx quoted the episodes memorable closing interaction between Reynolds and Peter: Im sorry. We can never talk again... Heres a cell phone so we can always talk. Play 6 Liam Neeson Another actor playing himself, one of Neesons cameos came as a fake tough guy who Peter constantly claims he could beat in a fight while posted up at The Drunken Clam. In Season 13s Fighting Irish, he has his chance, but instead becomes the Taken actors personal servant in exchange for not being beaten to a pulp. After a litany of humiliating tasks, though, Peter finally rebels and Neeson smacks him, resulting in the long-awaited brawl. Unsurprisingly, Peter stands no chance and is manhandled, but at least he got a good story out of it. Play Advertisement Advertisement 5 Carrie Fisher The late Fisher had a long run on Family Guy voicing Angela Everwood, the head of Pawtucket Brewerys shipping department and Peters supervisor. One of her best bits is in Season Eights Peter-assment, when she finds Peter to be irresistible without his glasses. Play Advertisement Advertisement 4 Robert Loggia Loggia was another actor in on the joke. After being parodied by the show as someone who uses the most time-consuming, self-absorbed way to spell his name, Loggia appeared in two episodes, one of which was a live-action cutaway to breeze through a seriously long story from Lois. Play Advertisement Advertisement 3 James Woods Bryter_layter_76 aptly pointed out that Rhode Island has so few celebrities, James Woods being one of them, that they roast the shit out of him constantly. That guy is pathetic. But that didnt stop Woods from voicing himself on the show that did indeed roast the shit out of him constantly. My personal favorite Woods Family Guy spot: When hes easily baited by brightly colored Reeses Pieces in Season Fours Peters Got Woods. Play Advertisement Advertisement 2 Drew Barrymore Barrymore portrays Jillian, Brians one-time girlfriend and all-around ditz. She might not be the brightest bulb, but shes a certified good time every time she appears. So much so that redditors shared their excitement that Jillian may be making a comeback in the new season. Play Advertisement Advertisement Unification Minister Kim Yung-ho speaks at a forum on opposition to the forced repatriation of North Korean defectors in China, Aug. 16. Yonhap South Korea's unification minister on Wednesday asked for China's cooperation in not repatriating North Koreans who have been arrested in China after fleeing the North, stressing that such people should be recognized as "refugees." Minister Kim Yung-ho made the remarks during a seminar in Seoul amid concerns that China could return a huge number of North Korean defectors to their home country against their will if the secretive regime opens up its border with China after years of its self-imposed COVID-19 restrictions. "North Korean defectors in China should be granted humane treatment in accordance with international standards, and be also able to enter countries that they are hoping to go to, including South Korea," Kim said in his congratulatory message for the forum. The minister said such defectors should be recognized as "refugees" rather than illegal immigrants, raising the need to apply the principle of non-refoulement to them. But decryption tools often fail when it comes to restoring complex systems brought down by ransomware. Even if youre able to get your complete data sets decrypted, its hard to get the complex configurations back and running like they were pre-incident, Ma says. 2. Implement multilayered cybersecurity For most companies, focusing on basic security hygiene is the fastest way to reduce ransomware risks. [The cybersecurity industry's] goal isn't to make our networks impenetrable, says Frank Dickson, group VP for security and trust research practice at IDC. Its to elevate the defenses to such a point that it's no longer profitable to penetrate them. According to an IDC survey conducted in June, companies that had no ransomware breaches typically used some or all of five key security technologies: endpoint detection and response (EDR), cloud security gateways or cloud access security brokers (CASB), security information and event management (SIEM) systems, identity analytics or user and entity behavior analytics (UEBA), and network detection and response (NDR). Having multiple layers of defense, as well as setting up multifactor authentication and data encryption, are fundamental to cybersecurity, but many companies still get them wrong. Stone recently worked with an educational organization that had invested heavily in cybersecurity. When they were hit by ransomware, they were able to shift operations to an offline backup. Then the attackers escalated their demands -- if the organization didnt pay the ransom, their data would be leaked online. The organization was well prepared for an encryption event, but not prepared for the second ransom, Stone says. There was actual sensitive data that would trigger a number of regulatory compliance actions. The company didnt want to see the data leaked, but neither did they trust the attackers to keep their promises. What this organization chose to do is not pay the second ransom, either, Stone says. Instead, while the attackers were waiting for an answer, the organization notified victims about the breach. By the time the data leaked online, they had already completed the notification actions. The attack exposed two major weaknesses in the companys defense strategy. First of all, their incident response playbook didnt cover a second extortion event. Second, they hadnt encrypted their sensitive data. Afterward, they went back to revise their strategy, starting with their response playbook. How do we get better at this? How do we reduce our risk? How do we do things differently next time? Stone says, which also led them to encrypt sensitive data. Security controls work, and over the years, companies have gotten better at protecting themselves. Rubrik conducts security assessments of organizations and that score was up 16% last year, with improvements in every single region and every single industry, Stone says. With the proper measures in place, companies can reduce both the number and the severity of successful attacks and get up and running again quickly after theyve been hit. It boils down to cost, says Omdia analyst Adam Strange. Organizations just have not had the budgets to be able to put themselves into a secure position. Data has long been regarded as one of the most important assets in an organization. But the way we've protected it -- or not, over the past few years -- has been deplorable, really, he says. If an organization is going to die because it hasn't got access to its data, then it needs to put a lot more thought into how it protects its data. It's only with the advent of GDPR and CCPA that data security has been emerging as a separate discipline in its own right, he adds. 3. Invest in robust backups When ransomware attackers get a foothold into an organization, they have two main objectives: to get to the valuable data and to neutralize the backups. The best-case scenario is robust backups that are in the cloud, and completely disconnected from the main network, says Ma. And tape backups, usually run less frequently, but completely segregated and not accessible via the internet. If attackers get access to domain credentials, they shouldnt be able to access the backups as well. If the backups require a second set of authentication theyre a lot more protected, Ma says. Another backup strategy is immutable backups that cannot be overwritten or erased. Some of the larger companies do have that implemented. But for smaller and medium-sized companies, the topic of immutable backups doesnt make it to the boardroom. Theyre still relying on backup technology from 2016--and thats not good enough in todays day and age, she says. Rubrik recently conducted an analysis of several thousand organizations, from both customer and non-customer environments, and 99% of enterprises had data backups in place when they were hit by ransomware. But 93% of companies also had significant challenges using those backups to recover lost data. There was either not enough data storage, or not enough expertise, or an inadequate portion of their environment was covered, says Stone. Also, in 73% of the incidents, the attackers had some success in accessing the backups, he adds. If the backups werent secured properly, attackers were able to delete backups or use compromised credentials to access management panels. If the backups failed or were deleted by attackers, paying the ransom might seem like the only way out. But, according to the Rubrik report, only 16% of organizations recovered all data after paying the ransomware demand. The reason? The ransomware gangs arent very good at their decryption tools and arent particularly motivated, either. As long as their tools do something, anything, the victims have hope. According to Stone, todays ransomware attacks are rarely conducted by a single group. Instead, theres an attack ecosystem. One actor finds the vulnerability that gets them into an environment. Another plants the ransomware. A third steals data and resells it. Someone else uses stolen credentials to launch more attacks. Other actors may use the same access path to plant crypto-miners, or more ransomware. Its not unusual for multiple threat actors to be involved in an intrusion, Stone says. So it's not a surprise that, according to Barracuda, 38% of organizations reported two or more successful ransomware attacks in 2022--up from fewer than 20% in 2019. You can become an annuity for the criminals because they can keep asking for more money, says Catherine Castaldo, partner with Reed Smith's tech and data practice. Weve seen this happen, especially in sensitive areas like hospitals and law firms. Companies that are avoiding investing in multilayered security, strong encryption, multifactor authentication and robust backups because they think they wont be hit by ransomware -- or, if they are, that it would be cheaper to just pay the ransom and get back to work -- are living in the past. This strategy might have worked in 2013 when ransomware attacks were rare and ransoms were tiny. But it doesnt work today. By Arthur I. Cyr "Our long national nightmare is over." President Gerald R. Ford followed up his August 1974 inaugural address with a presidential proclamation on Sept. 8, 1974, granting former President Richard M. Nixon a "full, free and absolute pardon," precluding indictment or prosecution for crimes committed while he was in office. Nixon resigned in the face of certain impeachment and conviction for crimes summarized under the shorthand label of Watergate. This and other recent history are highly germane to the growing legal problems of former President Donald Trump and now threatened impeachment of President Joe Biden. Ford remains our only chief executive who was elected to neither the presidency nor the vice presidency. He was selected by President Nixon when Vice President Spiro Agnew was forced to resign because of corruption. Ford paid a high price in unpopularity. This decision was probably decisive in his narrow election loss to Jimmy Carter in 1976. Trump was impeached twice by the House of Representatives while serving in the White House. However, the Senate in the first trial voted to acquit and in the second failed to reach the two-thirds majority required for conviction. President Bill Clinton, a Democrat, was impeached in the House and tried in the Senate during January-February 1999. Again, however, the Senate failed to provide the two-thirds vote for conviction. Note that his approval ratings in opinion polls went up, not down, during this time. Republican House Speaker Kevin McCarthy recently stated publicly, seemingly casually, that President Joe Biden will "probably" be impeached. An extreme action once shunned by our Congressional representatives has now apparently become almost routine, and certainly discussed openly. How and why has this happened? The only previous House impeachment was of President Andrew Johnson in 1868. This occurred after our catastrophically costly Civil War. The war was followed by many years of bitterness and violence. Intense partisan and regional hatreds, poisonous acrimony and sporadic incidents of criminal violence including by the newly formed Ku Klux Klan defined those years. John F. Kennedy's book "Profiles in Courage" provides important information and insights. Each chapter is devoted to a United States senator who demonstrated exceptional courage during a major political crisis. The book, published in 1957, was part of the comprehensive, carefully planned Kennedy campaign for the White House. Candidates regularly produce campaign biographies and other rushed books that do not long survive. Kennedy and aide, a close confidant and alter ego Theodore Sorensen, produced serious history of lasting value. Chapter VI titled "I looked down into my open grave" describes the actions of Republican Senator Edmund G. Ross of Kansas in the Senate trial of President Andrew Johnson. Johnson, President Abraham Lincoln's 1864 running mate, was a pro-Union Democrat from the Confederate state of Tennessee, though also a supporter of slavery. Johnson in the White House was inept, tactless and self-destructive. Removing him would facilitate savage radical Republican reprisals against the South that Lincoln had deflected. Ross held the 36th vote essential to convict Johnson. Despite extreme political and personal threats, he refused to commit himself and, in the end, voted "Not guilty." Ross knew that to convict would destroy our executive's authority. His Senate career ended. He and his family were shunned, vilified and physically attacked. The Civil War was our costliest war, with approximately 600,000 dead, vast physical destruction and lingering destructive legacies. History underscores today's security and wealth. Our partisan rigidities and prosecutors' righteousness reflect this comfort. If we continue, we may ultimately destroy ourselves. ) is author of "After the Cold War." Arthur I. Cyr ( acyr@carthage.edu By John Merrill The Camp David summit scheduled for Aug. 18 between U.S. President Joe Biden, President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida will try to shore up what has been a wobbly trilateral alliance structure in Northeast Asia. The summit comes amid serious new challenges posed by China, Russia and North Korea. The White House is already describing the first-ever dedicated and exclusive meeting among the leaders of the three countries as "a discussion of historic proportions in terms of the importance of this bilateral relationship to the Indo-Pacific region and, frankly, to the world." The key question is whether the summit will live up to its billing. The U.S. is hoping to cement the recent reconciliation between Seoul and Tokyo, whose relations have often been disrupted by historical grievances. Washington views close cooperation among the three countries as the linchpin of its security position in Northeast Asia. For Biden, the summit offers an opportunity to redirect attention from his domestic problems and a constant barrage of criticism from Republicans to refocus on foreign policy. He hopes the bucolic and private setting of Camp David will improve the chances of success. For his part, Yoon views the summit as a way to boost his flagging popularity at home. He has become the toast of Washington, being treated to a state dinner at the White House in April. The reason for Yoon's popularity among American officials is his efforts to improve relations with Japan and strengthen defense ties with it. This is in sharp contrast to the actions of Yoon's predecessor, Moon Jae-in, who initially tore up a military intelligence-sharing agreement with Japan, and supported a long-festering dispute over the wartime conscripted labor of Koreans by Japan. The goal of the summit from Washington's perspective is to establish a firm foundation for trilateral defense cooperation and set technology and supply chain guidelines on trade with China. But behind all the talk of a "rock solid" trilateral alliance, fissures remain. Seoul's improving ties with Tokyo may not be as secure as Washington believes. One reason for Yoon's unpopularity is that many Koreans believe that he has made too many concessions to Japan in trying to resolve historical disputes stemming from its colonial rule. Public suspicions about Japan remain strong as seen in the current controversy over the release of wastewater from Japan's Fukushima nuclear facility, which Koreans believe could harm their fishing industry because consumers will fear eating contaminated seafood. Such attitudes bode ill for extensive military cooperation with Japan. Although a port call in May by a Japanese destroyer flying the controversial imperial-era "Rising Sun" flag passed without much protest, will Koreans accept the presence of Japanese troops on their soil during future joint military exercises? American encouragement for Japan to build up its already considerable military force could also meet a hostile reaction in Korea. Meanwhile, continued strong public support for a South Korean nuclear bomb, despite Seoul's recent agreement with Washington not to pursue such a project, remains a potential irritant in relations with Japan. If Seoul ever decides to "go nuke." Japan is likely to follow suit and achieve such a goal quicker since it enjoys a technical advantage in making a nuclear weapon. Their strategic aims also differ. Seoul is focused on meeting a threat from North Korea, while Japan views China as its primary adversary. Tokyo is more likely to participate in a Sino-American conflict over Taiwan than Seoul, which appears to be reluctant to get involved. There are also disagreements between the U.S. and its two Asian allies when it comes to economic policies. Both Seoul and Tokyo are unhappy with the Biden administration's trade policy, with its focus on expanding America's technology base at the expense of imports as well as curbing semiconductor supplies to China. This particularly poses a threat to the Korean chip industry, while Seoul does not want to alienate China, its biggest trading partner. Any trilateral deal reached at Camp David will need to stand the test of time. Domestic politics could undo any progress. The possible election of Donald Trump to a second term as U.S. president in 2024 could disrupt alliance cooperation, while a left-wing candidate to replace Yoon in 2027 could revive anti-Japanese sentiment. Meanwhile, Tokyo is unlikely to make more concessions to Seoul given the powerful influence of the nationalist wing of Japan's ruling Liberal Democratic Party. The challenge for Biden at Camp David will be to forge an agreement whose structure will be strong enough to preserve recent progress despite any future political backlash. The odds of achieving that, however, do not look good. John Merrill is a visiting scholar at the Institute of Korean Studies at George Washington University Britain stands proud as one of the world's premier fashion hubs. It is the birthplace of iconic designers, from the sustainability-driven Stella McCartney to the rebelliously chic Vivienne Westwood. British brands like Mulberry and Burberry resonate with luxury and quintessential British style. Yet, somewhere along the well-tailored path, London has missed a step, and Paris is cashing in. Despite our fashion credentials, the allure of the French capital has become irresistible for international shoppers. It's not just about fashion, but fiscal policy too. Galeries Lafayette and Rue de Rivoli aren't merely glittering with designer wares. They shimmer with tax incentives, making shopping in Paris financially savvy. Every tourist who spends in these Parisian hubs is sending ripples through the wider economy. Every purchase is supplemented by hotel bookings, restaurant reservations and theatre tickets. Brandon Lewis, the former justice secretary, (pictured) says: 'Despite our fashion credentials, the allure of the French capital has become irresistible for international shoppers' (Stock Photo) 'British brands like Mulberry and Burberry resonate with luxury and quintessential British style,' says Brandon Lewis The reverse is true in Britain, where our hospitality industry is crying out for pro-growth policies. Tourism is down 24 per cent since 2019, according to Visit Britain, whilst tourism in France is up by 12.3 per cent in the first quarter of 2023. So why is Paris outpacing London in this retail race? VAT refunds for non-EU tourists. Some 41 per cent of all VAT refunds in Europe occur in Paris, according to data from leading payment companies. VAT, as well as the high cost of doing business through archaic planning laws, a dysfunctional labour market, and a lack of stability and policy ownership in Government posts, is contributing to a bleed to our international standing. Research from the Adam Smith Institute shows Britain is set to overtake France in population size, but won't hold on to its economic ranking. (Stock Photo) Tourism is down 24 per cent since 2019, according to Visit Britain, whilst tourism in France is up by 12.3 per cent in the first quarter of 2023 The Treasury's current stance on VAT-free shopping has rendered London less attractive. Mulberry closed their Bond Street store purely for the reason that they could no longer access VAT-free shopping. The repercussions? Wealthy shoppers are turning away from our shores. It's a missed opportunity, especially in a post-Brexit era where the UK could be harnessing new avenues for growth. Research exclusively published by the Daily Mail has shown that scrapping the tourist tax would boost the economy by 10billion. It's time the Treasury listened to this common-sense campaign. Harry can still use the title His Royal Highness despite its deletion from the Buckingham Palace website. So too can Meghan. The Letters Patent granting the HRH honour have never been annulled, allowing them to continue to use the titles if they wish. The statement issued by the Palace in 2020 read: 'The Sussexes will not use their HRH titles as they are no longer working members of the Royal Family.' Which is a nonsense. Beatrice, Eugenie and Prince and Princess Michael use HRH and are non-working royals. The King is hoping the fudge will hold as he has no appetite for formally amending the rules as laid down by George V in 1917. The Duke and Duchess of Sussex arrive at Windsor Castle to view tributes to Queen Elizabeth II in September 2022 Prince William, still smarting from his ill-fated Caribbean tour last year, could soon face another thorny issue over his mother's favourite holiday destination, Barbuda. The tiny sister island of Antigua is facing a challenge to its colonial history with the government planning to increase development by changing the law whereby all Barbudan land is owned communally by its 545 residents. Because William was a regular visitor with Diana, islanders are writing to him seeking support. If he intervenes, it would bring him into direct conflict with Antigua's PM Gaston Browne. He is already determined to cancel the royal connection and, according to local gossip, is keen on becoming President Browne in their place. William might hope the Barbudan plea for help gets lost in the post. The Prince of Wales visits The Duchy of Cornwall Nursery to open a restaurant in July Apropos Barbuda. English millionaire Henry Anderson, 42, has been trying to get round the island's ban on large tourist developments with a 114-acre villa complex, claiming it was a residence for visiting members of the Royal Family. This was news to the Palace. Anderson has now been forced to 'clarify', saying: 'The idea of offering use of the property to members of the Royal Family was simply meant as a gesture that might or might not be taken up.' Stop digging, Henry. With France discarding 700,000 tonnes of clothes a year, writer Mary Kenny applauds its new policy to repair them rather than bin them, writing in The Oldie: 'Every town should have an appointed seamstress. I feel sure King Charles, who extols mending and repairing, would endorse the concept.' Writer Mary Kenny applauded France's new policy to repair rather than bin clothes At ex-Newsnight policy editor Lewis Goodall's wedding, former BBC man John Sopel commits the error of revealing his friend's vanity when he met his spouse-to-be and she asked: 'So what do you do?' Cocky Lewis boasted: 'Google me and just see how many Twitter followers I've got.' Red-faced Goodall later tweeted to Sopel: 'Four Malbecs down and a glint in the eye, but still inexcusable.' Recalling how his boyhood love of Irish dancing kept him away from The Troubles, Belfast-born Catholic movie star Ciaran Hinds highlights his bond with a childhood Protestant pal. 'Peter and I started dancing together, probably from when I was five or six,' he says. 'We grew up and kept dancing until we were about 18 or 19 I had a way of integrating completely with Protestants.' Pity the Republican and Loyalist headbangers didn't abandon terrorism for Riverdance! SHOPPING Contains affiliated content. Products featured in this Mail Best article are selected by our shopping writers. If you make a purchase using links on this page, Dailymail.com will earn an affiliate commission. Click here for more information. Are you stuck in a rut when it comes to fashion? If you feel like you keep shopping the same brands over and over again, it could be time to embrace Black Business Month and look at some other businesses that deserve your dollar. From Good American clothing founded by Kardashian family friend Emma Grede to Jessica Rich footwear, we've found some fabulous items at Nordstrom that will elevate your style and support Black American entrepreneurs at the same time. Here are 10 of the most head-turning items, with more available in the Black Owned Business spotlight on the Nordstrom website. Good American Classic High Waist Bootcut Jeans Bootcut jeans are 2023's standout trend and with good reason as they're flattering, too. This pair slims the legs while the bootcut gives the impression of extra lengths. The pockets on the rear will make your butt look extra peachy. Shop Love, Vera Embroidered Heart Strappy Underwire Bra Not only is Love, Vera Black-owned, but several items are on sale at Nordstrom, giving us extra incentive to buy. This pink bra embroidered with hearts is cute and feminine and will make you feel pretty all day long. Shop Honor The Gift Stamp 1988 Graphic T-Shirt This vintage-inspired t-shirt is the perfect way to indulge rock chick vibes and add an edge to your closet. Made from soft cotton, it will feel amazing next to the skin so you feel ready for anything. Shop Good American Always Fits High Waist Plisse Pants Fuschia, plisse and a reduced price - sign us up now! These pants have a flattering high waist so you can pair them with a bodysuit for a look that wows. Shop Diarrablu Umy Abstract Print Convertible Jumpsuit This loose, printed jumpsuit is chic and very versatile. Dress it down with sneakers of sandals and up with heels for a night out. The abstract print will get you noticed and then people will think your waist is smaller than ever thanks to the clever belt detail. Shop BeautyStat Probiotic 24HR Moisture Boost Cream Moisturizer If your moisturizer doesn't last all day, you need to try this. BeautyStat have formulated a special light-as-air cream with hyaluronic acid that binds moisture to the skin to maintain its optimal moisture level. Ceramides and pomegranate sterols protect and repair to seal and boost your skin's moisture mantle, minimizing premature lines and wrinkles. Shop Jessica Rich Ysabelle Fishnet Pointed Toe Pump (Women) Can you ever have enough gorgeous shoes in your life? This pair is stunning on many levels. A fishnet upper lends eye-catching appeal to this show-stopping pump balanced by a pointy toe and sleek geometric heel. Shop Kimberly Goldson Lesli Clip Dot Long Sleeve Maxi Dress Looking for the ultimate dress for a party or smart occasion? Look no further. This timeless long-sleeve maxi dress features a flowy silhouette with side-seam pockets and a defined waist. The coral pink color is show-stoppingly stunning. Shop EleVen by Venus Williams Ice Queen Tennis Dress Serve style without compromising function during your next match in this tennis dress crafted from a moisture-wicking stretch knit with UPF 50+ sun protection. Available in sizes XS to XL. Shop A battle between two great nations has been ignited as Britons and Americans go head-to-head over a vital question - which nation's Fanta tastes best? Social media users on each side of the Atlantic have clashed on Twitter and Reddit over the fizzy drink, which looks very different in the US compared to the UK. Whereas the Fanta known and loved in the UK (and Europe) is a lighter orange colour, the US version is a much deeper shade, which resembles the colour of the Italian liqueur, Aperol. And after Twitter account No Context Brits shared an image of the two products side-by-side, asking which was best, Fanta lovers from each nation rushed to defend their favourite fizzy drink. The tweet, which was first posted yesterday, already has over 1.6 million views and almost 12k likes, with lots of people chiming in with their opinions. The Twitter account @NoContextBrits shared an image of the two side by side, with the caption 'UK vs. US Fanta' One person wrote: 'UK all the way' while another said: '99% of junk food is just better in the US.' Quite a few people who are used to the UK version of Fanta are concerned by America's 'very orange' drink. One shocked person tweeted: 'The first time I saw Fanta in America I genuinely couldnt believe the colour!' Another retweeted it and wrote: 'Wtf are Americans putting into their Fanta to make it look like that.' Others said 'Fanta vs liquid heart failure' and 'US Fanta will genuinely have you bouncing off the f****** walls. Never again.' The replies are also full of conflicting opinions, with one person writing 'That colour is so unnatural' and another adding 'Juice vs jet fuel' Other Twitter users, who were unimpressed by the US version of Fanta, said the colour of the product was 'unnatural' and compared it to 'jet fuel'. An American chimed in with: 'I don't want the Brit Fanta. Nothing funnier than how insecure Brits get over the most meaningless things.' This debate has also taken place on Reddit, with the top reply reading: 'Fanta seems to vary a lot around the world. My standard Fanta looks different than both of those.' So what IS the difference between UK and US Fanta? According to the websites for Fanta in the UK and the US, there are several differences in the ingredients of each product. Perhaps the most significant difference is that the UK version contains orange juice from concentrate, whereas the US version does not. Another key difference is the sugar content - the UK product's sugar content was cut before the 'sugar tax.' The tax placed a levy on soft drinks with a sugar content higher than 5g per 100ml. UK FANTA INGREDIENTS Carbonated Water Sugar, Orange Juice From Concentrate (3.7%), Citrus Fruit From Concentrate (1.3%), Acids (Citric Acid, Malic Acid), Vegetable Extracts (Carrot, Pumpkin), Sweeteners (Acesulfame K, Sucralose), Preservative (Potassium Sorbate), Acidity Regulator (Sodium Citrates), Stabiliser (Guar Gum), Natural Orange Flavourings With Other Natural Flavourings, Antioxidant (Ascorbic Acid) US FANTA INGREDIENTS Carbonated water, high fructose corn syrup, less than 2% of: Citric Acid, Natural Flavours, Sodium Benzoate (to protect taste), Modified Food Starch, Glycerol Ester Of Rosin, Yellow 6, Red 40. Advertisement Someone else joked: '100% natural flavours is code for - this product has never seen an orange or any kind of fruit in its life.' However, another person replied to add context: 'Natural orange flavors generally are extracted from orange oil, which is generally made from the peel/skin of oranges. 'So there's almost certainly some element of real orange in there. It's just been extracted, concentrated, separated, ect. What American Fanta lacks is the actual juice from the fruit.' Making fun of the packaging of UK Fanta, one Twitter user wrote: 'The UK version is wearing a girdle and hasn't seen the sun for a while. Typical.' Another added: 'Everything in the UK is boring!' However UK Fanta fans hit back, saying the US version could lead someone to 'catch a case of ratiation'. A woman from the gypsy community has taken to social media to explain why she would never date a non-traveller man, also known as a 'country man'. Charlotte Ann, 29, who is based in Peterborugh, makes TikTok videos where she addresses misconceptions about gypsy culture. Previous videos she's posted include 'What dating is like for gypsies and travellers? and 'What is the difference between gypsy and travellers?' In this video, Charlotte addressed the question of whether she would ever marry a man outside of her culture - it was in response to a comment from a follower asking: 'Would you marry a settled man?' At first she joked: 'I don't know if this is a wedding proposal or just a simple question, I don't now which one it is, but we're gonna answer it cause I've been asked a few times.' Then, answering the question, she said: 'No. That's the short answer. The short answer is no. 'I'm gonna explain before the Karens come into the comment box and say, "Well you talk about equality, you talk about oppression. But you're saying you wouldn't marry a country man". Yes, and I'll tell you why. 'I'm traditional. Am I 100% traditional? No. I'm single at home at 29, so no I'm not 100% traditional but no one is 100% traditional any more because it's 2023.' She continued: 'I just prefer to marry my own kind, whether that be a gypsy or a traveller. I'm half-gypsy, half-traveller, so one or the other I'd be marrying in my culture.' The 29-year-old adds 'No one realises how different your culture is to my culture and I just can't fathom the hassle of that' Charlotte said she believes marriage and life 'is hard enough without being that different,' describing travellers and non-travellers as living in 'two different worlds'. The 29-year-old added: 'No one realises how different your culture is to my culture and I just can't fathom the hassle of that.' One example she used was the concept of pocket money, saying that in her culture you 'don't do pocket money,' so she's not sure what she would do if she married a 'settled man' and their children asked for it. She continued: 'There's just so many things that are different and I just couldn't be bothered with that. I don't want to have to explain who I am to the person I'm getting married to. 'I know a traveller man wouldn't 100% know me, but they'd know me in ways at least. 'There's no explaining who you are on the first date. I don't need to tell him the basics because he should know that cause he's another traveller.' Concluding her thoughts, she ended the video by saying 'So, no I couldn't be bothered with all that and it's not me. 'I think the best matches are matches where people start at a level playing field. 'I don't want to have to teach them my ways, I'm not Pocahontas, you're not Captain John, I just don't have the time nor the energy.' Charlotte Ann makes TikTok videos where she addresses misconceptions about gypsy's and answers questions to do with her culture Lots of people in the comments shared their own stories and agree with Charlotte's reasoning. One person wrote '100% agree if you aren't equal to start then it's likely bumps all the way,' while another added 'as someone whos parents are two very different cultures I think its good to be with the same culture because it doesnt create a barrier between them.' Another added 'I dont think theres anything wrong with wanting to be with somebody who understands and shares your culture.' Commenters were supportive and said that nobody should question Charlotte's personal choice However, not everyone is on the same page - one person wrote 'I'm a traveller married to a country man and there is no difference,' to which Charlotte replied 'Thats straight up lie.' Another comment read: 'I love the way you conduct yourself, can I ask though what if a country man was happy to take on your culture 100%? 'I have a friend (country man) who fell in love with a local lovely gypsy girl and adopted her & her familys way of life, theyre now married & have kids. There the sweetest couple.' Charlotte replied explaining that she sees that as 'selfish' and someone 'shouldn't have to change who they are' for you to love them. This composite image provided by Yonhap shows Google's app store and headquarters. Yonhap Korea's antitrust regulator said Wednesday it has sent a formal letter to Google on its decision to slap a fine of 42.1 billion won ($31.4 million) on the U.S. tech giant for unfair business practices aimed at solidifying its dominance in the local mobile gaming app market. In April, the Fair Trade Commission (FTC) decided to take the punitive action on Google and its regional arms for clinching shady agreements with Korean mobile game companies between June 2016 and April 2018, hindering them from launching content on One Store, a homegrown app market in Korea. The notification was delivered to the U.S. firm in late July. The FTC said it has also ordered Google to revise its agreements signed with local mobile game developers and establish an internal monitoring system that oversees fair-trade issues in the domestic app market. Google is required to report the outcome from the system to the FTC, it added. "A monopolistic app market can give a negative impact on all areas of the mobile ecosystem, and recovering competition in the market is very crucial," the FTC said in a statement. "The latest measure is significant as it acts as a brake on the expansion of dominance by Google, a major global player, and builds grounds for the fair competition in the app market." The regulator said it will continue to thoroughly monitor the implementation of such corrective measures by Google. (Yonhap) A former Starbucks barista has revealed the 'most annoying' drinks that customers order - including the beloved S'mores Frappuccino, cappuccinos with a milk alternative, and 'extra hot' lattes. Monica MacDonald, from Buffalo, New York, worked at the coffee chain for almost two years back in 2017 and 2018, and now, she has shared some of its coveted secrets - including how some of its most well-known drinks are prepared and which ones she absolutely dreaded making. According to the ex-Starbucks employee, fancy drinks with a slew of altercations were 'time-consuming' and 'frustrating to prepare.' She also slammed customers who asked for things like no foam in their cappuccinos or less ice in their iced teas, calling it a 'needlessly complicated way of ordering a fairly basic drink.' A former Starbucks barista has revealed the 'most annoying' drinks that customers order - from the beloved S'mores Frappuccino to cappuccinos with a milk alternative Monica MacDonald, from New York, worked at the coffee chain for two years, and now, she revealed how some of its well-known drinks are prepared and which ones she dreaded making 'I worked as a Starbucks barista for two years and although I love the chain and its variety of drinks, I don't remember every order fondly,' she admitted to Insider recently. 'Some drink requests required a lot of extra steps or just didn't quite make sense. Other orders were needlessly complicated or just plain frustrating to prepare.' Here all the drinks that Monica found 'most annoying' to make while she was working at Starbucks - how many are you guilty of ordering? The S'mores Frappuccino tops the list thanks to it's 'specially made' marshmallow-infused whipped cream Monica explained that the drink that she hated to prepare the most was the S'mores Frappuccino. Monica explained that the drink that she hated to prepare the most was the S'mores Frappuccino (seen) 'Marshmallow-infused whipped cream, milk chocolate sauce, a creamy blend of vanilla, coffee, milk and ice are finished off with more marshmallow-y whipped cream and a graham cracker crumble,' reads a description of the drink on Starbucks' website. Because the Frap's main ingredient is the 'specially made' marshmallow-infused whipped cream, Monica explained that it often slowed her down because she'd have to run to the back to get more of it if it ran out. 'If you've run out, you have to prepare a new canister of it before you even start making the Frap,' she told the outlet. 'That one takes the cake for me. When I was a barista, I remember thinking this drink was a nightmare because of the sheer amount of time it took to make it.' Cappuccinos with milk alternatives are extremely difficult to make because non-dairy products don't froth as easily She added that making cappuccinos with a milk alternative were extremely difficult because non-dairy products lack protein, which is essential when frothing (stock image) Monica explained that making cappuccinos with a milk alternative was extremely difficult for her because 'the proteins in non-dairy milk are not as strong as they are in cow's milk' - which means 'it can't keep air bubbles quite as well' when frothing. 'Unlike a latte, a cappuccino consists of espresso shots topped with half steamed milk and half foam,' she explained. 'I think it's actually one of the easier drinks to make - when you're using the standard two per cent milk [that is]. 'But when a customer asks for a non-dairy milk, preparing the drink becomes a multiple-steam-pitcher affair. 'When you try to froth a non-dairy milk, like coconut milk, the results aren't exactly the same - you get some airy sort of foam, but mostly just steamed liquid.' Monica dreaded making 'extra hot' drinks because she felt it was 'dangerous' for her to handle things at such extreme temperatures Monica said she didn't like when customers would ask for their lattes to be 'extra hot' because she felt like it was 'dangerous' for her to handle such extreme temperatures (stock image) Monica said she didn't like when customers would ask for their lattes to be 'extra hot' because she felt like it was 'dangerous' for her to handle drinks at such extreme temperatures. 'The espresso machines at Starbucks typically heat the steamed milk for your favorite drinks to about 160 degrees Fahrenheit,' she revealed. 'When a customer asks for a drink to be extra hot, the milk is steamed to be 180 degrees Fahrenheit. 'Although they aren't difficult to make, extra-hot lattes are a frustrating order because of how dangerous they can be.' When a customer asked for dark or blonde roast coffee after a certain time, it was a major inconvenience for Monica According to the New York-native, Starbucks uses a certain type of coffee bean called pike place roast, but customers can get dark or blonde roast if they specifically request it She explained, however, that because they stop brewing everything but the pike roast at a certain point of the day, when someone asks for another type in the afternoon it can be 'tricky' According to the New York-native, Starbucks uses a certain type of coffee bean called pike place roast, but customers can get dark or blonde roast if they specifically request it. She explained, however, that because they stop brewing everything but the pike roast at a certain point of the day, when someone asked for another type in the afternoon it was 'tricky.' 'At certain points during the day, Starbucks stops brewing dark and blonde roasts in its large brewers,' she said. 'Instead, those roasts are offered as a per-customer order: a pour-over. Maybe one or two pour-overs are manageable, but when a whole office orders a round of blonde-roast coffees late in the day, it's quite a time-consuming hassle. 'Pour-overs require the barista to grind the coffee, prepare the special filter with the grounds, fill a watering-can-like container with the appropriate amount of water, and then pour the water very slowly over the coffee grounds into the cup.' Monica felt like customers who wanted an 'excessive' amount of sweetener should add it themselves rather than having baristas do it Starbucks employees are happy to add sweetener or sugar into a customers coffee for them - but she admitted it can 'get annoying' when people want 'an excessive amount' (stock image) Starbucks employees are happy to add sweetener or sugar into a customer's coffee for them - but Monica admitted it can 'get annoying' when people want 'a seemingly excessive amount.' She encouraged those who want tons of stuff added to their drink to do it themselves rather than 'holding up the bar' by having a worker to do it for them. 'I still remember a regular customer who consistently held up the bar because she would order a drink with 12 Stevias,' she dished. 'Just a suggested rule of thumb - if you want more than three sweeteners added to your drink, it may be easier for you to add them yourself at the condiment station.' Iced green tea lattes have to be physically mixed by an employee, but that often results in the drink coming out 'clumpy' Next on Monica's list of drinks she hated making was iced green tea lattes, since she said they were not easy to make and often came out as 'clumpy messes' (stock image) She explained that to prepare the drink, she had to 'physically' mix matcha with ice and milk by 'shaking' it, and called the method 'imperfect' Next on Monica's list of drinks she hated to prepare was the iced green tea latte, since she explained that it was not easy to make and often came out as a 'clumpy mess.' 'It's even worse when a customer asks for no ice because there's practically no way to make sure the drink is homogeneous and it often results in a clumpy matcha latte,' she added She said that to prepare the drink, she had to 'physically' mix matcha with ice and milk by 'shaking' it, but the 'imperfect method' often resulted in the drink looking terrible, which meant she'd have to redo it. 'Hot green-tea lattes are delicious and smooth when the matcha is steamed with the milk. But when this drink is iced, it isn't always perfect,' she admitted. 'To make an iced green-tea latte, the matcha is added to a cup with ice and milk and then physically shaken. This can be an imperfect method that sometimes results in a clumpy drink. 'It's even worse when a customer asks for no ice because there's practically no way to make sure the drink is homogeneous and it often results in a clumpy matcha latte.' Monica branded the viral upside-down caramel macchiato as an overly 'fancy way' of asking for a vanilla latte with drizzle Monica also slammed the viral upside-down caramel macchiato, explaining that it's just an overly 'fancy way' of asking for a vanilla latte with drizzle. A normal caramel macchiato is seen Monica also slammed the viral upside-down caramel macchiato, explaining that it was just an overly 'fancy way' of asking for a vanilla latte with caramel drizzle. According to Starbucks' website, a caramel macchiato is made out of 'freshly steamed milk and vanilla-flavored syrup marked with espresso.' It is then 'topped with a caramel drizzle for an oh-so-sweet finish.' Monica explained that the 'upside-down' version, which was made popular by social media, simply 'reverses the order of the addition of each component.' 'A caramel macchiato is made by putting vanilla syrup on the bottom of the cup, then adding steamed milk, espresso shots, and caramel drizzle in that order,' she shared. 'But sometimes, customers order this drink upside down, which reverses the order of the addition of each component. 'It becomes espresso on the bottom with caramel drizzle, then steamed milk and vanilla syrup on top. 'This upside-down order annoys some baristas because it's pretty much just a fancy way of ordering a vanilla latte with caramel drizzle.' The host said she 'stayed with people too long' and wished she dated more Bush Hager opened up about her dating history on the Today show Tuesday Jenna Bush Hager has opened up about how she regrets not dating more people before she fell in love with her husband, Henry Hager, and got married. The Today star looked back on her dating history on Tuesday's show while chatting with Hoda Kotb about Olivia Rodrigo's new song, Bad Idea Right?, which is about hooking up with an ex. 'I've said this before and I'll say it again. You don't need to go back to the ex just to not date somebody else,' she told her co-host. Bush Hager, 41, explained that she 'stayed with people too long' before she met her husband because she was scared of dating other people. Jenna Bush Hager opened up about her dating history on the Today show Tuesday, saying she wishes she went out with more people before she got married Bush Hager, 41, explained that she 'stayed with people too long' before she met her husband, Henry Hager (pictured), because she was scared of dating other people 'Date as many people as you can!' she advised the audience. 'Because one day you won't be dating anymore.' 'You sound frustrated,' Kotb joked. 'I'm not frustrated,' she insisted. 'Just nobody told me that, and I wish somebody had. So I tell you, date as many people as you can. Don't go back to the loser.' Bush Hager met Henry while he was working on her father George W. Bush's 2004 presidential reelection campaign, and she almost instantly fell in love. 'I proposed to Henry after several cocktails,' she recently shared on the Today show. 'I was 22 years old, my sister was standing with us, we were dancing and Barbara just started shuffling backwards. She was like, "What is happening here?"' she recalled. 'Wed only been dating three months. He told me he loved me and Im like, "Me too! Lets get married!"' Bush Hager admitted that Henry refused her spontaneous proposal, but they got married five years later. Olivia Rodrigo released her new music video, "bad idea right?," about deciding whether or not to meet up with an ex. This prompted Jenna to give some dating advice you might want to hear before running back to your ex. pic.twitter.com/dYN9Uae7gI TODAY with Hoda & Jenna (@HodaAndJenna) August 15, 2023 'Date as many people as you can!' she told her co-host Hoda Kotb. 'Because one day you won't be dating anymore' Bush Hager met Henry while he was working on her father George W. Bush's 2004 presidential reelection campaign, and she almost instantly fell in love The couple tied the knot in May 2008 and recently celebrated 15 years of marriage. They have three children together, Mila, ten, Poppy, eight, and Hal, four 'He didnt say no,' she clarified. 'He just was like [laughing].' The former first daughter may have known early on that Henry was 'the one,' but she still felt some pressure after their engagement was announced. 'My parents put out a statement because my dad was president. We were sitting in the airport, and it came on CNN that we were engaged. I was like, Whoa, whoa, whoa,' she remembered. 'I'm happy I married him. I have three kids. I adore him,' she added. 'But I was like, "What? Like what happens if for some reason [it doesn't work out]? It felt like so much pressure for both of us.' Bush Hager and Henry tied the knot at the Bush family's estate in Crawford, Texas, in May 2008, and they recently celebrated 15 years of marriage. They have three children together, Mila, ten, Poppy, eight, and Hal, four. The Read With Jenna founder revealed last week that she would like to have another baby, but her husband is against having a fourth child of her previous pregnancy complications. 'Henry put his foot down. He says we have three healthy babies. I'm 41 [and] I had a little health stuff [while pregnant] with Hal,' she explained. An American woman has vowed to 'never come back' to the UK after airport security forced her to throw away 236 ($300) worth of toiletries. Chloe Jade Meltzer, 29, was transferring through London's Heathrow Airport when she said she experienced the 'brutal' rules of the country's airport security. Travel blogger Chloe was forced to get rid of half of her toiletries because they would not fit in the standard plastic bag. Chloe, who was travelling from San Francisco to Cotonou, Benin, West Africa, said: 'London Heathrow has a history of losing bags and I could not risk not having my bag. So I had to take all of my toiletries, which were all within the 100ml limit. 'Last time I connected through Heathrow, I had the same exact toiletry bag and they allowed me to fill up multiple bags with all of the same toiletries that I had on this day. But this time they gave me one tiny bag and I was required to only fill that one bag.' Chloe Jade Meltzer (pictured), 29, was transferring through London's Heathrow Airport when she said she experienced the 'brutal' rules of the country's airport security Chloe said she had to quickly make a decision and keep her most valuable products - but during the chaos, Chloe also lost her sunglasses, which she says were valued at 173 ($220). She said: 'I had to pick and choose which were most valuable to me and which I needed the most because unfortunately my connections were pretty quick and I knew I was not going to have time to re-purchase any of the items.' Things worsened for Chloe when she tried to take a video of the incident, as she claims she was told that would be a security threat and was asked to delete the video. She said: 'I tried to take a quick video, considering I am a travel blogger, and when I did that a random employee came up behind me. 'I think he was in charge or overseeing and said that I was a threat to national security and forced me to delete the video and then go to my deleted as well. Travel blogger Chloe was forced to get rid of half of her toiletries (pictured) because they would not fit in the standard plastic bag Chloe (pictured in a clip about the experience), who was travelling from San Francisco to Cotonou, Benin, West Africa, said: 'London Heathrow has a history of losing bags and I could not risk not having my bag. So I had to take all of my toiletries, which were all within the 100ml limit.' 'I totally understand this but the way that he did it was very abrupt and it was pretty dramatic and scary. 'I didn't realize there was an issue with filming since there are no signs around saying do not film while getting your bag scanned.' Although Chloe vowed to never come back to the UK in a social media clip explaining her latest experience, she acknowledges that her reaction was over the top. She said: 'Please note this was recorded on zero sleep and is incredibly dramatic. I do take responsibility for this but It does not make it any less of an unfortunate situation.' She has no shortage of glamorous friends, counting Serena Williams, Oprah Winfrey and Tyler Perry in her inner circle. But Meghan Markle appears to have added two more very well-connected pals to her orbit. The Duchess of Sussex continued her 42nd birthday celebrations this week as she dined out in California with very glamorous Instagram poet Cleo Wade and celebrity colourist Kadi Lee. A snap of the trio was shared to Instagram by Kadi, who wrote: 'Belated birthday celebrations with these lovely muses'. The hairdresser, who runs the salon 'Highbrow Hippie' in Venice, California, has often posted about colouring Meghan's hair. Her other clients include Oscar-winning actress Julia Roberts, Brad Pitt and Sigourney Weaver. Clearly friendly beyond just the salon chair, she recently attended a talk by Michelle Obama with Pretty Woman star Julia. Meanwhile, New Orleans-born Cleo is perhaps even better connected. The Duchess of Sussex (centre) continued her 42nd birthday celebrations this week as she dined out in California with very glamorous Instagram poet Cleo Wade (left) and celebrity colourist Kadi Lee (right) The hairdresser, who runs the salon 'Highbrow Hippie' in Venice, California, has often posted about colouring Meghan's hair. Her other clients include Oscar-winning actress Julia Roberts, Brad Pitt and Sigourney Weaver. Clearly friendly beyond just the salon chair, she recently attended a talk by Michelle Obama with the Pretty Woman star (Pictured) Meanwhile, New Orleans-born Cleo is perhaps even better connected. The 33-year-old mother-of-two is often spotted in the front row of fashion weeks and is often spotted by the side of her fiance Simon Kinberg, an Oscar-nominated filmmaker who wrote the X-men films, produced Deadpool and The Martian (Pictured at Vanity Fair's Oscar party in March 2022) The 33-year-old mother-of-two is often spotted in the front row of fashion weeks and is often spotted by the side of her fiance Simon Kinberg, an Oscar-nominated filmmaker who wrote the X-men films, produced Deadpool and The Martian. Before her engagement with Simon, she was in a two-year relationship with Senator Cory Booker - who is often touted as a future president. The poet, artist, and activist who has 779,000 followers on Instagram has also modelled for American Eagle's Aerie campaign and boast sellout gigs as well as legions of fans. The poet, artist, and activist who has 779,000 followers on Instagram has also modelled for American Eagle's Aerie campaign and boast sellout gigs as well as legions of fans She counts Katy Perry, Drew Barrymore and Nicole Richie among her fans - and close friends. Pictured at a book launch A Ted Talk speaker, she counts Katy Perry, Drew Barrymore and Nicole Richie among her fans - and close friends. Reese Witherspoon also speaks highly of her, saying that her fans 'revere her in the way that you would adore your favourite loving, creative aunt or older sister.' In 2019, following her split with the Senator, she donated to Kamala Harris's presidential campaign. Filings showed she sent two $2800 checks to Kamala Harris for the People - and nothing to her ex. She also appears friendly with Vice President Harris. The glamourous poet is often seen at red carpet events and in the front row of fashion week Before her engagement with Simon, she was in a two-year relationship with Senator Cory Booker - who is often touted as a future president She also appears friendly with Vice President Harris. In 2019, the two travelled around the country together for talks promoting the campaign that are moderated by Wade In 2019, the two travelled around the country together for talks promoting the campaign that are moderated by Wade. Wade was also a supporter of Harris long before she was with Booker, donating to her Senate campaign and attending a number of high profile events in support of the former attorney general. Little was known about the couple's relationship, with Wade notoriously private about her love lifer and Booker a lifelong bachelor. Before her romance with Booker, she was also linked to Robert Pattinson. Meanwhile, hairdresser Kadi seems to share her liberal politics. While she doesn't have her own Instagram, the stylist often shares posts from the salon's Highbrow Hippie account where she's attended BLM marches Kadi is often at big events with fellow stars Kadi runs 'Highbrow Hippies' with friend of thirty years Myka Harris Meanwhile, she no undoubtedly has rubbed shoulders with many A-listers, with her husband-to-be directing the likes of Matt Damon. Angelina Jolie, Brad Pitt and Robert Downey Jr. Before her success as a poet (she has published four best-selling books), Cleo worked as a stylist for Katy Perry. She has also served on the creative council of pro-choice action group Emily's List and is on the board of the National Black Theatre in Harlem. In an interview with website Valentine NYC, Cleo revealed: 'I think I came out the womb saying 'I love you' - I say it to everyone all the time.' Speaking to the New York Times in 2018, she added that she 'doesn't need to know someone to love them' and said she was 'everyone's BFF'. Meanwhile, she no undoubtedly has rubbed shoulders with many A-listers, with her husband-to-be directing the likes of Matt Damon. Angelina Jolie, Brad Pitt and Robert Downey Jr Jennifer Garner is also a pal of the star, they are pictured in a recent video togehter She is a supportive wife-to-ve and recently made her partner cupcakes for the launch of his Apple TV series Invasion Speaking to the New York Times in 2018, she added that she 'doesn't need to know someone to love them' and said she was 'everyone's BFF' Meanwhile, hairdresser Kadi seems to share her liberal politics. While she doesn't have her own Instagram, the stylist often shares posts from the salon's Highbrow Hippie account where she's attended BLM marches. She previously worked at the Aveda Institute in New York as well as a stylist. She often blogs about her life recently revealing she fell and injured herself on a trip to Italy to celebrate her birthday. Other blogs on her website include 'aligning your period with the moon' and details on how she colours celebrity's hair. Cancer campaigner Suki Thompson, a close friend of daytime TV star Susannah Reid, spent the final weeks of her life surrounded by family and friends, taking in views of a Cornish beach she loved. Reid paid tribute on Tuesday to her 'beautiful friend' Suki, 52, who died of skin cancer just days after she'd appeared on Good Morning Britain to urge people to use sunscreen. Suki, from Hertfordshire, was first diagnosed with breast cancer in 2008, before battling melanoma three times. She wrote a book about her ill health called Let's Reset in 2020. On her Instagram account, photos show the final weeks of her life were spent with family and friends, including a stay on the Cornish coast at the family's holiday apartment. The former advertising high flyer regularly posted about 'every day being a gift', sharing moments that had brought her joy in the final months of her life. Entrepreneur and campaigner Suki Thompson, whose death was announced by her friend Susannah Reid this week, shared videos in recent weeks of her enjoying the British seaside with friends and family (pictured with her sister Tamsen) In one video clip, in which Suki appeared with her sister Tamsen, the entrepreneur's sharp wit shines through as she bemoans the British weather - and plants a kiss on the cheek of her sibling. She's heard joking in the post: 'My gift of the day has been not being able to see through to the sea because of pixelated rainy windows.' One friend commented underneath the clip; 'Your humour in adversity is a gift to us all.' Another photo sees her being serenaded by a friend wearing pink and singing 'I'm just Ken' from the Barbie film. Suki had been interviewed by Susanna on GMB from her hospital bed about having been diagnosed with cancer four times over the past 15 years and how important it is to wear SPF on your skin in the sun. Emotional: The friends pictured in happier times; Reid paid tribute to her 'beautiful friend' who sadly died just days after appearing on Good Morning Britain With her daughter Jazmyn; the cancer campaigner urged people to wear sunscreen after her own battle with melanoma The former advertising high flier regularly posted about 'every day being a gift', including this one, where she was serenaded with the 'I'm just Ken' song while at the Cornish coast Speaking from her bed in a hospice in Cornwall, Suki had opened up to Susanna and co-host Martin Lewis about how she would be spending her final days. Susanna told Suki: 'It's been a privilege knowing you and being friends with you.' Extending the kind words back to her pal, Suki said back to Susanna: 'I am so inspired by having a friend like you Susanna.' Touched by Suki's moving words, Susanna choked back tears as she quickly added: 'You're the inspiration.' Just days later, on July 30, the campaigner - who raised more than 200,000 for Macmillan Cancer Support following her diagnosis - died. Suki's sharp wit was clear in the videos she posted; in one recent post, she joked: 'My gift of the day has been not being able to see through to the sea because of pixelated rainy windows' Success: Suki co-founded and served as Chief Executive Officer for the Oystercatchers intermediary marketing consultancy in 2007 (pictured in 2018) Susannah posted a photograph of the order of service for Suki's funeral, writing how her friend was the 'embodiment of strength' in an accompanying caption. She posted: 'Our beautiful friend Suki Thompson. The embodiment of strength & optimism. A passion for living life to the fullest. Go well lovely.' Suki's diagnosis came when she discovered what she thought was a wart on her foot and was given verruca cream to treat it, before it was confirmed it was more serious. The cancer then spread to her brain and became terminal. In June, Suki had shared an emotional video of herself and Susanna holding hands on a beach as she detailed how much the star meant to her. She wrote in her caption: 'Friends are so important to our wellbeing, and @susannareid100 is one of the best.' Struggles: Last month, campaigner Suki (right) was interviewed by Susanna on GMB from her hospital bed about having been diagnosed with cancer four times over the past 15 years Close: In June, Suki shared an emotional video of herself and Susanna holding hands on a beach as she detailed how much the star meant to her WHAT IS BASAL CELL CARCINOMA? Basal cell carcinoma (BCC) is a type of non-melanoma skin cancer. Non-melanoma means it does not involve skin pigment cells. BCC often appears as scabs that bleed BCC makes up more than 80 per cent of all forms of skin cancer in the UK and US. About 5.4 million basal and squamous cell skin cancers are diagnosed each year in the US and around 100,000 in the UK. It is mainly caused by overexposure to UV light from the sun or tanning beds. BCC can occur anywhere on the body but is most common on areas exposed to the sun, such as the face, neck and ears. The following people are most at risk: People with fair skin or hair Those who work outdoors People who use sunbeds Those with a personal history of the condition BCC is usually painless. Early symptoms often only include a scab that bleeds occasionally and does not heal. Some appear as flat, red, scaly marks or have a pearl-like rim. The latter can then erode into a ulcer. Others are lumpy with shiny nodules crossed by blood vessels. Most BCCs can be cured, however, treatment is complex if they are left for a long time. Treatment usually involves removing the cancerous tumour and some of the surrounding skin. Source: British Skin Foundation and NHS Choices Advertisement 'Susanna inspires me to always ask the important questions in life, even when that is not the easiest way forward. 'We must always look to see the best in people, even when you may disagree.' Suki co-founded and served as Chief Executive Officer for the Oystercatchers intermediary marketing consultancy in 2007 and also served as chair of the Marketing Society. She was also a valued member of Wacl (Women in Advertising, Communications and Leadership) and the MGGB (Marketing Group of Great Britain). Since her own cancer diagnosis she raised in excess of 200,000 for Macmillan Cancer Support. Richard Robinson, managing director of Xeim Engage and Oystercatchers, told The Drum: 'Suki was my long-time business partner and friend. I always knew from my first day as an Oystercatcher this day would one day come, but never believed it would. 'Suki was one of a kind, the best of us, someone who leaves a legacy across the marketing and communication industry in the relationships she shaped and the humanity she shared. She was a beautiful soul and I will miss her greatly. MailOnline has contacted a representative for further comment. Visit Suki's JustGiving page at https://justgiving.com/page/teamsuki to support her fundraising campaign. Meet the British woman branded a gold-digger by vicious trolls after she married a millionaire she met in Dubai. Housewife and business owner Soudi Al Nadak, 26, from Sussex, met her businessman husband Jamal Al Nadak, 32, while they were both studying at university in Dubai seven years ago. Soudi, who has been married to Jamal for the last three years, shared a video on TikTok about their unique marriage, which quickly went viral. The couple's marriage includes rules like having no friends of the opposite sex, knowing each other's passwords, and always having their location available to each other. While many people love their content, Soudi revealed: 'People always assume I am the gold digger and Jamal is using me for my body. But that's not the case, we truly love each other but he can't help that he's a millionaire and I love to shop.' Soudi Al Nadak, 26, from Sussex, has been branded a gold digger by vicious trolls after she married a millionaire she met in Dubai The housewife and business owner met her businessman husband Jamal Al Nadak, 32, while they were both studying at university in Dubai seven years ago Soudi explained: 'Jamal and I met at university whilst in Dubai. We studied in different universities but there was an instant connection.' The pair had mutual friends and often would bump into each other at parties; Jamal asked Soudi out three times before she finally gave him a chance. She said: 'We had a lot of mutual friends and we always met at celebrity events so Jamal would always ask me out and I eventually gave him a chance. 'On our first date he spoiled me completely, took me to the best restaurant in Dubai, picked me up in his luxury car and even after the date he would call me all the time and we couldn't stop talking. 'A few months later we planned different trips together and flew around the world. Then we decided to get married. 'We got married three years ago and we have been together for seven years.' As is to be expected their wedding was a grand event. 'We did three separate nights in Dubai which is traditionally Emirati,' she said. 'We did our henna night which was themed as Omani, and we did our main night at the Versace hotel. While a lot of people love her content, some accuse Soudi of being a gold digger and leave abusive comments Soudi, who has been married to Jamal for the last three years, said their wedding was a grand event 'All the stage designs were designed by me. Even though it was during COVID we still had 1,000 people come every night. 'All of the events are ladies-only which is traditional in Jamal's culture. 'Then we had another wedding in a country manor home in the UK for my family.' The couple's marriage includes rules like having no friends of the opposite sex, knowing each other's passwords, and always having their location available to each other. People do not understand their relationship but Soudi said it works for them and they are deeply in love. Soudi began sharing their marriage on TikTok to help people understand how an inter-cultural marriage works. 'I always used to get so many questions on what it's like to marry or date a millionaire from a different culture and religion so I thought it would just be easier to talk about it online,' said Soudi. 'People always make a lot of assumptions so I like to set the story straight. 'I always enjoy taking my followers along on shopping trips or food reviews and holidays. 'It makes me feel so connected with the world. The pair had mutual friends and often would bump into each other at pirates; Jamal asked Soudi out three times before she finally gave him a chance People do not understand their relationship but Soudi said it works for them and they are deeply in love 'Jamal and I don't keep things from each other, we have open communication, we have each other's passwords. 'We always keep our locations on whenever we are apart so we know where we are. 'We don't have friends from the opposite sex. 'I always dress modestly and I always make sure everything is perfect like my hair, nails and makeup. 'I am always careful of what I share on social media to respect Jamal and his family as they have a closed community.' While some women admire her lifestyle, others call her a gold digger despite the fact Soudi came from a wealthy family herself and was used to her creature comforts. 'I always get support from other women who are happy for me and love my lifestyle,' she said. While some women admire her lifestyle, others call her a gold digger despite the fact Soudi came from a wealthy family herself and was used to her creature comforts The couple's marriage includes rules like having no friends of the opposite sex, knowing each other's passwords, and always having their location available to each other The housewife said she often gets people commenting and saying that they are living through her with her shopping and travel trips She has joked the best way to deal with the hate is to shop at Dior to feel better, explaining she would be 'lying' if she said it was 'easy every day' 'I always get people commenting and saying that they are living through me with my shopping and travel trips. 'I also get a lot of support from other women, especially in Dubai, because they love seeing what I buy and where I go to eat and shop. 'I think I receive a lot of hate from people just because they are jealous or they don't understand my relationship and the content I make. 'I guess by putting your life on social media people will always comment.' She has joked the best way to deal with the hate is to shop at Dior to feel better, explaining: 'I would be lying if I said it was easy every day as I get a lot of horrible comments on a daily basis so I just take myself to Dior to feel better. 'Jamal always tells me to be true to myself and to not read the hate. 'I was always used to a certain lifestyle coming from old money and Jamal was able to provide me with that. 'We are just out here living our best lives.' She decided to do the photoshoot after her devastating ALS diagnosis An 80-year-old grandma has showcased her age-defying beauty while posing up a storm in a stunning photoshoot after being told she only had up to five years to live. Connie Meyer-Ping is frequently mistaken for a 40-year-old and even ID'ed when buying alcohol because of her youthful looks. On her 80th birthday last year, the glam grandmother-of-seven wanted to celebrate it by having a photoshoot with her 71-year-old husband Nathan Ping, in the lively Las Vegas, Nevada. The Illinois native proved that age was just a number as she flashed some leg in a red evening gown to mark her birthday in style. Connie Meyer-Ping is an 80-year-old grandma who boasts age-defying beauty has posed up a storm in a stunning photoshoot She is is frequently mistaken for a 40-year-old and even ID-ed when buying alcohol due to her youthful looks On her 80th birthday last year, the glam grandmother-of-seven wanted to celebrate it by having a photoshoot with her 71-year-old husband, Nathan Ping, in Las Vegas, Nevada The disease that won't stop Connie from living her life: What is motor neuron disease? Motor neuron disease is a rare condition that affects the brain and the nerves, and is more commonly found in older adults Symptoms of motor neuron disease include weakness in the ankles or legs, slurred speech and difficulty swallowing, muscle cramps and twitching, weight loss, a weak grip that may result in difficulty opening jars, fastening buttons, and difficulty controlling emotions such as crying or laughter Advertisement Connie was recently told that she only has between two and five years left to live after being diagnosed with amyotrophic lateral sclerosis (ALS) - a type of motor neuron disease - last year. Treating the devastating diagnosis as a 'wake-up call' to start living her life to the fullest, the retired nurse decided to get glammed up in Las Vegas for her special day. Stunning photos show the glamorous grandmother-of-seven donning a red evening gown that dramatically billowed in the breeze alongside Nathan. The pair posed outside iconic Las Vegas landmarks including Paris Las Vegas, Caesars Palace, and Bellagio Hotel & Casino. 'Around 18 months ago I was given a diagnosis of ALS and they told me that I have two to five years to live, it was really a wake-up call,' Connie said. 'Me and my husband knew that we needed to do the things that we wanted to do and not put them off and procrastinate any longer. 'It was my birthday and our anniversary was coming up and I had never been to Las Vegas. I'd been on a travel Facebook page and I saw the flying dress photoshoots and wondered if they had them there. 'I went online and found a photographer who was absolutely fantastic. We got to Las Vegas and I had my makeup done and they got us all ready.' The Illinois native proved that age is just a number as she flashed some leg in a red evening gown to mark her birthday in style Connie was told that she had two to five years left to live after being diagnosed with ALS Treating the diagnosis as a 'wake-up call' to start living her life to the fullest, the retired nurse (pictured with her daughter) decided to get glammed up in Las Vegas for her special day And the photos seemed to be a big hit, with Connie and Nathan even being mistaken for celebrities. 'A couple of young men from Italy who looked to be in their early 20s asked to have a picture with us, I think they thought we were famous,' she said. 'The crowd was just ooh-ing and ah-ing and my husband and I were looking into each other's eyes and embracing, it was just so much fun. It was exhilarating, it was like nothing that we had ever experienced or dreamed of. 'I never thought about what 80 would be like. Then after I did the photoshoot, I said to my husband, "If this is my 80s, then bring it on." 'It just really showed us that it doesn't matter, you don't have to be defined by age or even any set of circumstances, you can have fun and it's so important to celebrate.' And the photos seemed to be a big hit, with Connie and her hubby even being mistaken for celebrities Connie claims family members compare her to Benjamin Button as she 'ages backward' The young-at-heart nan said the Las Vegas photoshoot was 'exhilarating' and urges women to stop being 'defined by age' and instead go out and have fun Connie claims family members - like her daughter - compare her to Benjamin Button as she 'ages backward.' She now fills her days with traveling, going to yoga retreats, the gym, and thrill-seeking adventures such as exploring submarines and caves. After sharing the classy snaps online, Connie was met with a flood of comments from people on the web who couldn't believe she was 80. 'I shared it online, and people were commenting saying that it was inspirational and asking if I was really 80 years old. I think it's awesome that people think I don't look 80,' she said. 'We were in Tennessee for a yoga retreat and we went to lunch and had a Bloody Mary, and the guy asked for my ID. 'I get a lot of people saying stuff like that to me. It's kind of amazing because when you're young, nobody cares. But here I am at 80 years old, and all of a sudden it's a phenomenon.' She now fills her days with traveling, going to yoga retreats, the gym, and thrill-seeking adventures such as exploring submarines and caves Connie revealed that she was considering a similar photoshoot in another classy spot in the country for her birthday this year, although she had yet to decide where Now, Connie runs a blog with 49-year-old daughter Randi Rigsby dedicated to helping women over 50 stay healthy, active, and focused on self-improvement. Connie also revealed that she was considering a similar photoshoot in another classy spot in the country for her birthday this year, although she had yet to decide where. 'I'm considering a photoshoot in Savannah, Georgia this year - but I don't know if I can get my husband to do the flying dress one again,' the grandmother said. Despite living with the symptoms of ALS, Connie says that she always makes time to go to the gym and spend time doing social activities every day. 'After we posted the photos of the Las Vegas photoshoot, me and my daughter started a blog,' she said. 'The whole purpose is to really inspire women to be active and healthy after the age of 50. We want to give advice on improving yourself. 'Travel is great. It changes your thinking and helps you to stop thinking about your condition and your health. Despite living with the symptoms of ALS, Connie says that she always makes time to go to the gym and spend time doing social activities every day She revealed that although she deals with symptoms of her disease, she still keeps a positive mindset and stays active 'I think that what we really want to focus on is just documenting our celebrations as best as we can, especially for our children and grandchildren.' She revealed that although she dealt with symptoms of her disease, she still kept a positive mindset and stayed active. 'I still have the symptoms, my muscles twist and cramp, but I'm still able to walk and go to the gym every day,' she added. 'We just have found that regardless of your age, it's just really important to keep up with social activities and spend time with family. 'You always think you have time, but maybe you don't. Connie's photos from the Las Vegas Flying Dresses photoshoot racked up more than 4,000 likes, comments, and shares on Facebook. Viewers were quick to share their shock over Connie's age in the comments, calling the 80-year-old an 'inspiration.' After sharing the classy snaps online, Connie was met with a flood of comments from people on the web who couldn't believe she was 80 'There's no way you're 80. You look like you're in your 40s! Girl, you are hot,' one user wrote. A second commented: 'What?! You look like you're 40! Amazing.' A third wrote: 'Did you say this was your 80th birthday pic? What in the Benjamin Button sorcery is this?! You look fantastic and this pic is fire.' A fourth commented: 'I'm 48 and you are my inspiration to keep doing what we love travel and enjoy life. Thank you for sharing.' Sanna Marin stepped down as Prime Minister of Finland at the end of June Just two months ago she was the youngest female leader in the world, going toe-to-toe with Prime Minsters and Presidents from all over the world. But after losing the Finnish general election and divorcing her husband, Sanna Marin has ditched the power suits for party buses. The 37-year-old is truly enjoying a single girl summer, as she proved when she headed to Flow - a music festival in Helsinki - last week. Appearing much more like a typically 30-something than a former world leader, the 'rock star' politician opted for chunky heels with a mini skirt and bralette in a series of photos she shared to Instagram. One outfit simply isn't enough for Sanna. On another occasion, she flaunted a festival chic look in a sheer black dress and reflective sunglasses. Appearing much more like a typically 30-something than a former world leader, the 'rock star' politician opted for chunky heels with a mini skirt and bralette in a series of photos she shared to Instagram On another occasion, she flaunted a festival chic look in a sheer black dress and reflective sunglasses A third look saw her opt for a Barbie pink mini dress with thigh-high boots. The former Prime Minister, who was just 34 when she took office in 2019, really let down her hair down in - what she calls a - 'proper summer vacation for the first time in a while'. She was joined by a group of friends, including fellow MP Nasima Razmyar. In another post, she explained how it's her first holiday 'in a while'. 'This summer I've had a proper summer vacation for the first time in a while,' she wrote. 'It has included, among other things, being busy with [her five-year-old daughter] Emma, sports, friends, good food and unforgettable trips. The former Prime Minister, who was just 34 when she took office in 2019, really let down her hair down in - what she calls a - 'proper summer vacation for the first time in a while' Marin has broken down several barriers since she became Finland 's prime minister in 2019 'Have a lovely summer everyone. And thank you to those who are working in the summer and taking care of us!'. Marin has broken down several barriers since she became Finland 's prime minister in 2019. At the time, the daughter of a female same-sex couple became the youngest world leader and the Scandinavian country's third female prime minister. While she was often praised for her politics, she became the subject of controversy due to leaked videos of her dancing and partying. She was accused off posing 'topless' by her critics after appearing on the cover of Finnish fashion magazine Trendi wearing a black blazer without a top underneath. The daughter of a female same-sex couple became the youngest world leader and the Scandinavian country's third female prime minister Marin laughed with friends during the trip The politician's outfit choice was branded 'inappropriate' by some social media users after a snap of her wearing the jacket with a deep neckline, and without a blouse underneath, was shared on the magazine's Instagram account. One suggested the outfit 'eroded' her credibility, while another commented: 'Yeah a real role model. It would have been better in the darkest of clouds. Ridiculous that the breasts of a woman appear in two without a blouse. Someone with taste and sense of style.' But Marin's outraged fans were quick to show their support and rally behind her, launching the hashtag #imwithsanna and sharing photographs of themselves posed in similar ensembles to the prime minister's. Marin also enjoyed meals out with friends in her 'first proper summer' Bild described her as 'relaxed, modern and self-confident' but it added that she 'can also party'. She also gained masses of support - and criticism - for her dancing antics. After a video of her dancing leaked, she said: 'I am also human. I do my job. I learn from this. 'This week has not been easy. It has been difficult. But I want to believe that people look at the work we do, not what we do in our free time.' But when seized upon by her political rivals, the video once again called into question the leader's 'partying' lifestyle, the year after she apologised for going clubbing during the pandemic, despite coming into contact with a potential Covid case. Although some view Marin as a strong leader who skillfully navigated the Covid-19 pandemic, others say her 'partying scandals' and 'youthful behaviour' make her unfit for office. 'Sanna Marin is a polarising character. She has fans like a rock star but on the other hand she has a lot of people who can't stand her,' journalist Junkkari said. In 2015 Ms Marin told the Menaiset website that as a child she felt 'invisible' because she was unable to talk openly about her unconventional family. She previously insisted that 'everyone needs a fun and relaxed evening out' and that she should be allowed to let her hair down after spending most of her time juggling the responsibilities of governing and being a mother. In April, Marin conceded defeat last night against the centre-Right National Coalition Party and stepped down as Prime Minister. A minimalist mum who has thrown away 1,000 items from her home has got rid of so many belongings that she is now forced to use paper plates for guests. Dagbjort Jonsdottir, 39, originally from Iceland but now living in Odense, Denmark, first began adopting minimalism 10 years ago after finding herself 'overwhelmed' with the clutter in her house. She divided her property up into sections and for the past decade has been removing at least two items per week from each section - before moving on to the next. The mother-of-two has said goodbye to sofas, chandelier lights, dishes, glasses, mugs, clothes, towels and much more. Dagbjort Jonsdottir, 39, originally from Iceland has got rid of most of her family's belongings and now everyone only has two outfits each Dagbjort first began adopting minimalism 10 years ago after finding herself 'overwhelmed' with the clutter in her house. Dagbjort Jonsdottir's minimalist kitchen. She's got rid of most things and each family member has just one set of cutlery, one glass and one plate each She doesn't keep her children's drawings, instead opting to photograph them and store them digitally. Dagbjort has also removed everything that her grandparents and parents left her - including old clothes and jewellery - because she 'doesn't see the need in them.' The family have only have one set of cutlery and plates each. Mum-of-two Dagbjort says she and her family quickly began to notice a change in their mood and were much happier having less things. They now prioritise experiences over objects - with Dagbjort saying her children prefer 'going to the beach' than playing with toys. The sitting room in Dagbjort's home. She's got rid of appliances including the dryer and microwave, but still has a TV Dagbjort's children have kept some toys, but she says they prefer going to the beach than playing with things at home A minimalist lifestyle is the idea of living with fewer items and only using what you really need. Essential items the family own 4 dishes (one each) 4 glasses (one each) 4 pieces of cutlery 2 cups each 4 towels (one each) 2 outfits each 1 jacket each Advertisement Dagbjort, a freelance marketer, said: 'I've been living all around the world and I've been moving a lot and realised I didn't need all of these items. 'I began my journey about 10 years ago. 'I was overwhelmed when I got home every day and saw all the things I didn't need. 'I made a plan to declutter two items per week and after two years I realised that I could maintain that journey bit by bit. 'I started to notice a lot of change in myself and my family. We were much happier. 'Because we've gotten rid of so much, when we have people over, we eat with paper plates because we only have a few of everything. 'We only have one towel each and we don't have a lot of clothes. Just enough for a few outfits.' Dagbjort says that becoming a minimalist was about figuring out how to change her way of living. She said: 'When you look around you can have enjoyment just from jumping in the rain with your kids, you don't need working items. All the items Dagbjort has decluttered from her home Dryer Dishwasher All the towels bar one per person Microwave Most of their clothes Children's closets (only have one closet for all of four) All kitchen tools except bar four of each essentials such as dishes, glasses and forks All books, except couple of children books All chandeliers (they have no lights except in the kitchen and in the toilet) Children's drawings Teacup set, Christmas decorations and Christmas silverware Clothes from grandparents that were handed down Jewellery Pictures Advertisement 'I started noticing my kids playing with toys less and now we have empty cupboards, and we go out and see the world together - we don't need anything. 'First it was putting items in a box and keeping it for a year and if I didn't touch it then I'd get rid of it. 'But now I don't get attached to anything, sentimental or not. 'For me, it's to have the feeling within and enjoy life. 'If you do too much it's easier to quit as you've gotten rid of too much. Dagbjort Jonsdottir's minimalist kitchen took very little time to pack up during a house move The hallway in Dagbjort Jonsdottir's home is completely free from clutter with just a house plant adding a splash of colour There's a single picture on the wall in Dagbjort's living room. Instead of hanging on to her children's artwork she takes a picture and stores it digitally A house plant is one of the few elements of decor in Dagbjort's sitting room agfter she started her decluttering project 10 hears ago 'Now, when people give us gifts, they know us now and they give us experiences rather than items.' Dagbjort explained that her reasoning behind dividing up the house was to help her not become overwhelmed during the clear out process. She said: 'I divide my home into 12 sections and declutter over a year. 'I take on a section per month and divide the room into four weeks so it's not overwhelming and easy to maintain. 'Then I can take two items per week in that room.' Despite getting rid of more than 1,000 items, Dagbjort says her children don't miss their toys. 'Shortly after Christmas or their birthdays I find that they play less with any toys they've been bought,' she said. 'Their room ends up crowded with toys that they don't play with, and they appreciate it more when there is less stuff. 'We have seen through the years that they appreciate going out to the beach with us rather than playing with toys.' Her minimalist approach means Dagbjort can now be a lot more selective with the things she buys. She said: 'If I see a purpose in using something of course I'll buy it, but if it's not useful, I don't see why I need to have it.' Dagbjort posts content on social media about her minimalism but says that not everyone agrees with it. 'In my opinion, it looks very calm,' she said. 'A lot of people hate on TikTok, but each to their own. 'It gives us peace and quiet and it is more environmental. 'People think it's strange that we don't have pictures of our children on the wall for example, but we have things in a book, and we just do things differently than other people. 'Some have also said having less means I'm poor. 'It doesn't get to me at all, I know I'm not poor, I work for myself, and we own our house and car, so those comments don't really get to me.' Dagbjort has learned a thing or two when it comes to decluttering and is now in the process of writing a book. She said: 'The dividing up your home method is how I got the idea that I could make it into a book. 'I think it can help other people on their journeys.' A mom has divided the internet after she revealed that she spent the first week of her baby's life in a postpartum recovery center, where she was treated to five-star dining and spa treatments - but missed many of her new child's 'milestones.' Sarah Goo, 30, who used to live in Hawaii but moved to Korea earlier this year, paid $1,500 to stay at a luxurious 'hotel for new mothers' following the birth of her second daughter last month. The facility came with a slew of lavish amenities that were all designed to help women heal after labor - like highly-nutritious meals that simulate the creation of breast milk and classes on how to change diapers, as well as free massages and yoga classes. And while it was certainly nice to be taken care of - and to not have to deal with any cooking or cleaning as she adjusted to life as a mother-of-two - Sarah admitted that she wouldn't go there again. A mom revealed she spent the first week of her baby's life in a postpartum recovery center, where she was treated to five-star dining and spa treatments - but missed many of her new child's 'milestones' Sarah Goo, 30, who used to live in Hawaii but moved to Korea this year, paid $1,500 to stay at a luxurious 'hotel for new mothers' following the birth of her second daughter last month The facility came with a slew of lavish amenities that were all designed to help women heal after labor - like highly-nutritious meals, free massages and yoga classes While it was certainly nice to be taken care of - and to not have to deal with any cooking or cleaning as she adjusted to life as a mother-of-two - Sarah admitted she wouldn't go again She opened up about her experience at the facility to TikTok last week, and she explained that she hardly got to bond with her new daughter. She opened up about her experience at the facility to TikTok last week, and she explained that she hardly got to bond with her new daughter She said that the entire thing left her wondering if she was a 'bad mom' for letting others 'take care' of her newborn. 'Right after I gave birth in Korea, I decided to stay at a postpartum care facility. It's like a hotel for babies and new mothers,' she began in the video, which was viewed nearly 13 million times. Sarah explained that while mothers could technically see their babies whenever they wanted to, it was encouraged that the youngsters spent most of their time with the nurses so that the new moms could 'rest.' 'They'll call you if the baby needs to be fed or if they're crying too much,' she revealed. Sarah said she spent her days taking walks outside, stretching, using the massage chair, and getting complimentary pedicures in the on-site spa. She said 'the best part' was the food, however. She was given three freshly cooked meals a day, as well as two snacks - and almost everything that they brought her was carefully picked out to help new mothers. 'Every meal consisted of seaweed soup, which detoxifies your body and helps you increase your milk supply,' she explained. Sarah explained that the babies spent most of their time with the nurses so that the new moms could 'rest.' They room that the newborns stay in is seen above Sarah said she spent her days taking walks outside, stretching, using the massage chair, and getting complimentary pedicures in the on-site spa She said 'the best part' was the food, however. She was given three freshly cooked meals a day, as well as two snacks - and almost everything that they brought her helps new mothers 'Every single meal was very, very nutritious and designed to help mothers heal faster and give you strength.' She admitted that she did enjoy getting so much sleep and consuming the delicious dishes, but she said it 'felt like she had too much time' on her hands and at the end of the day, she missed holding her daughter. She concluded, 'Would I do this again? Probably not. Only because I didn't get to hold my baby that often. And it's freaking expensive.' Sarah's review of the postnatal care center quickly went viral, and many viewers took to the comment section to share their thoughts. Despite her criticisms, a lot of viewers felt it was a great idea - and some even pointed out that it could minimize postpartum depression. 'If Id had access to this with both of my boys, it probably would have helped my postpartum depression/anxiety,' one mother admitted. 'America needs to step up for our mamas.' 'I've never heard of a postpartum care center but I think it's a wonderful idea. Every mama needs time for herself after laboring and delivery,' someone else wrote. 'Labor and delivery is so taxing especially if you did it for hours. I love this because it gives you time to recover and be the best mom you can be,' gushed another user. A different person said, 'Postpartum care NEEDS to be prioritized. As a mother and a nursing student, I hope to make this change.' She admitted that she did enjoy getting so much sleep and consuming the delicious dishes, but she said it 'felt like she had too much time' on her hands She concluded, 'Would I do this again? Probably not. Only because I didn't get to hold my baby that often. And it's freaking expensive' She said that the entire thing left her wondering if she was a 'bad mom' for letting others 'take care' of her newborn 'This is probably so much healthier mentally and physically for mom and baby,' read a fifth comment. 'With the high rates of postpartum depression these days, I think this is very essential. I wish I had this experience when I gave birth,' added another mother. 'You're definitely NOT a bad mom. You will have lots of time to hold your baby later. Thats good you had time to recover its what every mom deserves,' said someone else. Others, however, said the concept of being apart from their newborns during their first few days did not appeal to them. Not for me,' one disapproving commenter wrote. Another said, 'I would not be able to do this. I didn't even want to set my baby in the crib.' Sarah spoke about her time at the recovery center further to Insider, and she explained that in Korea, it's common for new moms to spend time at facilities like the one she was at - which are called sanhujoris. 'In America, mothers are quick to get back to normal life. But Koreans focus on healing and replenishing your body,' she shared. She added that she wanted to try it after having a 'pretty rough' time following the birth of her first daughter, Ara, a few years prior. 'I wanted to focus on healing myself this time,' she explained. 'I definitely healed faster and my body felt stronger earlier this time around. Despite her criticisms, a lot of viewers felt it was a great idea - and some even pointed out that it could minimize postpartum depression Others, however, said the concept of being apart from their newborns during their first few days did not appeal to them Last year, a postnatal hotel that opened in New York City made headlines, called the Boram Postnatal Retreat The 'retreat' for new mothers - which is located on the ninth floor of the five-star Langham Hotel (seen) in Manhattan - costs a whopping $1,400 a night It offers foot rubs on request, a lengthy room service menu, a fully-staffed nursery for the babies, and a 'mother's lounge,' where experts offer seminars The glamorous rooms boast a Swedish Duxiana bed (which cost upwards of $12,000), a large a marble soaking tub, hospital-grade bassinets, and 'eco-friendly' Coterie diapers 'But I felt kind of distant and wasn't really able to cherish the first week with my baby. I felt like I missed a lot of milestones.' She added that another downside to the experience was that due to COVID-19 regulations, her husband and older daughter were not allowed to visit, which meant they too missed out on spending time with the newborn. And while it may not have worked for her, Sarah said it's still a great resource for moms who may be struggling. 'I [still] recommend it to other moms, especially if you are a first time mother,' she concluded. 'It is really tough postpartum to go through alone when you don't really know how to take care of a newborn on top of trying to heal yourself.' Last year, a postnatal hotel that opened in New York City made headlines. Called the Boram Postnatal Retreat, the 'retreat' for new mothers - which is located on the ninth floor of the five-star Langham Hotel in Manhattan - costs a whopping $1,400 a night. It offers foot rubs on request, a lengthy room service menu, a fully-staffed nursery for the babies, and a 'mother's lounge,' where pediatricians, physical therapists, and mental health experts offer seminars on everything from pelvic floor therapy to curing insomnia. The glamorous rooms boast a Swedish Duxiana bed (which cost upwards of $12,000), a large a marble soaking tub, hospital-grade bassinets, and 'eco-friendly' Coterie diapers. Visitors to Samsung Display's booth watch the company's "slidable" displays during the K-Display 2023 exhibition at the COEX convention center in Seoul, Wednesday. Korea Times photo by Baek Byung-yeul By Baek Byung-yeul Samsung Display and LG Display Korea's flagship display companies are showcasing their cutting-edge OLED screens at the K-Display 2023 exhibition, offering visitors a glimpse of the next-generation monitors to be used in various sectors in mobile devices, vehicles and mixed-reality, they said Wednesday. Organized by the Ministry of Trade, Industry and Energy and hosted by the Korea Display Industry Association, the K-Display exhibition is the largest exhibition for the display industry in Korea, now in its 22nd year. The event, the largest yet, will be held from Wednesday to Friday with 172 companies including Samsung and LG. Samsung and LG showed off their technology at the event, unveiling new products of OLED, the next-generation display technology. Samsung Display showcased its cutting-edge flexible OLED technology with the next generation of products that maximize the portability of large-screen IT devices. The company introduced products that can be folded into various shapes, such as a 12.4-inch "rollable" display, which was first unveiled at SID Display Week in Los Angeles in May and "slidable" products that expand a screen in one or both directions. Samsung Display captivated visitors with the Slidable Solo, which extends a screen in one direction, and the Slidable Duet, which extends in both directions. The company also unveiled the New Digital Cockpit, an in-vehicle display that it unveiled at CES 2023, to showcase its vision of how displays will be used in future cars. A visitor enjoys Pearl Abyss' online role-playing game Black Desert through a display made with Samsung Display's 34-inch QD-OLED during the K-Display 2023 exhibition at the COEX convention center in Seoul, Wednesday. Korea Times photo by Baek Byung-yeul The company attracted younger, gaming-oriented visitors by allowing them to play Pearl Abyss' online role-playing game Black Desert on a monitor with 34-inch QD-OLEDs. Samsung Display also set up a laboratory where visitors could experience OLED's light-weight folding technology. "We wanted to showcase the technology that Samsung Display has accumulated over the years through fun experiments," a company spokesman said. LG Display showcased a self-driving concept car at the exhibition. The company featured a 34-inch ultra-large plastic OLED (POLED) that clearly displays various information such as navigation to help drivers, the company said. Visitors to LG Display's booth watch the company's automotive POLED displays during the K-Display 2023 exhibition at the COEX convention center in Seoul, Wednesday. Korea Times photo by Baek Byung-yeul The center and rear seats of the concept vehicle also featured POLED-based 12-inch displays, demonstrating to visitors that more information is available to passengers in the vehicle. A full lineup of OLED TV panels, ranging in size from 42 inches up to 97 inches, were also on display to showcase the brighter picture and blacks of LG Display's OLED TV panels. The company also unveiled a 0.42-inch display for use in augmented reality (AR) glasses. This product realized 3,500 pixels per inch by applying silicon wafers used in manufacturing semiconductors. Thanks to the technology, this product is capable of displaying images with ultra-high resolution, LG Display said. "We will continue to show innovative customer experiences that are only possible with LG Display by providing a wide range of OLED products ranging from large, medium, small, ultra-small, automotive and transparent," a company spokesman said. Jenna Bush Hager has opened up about how her father, former President George W. Bush, 'naively' promised her and her twin sister, Barbara, that they could have 'normal lives' while he was in the White House. The Today star, 41, reflected on the public scrutiny she faced as first daughter and how it taught her resilience during a cover interview with People magazine, saying she no longer cares what people say about her. Jenna and Barbara were seniors at Austin High School in Texas when their Republican father ran against Democrat Al Gore in the 2000 presidential election. 'We were 18, and he knew how badly we wanted just to go to college and live normal lives,' she recalled. 'That was his promise to us: "Dont worry. You can live normal lives." Which ended up not being exactly true. We were maybe all slightly naive, to be honest.' Jenna Bush Hager, 41, has recalled how she thought she would be able to 'live a normal life' when her father, former President George W. Bush, was in office The Today star reflected on the public scrutiny she faced as first daughter and how it taught her resilience during a cover interview with People magazine When President Bush was sworn into office in 2001, she was a freshman at the University of Texas at Austin, while her sister was at their dad's alma mater, Yale University. Jenna was so focused on her life in college that she ignored a call from actress Katie Holmes, who wanted help preparing for her role in the 2004 film First Daughter. 'I was at the library and living a normal life as a college freshman,' she explained. 'I felt she would be disappointed with whatever she saw.' Like many college students, the Bush twins were busted for underage drinking in 2001 but their indiscretions made international headlines. Jenna had previously shared how her father had apologized to her for telling her she could be a normal college student when she couldn't. 'The world was expecting us to be perfect,' she told People. 'But our parents did not, which is the absolute best blessing that they could have ever given us: the freedom just to be ourselves and to make mistakes.' Jenna said she was deeply hurt by the public scrutiny at the time, but she has realized that it made her stronger and shaped her into the person she is today. 'Theres something about growing up and having terrible things said about you, making mistakes publicly, then being able to bounce back and have resilience from that, which has allowed me to feel like I can live a really joyful life,' she said. 'Because I dont care what people say.' Jenna and her twin sister, Barbara Bush, were freshman in college when their father was first sworn into office. He was sworn in for a second term in 2005 (pictured) 'We were 18, and he knew how badly we wanted just to go to college and live normal lives,' she told People. 'That was his promise to us: "Dont worry. You can live normal lives." Which ended up not being exactly true. We were maybe all slightly naive, to be honest' Jenna said she was hurt by the public scrutiny at the time, but it made her stronger. She explained that she no longer cares what she shares about herself, but she tries to 'reign it in a little' when she talking about her three children, Mila, ten, Poppy, eight, and Hal, four The mother of three added that she no longer cares what she shares about herself, saying she 'a pretty open book.' Over the past year, she has revealed that she went commando during a dinner with King Charles, visited a nude beach while her dad was president, and had her now-husband, Henry Hager, secretly spend the night at the White House. Jenna said the only time she tries to 'reign in a little' is when she is talking about her three children, Mila, ten, Poppy, eight, and Hal, four. She recalled how she upset Mila a few years ago when she read the homesick letter her oldest daughter had written at sleepaway camp on the Today show. 'It was my choice to share it,' she said. 'And then Mila was embarrassed. She was like, "Why would you share that? Why would you do that? Don't ever do that again."' Hoda and Jenna are chatting about Jennas cover on @People and not being afraid to be themselves pic.twitter.com/6dTPR9vjVg TODAY with Hoda & Jenna (@HodaAndJenna) August 16, 2023 Jenna shared on the Today show Wednesday that her husband, Henry Hager, has been playfully ribbing her about her People cover 'Henry keeps going, "There's Jenna. She's not afraid to be herself. There's mom. She's still not scared to be just herself,"' she told her co-host Hoda Kotb Mila 'got revenge' on her mom a year later when she came on the show and dropped 'truth bombs' about her, saying she 'never wears underwear.' 'I think it was such a good lesson because it was such an innocuous, silly topic,' Bush Hager said. 'But it helped me realize that sometimes sharing other people's stories, if they don't want them shared, is something I had to work on.' Jenna's co-host Hoda Kobt celebrated her and her achievements on the Today show Wednesday, saying she 'deserves to be on the cover of People magazine.' When asked about her family's reaction to the spread, she shared how her husband has been playfully ribbing her about the cover line: 'I'm not afraid to be myself.' 'Henry keeps going, "There's Jenna. She's not afraid to be herself. There's mom. She's still not scared to be just herself,"' she said. At first glance, it seems like the perfect family tableau, four heads bent diligently over the living room coffee table, parents and children working together in harmony. But we're not doing a jigsaw, or building property empires in Monopoly. No, we're assembling something else entirely. Because the idea of a cosy night in for my family me, my husband Johnny, 24-year-old daughter Maisie and son Dan, 20 is to buy a gram of marijuana, roll a joint and get stoned together. You're probably shocked. I can hardly believe it myself. We are the very essence of a respectable family: my daughter is a graduate, my son is studying at a Russell Group university, my husband is a banker and I am a secondary school teacher. We're about as far from the stereotype of casual drug takers as can be. And yet, as I've come to realise, it's middle-class families like mine that often have the most accepting attitude towards drugs. One family from the UK reveals their unconventional family ritual - doing drugs. Stock image used It wasn't always that way. I started my teaching career at a grammar school and must have attended a dozen anti-drugs lectures. I know everything there is to know about gangrenous feet from smoking and rotting teeth from crack cocaine. I am the mum who bored their children senseless about pills and binge-drinking during their early teens. But I saved my real venom for marijuana. 'Seriously, you two,' I would tell Maisie and Dan as they rolled their eyes at me. 'It's dangerous stuff. If you smoke it before 25, when the neural pathways of the brain aren't properly formed, it can leave you with impaired cognitive abilities. Basically, brain damage.' 'You don't need to blind us with science,' they would assure me. 'Don't worry, Mum.' But I knew from personal experience that what you tell your parents and what you actually do as a teenager can be two very different things. Back when their father and I met in our early 20s, before we were so respectable, we didn't just discover each other at those hazy student parties, we also discovered weed. We put aside such things when he started working and I started teacher-training. Occasionally, in the years since, at a party with friends or on holiday, someone in the group might produce a joint with a 'Shall we...?' and we would each have a puff, exchanging a wink in shared nostalgia. But, back home, those days were behind us. Yet it meant that when, at 16, Dan started to come home on Saturday nights reeking of that same, sweet-sour smell, we knew exactly what was going on. We were just gearing up to have 'the conversation' when it seemed to stop. We breathed a sigh of relief. Then, three months later, on Christmas Eve 2019, Dan and Maisie, then 20, came down from an afternoon in front of Dan's PlayStation, giddy and giggly. Proclaiming that they were 'starving', they proceeded to wolf down festive ham and all the mince pies before falling asleep in front of the telly. Johnny and I didn't want to wreck Christmas, so we held our tongues, later discussing it for so long into the night that I forgot to do my Father Christmas routine and put out their stockings. A few days later, we sat them both down and did a 'more in sorrow, than in anger' routine: disappointment that they'd done it, a reminder that it was illegal, with a possible jail term for possession, a threat to ground them if they did it again. 'But everyone does it, Mum,' was their defence. Visions of my own mother saying 'And if everyone told you to jump off a cliff, would you do that too?' danced around my head. And yet, up to a point, they're right; more than 16 per cent of young people between 16 and 24 reported using the drug in the year ending June 2022. Plenty of celebrities from Rihanna to Miley Cyrus talk about using cannabis (another name for marijuana) now that it's legal in California. Social media apps are full of clips of young people smoking weed. As such, walking down even the most middle-class High Street like ours in a well-to-do town in the South East, it's not unusual to catch a fruity whiff. Shockingly, my niece's pregnancy yoga instructor in the suburban heartlands has even advised her to smoke in the early stages of labour, telling her that it is the best muscle relaxant, although this is contrary to official medical advice. Echoing these increasingly relaxed attitudes, cannabis prosecutions have fallen to a record low, with police accused of decriminalising the drug by stealth in parts of the country. Home Office data shows the number of people charged for cannabis possession had fallen to just 16 per cent by March 2022. In Surrey, it was 6.4 per cent, less than one in 15. If even law enforcement is largely turning a blind eye to cannabis, is it any surprise many view it much as they would doing 76 mph on the motorway: against the law but not really a crime? But how, you will ask, did my husband and I get from hauling our teenagers over the coals for their own smoking to joining them only a few short months later? I blame lockdown, as I do for so many changes in our lifestyles. Johnny was working from home, I was teaching from home, Dan and Maisie were both studying for exams from their bedrooms. Home Office data shows the number of people charged for cannabis possession had fallen to just 16 per cent by March 2022. Stock image used The planning of our evenings had become a delicious ritual, a way to beat off the monotony and enjoy each other's company despite the circumstances. One Friday, I said: 'Let's treat ourselves tonight let's make cocktails!' 'Let's cook steak!' said Johnny. 'Let's watch the new Jack Reacher!' said Dan. 'Let's get high!' said Maisie. We laughed. But then Johnny looked at me and said slowly: 'Well, could we?' Maisie thought he was asking her, and said: 'I've got some. A little bit. Left over from Christmas. Just enough for one roll.' Put like that 'just enough for one roll' it appeared so harmless. Against the backdrop of the pandemic, this last frontier suddenly seemed so low-risk, so unimportant. Even the adolescent brain damage argument that, even now, I started to babble about, rapidly seemed like a case of closing the stable door after the horse has bolted. 'Sorry, Mum,' both children confessed, 'but we don't smoke nearly as much as our friends.' I'm not sure I believed it even then, but Johnny swung the vote: 'Listen, if they're smoking it with us, we know when they're doing it; we can control it.' I did rather agree with him, and our decision to allow them alcohol in moderation from the age of 14 at home had meant they never seemed to do the same binge-drinking as their peers. I did, though, feel the usual tug of guilt that comes with making a controversial parental decision. Where was the manual for this? That first puff, taking the joint proffered to me by Dan, seemed surreal. This was my baby boy, just 17 years old. I'd taught him how to tie his shoelaces and here he was, teaching me how to inhale better. As I passed it on to Maisie, she looked to me as if seeking permission. I was their mum, supposed to stop them doing this sort of thing, but now here I was, not just letting them smoke in our living room but, madder still, joining in with them. Later, we all got the giggles, probably as much from nervous hysteria as the effects of the drug. The next day, Johnny and I looked at each other, a little shamefaced. 'Did I talk rubbish?' he asked me. 'Was I really asleep on the sofa by ten o'clock?' I asked him. Listen, if theyre smoking it with us, we can control when theyre doing it I realised we were more ashamed of not being cool in front of our kids than we were about smoking with them in the first place. Did this make it even worse? 'Don't worry,' said Maisie, 'You weren't too embarrassing.' Initially, I put it down to a Mental Lockdown Moment. Everything seemed topsy-turvy and us smoking drugs was just another element of that. Over the strange months that followed, as the UK dipped in and out of different levels of restrictions, we began to smoke cannabis every time we were all together on a Friday night, as a special end-of-week treat. The deliveries arrived in a brown paper bag at a suitable distance down the driveway, as if we'd done nothing more than order a takeaway. Except, rather than a curry, our bag contained a film canister with a gram of weed in it, for 40. I stumped up the cash; otherwise we would have been taking free drugs from our kids. Even I had my limits. It was a time of hilarity for us all, dancing along to music, playing silly parlour games, laughing at the telly. There was also, to be honest, a lot of crashing out on sofas and failing to clear up at the end of an evening, so Saturday mornings became a little grim. But overall, I have to admit these evenings, louche and decadent as they were, were also great fun. And it turns out that marijuana is marvellous for menopausal stiffness. All lingering doubts had gone; smoking had become our family's new norm. So even when life went fully back to normal, we didn't. Whenever the children are home which works out to be only a few Fridays across the university holidays Johnny is now the one to suggest making a call to Lewis, Maisie's friendly neighbourhood dealer. These days, dealers like Lewis cater to many customers of our income bracket, whether it be cocaine for dinner party guests or magic mushrooms for the weekend. We're not tempted Class B grass is more than enough for us but research has shown that middle-class people consume more alcohol and illegal drugs than those living below the poverty line. One time, while I was off getting his cash from the ATM, Johnny and Dan asked Lewis in, so he wasn't seen loitering on the doorstep. After a couple of minutes of friendly chatter with the well-spoken young bloke about his day job in an art gallery, Johnny said: 'You can take off your motorbike helmet now, you know, if you don't mind us seeing your face.' Later, Johnny confessed to me that this was the first time in months that he'd actually thought about it being illegal. The one line we have held is that we are still parents, not our children's stoner mates. Despite what you may think of me, my job remains that of being a mother. I insist we have no more than two joints between the four of us on these Friday nights. In my role of not-very-bad cop, I confess that I too often forget that it is against the law. Until, that is, I talk to friends about it. Almost unanimously, they are thunderstruck even the ones who take drugs themselves. 'You smoke dope with your children?' they say, incredulously. 'Have you lost your minds? What sort of an example are you setting?' For a moment, I am crestfallen, paralysed by doubt and guilt that what we are doing is all wrong, that everyone else is getting this right. But then I remember the cosy conspiratorial chatter of the week before, when we quizzed Maisie and Dan about which of our friends' children did drugs. The very friends who are so horrified don't know that their own little darlings were off their heads on ketamine at a festival the week before, that they smoke weed out of their attic window at home and that one of them was in a drugs bust in a park a year ago and only escaped by shinning over a fence. 'He's a nutter,' says Dan darkly. 'His mum and dad have no idea.' Once, we invited the dealer in so he wasnt seen loitering on our doorstep But there is no room for smugness about this. Do we have any idea? I worry Dan may think smoking marijuana is too 'normal' for him to be concerned about any long-term effects. But I think I believe him when he says he now has the habit of keeping it to one 'party night' a week. I also know that Maisie has given up smoking almost entirely because, in her words: 'For one thing I got used to you paying for it, and for another I need to get serious now.' Clearly, she's not brain-damaged. Ironically, it's my husband, now nearly 60, who seems to have developed the most problematic relationship with cannabis; he's still not brave enough to call Lewis himself, but is the first to suggest it if the kids are home. I have pangs of unease shouldn't we be more grown-up by now? Should I put my foot down? But then he winks at me as he passes me a Dan-Maisie-Johnny special and for a moment it's easy to forget that we could both lose our jobs in a heartbeat and face a possible jail sentence. More and more 'ordinary people' people just like you and I it seems are forgetting this every day. Becca Robinson is a pseudonym. All names have been changed What do you think about Becca's behaviour? Email us at femailreaders@dailymail.co.uk A woman who has worked in child exploitation investigation has issued a warning to parents about posting photos of their kids on the internet. Alex Hoffman said by sharing images of children parents can invite 'digital kidnappers' onto social media pages - even when an account is private. 'Digital kidnapping is when somebody steals the photos of your minor from the internet usually a social media platform and either pretends to be the child or pretends to be the child's parents,' she explained. The 24-year-old law student from California claimed innocent snaps of children can be inappropriately altered and modified using AI technology. She said the only way to completely avoid a child's image ending up in the hands of a digital kidnapper is to avoid posting them altogether and to be very wary of who's following your pages, even on a private profile. Scroll down for video A woman who had a job investigating online child crimes has revealed why parents should never post photos of their kids social media - and why you should be wary even on private accounts Alex said these online predators will take a photo of a minor they've found on the internet and 'alter them to look explicit or show the child doing something inappropriate'. 'Digital kidnappers can also take photos of a child and make them into an inappropriate video using AI materials,' she explained in a TikTok video. 'If these photos or videos are placed on the dark web they can often result in more serious crimes such as identity theft or real kidnappings.' Alex said a lot of digital kidnappers are female and use specific hashtags in their stolen pictures and videos to promote what they're doing to their intended audience. The only way to completely eliminate the risk of these lurkers finding, altering and posting your child's photos on the dark web is to never share images of minors online. 'If you do post a photo of your child make sure you're on a private account,' she advised with a caveat. 'Really really monitor your followers and make sure all the photos of your child are appropriate and have no chance of being taken in an explicit manner.' Alex's 'scary' warning sparked concern among parents who viewed the clip but many were thankful for the sound advice. 'Keep spreading the word! Well done,' one woman said. 'Someone made a profile of my 14 year old on Instagram. I reported it and it's still not deleted,' shared a mum. 'I just deleted all my videos with my face now I'm scared,' another wrote. 'You are really giving out new and informative information. Great job. Just saying,' added a fourth. Previously, Alex lifted the lid on another horrifying reason why you shouldn't post photos of your children on social media. Previously, Alex lifted the lid on another horrifying reason why you shouldn't post photos of your children on social media 'A majority of photos uploaded of children to the dark web are taken from normal people's Facebook and Instagram pages,' she said. 'If you see a suggested person on your Facebook page that you have no mutual friends with, it means that they searched your profile at least three times.' Alex explained that 'the dark web is not some mysterious and exclusive place,' saying 'all you have to do is download a browser' to access hidden internet sites. 'Child exploitation is a billion-dollar industry and there are thousands of websites being created every single day to share photos and videos,' she said. 'My advice to parents would be to really limit your child on social media because photos and videos can be warped and placed on the dark web extremely easily.' Alex's eye-opening video was viewed more than 7.9 million times and received thousands of comments in one day. 'A majority of photos uploaded of children to the dark web are taken from normal people's Facebook and Instagram pages,' she said The aspiring lawyer filmed a series of follow-up clips further explaining why it's best to keep your children off of social media. 'A lot of photos of children that are put on the dark web are not explicit,' she noted, saying she saw a lot of pictures of children in gymnastics and dance leotards. 'These parents often had no idea that their child was on the dark web. Additionally, just normal photos of children can be warped into images that are explicit even though there was never an explicit photo being taken,' she added. 'Lastly, and probably most disturbingly, there will be children who go viral on the dark web, and there's no reason for this. It just happens, and there will be pages and websites and forums dedicated to just sending pictures of this child.' Many commenters wanted to know if they are safe to post pictures of their children if they have their profiles set to private. 'It depends,' she responded. 'I think that when you're on private it significantly decreases the chances that predators are going to likely see the photo of your child. However, I do strongly believe that it is based on the content of the photo.' Alex explained that issues arrive when there is an 'erotic potential' to a picture that a parent might not recognise. 'By erotic potential, I mean a photo of your child eating, a photo of your child in a diaper, a photo of your child in distress, a photo of your child in a bathing suit,' she explained. 'These are the types of photos that a predator could warp out of context. 'They can add whatever they want. They can add a person to the photo. They can subtract things from the photo. They can create things that were not actually there in the photo. We're all adults. We know what's suggestive and we know what's not. 'But you also need to be a little bit careful and kind of read between the lines and see what could possibly be taken out of context and what could possibly be created in a photo if you are choosing to put your child on the internet,' she concluded. Many commenters were admittedly shocked by Alex's warning. 'Wait what?! They edit these photos before putting them in the dark web? Honestly that's scary. I had no idea,' one person wrote. 'It's extremely alarming to me how many parents in all these comments didn't know this happens and how common it is,' another added. 'Ever since I've had my children, people have asked me why I don't share more pictures of them. This is exactly why!' someone else noted. 'But some people just don't get it.' Liv Hull and Demi Rutter say they 'weren't prepared' for what occurred Two Aussie friends had to laugh off their 'awful' hostel experience Clogged shower drains, sagging mattresses and travellers who sleep with their 'eyes open' were just three of the 'icks' that left two friends 'traumatised' after staying in shared accommodation during their European holiday. Liv Hull and Demi Rutter, from Sydney, had to laugh off their awful experience and say they now understand why hostels are the 'cheapest form of accommodation'. 'We have discovered we f***ing hate hostels. We don't cope unless it's maybe five stars or above,' Liv said in a TikTok video. 'Glad we didn't book our whole Europe [trip] in hostels like our original plan because I would've been home two months ago.' The pals have been travelling around Europe since the middle of June and say it's the first time they've stayed in a hostel. Sydney friends Liv Hull (left) and Demi Rutter (right) had to laugh off their 'awful' travel experience and now understand why hostels are the 'cheapest form of accommodation' While staying in European hostels they claim the showers were 'disgusting', the mattress dipped and were terrified after seeing other travellers 'sleep with their eyes open' @livhull_ I now know why hostels are the cheapest form of accommodation eurosummer hostels icks iykyk original sound - Liv Hull 'We weren't ready for hostel life [and] what came to us,' Demi said in the video. The two women said they had to share a single bed on the first night because Demi was too 'scared to sleep on the top bunk' after she fell from one when she was a child and broke her arm. 'We shared a single bunk - went top and tail - so I roll over in the night and there's Demi's hooves (feet) in my face,' Liv said while giggling. The next morning they claim another woman in the dorm slept completely naked 'without a sheet'. Demi said: 'I don't know what the common courtesy is for what you wear in a hostel but I didn't know that you could wear nothing to bed.' One thing that 'scared' both friends were seeing 'too many people sleep with their eyes open' and encouraged other travellers to always wear an eye mask. Must-have travel essentials while staying in a hostel: Light-weight microfiber travel towel. Toiletries. Unlike hotels, you likely won't find free toiletries at the hostel Padlocks Eye mask/sleeping mask Earplugs Thongs/flip flops and slippers Source: theadventurousfeet.com Advertisement And because Demi bunked with Liv on the first night, the hostel assumed she found alternative accommodation and overbooked the room. 'The next day when we came in, my bed was gone and they had replaced me with someone else. We now had five people in a four man dorm,' Demi explained, Liv added: 'So I trotted on down to reception and was like, 'Um, you've overbooked, someone's in our bed!'' Rather than finding another room with an available bed, Demi claims she had to sleep on a 'sunbed'. Next, the unisex bathrooms were 'see through' and after coming out of the shower 'you could see the person next to you'. 'To make it worse, every three seconds it would fill up because everyone's hair got caught in the drain. It would literally fill up over your feet,' Demi said. And to top it off the shower head 'fell off' while she was using it. While recalling the sequence of events the friends giggled and laughed from 'how bad' the stories were. While staying in European hostels they claim the showers were 'disgusting', the mattress dipped and saw other travellers 'sleep with their eyes open' Liv admitted they should've done further research before deciding where to stay, as the hostels didn't have any air-conditioning or a fan. She added how another night the mattress of the top bunk bed sunk as she lay on it. 'I didn't think I was that large of a human, but the whole thing dipped so far I thought I was going to sleep literally on top of the guy below me,' she said. One major perk of staying in hostels is the social aspect, however the two claim they tried to make friends with other people but failed. The detailed video has since been viewed more than 57,000 times. Many found the stories hilarious and some empathised with the two. 'This made me laugh so hard, the first time I stayed in a hostel I stayed with guys and it's crazy,' one commented. 'Girls this was hilarious,' another said, a third claimed: 'The bunk trauma is real, I nearly broke my neck had to go to a chiro got weeks.' 'You girls are so funny! I just got back from Europe and only stayed in a hostel once (booked a private room) and even that was horrible,' another wrote. Earlier this month Aussie traveller Lucy Holz, who is currently backpacking around Europe, claims staying in hostels is making her 'ageist' towards middle-aged women who 'snore' at night and are 'disrespectful' towards others in the dorm Earlier this month another Aussie who's currently backpacking through Europe says her experiences in hostels are making her 'ageist' towards older females who 'snore' and have 'no respect' for other people. In a lengthy TikTok video, Lucy Holz claims 'older women' are the 'worst' to be around when it comes to sleeping in a shared space. The young traveller booked female-only hostels for safety reasons but also because women are less likely than men to snore at night. However, she's learnt that this isn't the case for older women who have kept her awake through the night by snoring, making noises, and even blow-drying their hair at midnight. 'While women may not snore as much in general, I'll tell you who does snore, old women. It's got to the point where I see an older woman walking into my dorm room and I'm like, 'Oh my god, why are you here?'' she said in a TikTok video 'I really hate to have to say this, but I do. Staying in hostels is making me ageist,' she began. 'I've been staying in a lot of hostels lately and I specifically selected female hostels, which are more expensive [and] they shouldn't be. 'Other girls were telling me you should always pick the female hostel not just for safety but also for snoring because women don't tend to snore as much as men do.' Lucy added how she 'doesn't fall asleep very easily' and earplugs 'don't work', so snoring 'really effects' her sleep. 'While women may not snore as much in general, I'll tell you who does snore, old women,' she continued. 'It's got to the point where I see an older woman walking into my dorm room and I'm like, 'Oh my god, why are you here?'' Lucy complained that older women don't just snore, but they tend to be 'so disrespectful' towards other people in the dorm. During her final night of staying at a 'horrific hostel', she claims a middle-aged woman had a shower at midnight then proceeded to blow-dry her hair and woke everyone up. Lucy claims the woman kept making noise while climbing into the middle of the three-bed bunk 'I was just not built for the hostel life. It's tarnishing my view of middle-aged women. I will not be the same person when I return from this holiday,' she said During her final night of staying at a 'horrific hostel', she claims a middle-aged woman had a shower at midnight then proceeded to blow-dry her hair and wake everyone up. Lucy claims the woman kept making noise while climbing into the middle of the three-bed bunk. 'Like, girl! You're in a shared space!' she said. 'Anyway my towel was hanging up on one side, not in her way whatsoever.. she takes it off, throws it onto my bed, like.... awesome. 'And of course, as I suspected, she f***ing snores. I was just not built for the hostel life. It's tarnishing my view of middle-aged women. 'I will not be the same person when I return from this holiday.' Traveller bursts into tears after landing for her dream holiday and realising a huge accommodation mistake Kristina Calder was left crying on the street after touching down in London after being told her accommodation had been booked for June 2024, not 2023 Advertisement Within 24 hours the video exceeded more than 176,000 views and others agreed older women tend to be inconsiderate in hostels. 'I had an older lady in a hostel wake up at 6am, turn on the light and do a full workout routine,' one wrote. 'And no phone etiquette, they're always watching videos and TikToks [at] full volume,' a second said. 'Literally! just left a hostel in Switzerland and an older lady was yelling on phone calls late night and early morning every day,' someone else wrote. Another joined the conversation and said: 'An older woman straight up TOOK someone's bed at 10pm when they were out bc she wanted that bed more. Moved all the person's stuff and everything.' One person said a middle-aged woman kept turning the air-conditioner off overnight while staying in the humid Australian rainforests. Another said: 'I stayed at a hostel in Madrid and an older lady turned on the lights at midnight, then blasted TikTok at like 1am and also snored like crazy!' One person encouraged Lucy to speak up in future. 'You need to be assertive and tell them when they are doing things that are inconsiderate cause God knows they will tell you on the reverse,' she said. READ MORE: I upgraded to business class for $25 and have done it on the cheap three times. Here's how to do it Warning as Aussie tourist stunned by 'shocking' side effect on long-haul flight from Europe: 'Everyone needs to know about this' Aussie traveller who was charged $90 for not checking in early enough for Ryanair flight shares little-known detail everyone should know A new Covid variant labelled the 'real deal' may already be in the UK, scientists claimed today. The Omicron spin-off dubbed BA.6 but yet to be officially named has sparked concerns of a fresh wave because of its catalogue of mutations. Only two countries Denmark and Israel have spotted it so far. But experts told MailOnline that, if the strain is proven to be as troublesome as first feared, it could spread 'very soon'. Professor Paul Hunter, a world-renowned infectious disease specialist based at the University of East Anglia, said it 'probably' is already in the UK or US if the strain is more contagious than existing variants. He added: 'If it isn't now, then it probably very soon will be.' Scientists have already called for the return of face masks because of the spin-off strain yet to be officially named but dubbed BA.6. Others, however, warned it is far too early to panic and argued that pandemic-era restrictions won't be needed. Covid hospitalisation rates are already starting to shoot up, sparking concern that the UK is on the brink of being hit by another wave Some scientists have already called for the return of face masks because of the spin-off strain. Others, however, have warned it is far too early to panic and stressed that lockdown-era restrictions won't be needed. Health chiefs have yet to make any formal announcement on the variant, which was first detected towards the end of July. But one epidemiologist at the UK Health Security Agency, tasked with tracking viral threats such as Covid, said it could be 'Pi', the letter which follows Omicron in the Greek alphabet the system officials use to name new strains. Alarm bells were only rung earlier this week, after a prominent online virus-tracker spotted two cases crop up in Denmark. What is the new variant? How dangerous is it? And is it more infectious than other Covid strains? What we know so far What is the strain? The spin-off strain is yet to be officially named but it has already been dubbed BA.6. Viruses constantly change through mutation and sometimes these mutations result in new variants. Where has it been spotted? The variant has already been spotted in Denmark and Israel in July, suggesting it has started to circulate. Health chiefs have yet to make any formal announcement on it. But one epidemiologist at the UK Health Security Agency, tasked with tracking viral threats like Covid, said it could be 'Pi', the letter which follows Omicron in the Greek alphabet the system officials use to name new strains. The variant also follows the arrival of another variant, nicknamed Eris, known scientifically as EG.5.1. Why has it sparked concern? The strain was originally highlighted by online Covid variant tracker Ryan Hisner, who tweeted 'this is the real deal'. Meanwhile, Professor Christina Pagel, a mathematician from University College London who sits on Independent SAGE, said: 'To everyone else very very early days but this coronavirus variant (now in 2 countries) has a LOT of new mutations that makes it v different to previous Omicron strains.' Experts believe the variant has over 30 mutations in its spike protein, the part of the virus that latches onto human cells and causes an infection. However, they have warned that it is too early to panic and that lockdowns or other pandemic-era restrictions won't be needed. How deadly are the symptoms? A rise in cases could put health services under pressure. Typically Covid symptoms are known to include a high fever, cough, cold and loss of the sense of taste or smell. However, there are no signs yet the newly discovered variant, which is different to Eris, known scientifically as EG.5.1, poses any more of a danger than others, including its ancestor Omicron. Do the vaccines still work? It is still unclear whether the new variant has any increased ability to evade protection from vaccines compared to other Omicron spin-offs. Even if the vaccines do not work perfectly against the variant, immunity is likely to still hold up, with most Brits also having been exposed to former Omicron variants. High levels of protection against the virus gave ministers in the UK the confidence to ditch all Covid measures last year as the country moved to living with the virus. Advertisement The discovery came just a day after the same lineage was detected in Israel. Virologists know all three are the same virus because of the collection of mutations they carry. A process called 'sequencing' allows scientists to find the exact genetic make-up of every virus sample. Early tests show BA.6 carries more than 30 mutations in its spike protein, the part of the virus that latches onto human cells and causes an infection. This is the same piece of the virus that vaccines are designed to target. Several have unknown functions but others are thought to help the virus evade the immune system. However, it still remains unclear if it will succeed in spreading efficiently, or if it will just fizzle out like many other heavily mutated variants. Professor Lawrence Young, a virologist at Warwick University, told MailOnline today the variant 'has the potential to spread in the UK'. He said: 'Past experience indicates if a new variant is identified that has the ability to compete with other variants and spread more effectively, it inevitably appears in the UK. 'International travel and mixing in airports and on holiday makes it difficult to prevent the introduction of new variants.' However, Professor Young said that it was 'too early to know' whether it will be more infectious and overtake the currently dominant XBB variants. He added: 'It carries many mutations, some of which have been previously associated with increased infectivity and immune escape, and some new mutations which make the behaviour of this variant unpredictable. 'It is a worry that we have let our guard down with regard to testing and the surveillance of virus variants. 'Despite popular opinion, Covid hasn't gone away and, as we can see from the current increase in infections, the virus hasn't settled into a seasonal winter pattern. 'While we must be careful to not panic every time a new variant or should that be scariant is detected, all this serves to remind us that we need to remain vigilant and that Covid isn't over.' T. Ryan Gregory, a Canadian evolutionary biologist, yesterday labelled the new strain 'interesting and potentially concerning'. The fact it has been spotted in two countries was 'concerning because it's clearly not restricted to one region', he said. It means, in theory, it may yet be 'going undetected in some countries'. Meanwhile, Professor Jonathan Ball, a virologist at the University of Nottingham, told MailOnline: 'Wave after wave of SARS-CoV2 infection is inevitable. 'Very few, if any people who haven't acquired some level of immunity through natural infection, vaccination or both'. 'Our body is constantly under surveillance by an army of memory cells, ready to recognise and deal with viruses if we become re-exposed. 'They might not stop us from being infected but they respond and multiple rapidly, mutating to keep pace with virus mutation, often becoming more potent and more cross-reactive. 'And this mobilised army protects us from the most serious disease.' Covid however 'continues to be a real threat for the most vulnerable people in our population', he cautioned. 'Thus is why it is so important that you get booster vaccine if you are offered one.' However, others cautioned the SARS-CoV-2 virus is 'mutating constantly' and there is 'always concern' a new variant 'may be better able to evade immunity'. Professor Azeem Majeed, head of the department of primary care and public health at Imperial College London, told MailOnline: 'The SARS-CoV-2 virus that is the cause of Covid is mutating continually. 'Each time a new variant of SARS-CoV-2 emerges, there is always a concern it may be better able to evade immunity (from vaccination and prior infection) or cause more serious illness than previous variants. In a tweet, Dr Trisha Greenhalgh, a primary healthcare expert at the University of Oxford, also wrote: 'My various science WhatsApp groups are buzzing. Genetic lineage clips and diagrams flying back and forth.' The professor, who is also a member of the group Independent SAGE added: 'I understand little of the detail but it looks like it's once again time to MASK UP' Meanwhile, Professor Christina Pagel, a mathematician from University College London who sits on Independent SAGE, said: 'To everyone else very very early days but this coronavirus variant (now in 2 countries) has a LOT of new mutations that makes it v different to previous Omicron strains.' It is 'potentially more able to cause a big wave', she added The strain was originally highlighted by online Covid variant tracker Ryan Hisner, who tweeted 'this is the real deal'. He wrote: 'Two more sequences of this 2nd-generation BA.2 lineage just showed up in Denmark. This is the real deal. There are slight differences between the three sequences, but they are nearly identical' 'However, thus far, vaccines continue to provide good protection against serious illness and death for most people irrespective of the circulating variants. 'We don't have enough information yet to draw clear conclusions about the newer variants but the Government's policy in the UK of offering regular vaccine boosters to key population groups will help limit their impact.' Following news of the variant, Dr Trisha Greenhalgh, an internationally renowned expert in primary care, based at Oxford University, yesterday tweeted that 'it looks like it's once again time to MASK UP'. The professor, who is also a member of Independent Sage, a group of academics that called for No 10 to adopt an Australian-style Covid elimination strategy early on in the pandemic, acknowledged that she understood 'little of the detail', however. Meanwhile, Professor Christina Pagel, a mathematician from University College London who sits on Independent Sage, said: 'To everyone else very very early days but this coronavirus variant (now in two countries) has a LOT of new mutations that makes it v different to previous Omicron strains.' It is 'potentially more able to cause a big wave', she added. Writing in the BMJ yesterday on rising Covid cases seen in the UK, she also detailed 'two major concerns'. She added: 'The first seems, unfortunately, quite plausible a repeat of last winter's unprecedented NHS crisis of Covid, flu, and respiratory syncytial virus, hit all around the same time.' She said: 'The second is less likely but would have a bigger impactanother Omicron like event where a new variant emerges, very different from previous strains so that our hard won protection is much less protective. 'Given few, if any, mitigations worldwide and much lower surveillance, such a variant could spread a long way before we realised it was a problem.' Covid hospitalisation rates are already starting to shoot up, sparking concern that the UK is on the brink of being hit by another wave. The increase in infections follows the arrival of another variant, nicknamed Eris. It already makes up one in seven new Covid cases in Britain, health chiefs say. Experts have speculated the bad weather and the 'Barbenheimer effect' referring to the release of Barbie and Oppenheimer might have also contributed to the rise in infections, as well as waning immunity. NHS hospital data also shows daily Covid admissions in England have increased by a third in a week, rising from 171 on July 28, to 229 on August 4, the latest figures available. Hospitalisations had been freefalling nationally since March, from a peak of almost 1,200. But, current admission levels are nowhere near levels seen earlier in the pandemic, when a high of 4,100 were logged per day. And, as time has worn on, fewer and fewer are directly down to the virus. Instead, many patients are just coincidentally ill. A Brazilian father who lost all three of his children to cancer has tragically died from the disease. Regis Feitosa Mota, 53, and his children learned in 2016 that they all had Li-Fraumeni syndrome or LFS, a condition that drastically raises their risk of deadly tumors forming. The economist, from Fortaleza in the northeast of the country, had battled cancer twice already. But in January this year he was diagnosed with multiple myeloma a cancer affecting white blood cells. Eight months later he died, leaving behind his wife Mariella who said the pain was 'indescribable' and that she had been sure he would beat the disease. Their youngest daughter Beatriz died in 2018, aged 10, from leukemia, while their son Pedro, who was training to be a mechanical engineer, died in 2020, aged 22, after suffering a brain tumor. Their eldest Anna Carolina died in 2022 aged 25 after also being diagnosed with a brain tumor. At the time of her diagnosis the year before, she was engaged and had just become a doctor with plans to specialize in dermatology. Pictured above is father Regis Feitosa Mota, from Fortaleza in north-eastern Brazil, with his three children. They have all died from cancer. The youngest Beatriz died from leukemia in 2018 aged 10. His son Pedro died in 2020 aged 22 from a brain tumor and his daughter Anna Carolina, 25, died from a brain tumor last year. She had previously battled leukemia Mr Mota, 53, revealed in January that he had been diagnosed with multiple myeloma or a type of cancer affecting white blood cells. He died eight months later after treatment. His wife Mariella said the pain was 'indescribable' because she was sure he would beat it LFS is a condition thought to affect at least one in 20,000 families and possibly as many as one in 5,000 families. Up to 50,000 Americans are thought to have the condition, according to the National Institutes of Health. The disease is diagnosed via a genetic test, with scientists warning it can trigger cancer at any time although this is more likely to occur at an earlier age. It is caused by a mutation in the TP53 gene, which plays a crucial role in preventing the development of cancer by regulating cell division and triggering cell death when DNA damage is detected. People with LFS are most likely to suffer cancers in the bones, muscles and connective tissues as well as breast cancer, brain tumors, leukemia and cancer in the adrenal gland. But a range of other cancers have also been detected in sufferers, including lung cancer, kidney cancer and cancers in the intestinal tract. Mr Mota was first diagnosed with cancer in 2016 after he went to doctors with a fever, neck swelling and muscle weakness. They told him that he had chronic lymphocytic leukemia a type of cancer in white blood cells in the bone marrow. In 2021, he was then diagnosed with non-Hodgkin lymphoma a cancer in the lymph system, a circulatory system that moves fluid through the body. This year he was diagnosed with his third cancer. At the time, he said: 'We discovered yet another disease. 'We have already treated lymphocytic leukemia and non-Hodgkin's lymphoma, which are now stabilized. But... they are not cured. 'This time, we discovered multiple myeloma, which even affects the bones.' He was checked into a hospital at the end of last month and died two weeks later on August 13 Father's Day. He was cremated the following day in his home city. Paying tribute to her husband, his widow Mariella Pompeu said: 'My friends, I never imagined making this post. 'I never prepared myself for this moment because I was always convinced Regis would make a full recovery. 'It's an indescribable pain. The ground has opened up and I dont know what life will be like without his affection, companionship and absolute love.' Pictured above is Mr Mota with his two children Pedro and Anna Carolina. All three have now died from cancer Mr Mota is pictured above in a hospital while receiving treatment for cancer His brother Rogerio Feitosa Mota said: 'Our warrior went to meet his children exactly on Father's Day. 'May God take you, my brother! We love you so much.' The family was first struck by cancer in 2009 when the eldest Anna Carolina, who was 12 at the time, was diagnosed with acute lymphocytic leukemia. She went through radiotherapy and chemotherapy against the disease for three years before being declared cancer free. But when Mr Mota was diagnosed with a similar blood cancer in 2016 after suffering fever, neck swelling and muscle weakness he became suspicious. That same year his son Pedro, then 17, was also diagnosed with bone cancer, or osteosarcoma, in his left leg. He told the BBC in 2022: 'At that moment, we started to believe that these three cases could not be a coincidence. 'During this period, we decided that it would be better to investigate.' This was when they ordered genetic tests that revealed Mr Mota and his three children all had LFS. Adults who have the disease have a 50 percent chance of passing it on to their children. Strangely, Mr Mota's his parents, then aged 85 and 78, were not thought to have the condition. Mr Mota said he was never blamed for the cancer by his children, however, who said he was 'as much a victim as they were'. Pictured above are Mr Mota's three children. The youngest Beatriz, in the center, the middle child Pedro, on the right, and the eldest Anna Carolina. All three have died from cancer Mr Mota is shown above with Pedro and Anna Carolina. Pedro had hoped to become an electrical engineer while Anna Carolina was engaged and had hoped to become a dermatologist After the diagnosis of the genetic condition, the family would go for regular medical checkups. In 2017, his youngest daughter Beatriz, then aged nine, was diagnosed with leukemia. She received a bone marrow transplant, but in 2018 tests showed that the cancer had returned 'very quickly'. She was not able to receive another transplant and died from the disease. His son Pedro received several years of chemotherapy to fight the bone cancer, but tests showed that despite treatment it had spread to his lungs and parts of his spine. In 2019, he was also diagnosed with a brain tumor and died in November the following year. He had wanted to be an electrical engineer and attended college for two months, before having to stop due to health treatments. For his eldest, Anna Carolina, after fighting off the initial blood cancer, she had no further diagnoses until 2021 when she got the news she had a brain tumor. At the time she was engaged, set to get married the following year, and had just become a doctor with plans to specialize in dermatology. 'It was quite dramatic when she discovered brain cancer,' Mr Mota said at the time, 'because she was formed, full of dreams and expectations'. 'She was engaged, wanted to get married in 2022 and specialize in dermatology. 'She wanted to be a doctor since she was a child, because of the time she spent in the hospital treating leukemia and also because of her stepfather, now deceased, who was also a doctor.' Mr Mota shot to fame after revealing his family's situation on an Instagram account, named @regisfeitosamota, where he would post regular updates about their journey. It currently has nearly 900,000 followers. There is no evidence at this stage that it is more likely to cause severe disease A new Covid variant that has prompted calls for face masks to return is 'probably' already in the US, experts have told DailyMail.com. The mutant strain known as BA.6 has only officially been detected in Israel and Denmark, but several scientists said it will start showing up in tests in America 'very soon'. Routine swabbing is no longer being done in the US and only a fraction of positive tests are sent away for analysis to see the Covid strain behind the case, meaning it can take weeks before new strains are detected. Dr Thomas Moore, an infectious diseases expert at the University of Kansas, told DailyMail.com: 'I'm sure it is already here. If it hasn't already made it here, it probably will very soon.' The mutant virus, which is a spinoff of the Omicron strain, has sparked concern among some scientists because of its high number of mutations in the spike protein, the piece of the virus that vaccines were designed to target. The variant may make shots less effective if it has evolved to look different enough from its ancestor though most experts argue no new strain will completely escape immunity from vaccines and prior infection. Several scientists have warned that the Covid variant, known in some circles as BA.6, was already in the United States However, there is no data yet on whether the strain is more likely to cause hospitalizations or deaths than previous variants. Almost everyone now has a level of immunity against Covid, and the virus has been evolving to become more infectious but less deadly, reducing the risk of another wave like those seen in the early phase of the pandemic. Dr Paul Hunter, an infectious diseases expert at the University of East Anglia in the UK, told this website: 'If it is an infection that is going to outcompete others, then it probably is in the US and if it isn't now, then it probably very soon will be.' Dr Raj Rajnarayanan, from the New York Institute of Technology, added: 'It hasn't been identified yet, but if it's not here now, it will be here soon!' And Dr Aaron Glatt, an epidemiologist from Mount Sinai in New York, said that it was 'always possible' that the variant had already arrived in the US. Some UK scientists known for making gloomy predictions earlier in the pandemic are so concerned they have advised people to mask up again. But the experts who spoke to DailyMail.com were more cautious. They agreed that the new variant was unlikely to completely undo years of coronavirus immunity built up during the pandemic. They said it would take several weeks and at least 50 confirmed cases before researchers can predict how infectious or deadly BA.6 is. Concerns were raised over the new strain because it carries 30 new mutations, which may make it better able to dodge current immunity. Dr Trisha Greenhalgh, a doctor from the University of Oxford, warned upon viewing the variant that 'it looks like it's once again time to MASK UP'. The professor, who is also a member of the UK's Independent SAGE, a group of academics that called for the UK government to adopt an Australian-style Covid elimination strategy early on in the pandemic, acknowledged that she understood 'little of the detail', however. She has been campaigning for people to keep wearing masks since the Covid pandemic began. On August 4, before news of the new variant broke, she retweeted a tweet reading: 'Open the window, wear a mask, get a HEPA filter.' The above shows hospitalizations in the US. These have risen by 14 percent over the last week and are up 60 percent from the record low recorded last month Covid deaths, however, continue to decline - although they take longer to start rising than hospitalizations In a tweet, Dr Trisha Greenhalgh, a primary healthcare expert at the University of Oxford, wrote: 'My various science WhatsApp groups are buzzing. Genetic lineage clips and diagrams flying back and forth.' The professor, who is also a member of the group Independent SAGE added: 'I understand little of the detail but it looks like it's once again time to MASK UP' Meanwhile, Professor Christina Pagel, a mathematician from University College London who sits on Independent SAGE, said: 'To everyone else very very early days but this coronavirus variant (now in 2 countries) has a LOT of new mutations that makes it v different to previous Omicron strains.' It is 'potentially more able to cause a big wave', she added. The strain was originally highlighted by online Covid variant tracker Ryan Hisner, who tweeted 'this is the real deal'. He wrote: 'Two more sequences of this 2nd-generation BA.2 lineage just showed up in Denmark. 'This is the real deal. There are slight differences between the three sequences, but they are nearly identical.' Scientists are concerned by the mutations to its spike protein because these make antibodies virus-fighting proteins less able to bind to them and prevent infection. A higher number of mutations means current immunity is less effective, raising the risk that the virus can gain a foothold. Scientists point out, however, that there are other sections of the immune system such as T-cells that will still be able to detect and fight off the virus. H&M has said it will probe 20 alleged instances of abuse at its Myanmar clothes factories as pressure mounts on Western brands to leave the region. The worlds second-largest fashion retailer said it was looking into cases highlighted in a damning report from leading human rights group. Pressure is now mounting on H&M to follow in the footsteps of Zara owner Inditex, Primark and Marks & Spencer and ditch Myanmar suppliers. The Business and Human Rights Resource Centre (BHRRC) tracked 156 cases of alleged worker abuses between February 2022 and February 2023, up from 56 in the previous year. The claims included reduced wages, unfair dismissal and harassment at work. Reduced wages are the most common rights violation since the military coup in Myanmar in 2021. Pledge: H&M said it was looking into 20 alleged instances of abuse at its Myanmar clothes factories highlighted in a damning report from leading human rights group Wage abuses were found in more than half of the allegations and affected at least 82,000 workers, the British human rights body said. While H&M had 20 cases linked to suppliers, Inditex, the worlds largest retailer, had 21. H&M said: We are deeply concerned by the latest developments in Myanmar, and we see increased challenges to conduct our operations according to our standards and requirements. Inditex, which owns brands such as Bershka and Zara, last month said it was phasing out goods from Myanmar because of the political and humanitarian crisis there. This followed Primark and Marks & Spencer who both said they planned to move away from Myanmar suppliers last year. M&S said it did not tolerate any human rights abuses within any part of our supply chain while Primark said it was working on a responsible exit. Campaigners said H&M should follow suit. Anna Bryher, of Labour Behind the Label, said: Any brands doing business in Myanmar must know abuse is rife. The military go into factories to crack down on pro-democracy activists. Wage violations, forced overtime and gender-based violence are common. At what point does fashion brands supporting jobs in an economy under threat tip over into to fashion brands profiting from mass worker abuse and evasion of civil liberties? H&M need to do much more or, responsibly, make an exit. H&M declined to comment on whether it would stop buying clothes from Myanmar. Many foreign companies immediately cut ties after the military junta seized control. But retail giants are an outlier, as Lidl, Matalan and Next import goods from the region. Even as the sector has contracted since 2021, the garment industry remains one of Myanmars largest employers, accounting for more than 30 per cent of total exports. There are an estimated 500 factories producing clothes and shoes for big brands in the South East Asian country. Some groups have accused employers in Myanmar of taking advantage of uncertainty in the country to deprive workers of their rights. BHRRCs research found that Primark and M&S had a respective 19 and three allegations made against them. But despite alleged abuses, there are also challenges with commercial giants closing operations. If they leave, either the jobs disappear entirely or factories scrabble to receive orders from footloose buying agents who care only about cheap labour and do not worry about factory conditions, said Vicky Bowman, former UK ambassador to Myanmar and director of the Myanmar Centre for Responsible Business. And Europes stance is that companies should continue sourcing from Myanmar, as long as they do so responsibly. Karina Ufert, chief executive of the European Chamber of Commerce in Myanmar, an independent group representing brands, said that disengagement of the responsible brands will only lead to a further deterioration in the situation for the workers rights and contribute to greater unemployment. The Clean Clothes Campaign advocacy group said that brands continuing to source goods from Myanmar must conduct ongoing and heightened due diligence. Thousands of jobs at Wilko were in the balance last night after the deadline for interested bidders to submit a rescue offer passed. The budget homewares retailer fell into administration last week, putting 12,000 jobs, 400 stores and the Wilko name at risk. Administrators at PwC set a deadline of end of business yesterday for offers to rescue it. A spokesman for the GMB union, which represents some Wilko staff and is liaising with PwC, said: We remain hopeful there will be a rescue package of sorts. Potential buyers are thought to include rivals B&M European Value Retail, Poundland, The Range and Home Bargains, but it is uncertain whether they would keep the Wilko brand. Collapse: Wilko fell into administration last week - putting 12,000 jobs, 400 stores and the Wilko name at risk Adding to staff anxieties will be fears that its retirement fund faces a big shortfall, meaning pensioners may have a reduced annual income. Although the scheme may be bailed out by the Pension Protection Fund, the industry lifeboat, union chiefs and staff have been left fuming at bosses decisions to pay 77million to the owners and former shareholders in the last decade. The chain was controlled by descendants of the founder, James Kemsey Wilkinson. The GMB called on the Wilkinson family to plug the pension pot deficit and said no worker should carry the burden of the bosses financial failings. Jonathan De Mello, a retail expert, said the brand was popular and that B&M was a better suitor than rival Poundland. Insurer Admiral warned of further price rises for motorists even after hiking premiums by 40 per cent over the past year. The Cardiff firm covers 4.76m UK vehicles, 380,000 fewer than a year ago, after customers were put off by higher rates. Rises helped keep profits rolling in even as the rising cost of car repairs and wages means it must spend more to meet claims. Industry figures last week showed the average annual cost of UK car insurance has hit a record high of 511. Admiral said yesterday that it raised average premiums 20 per cent in the six months to June, on top of 20 per cent over the previous six months. Price hikes: Admiral covers 4.76m UK vehicles, 380,000 fewer than a year ago, after customers were put off by higher rates Admiral chief executive Milena Mondini de Focatiis told the Times: We expect to continue to increase prices. It came as Admiral published half-year results showing underwriting profits for its UK motor insurance business fell by 4.5 per cent to 189.5million. Overall group profits for the company rose 4 per cent to 233.9million. The firm, known for its adverts that feature an admiral character, cut its dividend but shares still rose 7.2 per cent, or 159p, to 2358p as its boss said while the market has been challenging, the cycle is turning. She defended motor insurance rises, saying firms paid out 1.10 in claims for every 1 they took in premiums last year. Amanda Blanc, chief executive of Aviva, which also put up its prices, denied it was making excess profits. Samsung Electronics' chip plant in Austin, U.S., is seen in this March 30, 2021 photo provided by the company. Yonhap Samsung Electronics will supply next-generation artificial intelligence (AI) semiconductors to U.S. AI solutions firm Groq, the U.S. company has said. The California-based fabless said Sunday (U.S. time) it contracted with Samsung Foundry to "solidify Groq's product roadmap with a U.S.-based foundry services provider." Groq's new chips will "be designed in partnership with Samsung's Foundry Design Service team and manufactured by Samsung on its SF4X process (4nm)," referring to Samsung's advanced 4nm FinFET platform technology. The new chips are "expected to be produced at Samsung's new chip factory in Austin, Texas, in 2025," Groq said. Last year, Samsung, the world's largest memory chipmaker, broke ground in Taylor, Texas, to build a $17 billion chip fabrication plant, with the goal of finishing construction by the end of this year and starting production next year. "Samsung Foundry is committed to advancing semiconductor technology and bringing groundbreaking AI, high-performance computing and data center solutions to market," Marco Chisari, head of Samsung's U.S. foundry business, said. "This relationship with Groq is another proof point of how we're using our advanced silicon manufacturing nodes to bring new AI innovation to market," he said. Groq, founded in 2016 by Jonathan Ross, a former Google executive who helped design AI chips, is specialized in designing and producing chipsets for deep learning applications. The new partnership, Groq said, will allow it to strengthen its "already completely North American-based operations for engineering and manufacturing, and will help maintain its high product availability and short lead times." It is the first time a client of Samsung's Taylor fab has been officially revealed. Kyung Kye-hyun, co-CEO at Samsung who is in charge of the tech giant's chip business, said last month 4nm chips will be shipped out from the Taylor factory by the end of next year. "Major U.S. customers want their products to be produced here," he said in an Instagram post with a photo of the buildings under construction in Taylor. (Yonhap) An outspoken bank critic claims Westpac froze his accounts when he tried to withdraw $2,000 and would not allow the transaction until he told them what the money was for. Author Crispin Rovere said it was only by showing the branch manager the publicity his previous run-in with Westpac generated that he was allowed access to his money. 'She then visibly panicked,' Mr Rovere told Daily Mail Australia. However, he said he still had to wait 45 minutes before the manager came out and said the accounts were unfrozen. 'There is no conceivable explanation for this continued trespass other than seeking punish me for using cash,' Mr Rovere said. A little more than a year ago Westpac froze his accounts when he tried to make a substantial cash deposit that was considerably less than the $10,000 threshold banks must report to the federal government. Mr Rovere said Westpac's 'anti-fraud team' were the stumbling block on both occasions and acted dismissively when he challenged them on their right to stop him using his funds the way he wanted. 'The teller was in communication with the Westpac fraud team to get an explanation,' Mr Rovere said about the more recent funds denial. 'The fraud team demanded to know what the money was for. 'When I said it wasn't any of their business the fraud team simply replied "if you are not willing to disclose what you want the money for we will not unfreeze you accounts. Thank you for contacting us, goodbye".' Mr Rovere said the Westpac branch manager only backed down when he showed her the publicity his previous run-in with the bank attracted It was then that Mr Rovere had to call in the manager. 'I have since submitted another internal complaint regarding this incident but have yet to receive Westpac's reply,' Mr Rovere said. 'Last time Westpac said that it was because the deposit was made from a state other than where it was opened. 'However, this time the freezing occurred when I was physically standing in a branch in the same state as the account was opened.' Mr Rovere accused the bank of not being truthful when it issued him a statement about the block which read 'we've detected unusual online activity on your account'. 'Westpac are straight liars,' he said. 'There was no "online activity" - I was literally standing in the branch in-person.' Mr Rovere is promoting a petition to federal Parliament that declares 'access to banking is a human right'. 'Banks must not be allowed to deny financial services to customers unless explicitly required by law,' the petition states. Prue MacSween said she was outraged by bank staff quizzing her 100-year-old mother about why she wanted to take money from her account Westpac said that 'due to confidentiality obligations we are unable to comment on individual customer matters'. 'In response to the high number of scams and fraud cases, we apply extra care to ensure the safety and security of customers,' a spokesperson said. 'This might include temporarily blocking an account when unusual activity is observed so relevant checks can be carried out.' Last week TV personality Prue MacSween told Daily Mail Australia she had a similar experience where her 100-year-old mother was denied a substantial withdrawal unless she could answer questions on what she would be doing with the money. 'It's none of their God-damn business what she wants to do with it!' MacSween said. 'It's the Spanish Inquisition if you want to go in and take out a few grand you need a letter from your blasted mother telling you why you should be allowed to have the money. 'It's just disgusting. It's your money and they are using it to make these huge profits and you have to justify why you are spending your money. 'I am offended we are all treated like we are money launderers for the simple act of wanting to take out money out.' As further evidence of the control banks are asserting over their customers money last week Daily Mail Australia reported the Commonwealth Bank will limit customers from transferring more than $10,000 to crypto exchanges and associated websites. A CBA spokesperson told Daily Mail Australia the move is to protect customers from scam risks by reducing the amount of money lost by customers. The spokesperson said the bank was trying to find a balance between keeping customers safe and minimising inconvenience. Other banks have used the same justification to impose similar blocks on certain sites, although without blanket transfer limits, and this has enraged Australia's crypto community. Digital currency enthusiasts accused the banks of 'running scared' from a competitor that threatens to muscle in on the traditional financial industry. 'How does this actually help stop crypto scams? Blanket rules don't help anyone. Disgraceful,' crypto trader Ben Simpson posted online. Daily Mail Australia also reported in June that the Commonwealth Bank reserves the right to stop transactions 'that in our opinion' are 'offensive, harassing or threatening to any person' or 'promotes or encourages physical or mental harm of any person'. A bank spokesperson told Daily Mail Australia the terms were to prevent 'to address the issue of financial abuse in the context of domestic and family violence'. Astonishing video footage has emerged of a brave snake catcher who managed to remove two massive snakes from a home, after the pair of animals were heard making loud thuds in the roof. The woman wrangled the two male snakes out of the roof of the property on the Sunshine Coast in southeast Queensland on Monday night. Residents of the home heard loud noises in the roof space before calling in the snake catchers to investigate. Tiarnah, a snake catcher from Queensland was called to a home on the Sunshine Coast on Monday, after residents of the home heard loud noises inside the roof On discovering the two snakes in the rook, the snake catchers realised there was not enough space to make their way in to retrieve the reptiles. It didn't stop Tiarnah from Sunshine Coast Snake Catchers, however, from getting the job done. After cutting a small square hole in the ceiling above the kitchen, Tiarnah used a pair of snake tongs to extract the pythons through the small opening. Balancing herself on top of the kitchen bench, Tiarnah threaded the tongs through the cut out and seconds later was pulling two massive carpet pythons through the hole. Cool as a cucumber, Tiarnah effortlessly removed the first python as the reptile coiled around her right hand. Holding the first python by it's head, the catcher then slowly removes the second python which dangles headfirst from the roof. Tiarnah doesn't panic but keeps her grip firmly on the head of the python with the other snake firmly wrapped around her right hand. She then eases the long snake out of the roof like a long rope, using the top of her right shoulder for support to slide the snake's tail out of the small rooftop space. Using a pair of snake tongs and her hands, Tiarnah removed the carpet pythons from the roof and showed great skill and strength to capture them Eventually, Tiarnah removed both snakes from the roof as one unravelled itself and struggled to escape from the snake catcher's impressive grip. Unperturbed by the ordeal, Tiarnah can be heard telling a man nearby 'I hate holding their head like that', before making her way off the kitchen bench top. 'Jeezus,' another man is heard to say in the background. Video of the dramatic moment was posted on the Sunshine Coast Snake Catchers 24/7 Facebook page. 'She is brave!!! God job!!!,' one person wrote in the comments. 'What a hero!' another commented. 'Hells bells, amazing job lovely lady,' another said. 'WAY TO GO [sic], Tiarnah! She made that look so easy! Now I want a Tiarnah T-shirt,' another said. The Prime Minister has defended the Government's decision to accommodate migrants on the Bibby Stockholm barge despite a series of setbacks. Rishi Sunak argued the Government's approach to dealing with the Channel crossings was fairer for the taxpayer than forking out millions to put up asylum seekers in hotels. Migrants were housed on the barge off the Dorset coast on Monday last week. But they were removed on Friday when traces of Legionella were found in the water supply, a bacteria which can cause the potentially fatal Legionnaires' disease. Mr Sunak swerved a question about whether he was personally warned about potential health risks for asylum seekers on board the barge. The Prime Minister has defended the Government's decision to accommodate migrants on the Bibby Stockholm barge despite a series of setbacks The Bibby Stockholm accommodation barge at Portland Port in Dorset Migrants picked up at sea while attempting to cross the English Channel, are brought by a UK Royal National Lifeboat Institution (RNLI) lifeboat into the Marina in Dover, southeast England, on August 12 'What has happened here is it is right that we go through all the checks and procedures to ensure the wellbeing and health of the people being housed on the barge,' the Prime Minister told broadcasters on a visit to a hospital in Milton Keynes. Mr Sunak, who has returned to work after a family holiday in California, went on to argue that ministers were taking a fair approach when it came to the small boats crisis, adding: 'But taking a step back, what is this about? This is about fairness. 'It is about the unfairness, in fact, of British taxpayers forking out 5 million or 6 million a day to house illegal migrants in hotels up and down the country, with all the pressure that puts on local communities. 'We've got to find alternatives to that, that is what the barge is about and that is why we are committed to it. 'But more fundamentally, we've just got to stop people coming here in the first place illegally. That is why one of my five priorities is to stop the boats. 'We've passed tough new laws that, when they come into force, will enable us to do that and we're already seeing numbers this year that are lower than they have been in previous years. That is the first time that has happened. 'I know there is a long way to go on this but I'm determined to fix this problem and we are making progress and people can be reassured we will keep at it.' The Bibby Stockholm will reportedly cost taxpayers more than 20,000 a day, and could accommodate more than 500 migrants in total. Dorset Council has been paid 2 million to help manage the scheme locally. Ministers estimate the current cost of housing migrants in hotels across the UK is 6 million a day. NGO Reclaim the Sea has estimated the savings from the hotel bill through the use of the Bibby Stockholm could be 4,694 a day, a sum the advocacy group describes as 'trivial'. Graph of people detected crossing the English Channel in small boats in 2022 and 2023 People at a vigil at Sunny Sands Beach in Folkestone for the migrants that died over the weekend Health minister Will Quince had earlier suggested migrants could return to the barge within days, describing the Legionella scare as a 'teething issue'. But he said migrants will only return to the vessel, moored in Portland Port, Dorset, if it is safe to do so. Newspaper reports have meanwhile suggested that Brussels has rebuffed calls for a returns agreement between the UK and the EU. It would mean the UK is unlikely to be able to send people arriving on small boats across the Channel back to France in the near future. Mr Sunak has pushed for a bilateral returns agreement with Paris but French President Emmanuel Macron believes any deal must be at an EU level. A leaked memo reported by the Daily Mail and The Times newspapers suggests such a pact is not being entertained by Brussels, which is dealing with its own internal rows over migration and refugee returns reforms. Citing leaked Cabinet Office notes, The Times said a senior aide to European Commission president Ursula von der Leyen had rejected negotiations on fresh terms following a push by Mr Sunak during talks at the Council of Europe in Iceland in May. A spokeswoman for the commission denied that any rejection had been made during talks with UK officials. People lay flowers at a vigil at Sunny Sands Beach in Folkestone Mr Quince stressed the UK had made other international efforts to grasp the migrant crisis, including an agreement with Turkey to tackle criminal gangs, the French arrangement on preventing crossings, and the Albania returns deal. The health minister told Sky News: 'If you look at the arrangements that we do have with Turkey, with Albania, and indeed with the French, they are starting to bear fruit and then, more widely, if you look at the deterrent factor, again that is making a difference.' It comes as a vigil was held in Folkestone after six people died in the Channel over the weekend when a boat carrying migrants sank off the coast of France. A crowd of people gathered at the Sunny Sands Beach on Tuesday. Parliamentary authorities spent 126,000 trying to quell mice, pigeons and other pests on the estate last year, it can be revealed. The bill for pest control was the highest since before the pandemic, with poison-resistant rodents who know how to dodge traps among the factors blamed. Building work disturbing nests, inflows from neighbouring Westminster Tube station, and food left in bins have also been highlighted as potentially fuelling issues. MPs, peers and staff have long complained about infestations of mice in the historic buildings, with regular sightings in canteens even running across desks. Moths eating upholstery and pigeons damaging stonework are other routine problems for officials to deal with. Some politicians have called for cats to be brought on to the estate to help slash rodent activity, following the example of Downing Street's 'chief mouser' Larry. Parliamentary authorities spent 126,000 trying to quell mice, pigeons and other pests on the estate last year However, the Commons authorities have resisted the idea citing concerns about how to ensure the welfare of the animals. Over the weekend it emerged that Battersea Dogs and Cats Home had been asked about supplying a feline, and advised that it would be 'unsuitable' while Parliament is using poison in traps. Figures obtained by MailOnline under freedom of information rules show that 126,161 was spent on pest controls in the last financial year - plus VAT. Some 101,856 was for 'general controls', including mouse traps, sealing holes and moth treatments. Around 20,500 went on dealing with bird problems, usually by flying hawks in the area. Another 3,785 covered 'consultancy' costs. The spend compared to around 122,363 in 2021-22, and 109,563 in 2020-21 - when Covid lockdowns limited access to the estate. A UK Parliament spokeswoman said a full-time pest technician was employed and took 'all necessary measures' to combat the problems. 'Parliament is a safe place to work, with robust hygiene processes in place,' the spokeswoman said. 'We are committed to maintaining a humane and ethical pest control programme, focussed on preventative measures and, where necessary, the use of various control methods. 'Value for money remains a key consideration and we will, as ever, continue to follow all legislative and regulatory obligations.' Officials argued that bringing in cats would not be appropriate because unlike Downing Street, Parliament is a public building with thousands of visitors every year. They also said building works meant it would be too dangerous for the animals to roam. The authorities said the current poisons used could kill mice. But they admitted that the rodents had become inured to other types. Separate FOI details provided by the House show that its own pest control experts have warned about 'anticoagulant resistance' - meaning resistance to poison - and 'behavioural resistance' - meaning that the rodents appear to have learned to dodge bait stations. Other likely causes for upticks in activity include mice 'immigrating from Westminster Underground Station beneath Portcullis House', 'doors not shutting properly' so mice can move around, and 'waste food in bins'. There was concern that 'renovations to buildings may increase pest activity, particularly rats emerging from drains'. After complaints about moths in the House of Lords chamber, experts suggested the opulent furnishings with 'animal-based materials and rich 'hangings'' could be attracting the creatures. MPs, peers and staff have long complained about infestations of mice in the historic Parliament buildings, with regular sightings in canteens even running across desks Battersea Dogs and Cats Home told the Mail on Sunday it had informed the Commons that the estate was 'an unsuitable environment' for a moggie. A spokesman for Battersea, on the other side of the Thames, said it had successfully 'rehomed working cats' to three Government buildings including 'Larry' being sent to Number 10, 'Gladstone' going to the Treasury and 'Palmerston' (now retired) being given to the Foreign and Commonwealth Office. However, the spokesman said that at the Commons, 'the site was deemed to be an unsuitable environment for a cat due to the use of poisonous rodent traps. The traps are toxic to cats as well as vermin and it would have been a clear health and safety risk for any cat residing in the area'. One of the few regular feline visitors to the Parliamentary estate is Attlee, who belongs to Commons Speaker Sir Lindsay Hoyle. Advertisement I don't even know where to start. I guess I'll go back to the morning of the fire, so you can understand what was before trying to understand what no longer is. Day 1 - Tuesday, August 8 I watched it all burn. When I woke up on Tuesday, August 8, I already knew I would be in for a long day. Hurricane Dora was set to pass 500 miles south of us and winds were expected to pick up dramatically by that afternoon. Living on a sailboat, I have to mind the weather. Dora had been on my radar for a couple of weeks, and my partner Austin and I had spent the weekend provisioning for her arrival. As the saying goes, 'hope for the best, prepare for the worst.' In our mind the 'worst' meant a few more windy days trapped on the sailboat. We got enough food and water to last three days. Paslay writes of Tuesday, August 8: 'We lost signal soon after the smoke began filling the skies and all we could see was a wall of black where our beloved town used to be' 'The sounds, smells, and heat continued to engulf us from shore, and eventually, the embers did too. By 9pm we were wrapped in wet towels, doing our best to save our home and our lives,' Paslay writes Paslay writes: 'The smoke was so thick we couldn't breathe. We couldn't open our eyes wider than a squint. We had to vomit between turns dousing the embers that reached our sails with seawater' The storm would have been a story by itself. The winds started Monday night and raged into Tuesday morning. By the afternoon, it was already what I, at the time, would call a disaster. Powerlines were down all over, leaving the West Side of the island without power and the roads littered with live electrical wires. Lahainaluna Road had already been evacuated once, and everyone was allowed to return once the new-burning flames were 'contained' and only smoke remained. That's when the fire trucks left. Now I'm no firefighter, but something instinctive in me questioned whether it was a good idea to leave the fields smoking with wind roaring down the mountain at 70+ mph. As my mama always taught me, 'trust your gut.' Chelsea Paslay, 30, is a freelance writer who lives on a sailboat off of the coast of Lahaina and watched the entire area burn as it rained embers onto her boat The fire picked up again. Within minutes black clouds of smoke started to spill down the mountains, the wind carrying it through town. I remember Austin saying, 'Man, I hope it doesn't hit the harbor.' The harbor being clear across town from the point of the fire, I thought there was no way it could travel so far. I was wrong, and it did. We lost signal soon after the smoke began filling the skies and all we could see was a wall of black where our beloved town used to be. We couldn't hear many firetruck sirens and the emergency sirens never sounded to alert the town, so we didn't know the extent of the devastation until we started hearing explosions from the blackness. Then the real horror began. While we still couldn't see much, we could hear it. Explosions blasted left and right and screams began echoing across the water. Eventually, the fire started moving closer to shore, and we could see the buildings and trees along the shoreline going up in flames, burning red in the darkness. Then the call came in over the VHF radio, 'Over 100 displaced residents have jumped into the water to avoid the fires, all boats in the vicinity are asked to keep a sharp lookout and assist if possible.' Later we got the call to 'save the women and children.' I always rolled my eyes at the outdated idea that women needed saving first. But the heavy and horrible truth dawned on me in the midst of an unthinkable crisis: children need their mothers when everything else is lost. The sounds, smells, and heat continued to engulf us from shore, and eventually, the embers did too. By 9pm we were wrapped in wet towels, doing our best to save our home and our lives. The smoke was so thick we couldn't breathe. We couldn't open our eyes wider than a squint. We had to vomit between turns dousing the embers that reached our sails with seawater. By some miracle, we made it through the first day. Paslay writes that she and her partner Austin heard explosions left and right and screams echoing across the water. 'Eventually, the fire started moving closer to shore, and we could see the buildings and trees along the shoreline going up in flames, burning red in the darkness' By Wednesday afternoon on August 9, Paslay and Austin had to find more water to survive. 'Our worst case 3-day provisions were no longer going to cut it. We knew we could be looking at weeks. So, off to shore on our dingy we went' 'It looked like every apocalypse movie you've ever seen. Burnt cars all over the road where people obviously ditched them and started running or, in many cases, swimming. Everything was gone, the normally-bustling town flattened as far as the eye can see,' Paslay writes Day 2: Wednesday, August 9 All roads lead to death. By Wednesday afternoon we knew we had to find more water. Our 'worst case' 3-day provisions were no longer going to cut it. We knew we could be looking at weeks. So, off to shore on our dingy we went. Our first stop was our car. By some miracle, it wasn't burned, but it was looted and the gas had been siphoned. No big deal, the gas stations burnt down anyways, and the roads were closed. We knew we weren't driving anywhere anytime soon so we hoofed it. Within a block, reality began to set in. It looked like every apocalypse movie you've ever seen. Burnt cars all over the road where people obviously ditched them and started running or, in many cases, swimming. Everything was gone, the normally-bustling town flattened as far as the eye can see. We rounded our first corner and walked straight into a scene from Mad Max. Looters on dirt bikes and electric scooters wielding bolt cutters and weapons wove through the streets. Going car to car, house to house, taking whatever they could from those who had already lost everything. Halfway down the block, I froze. I heard a horrible screaming sounda wail of pain. I looked in the direction of the noise and saw a man looting a car. Surely, if someone human or otherwise needed help, even a looter would offer aid, right? After the looter left the car, the sound continued. Against all of my better judgment and protests from Austin, I walked over to investigate. On the floor of the passenger seat, I found a blind, old dachshund. Paws singed from the metal of the car, nearly convulsing from dehydration, covered in urine and feces. It was the first time I'd seen something that felt evil. Leaving a dog alone like that was unimaginable. I picked the smelly boy up, ran him through a broken fence into someone's backyard, and used the little bit of water left in their hose to get him something to drink. After going house to house, getting water, and doing my best to wash him down, I saw a father and a son riding by on a bike. I flagged them down, explained the situation, and they were more than willing to take over for the dog. While it helps me sleep better telling myself I saved him, I know I didn't. I just made sure he had a chance of being comfortable for the remaining time he had. During their journey into town, Paslay heard terrifying screams. On the floor of the passenger seat of a car, she found a blind, old dachshund with paws singed from the metal of the car, nearly convulsing from dehydration, covered in urine and feces When Paslay and her partner arrived at Foodland, they found it had already being looted. 'Now I have to admit, desperate times call for desperate measures, and Austin ran in and grabbed the two cases of water that would end up saving us. We left as quickly as we could,' she writes 'For days we were left with no power, no running water, and no access to cell or internet service. It was getting dangerous to go into town,' Paslay writes. She shared photos of the destruction in town After that fiasco, we kept trekking toward Foodland. Surrounded by charred cars, homes, animals, and humans, I kept my head down and marched on, did my best not to see what was around me. Upon our arrival at Foodland, we found it was already being looted. Product all over the ground, cash registers ripped open, the works. Now I have to admit, desperate times call for desperate measures, and Austin ran in and grabbed the two cases of water that would end up saving us. We left as quickly as we could. Feeling a bit iffy about taking the back roads home again, we headed towards Front Street. There were so many cars we couldn't get through. With their doors wide open, it was obvious that the people inside had no other option than to jump into the ocean. We looked toward the water and saw 3 cops pulling a body out of the water. We had seen enough for one day. We nearly ran home. Aftermath: For days we were left with no power, no running water, and no access to cell or internet service. It was getting dangerous to go into town. Where was the National Guard? Where was the Red Cross? Where was anyone trying to help us? Finally, on Friday, August 11, we got supplies. Not from FEMA, not from the Government, but from local people giving all they could and local boating companies taking the long trek here from the other side of the island to deliver them to us. After witnessing so much, I was overwhelmed by the support. The people of Maui truly came together to aid each other as a family. We still are. But what I'm hearing now saddens my heart. With no consistent access to phone or internet service, we only get bits and pieces but the National Guard has put a stop to people bringing donations to Lahaina. Vans filled with medicine are being turned away. Boats are being forced to dump supplies on the beach, as the food is not FEMA-approved. Those supplies are then locked up and kept from the people that need them. Meanwhile, tourism on the island continues. A group of volunteers who sailed from Maalaea Bay, Maui, form an assembly line on Kaanapali Beach brining water. Paslay write, 'Where was the National Guard? Where was the Red Cross? Where was anyone trying to help us?' Volunteers are seen bringing water, food and other essentials to those affected by the fires. 'After witnessing so much, I was overwhelmed by the support. The people of Maui truly came together to aid each other as a family. We still are,' Paslay writes Yes, tourism is Maui's bread and butter, but at what cost? There are not enough firefighters, cops, EMTs, doctors, or hospitals on this island to take care of the residents whose blood, sweat and tears are the foundation of tourism. Surely, if the people on a small island like Maui can come together to support thousands, the government could as well? Surely, the government would cast aside its need to control public perception to help, right? Surely the people that Americans turn to in a time of crisis wouldn't let them suffer, right? Like leaving a dog to die in a burning car, we were left to burn in Lahaina. I can't tell you what the government's next steps should be. I can't tell you how or what exactly needs to be fixed. But I can tell you that we need to start putting people first. We need to lead with love for one another. It seems like a lot of people have forgotten what it means to be human. To love thy neighbor. To treat others as we want to be treated. I guarantee that if any one of the high-ranking officials of any of these organizations were in our shoes, this is not what they would be looking for. As the people of Maui have shown the world, we must lead with compassion and start to put people over personal, political, or financial gain. We must lead with aloha. For Austin and me we lost our jobs, our community, and any foundation we had built for ourselves. We have no choice but to leave family, friends, and the place my mother's ashes are scattered. But, like the island of Maui, we will rise from the ashes and begin to grow again. For four months the Suez Rajan tanker and her cargo of 800,000 barrels of Iranian oil has lain at anchor off the coast of Texas after being seized by U.S. prosecutors for breaking sanctions. American contractors are refusing to offload the $56 million bounty because of warnings from Iran's Islamic Republican Guard Corps and the threat that U.S. vessels will be attacked in the Persian Gulf. Now a bipartisan group of lawmakers is demanding to know how Tehran and a group designated as a terrorist group can wield such power over U.S. territorial waters. And in a letter to President Joe Biden they urge him to face down the threats. 'The ability for a designated Foreign Terrorist Organization (FTO) to prevent the transfer of seized assets within U.S. territorial waters is an unprecedented intimidation effort that threatens to undermine our nation's security,' writes the group of five senators and five U.S. Representatives, made up of three Democrats and seven Republicans. 'No American citizen or company should ever fear retaliation from an FTO for assisting in law enforcement activities or engaging in lawful commerce.' For four months the Suez Rajan tanker and her cargo of 800,000 barrels of Iranian oil has lain at anchor off the coast of Texas - a little over 60 miles from Galveston - since being seized by U.S. prosecutors for sanctions busting. Its transponder location is shown by MarineTraffic Members of Iran's Islamic Revolutionary Guard Corps during a recent drill. The group's naval commanders says it will hold Washington responsible if the oil is offloaded The deadlock illustrates the difficulties facing U.S. officials as they to enforce sanctions on Iran. And it plays out against a much bigger backdrop of how to respond to Iranian threats. The Suez Rajan had been under scrutiny since last year amid reports that it covertly collected a cargo of Iranian oil from another ship somewhere off Singapore. It was intended for delivery to China. The Iranian Navy responded within days. Its commandos dropped from a helicopter on to the Advantage Sweet, a US-bound tanker carrying Kuwaiti crude for Chevron, seizing the vessel in international waters in the Gulf of Oman. Republican Sen. Joni Ernst, who organized the letter, said Biden had to take action. 'Iran's increased aggression is a direct result of President Biden's appeasement, and now they have been so emboldened that the I.R.G.C. is shamelessly calling the shots in U.S. waters,' she told DailyMail.com. 'This is not the time for weakness toward our foreign adversary, but the Biden administration is paying Iran $6 billion, which encourages Iran's hostage "diplomacy," greenlights additional funding for their terrorist activities, and endangers more Americans.' Last week, the White House confirmed it was working on a deal that would bring home five Americans held in an Iranian jail. Part of the agreement involves releasing $6 billion in seized assets to a bank in Qatar, allowing Tehran to use it for humanitarian purchases, such as food and medicine. For now, the oil is stranded. Iran responded within days to the seizure of the Suez Rajan. Iranian marines can be seen here rappeling on to the deck of the Advantage Sweet, a Marshall Islands-flagged tanker carrying oil for Chevron. This image is from an Iranian Navy video released on April 28 In their letter, five senators and five U.S. Representatives demand an end to an 'unprecedented intimidation effort that threatens to undermine our nation's security' Joni Ernst said: 'Iran's increased aggression is a direct result of Biden's appeasement, and now they have been so emboldened that the I.R.G.C. is shamelessly calling the shots in U.S. waters' Last month, Iranian state media quoted the I.R.G.C.'s navy commander warning Washington that it would be held responsible if it allowed the cargo to unloaded. 'Companies with any exposure whatsoever in the Persian Gulf are literally afraid to do it,' a Houston-based energy executive told the Wall Street Journal, citing worries 'that the Iranians would take retribution against them.' Prosecutors plan to use proceeds from the sale of oil for U.S. Victims of State Sponsored Terrorism Fund, which pays compensation to victims of terrorist attacks. 'Permitting the months-long delay in the seizure and transfer of the oil aboard the Suez Rajan, will further delay the federal governments ability to help make American victims of terrorism whole via USVSST funds,' write the lawmakers. 'This is unacceptable.' They want more information about the vessel and a national security assessment of threats made by Iran. Signatories include Democrats Sen. Richard Blumenthal, and Reps. Josh Gottheimer and Don Davis. A US Air Force A-10 flies over the USS McFaul during operations in the Gulf. The U.S. has stepped up its presence in the crucial shipping corridor amid Iranian threats The Advantage Sweet was seized in the Gulf of Oman in international waters in April,days after the Suez Rajan was seized by U.S. prosecutors Iranian leader Ayatollah Ali Khamenei is pictured At the same time, motorists face creeping gas prices. They hit a 10-month high on Tuesday reaching a national average of $3.86 a gallon, according to the AAA following output cuts in Russia and Saudi Arabia. Republican Rep. Elise Stefanik, who organized the letter among colleagues in the House, blamed the Biden administration for seeking a nuclear deal with Iran 'Recent reports allege that Iran is threatening to retaliate against U.S. civilians and corporations if they engage in the unloading of seized Iranian oil,' she said. 'This unacceptable behavior shows the Biden administrations continued weakness on the world stage is only emboldening Iran.' The U.S. Navy says Iran has seized at least five commercial vessels in the last two years and has harassed more than a dozen others. Many of the incidents have occurred in and around the Strait of Hormuz, the narrow mouth of the Persian Gulf through which 20% of all crude oil passes. Jeremy and his estate were placed in a conservatorship earlier this year after he was deemed incompetent to stand trial and sent to a mental hospital The disgraced porn star, 70, owns a total of four condo units in Hollywood - including the filthy apartment where he was revealed to be living in squalor Inventory and appraisal docs obtained by DailyMail.com of Ron Jeremy's assets reveal his fortune consists of $660,000 cash and almost $3.4M in real estate Disgraced porn star Ron Jeremy was sitting on a $4million fortune while living in total squalor in the lead up to his sexual assault charges, DailyMail.com can reveal. The former adult entertainer, 70, who was indicted on 30 counts of sexual abuse in 2020 after being accused by 21 victims - some of whom were as young 15 years old - had been awaiting trial only to be found incompetent earlier this year. Now, court filings obtained by DailyMail.com relating to a recent inventory and appraisal of Jeremy's estate reveal he had amassed a fortune that included $660,000 in cash assets, and almost $3.4million in real estate holdings as of March. Jeremy was one of the most famous male porn stars in the world. He even hosted the Ron Jeremy Exxtica in 2012 where he posed with scantily clad women Porn star Ron Jeremy was facing 300 years in prison when he was found incompetent to stand trial on numerous rape and sexual assault charges and was committed to a state mental health hospital instead earlier this year Inventory and appraisal docs obtained by DailyMail.com of Ron Jeremy's assets reveal his fortune consists of $660,000 cash and almost $3.4M in real estate In 2021 DailyMail.com published photos that showed the adult film star had been living in absolute filth in a cockroach-infested apartment at the Franklin Towers in Hollywood, where he owns a total of four condominiums. The adult film star's legacy became marred with allegations of rape in 2020 According to documents, Jeremy owned three units in that building located on the 7200 block of Franklin Ave, adjacent to Runyon Canyon, and a block north from the famed Hollywood Boulevard, valued together at $1.8million. Disturbing images showed Jeremy's living room filled with dozens of boxes, while the kitchen was filled with paperwork, used candles and empty bottles. There was barely enough room to move around in the apartment due to the junk strewn on the floor which was black with dirt. He owned another condo just 11 minutes away, on Barham Blvd near the Hollywood Reservoir, valued at an additional $1.6million. At the time of the appraisal, the infamous porn actor had five different bank accounts including one with $550,815 totaling $666,191.14 in cash. Ronald Jeremy Hyatt, known publicly as Ron Jeremy, had been incarcerated and held at the Twin Towers jail in Downtown Los Angeles, since 2020 after being charged with serial rape and sexual assault charges. According to documents, Jeremy owned three units - valued at a total of $1.8million - at the Franklin Towers in Hollywood, where he lived up until his arrest In 2021 DailyMail.com published photos that showed Jeremy had been living in absolute filth in a cockroach-infested apartment A visitor to his home told DailyMail.com: 'There was trash piled up, old porn memorabilia stuffed in overflowing boxes. His kitchen table looked like an unkempt outside porch, there were plants that had grown around the table legs and into the floor' Disturbing images showed clutter and trash strewn across nearly every room in the apartment The carpet in the lounge looks as old as Jeremy's early porn movies and the walls are not much cleaner He was due to learn his fate in court until he was declared mentally unfit to stand trial, after being found to be in a state of 'incurable neurocognitive decline' as a result of Lewy body dementia in February this year. His trial was suspended in March 2022 after he failed to recognize his lawyer and appeared to suffer a breakdown. He was instead remanded to a secure mental health facility in lieu of prison and he will spend his remaining years there In the weeks that followed, Jeremy and his estate were placed in a conservatorship, with his sister, Susan Billotte, of Denver, selecting professional fiduciary Ellen Finkelberg as temporary conservator in March. Finkelberg was later formally appointed in June, and is now permanently in charge of Jeremy's financial and personal affairs. Jeremy's mental decline was clear when he appeared in court in 2020 to face sexual assault charges He owned another condo nearby on Barham Boulevard near the Hollywood Reservoir, valued at an additional $1.6million Filings showed his four properties were valued at nearly $3.4million in total Last year, a documentary aired by UK network Channel 4 revealed the extent of Jeremy's mental decline and how he had struggled to write his own name in the lead up to his trial. The Channel 4 footage also showed that every inch of Jeremy's house was covered with piles of paper and random objects, such as as a ukulele case on top of a pile of cardboard boxes, towels and plastic bags. The counts against Jeremy included 12 of forcible rape, seven of forcible oral copulation, six of sexual battery, and two of penetration while the victim was asleep or unconscious, according to the Los Angeles County District Attorney's Office. Jeremy was charged with four counts of sexual penetration by a foreign object and two counts of sexual penetration of an unconscious or asleep person. Further charges included one count each of lewd act upon a child under the age of 15, sodomy by use of force and assault with intent to commit rape. LA District Attorney George Gascon said Jeremy's alleged sexual assaults happened over the course of more than 23 years and involved 21 victims ranging in age from 15 to 51. A 71-year-old New Jersey retiree allegedly 'suffocated his wife to death' before staging a crime scene and telling authorities there had been a home invasion. In Hasbrouck Heights, a suburb in Bergen County, about 15 miles outside of New York City, Michael Manis dialed 911 on Saturday evening to report that he'd come home to find his wife dead in the home they shared. Manis is now in police custody, facing a first-degree murder charge for killing his wife Judith. Neighbors on the unassuming suburban street said they saw Manis speaking to investigators Saturday evening, According to the criminal complaint, Manis later admitted to suffocating his wife with a pillow on Friday. Michael Manis dialed 911 on Saturday evening to report that he'd come home to find his wife dead in the home they shared Manis is now in police custody, facing a first-degree murder charge for killing his wife Judith The complaint says Manis then staged a home invasion, ransacking parts of the house to make it look like a robbery. He is accused of hiding the victim's his wife's jewelry in a basement ceiling and dumping other valuables in a nearby dumpster behind a 7-Eleven about a mile from the couple's marital home, where they had lived together since at least 1996. According to police, Manis voluntarily 'admitted to using a pillow to suffocate his wife.' He then 'plotted several scenarios' in an attempt to cover up the killing, 'ultimately deciding to stage a home invasion.' A motive for the alleged killing has not been released. Neighbors of the Manis' said they couldn't believe what had happened on their quiet suburban block. 'I was really surprised. I'm surprised anywhere. I mean evil is evil. You know, it's bad. So, it was unfortunate and sad,' Tom Garvin told CBS. Manis was charged Sunday with first-degree murder, second-degree desecrating human remains, hindering apprehension of oneself, and filing a false report to law enforcement. He is accused of hiding the victim's his wife's jewelry in a basement ceiling and dumping other valuables in a nearby dumpster behind a 7-Eleven about a mile from the couple's marital home, where they had lived together since at least 1996 According to the criminal complaint, Manis admitted to suffocating his wife with a pillow on Friday 'I was really surprised. I'm surprised anywhere. I mean evil is evil. You know, it's bad. So, it was unfortunate and sad,' Tom Garvin told CBS Early in the week, Hasbrouck Heights law enforcement officers were out canvassing the area for additional evidence. The Bergen County Prosecutor's Office is also investigating the case. It is unclear if the defendant has yet secured legal representation. He was being held in jail after his arrest pending a court appearance. Before retiring, Manis owned Manis Lighting LLC, a contracting company based out of Jersey, which, according to Fox, was disciplined in 2014 for falsifying documents to a state clean energy incentive program. A bikie boss who first made headlines for an 'Oscar-worthy' performance while being arrested by police in his undies is back in trouble with the law. Mustafa Hafizi, who allegedly leads the Hells Angels Parramatta chapter in Sydney's west, was one of three men charged over an alleged $1.2 billion business deduction scam on TikTok and Facebook. It's alleged hundreds of people submitted GST refund claims for fake businesses after watching the how-to viral videos. It prompted the Australian Tax Office to launch a crackdown and set up task force Operation Protego to investigate widespread GST fraud in partnership with Australian Federal Police. AFP officers arrested Hafizi during a raid at his Parramatta home a fortnight ago. Mustafa Hafizi, who allegedly leads the Hells Angels Parramatta chapter in Sydney's west, was one of three men charged over an alleged $1.2 billion business deduction scam on TikTok and Facebook Hells Angels Parramatta chapter boss Mustafa Hafizi (pictured during the recent arrest) has been charged with a string of offences over an alleged $1.2 billion business deduction scam He was charged with six counts of obtaining financial advantage by deception, one count of attempting to obtain a financial advantage by deception, one count of conspiracy to defraud the Commonwealth and a count of dealing with proceeds of money or property worth $1,000. Hafizi was granted bail to appear in Parramatta Local Court on September 29. The maximum penalty for these offences is 10 years' imprisonment. Hafizi was escorted by police from the home in a hoodie and tracksuit pants, unlike his previous run-in with officers captured on police bodycam. In December 2021, he was only dressed in a singlet and undies when he was arrested at another western Sydney home in relation to an unrelated incident. Hafizi is heard screaming hysterically as he's led from the home complaining that officers are hurting his shoulder before falling to the ground and saying he will 'sue' them. 'Ah! My shoulder! My f**king shoulder! You f**king assaulted me,' Hafizi screamed while writhing on the driveway. The bodycam footage also shows Hafizi in the back of the paddy wagon 'weeping' as he continues to cry out about his shoulder. Mustafa Hafizi was only dressed in a singlet top and undies during a previous arrest in relation to a separate incident in December 2021 A magistrate agreed with police that it was an 'Oscar-worthy' performance from Hafizi when the arrest was shown in court last September. Two other men from Sydney were also arrested in the recent AFP raids regarding the alleged GST fraud and charged with various offences. They included alleged Hells Angels Chinatown chapter leader Aaron Wynn, 38 and former Sydney Roosters NRL star Willis Meehan, 27, The Daily Telegraph reported. Wynn from Westmead was charged with a count of conspiracy to defraud the Commonwealth Meehan from Liverpool was charged with 'conspire to dishonestly obtain a gain' and possession of an unauthorised pistol. All three men are yet to enter a plea. Hafizi previously made headlines for his 'Oscar-worthy' performance during a 2021 arrest captured on police bodycam The recent arrests were part of ongoing joint Operation Protego-Cassowary targeting OMCG members and associates alleged to have been committing GST refund fraud. The ATO crackdown into alleged fraud has led to more than 100 arrests and at least 10 people convicted. 'The fraud involves offenders inventing fake businesses and Australian business number (ABN) applications, then submitting fictitious Business Activity Statements in an attempt to gain a false GST refund,' ATO Deputy Commissioner John Ford said. 'GST fraud is a serious offence. Criminals use the profits they receive to fund further illegal activities. What we're finding is that our investigations and intelligence into Operation Protego are helping to open doors that enable enforcement agencies to act on broader crimes. 'The outcomes of these activities demonstrate the strength of our partnerships with law enforcement agencies. We know who has committed the fraud, and we're only one step away from knocking on the next door.' An Australian family ordered to pack up their lives and leave the country within five weeks has had a heartwarming win after being granted permanent residency. Nathan and Emma Mills were left 'absolutely devastated' after their repeated immigration attempts failed despite calling the country home for the past 13 years. In June, the couple and their children James, Harry and Daisy were given their marching orders to leave Australia within 35 days even though Daisy had been born in the country. The family had arrived on 457 skilled worker visas to care for Emma's dad, an Australian citizen who suffers from serious spinal and mental health issues. This week their fight culminated in a tense four-hour interview with the Department of Home Affairs in which they were detained and had to surrender their indefinite bridging visas. But, when they emerged, an emotional Nathan and Emma revealed they had been allowed to stay in the country with their entire family granted permanent visas. Nathan and Emma Mills arrived in Australia from the UK in 2010 with two young children and have since had a third who is an Australian citizen (pictured) 'I can't believe it we've just wanted this day for so long,' Emma told A Current Affair. 'The children can continue to live in a country they remember. 'We've always done the right thing, we've gone down the right avenues but the immigration rules were changing constantly which led to this happening.' Nathan said the long fight had been worth it as they can now look forward with a sense of stability. 'We are ecstatic this has paid off,' he said. 'It's been such a long journey. But now there's no more stress and hassles and we get to remain as a family in the country that we adore.' The pair arrived in Australia from the UK in 2010 with two young children before having Daisy, who is an Australian citizen. When the family arrived in Australia they settled into the Sutherland shire, south of Sydney. Emma learnt from the children's schools that they were to be sent back to the UK. 'The principal informed me that from Monday she (Daisy) was not permitted to return to school. I was in shock and disbelief,' she said. She added she then got a call from the boys' high school saying the same thing, despite their eldest being just days away from sitting the HSC. The couple has three kids, one of whom was born in Australia and another who is halfway through HSC year (Emma pictured with eldest son James and youngest Daisy) The family won an appeal in the Administrative Appeals Tribunal which they believed should have seen them granted a carer visa, but that never occurred. Then, on April 13, Emma received a letter from the Home Affairs Department saying they had 35 days to leave or they may be detained and deported. Since then, the family have been on bridging visas and were advised to not leave Australia while their status was up in the air. 'Are we going to be sent back to a country that is essentially foreign to us all now?' Emma said. 'My children don't have lives or memories of the UK, they have memories of Australia. This is their home.' Immigration Minister Andrew Giles stepped in and used his ministerial powers to grant the permanent visas this week. Under the Migration Act, the minister can intervene to substitute a decision of the Administrative Appeals Tribunal with a more favourable decision. The minister is not obliged to intervene in any matter and intervening is entirely at their discretion. SK On CEO Ji Dong-seop, center, poses with South Chungcheong Province Gov. Kim Tae-heum, right, and Seosan Mayor Lee Wan-seob after signing a memorandum of understanding on the plan to build SK On's third battery manufacturing facility in Seosan, South Chungcheong Province, in this photo provided by SK On, Aug. 16. Yonhap SK On said Wednesday it is spending 1.5 trillion won ($1.12 billion) to add a new manufacturing facility in Korea in its biggest domestic investment as it pushes for an expansion. The envisioned third factory will be established on an area of 44,125 square meters in an industrial complex in Seosan, South Chungcheong Province, the battery making unit under SK Group said in a release. SK On's two existing domestic plants are located in the same city. SK On signed a memorandum of understanding on the investment plan earlier in the day with the city government of Seosan and the provincial government of South Chungcheong Province, attended by its CEO Ji Dong-seop and other officials. The facility, which is scheduled to be completed in 2025, will have an annual capacity of 14 gigawatt hours by 2028, SK On said. That would boost SK On's total domestic production capacity to around 20 GWh, equivalent to powering some 280,000 electric vehicles. The Seosan plant's current production capacity stands at 5 GWh. Globally, SK On has the capacity to produce 89 GWh. (Yonhap) The incredible story of four Aussie surfers' rescue after they spent 36 hours drifting in the Indian Ocean off Indonesia could have a bitter end. Australians Steph Weisse, Jordan Short, Elliot Foote and Will Teagle went missing alongside a crew of three Indonesians while island-hopping in a wooden longboat. The group had set off from Nias Island for a 50km voyage to Pinang Island but ran into bad weather. Steph, Jordan, Elliot and Will were rescued along with two of the crew members on Tuesday - but one of the Indonesian men, Fifan Satria, remains missing. Indonesia's national search and rescue authority, Basarnas, called off the search for Mr Satria at about 5pm on Tuesday. The news comes after a friend of one of the Aussie surfers who was found off the coast of Indonesia opened up on the emotional moment her mate was found alive. Indonesian crewman Fifan Satria is still missing after the rescue of four Australians surfers (above) on Tuesday (Left to right: Jordan Short, Elliot Foote, Steph Weisse and Will Teagle) The four Aussies and three Indonesian crewmen went missing in a storm during a 50km voyage from Nias Island to Pinang Island Despite calm conditions and the help of several boats, a plane and a drone, search crews were unable to find any trace of the missing Indonesian crewman. Captain of the longboat, Yunardi Ardi, said large waves caused by a storm hit his boat from the back and caused it to sink on Sunday, so the group used their surfboards to stay afloat. 'The foreigners started paddling, we the Indonesians stayed floating, my missing friend looked weak as he was carried by a wave to the east towards Singkil,' he told the ABC. Singkil, in Aceh province, is a town on the north mainland's west coast. Mr Satria said he spotted a lighthouse and began paddling towards it. 'The waves kept pulling me away from the island but eventually a rescuer found me near Palambak Island,' he said. A friend of the missing Aussie surfers, Ellie Sedgwick, recalled the moment she found out they'd disappeared before their miracle rescue on Tuesday. Steph (right) was one of three surfers rescued from the ocean on Tuesday while Elliot was found on a nearby island 90 minutes later 'I was camping in Western Australia and I got a phone call from [Steph's] mum. She couldn't speak very clearly but she was saying they were missing,' she told Sunrise on Wednesday. 'I was at the other end of the phone trying to piece together the words she was saying but that's how I found out. 'I didn't want to call [Steph's] mum every minute but that's all I was thinking. I just wanted to know, I just wanted to be updated. 'Time has never gone so slow in my whole life.' Steph, Jordan, Elliot and Will were four of 12 mates holidaying in Sumatra to celebrate Elliot's upcoming 30th birthday. Elliot and Steph are long-term partners and recently moved to Mullumbimby, near Byron Bay in northern New South Wales. The remaining eight friends were on a second wooden longboat for the trip from Nias Island to Pinang Island. Their crew made the call to wait out the storm on Sarang Alu, an island halfway from their destination, but the missing boat decided to go ahead. Both boats set out at about 3pm on Sunday and the sunken boat was last seen when the group separated sometime around 6pm. Close friend of the group Ellie Sedgwick (above) said she found out they'd gone missing through Steph's mother The four friends were travelling on a wooden longboat (above) when large waves caused by a storm sunk it A large-scale rescue mission including more than 20 police, military and volunteers as well as a private plane chartered by The Australian Department of Foreign Affairs and Trade searched for two days. Finally on Tuesday morning Australian volunteer Grant Richardson discovered the group. Richardson is an experienced skipper with thorough knowledge of the area's tides, wind and swell patterns. He'd spent all of Monday night sailing his catamaran Sea Mi Amore to find the surfers when he found Steph, Will and Jordan floating on their surfboards. A friend on the boat filmed the moment the trio were rescued. The group cheered and screamed with joy before questions set in about Elliot's whereabouts. Thankfully, Elliot was found on a nearby island just 90 minutes later - he'd separated from the group in the hopes of finding help. Photos on social media showed the four Aussies were in good spirits with Elliot enjoying a well-earned beer once back on dry land. Jordan Short (above) was one of three surfers rescued from the ocean on Tuesday, social media photos showed the group were in good spirits after the ordeal The surfers and two rescued members of the Indonesian crew were taken to a nearby hospital for check-ups. The Australians are also are being supported by officials from the Embassy. Elliot's father, Peter, was in the middle of an interview with Sky News outside his Bronte, Sydney, home when he received a text from his son around midday on Tuesday. 'It's saying they're all found,' Mr Foote's partner yelled down from the balcony, with her phone in hand. Mr Foote then received a text message confirming the good news. 'Hey Dad, Elliot here. I am alive, safe now, love you. Chat later,' his son had texted. The worried dad's expression immediately changed and he told reporters: 'I still want to talk to him. I'm happy like I can talk now, I'm not gonna crack up and break down. 'I don't know if I can celebrate. He's put me through too much pain to celebrate. 'I've aged in the last two days, 100 per cent.' Elliot's father, Peter Foote, said he hopes the group stay in Indonesia to finish their holiday (pictured, a photo Elliot shared the day he went missing) Mr Foote later said he hoped the group would remain in Indonesia to see through the remainder of their trip. 'I am sure they are all fine. If the surf is great and the weather has come good and they are having a great time with their best mates, there is no point in him coming home,' he told the Sydney Morning Herald on Tuesday. 'They are there for another eight days. Hopefully, they will feed them up and he will be back out there enjoying it. He has a great story to tell. They all do.' Chicago's progressive new mayor has fired the city's top doctor, and refused to answer questions on whether the firing was related to her push to reopen schools at the end of the COVID pandemic. Dr. Allison Arwady, the commissioner of the Chicago Department of Public Health, who spearheaded the city's response to the COVID-19 pandemic, was fired on Friday by Mayor Brandon Johnson. Johnson - who took over as mayor in May and replaced the widely unpopular Lori Lightfoot - was a union organizer before entering politics. Chicago's teachers' union resisted Arwady's push to reopen schools in February 2021 During the mayoral election progressive Johnson said he would fire the doctor who pushed for the reopening if he was elected. Johnson later walked back his statement, saying he would meet with her before making a decision. But he said that he did not approve of her decision to push for the reopening of Chicago Public Schools amid pandemic safety concerns. Dr. Allison Arwady, the commissioner of the Chicago Department of Public Health, was fired on Friday On Monday, Johnson dodged questions from reporters about her firing and whether the dismissal was 'payback' for her push to reopen schools in February 2021, sooner than when the union wanted them open. She said the outcome was 'not a surprise, but the manner of it, I think, was unnecessarily destabilizing to my department.' 'I'm respectful of this decision. I'm just concerned that the way it was made will actually make it harder to bring in a new commissioner, like someone who really understands public health and has the expertise and the knowledge to lead this department.' In January 2022, the union voted to cancel in-person classes in the district amid COVID fears. That was despite many areas in the country having returned to classroom learning after shutdowns. 'Right now going into schools puts us at risk, puts our students and families at risk. Were in the middle of a dangerous surge. We dont think bars should be open, Chicago Teachers Union President Jesse Sharkey said at the time. He claimed the city's safety plan to return to in-person learning was 'fairly inadequate. That led to quick criticism from parents, some who said the decision was mad so teachers could stay at home. 'Youre using our children as leverage. Parents are the ones to decide if its safe to send our children to school. This is a disgraceful power move,' one said on Twitter in response to his press conference. Brandon Johnson, the mayor of Chicago, fired the city's top doctor on Friday Got a story for DailyMail.com? Contact us at: newsUS@dailymail.com Email Arwady told NBC Chicago she was not aware why she had been fired, but she was disappointed that her dismissal was immediate. 'It is so important that the person who comes in next in my role understands public health and wants to fight for it in the right ways,' she said. Johnson was elected on a progressive platform of public safety that went beyond policing - but distanced himself from past support for defunding of law enforcement. Lightfoot lost her efforts to win a second term, largely due to dissatisfaction with Chicago's soaring crime and her progressive policies. Johnson on Monday dodged questions about his motivation for firing Arwady. 'Transition is difficult for everyone,' he said. 'But as already has been articulated, I don't know how many times you're allowed quote Tupac in a press conference, you can't always go by the things that you hear. 'Real eyes, right? Realize, real lies. That's also Tupac Shakur.' Prime Minister Anthony Albanese's admission that he hasn't read the additional 25 pages of meeting briefings, notes and context which led to the Uluru Statement from the Heart has been met with ridicule and disbelief. Mr Albanese has repeatedly vowed to implement the statement 'in full', advocating all three pillars that were born out of the dialogues around Australia in 2017: Voice, Treaty, Truth. It has since been revealed there is an in-depth, 26-page explanation of the statement which calls for reparations, 'rent' to be paid, a reconsideration of land rights and a re-writing of Australia's history. These arguments were not included in the final statement, however critics are concerned they will be topics that the Voice to Parliament will be interested in pursuing. The prime minister was asked if he 'agrees with most of what is said in those pages' during a lengthy sit-down interview with 3AW's Neil Mitchell. To the radio host's surprise, Mr Albanese revealed he hadn't read it. 'I haven't read it,' he said. 'There's 120 pages, why would I? 'I know what the conclusion is. The Uluru Statement from the Heart is one page. That's the conclusion.' The PM was asked if he 'agrees with most of what is said in those pages' - and revealed he has not read them Sky News commentator Peta Credlin slammed Mr Albanese's admission that he hadn't read the documents as 'madness'. She said the PM had repeatedly said he would implement the Uluru Statement of the Heart 'in full'. 'Surely, to sign us up to something you admit you haven't even bothered to read is madness. How do you even know what it is in full if you've just read the cover and not the contents?' She said it was the 'constitutional equivalent of giving a whole lot of Indigenous activists a blank cheque'. 'This is a politician who says he's supported the Uluru push from day one, yet he admits that he's failed to even flick through the very material that the Uluru authors say everyone must read.' Her comments were backed up by 2GB morning host Ben Fordham, who said he was 'astonished' the PM hadn't read the documents which led up to the Uluru Statement of the Heart. 'Are you serious? Indigenous leaders say you should, PM,' Fordham said. 'They say to truly understand how they arrived at the Uluru Statement, it helps to read the whole document, not just the glossy one-pager. I think you can handle 120 pages.' Later on 2GB, Opposition Leader Peter Dutton said he'd 'never seen anything like it' when asked to weigh in on the PM's revelation. 'This is the biggest proposal to change in our nation's history and the prime minister says he hasn't read the supporting documents. He's making it up as he goes along. 'I don't know whether he's deliberately doing it, or he's not across the detail, or if he believes that if he tells the truth it will give rise to more questions.' Mr Dutton said: 'When you make a change in the constitution you can't change a law in the parliament that undoes it... The PM can't hand on heart say any area of public policy is outside the scope of the Voice. 'I don't know why he's pretending, trying to mislead. I don't know what it is but he's in a fantasy land at the moment.' What was adopted at the Uluru convention in 2017 was the single page statement, and other requests and suggestions made during the dialogue process were not 'endorsed by the statement' or 'adopted as government policy'. Prime Minister Anthony Albanese has repeatedly said the this proposal fits on one A4 page. He held up a piece of paper, with the Uluru Statement, in parliament Yes campaigners and officials have repeatedly insisted the Uluru Statement from the Heart 'respects the primacy of parliament' and that all decision making power will ultimately fall on politicians of the day to negotiate. The referendum would ensure that an advisory body - the Voice to Parliament - would be constitutionally enshrined and there would be no way for a future government to abolish it. Confusion around the Voice and Mr Albanese's handling of the impending referendum has hurt him at the polls, with support for his party and him as leader slumping over the past month. Labor is still the preferred party to govern, but core support has fallen from 39 to 37, while the Coalition has risen from 30 to 33 per cent. Respondents overwhelmingly described the Voice as a point of contention in the Resolve poll, as campaigning from both sides of the divide heats up. Mr Albanese argued that Peter Dutton and the No campaign know full well the statement 'is one page, not hundreds of pages'. He said the pages that have recently been 'unearthed' under a Freedom of Information request have never been kept hidden. 'Those pages have been on the website for years, put there under the former Coalition government,' he said. 'I respect people can look at the same thing and come to different conclusions, there are legitimate reasons why people would do that. I just wish people would debate what is real, rather than what they know is not real.' Mr Albanese has repeatedly said Labor is committed to adopting the Uluru Statement of the Heart 'in full' Yes campaigner and Uluru Dialogue co-chair Professor Megan Davis said has repeatedly said First Nations people have been calling on the Australian public to read all 26 pages of the document, which contains the Uluru Statement for years. She said: 'For seven years, we have encouraged politicians, the media and Australians generally to engage to learn more about the Uluru Statement from the Heart. 'We have asked Australians to read the one pager and the 'Our Story' that follows and the summary of the decision-making and many other documents contained in the report. 'We have conducted thousands of conversations about it and offered many more.' Mr Albanese confirmed he would not seek to legislate the Voice if it is voted down at the referendum, and will respect the wishes of the Australian public. But he does think it would be a missed opportunity to improve the lives of people suffering from 'intergenerational disadvantage'. 'We're doing our best,' the PM said. Mr Albanese flatly denied supporting reparations, and assured listeners that such a policy is not on his agenda. He was asked: 'will we have to face that? Regardless of whether the Voice gets up, somewhere down the track, do we have to face that?' The PM answered: 'no.' Mr Albanese confirmed he would not seek to legislate the Voice if it is voted down at the referendum, and will respect the wishes of the Australian public Mitchell asked if it was 'unreasonable for people to read the additional pages and ask' questions about the prospect of treaties, reparations and truth-telling. But Mr Albanese said his problem has been with No campaigners appearing to conflate those matters with the Voice. 'What is not legitimate is to pretend that that is what the referendum is about. There is nothing in the Uluru Statement about reparations. There is truth-telling, but is anyone actually against telling the truth?' Mitchell said: 'well it depends, the truth according to who?' In 2018, Prof. Davis told a convention that: 'The Uluru Statement from the Heart isn't just the first one-page statement; it's actually a very lengthy document of about 18 to 20 pages, and a very powerful part of this document reflects what happened in the dialogues.' Seeking to clarify, Prof. Davis now says: 'The first page, the one page, is the statement and the rest shows how our mob worked that out in the course of the two years that we did this work.' Yes23 campaign director Dean Parkin told 2GB's Ben Fordham: 'The additional documents that are being talked about are the inputs. These are the broader consultations that happened in the lead up. 'It was about making sure that people understand that there is substance that led into the process, that led to that final one-document page.' But there are concerns about the contents of the broader document, as it shows the agenda of those who framed the Uluru Statement, which the government is intent on adopting in full. The document clarifies that Makarrata is another word for Treaty, which is another word for agreement making. The terms can be used interchangeably Within the 26-page document is discussions about land rights, reparations and sovereignty. 'At the heart of our activism has been the long struggle for land rights,' the document states. 'The taking of our land without consent represents our fundamental grievance against the British Crown. 'There is Unfinished Business to resolve. And the way to address these differences is through agreement making.' The document clarifies that Makarrata is another word for Treaty, which is another word for agreement making. The terms can be used interchangeably. 'This is the culmination of our agenda,' the document states - a line which did make its way into the final version of the Uluru Statement. 'It captures our aspirations for a fair and honest relationship with government and a better future for our children based on justice and self-determination. 'Through negotiated settlement, First Nations can build their cultural strength, reclaim control and make practical changes over the things that matter in their daily life. 'By making agreements at the highest level, the negotiation process with the Australian government allows First Nations to express our sovereignty.' More than 100,000 North Carolina residents were left in the dark Tuesday after a series of intense storms wiped out power and temperatures reached new highs. Durham County, home to Durham - a city of about 285,000 residents and Duke University - made up nearly half of the outages with roughly 67,000 powerless. Neighboring Orange County reported more than 14,000 residents with the lights out Tuesday evening after the thunderstorms hit the area. Among the issues facing residents in the Triangle - the area between Duke, UNC-Chapel Hill, and North Carolina State University - were downed tree limbs and an accident on a major highway. The storms also came on one of the hottest days in the Tar Heel State as temperatures soared and reached nearly 100 degrees at its peak. More than 100,000 North Carolina residents were left in the dark Tuesday after a series of intense storms wiped out power and temperatures reached new highs Late Tuesday evening, a massive crash on Interstate 40 in Durham shut down the highway during rush hour Among the issues facing residents in the Triangle - the area between Duke, UNC-Chapel Hill, and North Carolina State University - were downed tree limbs and a major highway crash Fallen trees and rain slammed the Triangle Tuesday morning and afternoon Late Tuesday evening, a massive crash on Interstate 40 in Durham shut down the highway during rush hour. More than 30 cars were involved in the incident on the highway, officials said. The road was closed for several hours. The National Weather Service had issued a severe thunderstorm warning for parts of the Triangle. Among the concerns were wind speeds up to 80mph Hail around the size of a quarter was also predicted by meteorologists. The National Weather Service ultimately extended a Severe Thunderstorm Watch for the Triangle and a Severe Thunderstorm Warning until 9pm Tuesday. Aside from Durham and Orange counties, Wake County reported a high number of residents without power, some 12,400. Guilford County - located several counties to the east of Durham - also had nearly 20,000 neighbors without power Tuesday evening. It's unclear when power will be restored to residents in the area. The National Weather Service had issued a severe thunderstorm warning for parts of the Triangle. Among the concerns were wind speeds up to 80mph Hail around the size of a quarter was also predicted by meteorologists. Pictured: Storm clouds over a North Carolina airport on Tuesday Durham County (red) saw the highest number of outages with more than 67,000 North Carolina was the epicenter of a recent storm pattern on the East Coast The power outages and damage from the storms comes just one week after rainfall in Massachusetts left cars submerged and buildings destroyed. A tornado touched down in Mattapoisett - around an hour's drive from Boston - on August 7 as the state bore the brunt of the weather moving through the region. Severe rainfall deluged New England throughout the day, causing travel chaos, flooding roads, and sending officials scrambling - with flood warnings introduced in several states and water rescue teams deployed across the western part of Vermont. The downpour rolled through just a day after a series of storms battered the East Coast, killing at least two people while leaving almost a million without electricity. Daily Mail Australia doesn't suggest Erin tried to poison Simon or her four guests It comes after emergence of 'creepy' scribblings in her home The chef of the deadly mushroom lunch has rejected claims she is an 'evil witch' after a photo emerged of 'disturbing' scribblings on her dining room wall. Erin Patterson, 48, hosted the now-infamous lunch at her home Leongatha, in Victoria's southeast Gippsland region, on July 29, which left three of her in-laws dead of suspected mushroom poisoning, while a fourth man remains in a coma. Daily Mail Australia is not suggesting Ms Patterson is responsible for the poisonings. Now the mother-of-two has broken cover to bemoan her portrayal in the media and to protest her innocence. 'I lost my parents-in-law, my children lost their grandparents,' she told The Australian. 'And I've been painted as an evil witch. And the media is making it impossible for me to live in this town. Erin Patterson (pictured) has broken her cover to complain about her alleged portrayal as an 'evil witch' The above family tree shows the connections between the Pattersons and Wilkinsons embroiled in the unusual poisoning tragedy in Leongatha 'I can't have friends over. The media is at the house where my children are at. The media are at my sister's house so I can't go there. This is unfair.' Her comments come after a tradesman revealed he took a picture of a so-called 'death wall' in the home Ms Patterson used to share with her now estranged husband, Simon. The tradie, who asked to remain anonymous, said he was paid to remove disturbing graffiti from the interior wall in the home in Korumburra, 15km from Leongatha, that the couple eventually sold for $545,000 after they split up. It's believed that they had previously lived there with their two children. The images, which Daily Mail Australia has seen, include pictures of grave stones and bizarre scribbles with themes of death and destruction drawn in black and red ink - the latter used to symbolise blood. 'It was disturbing. We called it the death wall,' the tradie said. 'They were done by their (the Pattersons') daughter. It is pretty disturbing for mum to let the kids draw on their dining room wall.' The poster-sized drawings featured two tombstones with daggers and decapitated heads, along with scribbles and dark quotes, including the words: 'You are dead by the sword'. Another has the date 'August 1, 2021' with the words 'you will die within a year' written underneath. One of the tombstones appears to say 'grandma R.I.P.' while the third reads 'ME R.I.P.' Another person who saw the images described them as 'satanic.' Ms Patterson, a stay-at-home mum who has previously worked editing a Korumburra newsletter, says she bought the suspected toxic fungi at a supermarket and an Asian grocery store, and was herself hospitalised after eating the lunch. Ian Wilkinson and Heather Wilkinson (both pictured) became severely ill after they ate wild mushrooms. Mrs Wilkinson died on Friday while her husband remains in a critical condition in hospital Gail and Don Patterson died after eating the mushrooms But Daily Mail Australia revealed earlier on Wednesday that she was an experienced fungi forager known to pick wild mushrooms around Victoria's Gippsland region, a source close to the family said. A friend of the Patterson family said Erin was 'very good at foraging' and identifying different mushroom varieties. 'The Patterson family (including Erin and Simon) would pick mushrooms each year when they were in season,' the friend said. 'It's very common for people to go mushroom picking around that area.' Ms Patterson had intended to use the meal as reconciliation with her estranged husband Simon, but he pulled out at the last minute. Simon's parents Gail and Don Patterson died after eating the meal - a beef wellington - while his aunt Heather also died. Simon Patterson was supposed to join his parents for the lunch that killed them but pulled out at the last minute Heather's husband, 68-year-old church pastor Ian Wilkinson, is in a coma at Melbourne's Austin hospital. A source close to the police investigation into the deaths told the Herald Sun that one of the three people who died had a final conversation with a paramedic. That paramedic felt it was necessary to relay what was said to the police, the source claims. It is yet not known what the lunch guest said to the paramedic. Ms Patterson, a stay-at-home mum who has previously worked editing a Korumburra newsletter, says she bought the suspected toxic fungi at a supermarket and an Asian grocery store, and was herself hospitalised after eating the lunch. Her children did were not present at the lunch and went to the movies instead. They ate leftovers the next day, but the mushrooms were scraped off as they don't like them. Ms Patterson told police she became unwell after eating the meal too, and that while she was in hospital, her ex-husband Simon accused her of poisoning his parents. She said she was 'discussing the food hydrator' she used to prepare the meal when her ex-husband asked: 'Is that what you used to poison them?' In a panic, Erin has admitted that she then dumped the dehydrator at a nearby tip. The dehydrator has been found by police and a source close to the investigation told The Age police were conducting forensic tests on the dehydrator - which was found at a nearby tip. In a written statement sent to Victoria Police on Friday and obtained by the ABC Ms Patterson expressed hurt that people 'were so quick to rush to judgement.' 'I am now wanting to clear up the record because I have become extremely stressed and overwhelmed by the deaths of my loved ones,' Ms Patterson said. 'I am hoping this statement might help in some way. I believe if people understood the background more, they would not be so quick to rush to judgement. 'I am now devastated to think that these mushrooms may have contributed to the illness suffered by my loved ones. I really want to repeat that I had absolutely no reason to hurt these people whom I loved.' She had earlier given a no comment interview to police at the start of their investigations, blaming her decision to stonewall cops on it being a 'terrifying and anxiety-provoking' experience. After a month of silence, secretive North Korea has finally confirmed it is holding US soldier Travis King as the hermit kingdom claims he defected because of mistreatment and 'racial discrimination' inside the US Army. The report came from North Korean state media on Wednesday, and is the first public acknowledgement of the American's defection into the North's territory by North Korea. The US soldier, Private Travis T. King, fled to North Korean territory while he was on a civilian tour of the Joint Security Area along the heavily fortified border between the North and South in July. He was last spotted running across the DMZ while laughing, according to eyewitnesses. US officials say they believe he crossed intentionally, one day after he was supposed to report to an Army base in the US. US Army Private Travis T. King fled into North Korea last month a day after he was supposed to travel to a base in the United States The heavily policed Military Demarcation Line that separates North and South Korea North Korean investigators now say they have concluded that King crossed the border deliberately, illegally and with the intent to stay in the country or flee to a third country. Wednesday's report from state news agency KCNA added King fled to the North due to the 'inhuman maltreatment and racial discrimination within the US Army.' 'During the investigation, Travis King confessed that he had decided to come over to the DPRK (the initials of the North's official name) as he harbored ill feeling against inhuman maltreatment and racial discrimination within the US Army,' KCNA reported. 'He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society.' The statement concluded by noting that the investigation will continue. There were no pictures or video of King inside the kingdom, details on where he is being held or his current condition. King had been facing disciplinary action for a string of alleged crimes in South Korea that landed him jail. The soldier was told to return home after his release from jail. Instead, King joined a tour group and was spotted at the border when he dashed past the demilitarized zone laughing and into the communist country. Near the left side of the photo, in the black shirt and cap, Private King is seen taking a tour of the Joint Security Area between the two Koreas on July 18 In July, Representative Michael McCaul said he was concerned the North may capitalize on the 23-year-old's defection and set a price for his return. 'We see this with Russia, China, Iran: When they take an American, particularly a soldier, captive, they exact a price for that,' McCaul said on ABC last month. 'And that's what I worry about.' Speaking of King's decision to enter the communist country, McCaul suggested 'he was running away from his problems.' 'He was facing disciplinary charges, and was gonna get a flight back to the United States,' McCaul explained. That plane would have brought King to Texas, where he would face a 'ending administrative separation actions for foreign conviction' hearing, after he had already served 47 days in a South Korean detention facility. 'But instead, he did not board the plane, went with a tour group to the DMZ and then ran across the line,' McCaul said. 'That's something you just don't do.' King had been fined for assault while stationed in South Korea and had been detained for more than a month before being escorted to Incheon International Airport by the U.S. military for a commercial flight to Dallas, Texas, according to U.S. officials. Once past security checks, he told airline staff at the departure gate he had lost his passport and returned to the terminal, an airport official said on condition of anonymity. King then joined a civilian tour of the Panmunjom truce village when he dashed across the Military Demarcation Line that has separated the two Koreas since the Korean War ended with an armistice in 1953. King had been scheduled to board a flight back to the United States to face a disciplinary hearing A sightseer on the border tour captured King in a photograph moments before he bolted into the secretive communist state. King was seen wearing a black t-shirt and hat purchased from a gift shop at the demilitarized zone as he peers across the border into the secretive communist country. Witnesses said he laughed hysterically as he made the mad dash after fleeing his military superiors and joining the tour. The tourist who witnessed King's crossing and took the photo of the soldier, Sarah Leslie from New Zealand, said she initially believed it was a stunt 'for TikTok'. The group left Seoul by bus in the early morning, and Leslie noticed that King was traveling alone and didn't seem to talk to others on the tour. At one point, she said, he bought a DMZ hat from a gift shop. The tour was nearing its end Tuesday afternoon the group had just walked out of the building and were milling about taking photos when she saw King running 'really fast'. 'I assumed initially he had a mate filming him in some kind of really stupid prank or stunt, like a TikTok, the most stupid thing you could do,' Leslie said. 'But then I heard one of the soldiers shout, 'Get that guy.'' King had been fined for assault while stationed in South Korea and had been detained for more than a month Last month, Pentagon spokesperson Sabrina Singh said the U.S. Army's counter-intelligence office and U.S. forces in South Korea are now carrying out an investigation into what drove King to make such a puzzling decision. Singh declined to directly respond to a question about whether the Pentagon believed King was still alive. She said, at the time, the U.S. military could not offer any information at all about King's status. 'We don't know his condition. We don't know where he's being held. We don't know the status of his health,' Singh said, describing his formal status in the military as 'AWOL,' or absent without leave. A trio of Bulgarians who were arrested on suspicion of spying for Russia had allegedly posed as journalists to carry out surveillance on targets in London and Europe, it has emerged. Orlin Roussev, Bizer Dzhambazov and Katrin Ivanova were among the five people arrested in February under the British Official Secrets Act following raids on properties in London and Great Yarmouth in Norfolk. MI5 allegedly passed the intelligence on to the Met Police. They have been charged under the British Identity Documents Act with possessing 19 fake documents with improper intention, including passports, identity cards and papers for the UK, Bulgaria, Italy, Spain, France, Croatia, Slovenia, Greece and the Czech Republic. The trio has also been accused of posing as journalists from American television companies after Scotland Yard found forged press cards and branded clothing from the Discovery and National Geographic channels, The Times reported. The forgery operation - which aimed to surveil targets in London, Germany and Montenegro - was allegedly run by Roussev, 45, out of a guesthouse in Norfolk. Investigators allegedly found equipment to produce false documents in his room. The Bulgarians, who wait to stand trial next year, also had links to a flat in north-west London located a mile away from the RAF Northolt military base, according to The Telegraph. The base is frequently used by ministers, foreign heads of state and members of the royal family. Orlin Roussev, (pictured) Bizer Dzhambazov and Katrin Ivanova were among the five people arrested in February under the British Official Secrets Act following raids on properties in London and Great Yarmouth in Norfolk They have been charged under the British Identity Documents Act with possessing 19 fake documents with improper intention, including passports, identity cards and papers for various countries. Pictured: Katrin Ivanova The trio has also been accused of posing as journalists from American television companies after Scotland Yard found forged press cards and branded clothing from the Discovery and National Geographic. Pictured: Bizer Dzhambazov The three Bulgarians who have been charged in the national security investigation have been residents in Britain for several years and have lived in a variety of suburban locations while working in a number of jobs. Roussev, of Great Yarmouth, Norfolk, reportedly has a history of business dealings in Russia. He moved to the UK in 2009 and worked in a technical role in the telecoms sector, according to his Linkedin profile. The page also claims that he once advised the Bulgarian ministry of energy. He is understood to have been arrested at a seaside guesthouse owned by a Bulgarian businesswoman. Neighbours said a tent was erected by police outside the three-star Haydee Hotel in Great Yarmouth when Roussev was detained. Dzhambazov, 41, and Ivanova, 31, of Harrow, northwest London, ran a community group that helped Bulgarians integrate into the culture and norms of British society. Neighbours said they were popular figures locally, having handed out cakes and pies to people living nearby. The pair also worked for electoral commissions in the capital that assisted Bulgarians living abroad with voting back in their homeland. According to social media profiles, Dzhambazov worked as a hospital driver while Ivanova described herself as a laboratory assistant for a private healthcare business. Roussev lived in his block of apartments in the seaside town of Great Yarmouth in Norfolk Partying: Katrin Ivanova, right, is seen dancing at a Bulgarian restaurant in Palmers Green, north London Ivanova (circled) can be seen holding hands in a party circle at the Bulgarian restaurant in 2015 Neighbours said the unremarkable pair appeared to be in a relationship and spoke of their shock upon learning they had been arrested. A 31-year-old man and a 29-year-old woman, both from London, remain under investigation. Roussev, Dzhambazov and Ivanova are due to go on trial for the identity offences at the Old Bailey in London in January. They have yet to enter pleas to the identity document charges. A Scotland Yard spokesman said: On February 8, 2023, officers from the Mets Specialist Operations arrested five people on suspicion of an offence under the Official Secrets Act 1911. A Crown Prosecution Service spokesman said: The CPS has authorised charges against Orlin Roussev, Bizer Maicimov Dzhambazov, and Katrin Ivanova with possession of an identity document with improper intention, contrary to the Identity Documents Act. The Bulgarian embassy in London said on Tuesday that it had not been informed that three of its citizens had been detained on suspicion of espionage. Bizer Dzhambazov (left) and Ivanova have been held in custody and are suspected of working for Russia Dzhambazov and Ivanova ran a community organisation providing services to Bulgarians. The group was called Bulgarian Social Platform and this is believed to be their offices Dzhambazov Ivanova were also both registered at the same address in Harrow, north London Britain has been sharpening its focus on external security threats and last month it passed a new national security law, aiming to deter espionage and foreign interference with updated tools and criminal provisions. The government labeled Russia 'the most acute threat' to its security when the law was passed. Police have charged three Russians, who they say are GRU military intelligence officers, with the 2018 attempt to murder former double agent Sergei Skripal with the military-grade nerve agent Novichok. Two were charged in 2018 and the third in 2021. Last year, Britain's domestic spy chief said more than 400 suspected Russian spies had been expelled from Europe. Britain has been one of the strongest supporters of Ukraine since the Russian invasion last year and has imposed a range of sanctions on Russian officials and oligarchs. An Australian grandmother who suffered a catastrophic brain injury after a horror fall in Thailand has left an incredible legacy after finally succumbing to her injuries. Kylee Enwright, 48, died on Tuesday morning after she fell heavily from a timber walkway and landed on her face during a holiday in the beach resort of Khao Lak in southern Thailand at the end of May. Ms Enwright's husband Paul confirmed the news of his wife's passing on Tuesday morning and revealed she had donated her organs to help others in need. Kylee Enwright (pictured with her husband Paul) died on Tuesday morning after suffering a 'catastrophic' brain bleed following a horror fall while on holiday in Thailand in May Mrs Enwright (pictured in hospital) seemingly mistook a balcony ledge for a set of stairs and fell half a metre, landing on her face and causing a brain injury. She had been in a vegetative state since the accident 'Thanks to Kylee's selflessness and generosity, through organ donation there will be up to 8 people that will receive a second chance at life and her spirit will live on a while longer through them,' he wrote in a Facebook post. 'Kylee, Rest in Peace my darling until we meet again. I love you.' Mr Enwright, from Singleton in the NSW Hunter region, described his wife as 'one of a kind'. 'Mother to Harrison, Jacinda and Slade, Grandmother to Aurora and Leo, and Mother in Law to Ellie and Xander, Kylee was one of the kindest, most generous people I have had the privilege to know,' he wrote. He added: 'Kylee passed away peacefully surrounded by her loved ones. Kylee suffered a second brain bleed on Sunday morning that was catastrophic and un-survivable.' Singleton MP Dan Repacholi posted a tribute to the 'much-loved Singleton wife, mother and business operator'. 'Incredibly sad news to be waking up to, with Kylee Enwright passing away yesterday due to complications from a fall while on holiday in Thailand a few months ago,' he said. 'Kylee had been making steady progress but recently suffered a second catastrophic brain bleed and passed away peacefully surrounded by family. 'Kylee's legacy will live on after she donated her organs to up to eight recipients. 'Our thoughts are with Paul and the family.' Mrs Enwright had been left stranded in Thailand after her insurer Cover-More refused to cover the cost of her flight home. The firm claimed Mrs Enwright's fall was caused by her excessive drinking of multiple beers and Long Island ice tea cocktails, even though her blood alcohol level was never tested in hospital. Kylee (pictured with her husband) died in hospital surrounded by her grief-stricken loved ones Mr Enwright posted a heart-breaking tribute to his wife who has donated eight of her organs Instead, the company used the couple's bar tab, CCTV footage and Mrs Enwright's bodyweight to calculate an estimated blood alcohol level of 0.35 at the time of her fall - more than seven times Australia's legal driving the limit. Her distraught husband, Paul, was unable to afford the $200,000-plus cost of chartering a medical evacuation flight back to their home in Singleton, NSW - let alone his wife's hospital bill which has already surpassed $50,000. But over 3,000 generous Australians shelled out nearly $207,000 on a GoFundMe page to help pay for her flight home and ongoing treatment. The couple had been enjoying drinks at their resort's pool bar when Mrs Enwright left to use the bathroom and seemingly mistook a balcony ledge for a set of stairs. Not anticipating the sharp drop, she plunged down and then forward, face first, and was left bleeding from the head and ears. Mr Enwright said the insurer's finding was just an excuse to avoid paying. Mrs Enwright was remembered by her husband as 'one of the kindest, most generous people I have had the privilege to know' 'They've always had it in their minds, from the get go, to find a way out of this policy,' he said. 'Like most Australians, we took up travel insurance at the level of coverage that we thought was going to be adequate. We thought we'd done everything right,' Mr Enwright told 7News. However, the insurer stood by its denial. 'Cover-More is fair and reasonable in our claims processes and we make our decisions after thoroughly assessing all available details and medical information,' Cover-More said in a statement at the time. 'We gave Kylee's husband, Paul, a detailed and transparent explanation for declining this claim. 'This is a sad case and we will continue to offer Paul and Kylee and their families all the non-financial assistance Cover-More can. 'This includes help with arranging repatriation to Australia, assisting with hospital admissions and a ground ambulance in Australia, travel arrangements and making appointments with local medical practitioners overseas or in Australia.' A paralysed man who was the first to walk again due to an Artificial Intelligence system has revealed how his dream of standing at the bar with friends was fulfilled as he enjoys renewed freedom. Last week, Gert-Jan Oskam - supported by a walker - took several steps thanks to an AI system which reads his thoughts and instructs his legs to move. Swiss researchers spent ten years developing the technology, but said it wouldn't have been possible without Oskam's extraordinary will to walk again. 'It's never been in my nature to sit still,' Oskam told The Times. The technology has meant he's achieved a dream of his and is now able to stand at the bar and have a beer with his friends - to be able to feel like he is part of the conversation. Oksam now hopes that thousands of other patients with spinal injuries can follow suit. Gert-Jan, 40, suffered a spinal cord injury following a bicycle accident that left him paralysed. But a new electronic implant has enabled to him to regain control over his legs once again Oskam, 40, from the Netherlands, suffered a devastating bicycle accident while working in Beijing, China in 2011. He was told he 'didn't have a fighting chance' of walking again. Spinal cord injuries can interrupt the communication between the brain and the region of the spinal cord that controls walking, leading to paralysis. Professor Jocelyne Bloch, a neurosurgeon at Lausanne University Hospital: 'As a doctor I was taught that if a patient with a spinal cord injury did not recover in six months, then they would be in a wheelchair for ever.' 'This paradigm has now changed,' he added. The new system means Oskam's thoughts are translated into movement through a 'digital bridge' created by Neuroscientists at the Ecole Polytechnique Federale de Lausanne (EPFL). One implant is placed in the patient's brain, above the region responsible for leg movements. Meanwhile, the second is placed on the region of the spinal cord that controls leg movement. The brain signals are then converted into sequences of electrical stimulation of the spinal cord. In turn, this activates the leg muscles to achieve the desired movement. Noel Keijsers, who is a senior researcher at Sint Maartenskliniek and has worked with Oskam closely, said: 'It's physically and mentally demanding. The technology is nothing without the right person.' Oskam often trained with his father who he said saw the worst of him. Mr Oskam has regained the ability to stand and walk naturally, using technology developed by researchers in Switzerland The incredible moment a paralysed man was able to walk for the first time in 12 years has been caught on camera In a bid to be accepted onto the clinical trial in 2017, Oskama travelled alone from the Netherlands to Switzerland to show that he was independent and suitable for the project. In the early stages of the STIMO trial, there was no brain implant and no AI just a strip of electrodes which were implanted in his lower back. This allowed Oskama to stand and have a beer with his friends - a 'bog dream' of his. 'When you're sitting down at this level [the level of a wheelchair] it feels like you're not part of the conversation. It's a small thing but it makes a big difference. I still can't stand for hours. But 15 minutes is possible and then I take a break,' he added. The next trial began in July 2021 and included a brain implants. Two days after the surgery he and the research team began to teach an AI how to read his mind. This gave Oskam more control as he's able to pause midway through a stride, or make it shorter or longer just by thinking. One implant is placed in the patient's brain (pictured), above the region responsible for leg movements, while the second is placed on the region of the spinal cord that controls leg movement Signals from the brain are converted into sequences of electrical stimulation of the spinal cord. In turn, this activates the leg muscles to achieve the desired movement Using the revolutionary technology has improved the condition of both his muscles and nerves, leading to improvements in co-ordination and movement. Even when it is switched off he is able to stand and take steps. Oskam said: 'I feel healthier, I'm sitting straighter, I'm stronger, I'm more independent.' He believes he could be spending just a small amount of time each day in his wheelchair, within the next five years. He said: 'The technology is evolving quickly. We have a lot of clever people working on this. So let's set the bar high.' In an example of his progress, Oskam has recently been re-decorating his home and has been able to stand and paint the walls. Professor Gregoire Courtine, a neuroscientist at the Federal Institute of Technology in Lausanne, who has led the research said: 'Fifteen years ago I was working with laboratory rats, testing ideas that seemed completely crazy and now we see these levels of recovery. While the system has allowed Gert-Jan to stand, walk, and climb stairs on his own, he joked that the best thing he's been able to do is stand at the bar to share a beer with his friends 'How can you dream of a better journey as a scientist? I just hope we get over the final line, that this really becomes a commercially available treatment.' The next phase of the research began this week with the first of four new patients being operated on. Within five to ten years, Dave Marver, the chief executive of Onward Medical, believes it's possible paralysed patients will no longer be told there is no hope of walking. Northern Ireland's most senior police officer was facing increased pressure to resign last night over a huge data breach that could risk officers' lives. Chief Constable Simon Byrne, of the Police Service of Northern Ireland (PSNI), admitted the names and details of more than 10,000 officers and staff were now in the hands of dissident republicans. The former vice-chairman of the Social Democratic and Labour Party, Tom Kelly, was among those to call for Mr Byrne to step down. But Democratic Unionist Party leader Sir Jeffrey Donaldson told BBC Radio 4's Today programme: 'It is important he oversees this stage of the process. That leadership is necessary to ensure stability...' The force's chief constable is appointed on approval of the Minister of Justice for the devolved government, a post left vacant since the breakdown of power sharing last year. Police Service of Northern Ireland Chief Constable Simon Byrne speaking to the media outside the force's headquarters in Belfast The former vice-chairman of the Social Democratic and Labour Party, Tom Kelly, was among those to call for Mr Byrne (pictured) to step down Mr Byrne conceded that the leaked information could be used to intimidate or target officers and staff. Last Thursday it was revealed that dissident republican activists in Northern Ireland claimed to have information about the identity of police officers revealed in a data breach. READ MORE: Thousands of Northern Irish police officers could sue force after 'massive' data breach of personal details Advertisement Byrne said he was 'deeply sorry' over what he described as an 'industrial scale breach of data' in which the surnames, initials and locations of 10,000 officers and staff were released to the public. Speaking at a press conference after a Northern Ireland Policing Board meeting that overran by more than two hours, Mr Byrne said he was not considering his position the second time he has ruled out quitting in the last 24 hours. It has also been suggested that the PSNI is bracing itself for a multi-million-pound class action lawsuit. The service's representative body, the Police Federation for Northern Ireland (PFNI), has set up a secure system so that officers can register their interest in being part of a case if legal action is taken. The PFNI said that so far around a fifth of the force 2,000 rank-and-file members have expressed a desire to be involved. Legal experts have estimated the force could be looking at paying out 100 million in compensation. Hanwha's collaborative robot is on display during the China International Industry Fair in Shanghai in this 2019 file photo. Courtesy of Hanwha Group Hanwha set to spin off robotics business to join global competition By Park Jae-hyuk The stereotypical images of industrial robots in the past depicted automated machines working behind fences, isolated from human workers. The development of elaborate sensors detecting human contact and lightweight materials, however, has ushered in an era of collaborative robots, or "cobots," which can interact with human workers more safely within a shared space, or in close proximity. As these robots are now used not only in factories, but also at fried chicken restaurants and coffee shops amid labor shortages caused by a declining population, MarketsandMarkets, a global market researcher, estimated the global market size for collaborative robots to reach $10.5 billion in 2027, up from $1.2 billion in 2021. Following the trend, Korean conglomerates are also reinforcing their robotics businesses to gain the upper hand in the collaborative robot market. Hanwha Group, which is the latest example of such conglomerates, has decided to establish Hanwha Robotics by October, after spinning off its collaborative robot and automated guided vehicle (AGV) businesses from the factory automation division of Hanwha Corporation, the group's de facto holding firm. Hanwha Corporation/Momentum Executive Director Seo Jong-hwi, a robotics expert who previously worked for Samsung Electronics, will lead the new company, which will be founded as a joint venture between Hanwha Corporation, which will hold a 68 percent stake, and Hanwha Hotels & Resorts, which will own the remaining 32 percent. "In line with the globally changing social structures caused by labor shortages and rising labor costs, the robotics market is expected to grow rapidly," a Hanwha official said. "In the short run, we plan to target manufacturers demanding robots for welding and machine tending, and in the medium to long run, we will expand our presence in the market for collaborative robots that can cook, manage buildings and recharge electric vehicles." Doosan Group Vice Chairman Park Ji-won, center, talks about Doosan Robotics' collaborative robot with company officials during Automatica 2023 in Munich in this June file photo. Courtesy of Doosan Group Ukraine has dismissed offhand a suggestion from a top NATO official that it may have to give up some territory in order to bring it into the military alliance. Mykhailo Podolyak, an advisor to Ukrainian President Zelensky, slammed the proposal put forward by NATO chief of staff Stian Jenssen as 'ridiculous'. He wrote on Twitter on Tuesday: 'Trading territory for a NATO umbrella... means deliberately choosing the defeat of democracy, encouraging a global criminal, preserving the Russian regime, destroying international law, and passing the war on to other generations.' Jenssen said during a panel debate in Arendal, Norway, earlier in the day: 'I'm not saying it has to be like this. But that could be a possible solution.' The president of Ukraine and other leading officials have previously ruled out trading territory for peace or NATO membership, even as Russian attacks edge further west. Zelensky acknowledged in June that Ukraine could not become a NATO member until the end of the war, as membership would oblige all members to defend it in direct confrontation against Russia. But the comments follow some of the most devastating attacks on regions of Ukraine bordering NATO since the start of the invasion, killing three workers in the western city of Lutsk on Tuesday morning. A man is seen near the destroyed house after Russian missile attack, as the Russia-Ukraine war continues in the village of Stavchany, Lviv Region, Ukraine on August 15, 2023 A handout picture made available by the Lviv Mayor Andriy Sadovyi shows a burning building after a rocket attack in the western Ukrainian city of Lviv, Ukraine, 15 August 2023 Adviser to the President of Ukraine, Mykhailo Podolyak, speaks during an interview with AFP in Kyiv, on July 19, 2023. He brushed off the suggestion Ukraine should cede land to join NATO Air attacks struck regions of Ukraine on Tuesday, including territories close to NATO borders Jenssen originally spoke about the possibility of ceding territory to Russia to help end the war. He said: 'I think that a solution could be for Ukraine to give up territory, and get NATO membership in return,' READ MORE: 'Di**head' Putin is mocked for the collapse of the rouble after pranksters hack electronic sign in Russia Advertisement Jenssen is chief of staff for NATO Secretary General Jens Stoltenberg. He clarified that Stoltenberg, who has not been willing to discuss possible solutions to ending the war, that 'It must be up to Ukraine to decide when and on what terms they want to negotiate.' Podolyak spoke candidly on Twitter about the need to hold Russia accountable for its brutal invasion of Ukraine. He wrote: 'Why should Russia voluntarily abandon provocations, hybrids, and traditional behavior without losing? 'Obviously, if Putin does not suffer a crushing defeat, the political regime in Russia does not change, and war criminals are not punished, the war will definitely return with Russia's appetite for more. 'Attempts to preserve the world order and establish a 'bad peace' through, let's be honest, Putin's triumph will not bring peace to the world, but will bring both dishonour and war. 'This applies to any format of a new 'division of Europe': including under the NATO umbrella. 'Then why propose the scenario of a freeze, so desired by Russia, instead of speeding up the supply of weapons? 'Murderers should not be encouraged by appalling indulgences...' Not all agree. On July 17, a former Ukrainian presidential advisor made similarly controversial remarks about ceding territory to gain NATO backing. Oleksiy Arestovych said that trading 20 per cent of Ukrainian territory for NATO membership for the rest of Ukraine could help end the war. He said holding onto the 'larger part of the state' and entering NATO would be a 'super-historic opportunity' for the country. Arestovych resigned from the advisory role in January after saying a Russian missile that hit an apartment block in Dnipro had been shot down by Ukrainian air defence. Ukraine has enduring a brutal campaign of drone attacks and missile strikes in recent weeks, with Russia striking deep into western Ukraine. The city of Lviv, a former territory of Poland, was pounded by air strikes on Tuesday, officials claiming it to have been the hardest air assault on the region since the start of the war. Three people were killed in Lutsk - also historically tied to Lithuania, Poland and the Russian Empire - in strikes on Tuesday, and more than a dozen injured. Andriy Yermak, the head of Ukraine's presidential administration, said on Telegram: 'The daily terror of the Russians has a single goal: to break us. 'That will not happen.' As fears grow over the perceived Russian encroachment on Europe, allies have looked to bolster security along the borders. Late last month, Poland moved 1,000 troops towards Belarus as Belarusian soldiers were seen training with Wagner Group troops on the border. Warsaw has made significant efforts to strengthen its military in recent years, now ranked a Top 20 world power according to the GFP index. In June, the US approved $15bn in Patriot and missile defence upgrades for Poland. Prime Minister Mateusz Morawiecki last year urged the country to build an army 'so strong that it is best not to have to fight', deterring the enemy with 'sheer strength'. He announced that over PLN 100bn (19.396bn) would be allocated to modernising the army in 2023 alone. Russia sees these moves as NATO-backed acts of aggression. On July 21, Russian President Vladimir Putin said: 'Polands leaders likely seek to set up a coalition under the NATO umbrella and directly join the conflict in Ukraine, and then 'tear off' a wider piece for themselves, restore their, as they believe, historical territory - today's western Ukraine.' Poland formerly held sway over much of central and eastern Europe as part of a wider commonwealth with Lithuania and including parts of modern day Ukraine. It lost much of its territory during a period of crisis in the 18th century and was partitioned by Russia in 1772, 1792 and 1795 - souring relations irreparably. While many Poles may regret the new borders imposed by Russia after WWII, there is no serious case for Poland trying to 'retake' former territories, like Lviv. Rescuers work at the area where a rocket hit an industrial enterprise in the Lutsk of Volyn area, western Ukraine, 15 August 2023. Three died in attacks on the city on Tuesday morning Firefighters try to extinguish fire at a building destroyed by a Russian S300 rocket attack in Kramatorsk, Ukraine on August 15, 2023 A man cleans shards of a broken window after a missile fragment hit a neighboring apartment residential building on August 15, 2023 in Lviv, Ukraine After leaving a deal that allowed Ukraine to export grain to world markets through the city of Odesa, Russia has also hammered Black Sea ports with strikes. On August 2, Russian drones struck grain storage facilities and port infrastructure in southwestern Ukraine overnight, moving the conflict closer to neutral Moldova. Six Iran-made Shaded drones swarmed an oil depot in Izmail, Odesa region, starting a fire at the industrial and port facilities which transport crucial grain exports. They believe there will be two questions on the ballot Misinformation is being shared about the Voice Misinformation about the upcoming referendum for the Voice to Parliament is being spread by conspiracy theorists online. Several 'free-thinking' TikTokers have told their followers to be wary of a new 'trick' the government is using to see the Voice adopted. They claim the referendum will ask voters two questions, one asking if they recognise Indigenous people and the other asking if a Voice should be introduced. The rumour also claims that answering Yes to the first question will override a voter's answer to the second one - even if they write No. The Australian Electoral Commission has debunked the theory and confirmed only one question will be on the referendum ballot. David Michael Graham told his followers about the supposed plot on Sunday. Conspiracy theorists online (one above) falsely claim there will be two questions on the Voice referendum 'The government has actually set up a trick in the referendum for the Voice,' he said. 'There's going to be two questions. Number one is going to be, 'Do you recognise the Indigenous people?'. 'Now most of us people would obviously go 'Yes'. Well if you do say 'Yes', this trick will override your 'No' vote for the other question. READ MORE: Prime Minister Anthony Albanese makes extraordinary admission about Uluru Statement from the Heart Advertisement He finished the video with a final warning: 'This is dangerous times ahead, they're going to try and push this thing. This is what they're doing, guys. 'Be aware Australia, wake up.' Several other TikTokers have shared warnings about the 'trick' with many videos raking up thousands of views. One woman told her followers: 'So the latest on the Voice. They're gonna ask you two questions, not just one. 'The answer to both of them will be 'No', unless you want to be run by the United Nations and have forced immunisation. 'So when it asks you if you know who the Aboriginal people are, the original people of the land and the Torres Strait Islanders, 'No'. The answer's 'No'.' The Australian Electoral Commission took to Twitter on Monday to correct the misinformation going around social media. 'There will only be one question at the upcoming referendum with a single answer required - write either 'Yes' or 'No' to ensure your vote is counted,' it said. 'A referendum requires legislation to pass parliament. The legislation that passed parliament outlines a single question only.' The referendum question will be: 'A Proposed Law: to alter the Constitution to recognise the First Peoples of Australia by establishing an Aboriginal and Torres Strait Islander Voice. Do you approve this proposed alteration?' Yes 23 campaign media adviser Ben Ayre urged Australians to make sure they got the facts right before heading to the polls later this year. 'We urge people get informed and educated by attending a community forum or have a conversation with one of our campaign's 20,000 volunteers who are on the ground across Australia,' Mr Ayre told news.com.au. The 'free-thinkers' claim the government is using the 'trick' to see the Voice adopted but The Australian Electoral Commission confirmed only one question will be asked (pictured, Prime Minister Anthony Albanese) The news comes as Australia remains increasingly divided over the Voice to Parliament with more and more voters moving to the No camp. Falling support is starting to hurt the popularity Prime Minister Anthony Albanese with a poll finding voters are linking his handling of the issue to his 'competence and judgement'. Labor's primary vote has dropped to be just four percentage points ahead of the Coalition, according to the latest Resolve Political Monitor poll. In a double blow for Mr Albanese, support for the Voice also continues to decline ahead of the October referendum. Support for the Indigenous Voice to Parliament has slumped from 63 per cent a year ago - to just 46 per cent this month. To succeed a referendum must have a majority of voters across Australia and four out of six states to cast a Yes ballot. While Mr Albanese remains the preferred leader over the Coalition's Peter Dutton, the latest results are the lowest for the PM in the Resolve surveys since winning the federal election 15 months ago, The Sydney Morning Herald reported. Mayor Sadiq Khan has blamed 'culture wars' for the homophobic double stabbing that took place outside a gay club in south London. Two men, in their 20s and 30s, were attacked near the Two Brewers in Clapham on Sunday evening. Both victims were treated in hospital and discharged. Police have launched an appeal to help identify a man captured on CCTV near the club after the horrific knife attack which Mr Khan has branded as a 'horrific hate crime' that serves as a 'tragic reminder of why we need Pride'. The mayor took to Twitter after the attack, slamming those who 'stoke up hate and vilify LGBTQ+ people', saying: 'Your culture war has real-life consequences.' He reiterated that he remains an ally and will 'never stop fighting for the safety, rights and dignity' of all LGBTQ+ community members. Mayor Sadiq Khan (pictured last week) has blamed 'culture wars' for the homophobic double stabbing that took place outside a gay club in south London Two men, in their 20s and 30s, were attacked near the Two Brewers in Clapham (pictured) on Sunday evening. Both victims were treated in hospital and discharged Police have launched an appeal to help identify a man (pictured) who was photographed on CCTV outside the venue at the time of the attack One of the victims, named only as Aniello (pictured), said he had been left with 'so many questions' after the attack but felt 'lucky' after leaving hospital 'The horrific hate crime in Clapham last night is being robustly investigated by the Police. It's also a tragic reminder of why we need Pride. My thoughts are with the victims and with the LGBTQ+ community who are undoubtedly feeling frightened and upset,' Mr Khan tweeted on Monday. 'For those who stoke up hate and vilify LGBTQ+ people for your own agenda, you're part of the problem too. Your culture war has real-life consequences.' He added: 'Let me be clear: I will never quieten down my allyship. I'll never stop fighting for the safety, rights and dignity of the LGBTQ+ community. I am committed to stamping out hate crime in our city.' The mayor's vow comes as the Met Police issued an appeal in their search for the suspect in the attack, which they are treating as homophobic. Detectives released a CCTV image yesterday of a man who was photographed outside the venue at the time of the attack. Detective Chief Inspector Jivan Saib from the Central South Command Unit is leading the investigation and said: 'I am asking the public to look at this image and see if they recognise this individual it is vital that we identify and locate him as soon as possible.' Mr Khan has branded the double stabbing as a 'horrific hate crime' that serves as a 'tragic reminder of why we need Pride' DCI Saib added: 'Officers have already collated witness accounts and scoured CCTV for evidence and this work remains ongoing. 'I appreciate those who have come forward and spoken to police but would ask that if you were in the area and saw these events unfold, but have yet to contact us, then please do so.' Pc Hayley Jones, who is the dedicated LGBT+ community liaison officer (CLO) for Lambeth and Southwark, said: 'We understand some people from the LGBT+ community may not have the confidence to speak to police; 'My role was introduced to provide a dedicated LGBT+ point of contact to support the community and advise on investigations where homophobia and transphobia is a motive. You can contact me directly for advice and support, or to assist this investigation.' One of the victims, named only as Aniello, said he had been left with 'so many questions' following the attack. Aniello said: 'What today has strengthened in me more than ever before is that I could never, and have never been prouder, happier or more comforted, by the community' A spokesman for the Two Brewers pub, a gay bar and nightclub, said security has been boosted in the wake of the stabbing He wrote on Instagram: 'Didn't know if I should really say anything, but I suppose it feels right to. 'I've not been left feeling sad, nor angry, or confused. 'I've just been left so many questions - to think what can lead to changing a person's mind that much that they can feel it's OK to attack anyone. 'Whatever their reasons - and how we change that. 'What today has strengthened in me more than ever before is that I could never, and have never been prouder, happier or more comforted, by the community. 'I am lucky enough to have as my LGBTQ+ family! 'I would never change it for the world. I am so lucky to have all my family and friends who have reached out just to check on me today. 'Love you all - all of you.' A spokesman for the Two Brewers pub told MailOnline yesterday that security has been boosted in the wake of the stabbing. Anyone with information is asked to contact police on 101 or tweet @MetCC and quote CAD 7198/13Aug. You can also provide information anonymously to the independent charity Crimestoppers on 0800 555 111. A teenage boy has died in a horror multi-vehicle crash involving two cars and a truck on a major highway. Emergency services were called to the crash site along the Pacific Highway at Wadalba on the NSW Central Coast at about 4.20pm on Tuesday. A Ford Falcon and Madza collided with a truck. A boy, 17, who was driving the Falcon died at the scene. The driver of the Mazda, a woman, 46, was treated by paramedics. She was then taken to hospital with minor injuries. A teenage boy has died after two cars collided with a truck along the Pacific Highway at Wadalba (pictured) Police have established a crime scene and an investigation into the crash is underway (stock image) The truck driver, 41, was treated by paramedics before he was rushed to John Hunter Hospital in Newcastle for treatment and mandatory testing. Police closed the Pacific Highway at London Drive in both directions for nine hours. The highway was reopened at 1am on Wednesday. Officers from Tuggerah Lakes Police District established a crime scene and an investigation into the crash is underway. A report will be prepared for the Coroner. Police are urging anyone with information or dashcam footage to contact CrimeStoppers. New footage, obtained by the New York Post , shows a man describing Gomas' alleged attack Tiffany Gomas, the Texas marketing executive behind the viral 'that motherf***** is not real' plane meltdown and self-described 'crazy plane lady,' allegedly assaulted a man after her viral incident. New police footage was released of a man describing Gomas shoving her after the events of her July 2 viral video accusing a 'motherf*****' at the back of the plane of being 'not real.' Gomas, 38, now has over 107,000 followers on Twitter and 75,000 on Instagram since the controversy, Two days ago, Gomas launched a coordinated social media blitz hours after apologizing after referring to herself as 'the crazy plane lady,' which she called 'her very worst moment.' New footage, obtained by the New York Post, shows a bald man in a blue button-down shirt and grey slacks describing Gomas' alleged attack in the terminal after she was dragged off the plane following her rant. Tiffany Gomas, the Texas marketing executive behind the viral plane meltdown, has relaunched all her social media pages Gomas, 38 now has over 107,000 followers on Twitter and 75,000 on Instagram since her efforts to relaunch herself on social media days ago Gomas went viral after the July 2 incident on an American Airline plane where she was seen saying, 'the motherf***** is not real' 'I was just sitting right here, and she just come and [makes shoving motion] pushing me and she [left],' the man, who has not been identified, told police. He added he's 'OK' after having been pushed in the chest by Gomas but the attack came out of nowhere, having never before interacted with the woman. Gomas seemed surprised and scoffed at the accusation in a separate video. 'Wait, I assaulted someone? I'm 5-feet 2-inches, 120 pounds. Could I hurt you? How tall are you?' The officer responds: 'You could go to jail for it. You could get ticketed for it,' the officer adds. The victim declined to press charges. The successful marketing executive is using her professional prowess to give her personal brand a makeover after she broke her silence to Dailymail.com last week. Her return to public posting follows the extremely viral video of the fit she threw on an airplane traveling from Dallas to Orlando. The coordinated relaunch, ripe for monetization - which she has activated across her profiles - was first reported by DailyMail.com. 'I apologize and take accountability for my actions, they were uncalled for,' she wrote on Twitter,' Gomas said of the incident. 'My very worst moment was captured on video.' Gomas unlocked her Instagram page more than a month after the July 2 viral incident aboard an American Airlines flight Gomas was flying to Florida on July 4 weekend when she decided that the plane was unsafe, loudly demanding everyone on the flight evacuate. She said in the video posted Sunday to Twitter: 'Although the memes have been amusing, the flip-side has been cruel. I'm thankful for my friends and family for supporting me through this. 'This experience has been life-altering and I hope to do good from it and promote positive mental health. Stay tuned!' Gomas coordinated her post on Twitter with the relaunch of her Instagram page and YouTube channel. She also launched her webpage that tells people 'coming soon.' All posts except one on her Instagram page were posted inside of the last month, or edited inside of the last week. She has also chosen to follow just 16 specific accounts so far on her Twitter profile, which include uber successful podcasters like Joe Rogan, Jordan Peterson and Lex Fridman, as well as TMZ and Barstool Sports. In the video she posted online, first published by TMZ, she said: 'Hi everyone, it's me - Tiffany Gomas, better known as the crazy plane lady. Which is completely warranted.' She continued: 'As you know, I have been unwilling to speak on the viral video, but I do finally feel that it's time.' While the relaunch of the marketing exec's life came on Sunday, it's not the first time she has spoken since the incident. Last week, DailyMail.com spoke to Gomas at her Dallas home. Gomas has spoken out about the viral incident as she addresses the problems and the humor in the incident All posts except one on her Instagram page were posted inside of the last month, or edited inside of the last week 'My life has been blown up. It's frightening,' she said. 'Things go viral and everything changes.' Gomas continued: 'First and foremost, I want to take full accountability for my actions. 'They were completely unacceptable. 'Distressed or not, I should have been in control of my emotions, and that was not the case. 'My use of profanity was completely unnecessary and I want to apologize to everyone who was on that plane, especially those who had children aboard.' She said she could not imagine 'going through that and trying to explain to your kid what in the world just happened.' Gomas, her Goyard bag under her arm, marched to the front of the plane on July 2 and told fellow passengers she was disembarking because the aircraft was not safe. The video showed a visibly shaken, and arguably crazed, Gomas telling passengers: 'I'm getting the f*** off and there's a reason. I'm telling you right now that motherf***er back there is not real and you can sit on this plane, and you can die with them or not. I'm not going to.' Speaking from her $1.6million Dallas, Texas home this week, Tiffany Gomas finally broke her silence on her viral 'meltdown' on an American Airlines flight last month Gomas also claimed that much of what has been circulating in the media or online is 'inaccurate' but declined to elaborate Gomas had a picture of herself on Instagram at the recent Jake Paul and Nate Diaz fight in Dallas 'Distressed or not, I should have been in control of my emotions, and that was not the case,' Gomas said in a new video 'My use of profanity was completely unnecessary and I want to apologize to everyone who was on that plane, especially those who had children aboard,' Gomas (right) said Gomas (left) posted pictures of herself with friends in happier times that is now visible on her social media accounts Gomas coordinated her post on Twitter with the relaunch of her Instagram page and YouTube channel Speaking exclusively to DailyMail.com from her home in Dallas, a recalcitrant Gomas said: 'No one knows anyone else's story, and no one should judge. No one knows what it's like.' Dressed in a fitted white tank and black leggings, her long hair slicked back in a bun, the owner of Uppercut Marketing, which promises its clients, 'We make you look really really good,' stood at the door of her $1.6million property and said she was consulting a lawyer. 'They're staking out my house. They're staking out my neighbors. They're going through my mail,' she said. 'So much of what's out there is inaccurate,' but when asked for specifics she declined to comment further. Passengers on Flight 1009 from Dallas Fort Worth to Orlando that day were forced to deplane after a 'distraught' Gomas suggested the plane was not safe, forcing staff to de-board the flight and re-screen the aircraft and passengers. Tiffany Gomas's meltdown was caught on video last month when she frantically demanded to get off a plane, claiming someone in the back of the aircraft was 'not real' Passengers turned their head as she seemed to point to the back of the plane while speaking about a guy who 'was not real' Gomas's meltdown was apparently sparked by an argument with a relative with whom she accused of stealing her airpods. 'The female then started claiming the aircraft was not safe and did not want the aircraft to leave due to her believing it would not make it to its destination,' the police report states. The marketing executive, who is based in Dallas, was issued a warning for Criminal Trespass, but she was neither charged nor taken into custody 'Due to the statements the flight attendants felt the aircraft needed to be rescreened. [The airline manager] explained that the passenger was denied boarding and they wanted her escorted to the public side.' She marched off the plane but by the time TSA officers arrived to escort her back to the public side of the airport, the visibly upset woman was trying to get back on the flight. Dallas Fort Worth Department of Safety police officers tried to speak with a 'distraught' Gomas but she refused to cooperate. She was eventually escorted back to the non-secure side of the airport. Despite her earlier hysteria, she made repeated attempts to come back through TSA and into the boarding area. Gomas, who was clutching a $1,900 Goyard tote bag in the clip, heads up her own marketing firm and lives in a $1.6million luxury four-bedroom property complete with a swimming pool Police later found Gomas sitting on the curb outside the airport waiting for an Uber. They issued her with a warning for Criminal Trespass, but she was neither charged nor taken into custody. The marketing executive, who was seen clutching a $1,900 Goyard tote bag in the viral video, was deemed a 'rising star' in 2017, when she served as Vice President of Client Services at Elevate Brand Marketing. She now heads up her own marketing firm, UpperCut Marketing, which boasts big name clients such as Xbox, Microsoft and others. Its public profiles were locked after the incident. Gomas resides in a $1.6million luxury four-bedroom property complete with a swimming pool. She bought the home in the affluent neighborhood in January 2022 for $572,530, before renovating the entire space. Sen. Tim Scott, 57, was throwing out the jokes in Iowa on Tuesday, claiming he is asking everybody to caucus for him, including a nine-year-old. Joining the Story County, Iowa GOP at a barn wedding venue, the 2024 hopeful said he was glad to see that the money he is spending on ads in the first-in-the-nation state is reaching them as they helped recite some of his lines. Scott is keenly aware of his standing in the race, and leveled with the room of about 75 Republican caucus-goers about these realities through comedy. 'When you're desperate for every single vote, you'll ask everybody. Just assume that right now I'm asking each and every one of you,' he said. He recounted how a 9-year-old at the Iowa State Fair gave him a bracelet and said, 'I want you to be president.' He joked that he asked the little girl if she would caucus for him, to which she replied with confusion. Sen. Tim Scott jested with a crowd of Iowa Republicans at a dinner in Story County on Tuesday evening that he is 'desperate' for every single vote he can get saying he jokingly asked a nine-year-old at the fair to caucus for him Scott mingled around the Iowa State Fairgrounds on Tuesday as he makes his bid for caucus-goers to support him in January Scott was hosted by the Iowa GOP in Story County at the 1850 Homestead Wedding Venue in Cambridge, Iowa with about 75 attendees. He was pleasantly surprised when those gathered for his dinner chat were familiar with his advertisement spots in Iowa. 'I say in my commercials, if you take out a loan,' and the crowd responded in tandem with the second part: 'You pay it back.' 'Hallelujah ya'll, this is great news,' Scott threw up his hands. 'At least I know you've seen the commercials.' 'I was wondering if they were buying anything with all that money I was spending in Iowa,' he joked. 'This is good news.' He also got another call-and-response moment shortly after with him saying, 'If you commit a violent crime,' and the crowd responding with: 'You got to jail.' 'I will make you an offer right now,' he joked of those calling out what he's repeatedly said in his ads. 'They got it all down, this is great.' The South Carolina junior senator often sees his name pop-up third in primary surveys including in a recent Iowa poll conducted by DailyMail.com/J.L. Partners where he earned 11 percent in August compared to the just 1 percent in April. To have a shot at the nomination, candidates know they have to perform well in the first-in-the-nation caucus state. The caucuses will be held January 15, 2024 - giving Scott and all other 2024 hopefuls five months to woo Iowans who are still largely saying they will caucus for former President Donald Trump despite his fourth indictment on Monday night. Sen. Scott flipped burgers and pork chops at the Iowa State Fair on Tuesday Scott told reporters after his Q&A with Gov. Reynolds: 'At the end of the day, we need a better system than that,' when asked about the 41 charges against Trump in the fourth indictment handed down on Monday night Scott brushed off the latest indictment against Trump as more 'unAmerican weaponization' of the Department of Justice The South Carolina junior senator often sees his name pop-up third in primary surveys including in a recent Iowa poll conducted by DailyMail.com/J.L. Partners where he earned 11 percent in August compared to the just 1 percent in April Scott brushed off the latest indictment against Trump as more 'unAmerican weaponization' of the Department of Justice. The 2024 hopeful appeared at the Iowa State Fair on Tuesday for a 'fair-side chat' with Gov. Kim Reynolds, after which he partook in fair activities like grilling pork chops and playing some games. But first, the South Carolina Senator took some questions from press despite skipping out on the traditional fair platform at the Political Soapbox, which Florida Gov. Ron DeSantis also snubbed. 'What we continued to say,' Scott said to reporters, 'we see the legal system being weaponized against political opponents. That is un-American in itself.' 'At the end of the day, we need a better system than that,' he added. 'Hopefully the President of the United States will have an opportunity to restore confidence and integrity, at all of our Departments of Justice.' In the latest DailyMail.com/J.L. Partners poll this month, Scott is coming in third place behind frontrunner Trump and No. 2 contender DeSantis. A woman will now lead Pornhub's public messaging as its parent company looks to rebound from disastrous allegations that the site allowed nefarious activity. Ethical Capital Partners, who bought the adult website's parent company MindGeek earlier this year, has named Alexzandra Kekesi head of community and brand. The move follows a string of public relations disasters including allegation's of publishing revenge porn and child sex abuse, as well as being banned by Instagram for allegedly violating the social media site's rules against sexual solicitation. Kekesi, a long-serving employee of Pornhub told the New York Post she is focused on 'helping people to really understand what Pornhub is as a brand, what we stand for, what we represent' including the site's commitment to setting a 'gold standard when it comes to moderation.' 'As soon as theres someone thats a little bit more visible in terms of being able to be the one to engage with and its not just a faceless company or corporation, it makes it a little more inviting,' Kekesi told the publication. Ethical Capital Partners, who bought the adult website's parent company MindGeek earlier this year, has named Alexzandra Kekesi head of community and brand The move follows a string of public relations disasters including allegation's of publishing revenge porn and child sex abuse Pornhub has been plagued for years by allegations its site hosts revenge porn, child sex abuse, and victims of sex-trafficking Kekesi is one of the few Pornhub executives whose identity is known to the public. Prior to taking on the new role, she worked for Pornhubs parent company MindGeek for more than a decade, beginning with an entry-level marketing role for one of its affiliate sites before becoming Pornhubs director of marketing. As head of community and brand Kekesi will plan events like the annual Pornhub Awards. She is also set to host a podcast called 'Terms of Service' alongside adult film star Asa Akira that will tackle 'censorship in the adult industry, arts and culture.' When it comes to softening the brand's image Kekesi may have her work cut out for her. Pornhub has been plagued for years by allegations its site hosts revenge porn, child sex abuse, and victims of sex-trafficking. Pornhub has always denied any wrongdoing. MindGeek, which boasts a daily audience of 130 million users across its sites, nearly collapsed in 2020 after the New York Times published a damning report about illegal content spread on Pornhub. MindGeek, which boasts a daily audience of 130 million users across its sites, nearly collapsed in 2020 after the New York Times published a damning report about illegal content spread on Pornhub Pornhub wiped millions of videos from its site and required all uploaded content going forward to come from verified users Sarah Bain, an Ethical Capital partner and the firms vice president of public engagement said the parent company of Pornhub remains a private company - which is why info about it remains scarce In response, Pornhub wiped millions of videos from its site and required all uploaded content going forward to come from verified users. However, the Times report led Visa and Mastercard to strip the company of some access to their payments systems. Pornhub has also had its fair share of run-ins with state regulation. The site blocked access for users in Utah in May after the state imposed a law requiring users to verify they were over 18 years old. Last month, Pornhub exited Virginia in protest of a similar restriction law. There is not a lot of public information available on Ethical Capital Partners, the Canadian buyout firm that bought MindGeek. Sarah Bain, an Ethical Capital partner and the firms vice president of public engagement told the Post this was simply because it was a private company. 'I dont think were being vague; I think were being really clear were a private company,' Bain said. 'Were not interested in companies that have it all figured out,' Bain said. 'We want to work with companies that have either lost social license, have pretty important regulatory requirements coming up, or have, in this case, a history of difficult social license.' She added: 'At some point, the adult industry will be normalized, its going to take a long time and it starts with being visible, open, speaking, telling the public what were doing. Thats how they become curious, thats how they become knowledgeable.' Bain confirmed MindGeeks CEO Feras Antoon and COO David Tassillo have not yet been replaced, after they resigned last summer but did not comment on the firm's hierarchy further. She argued that privacy is key as executives at the adult content site face unique risks, such as bad actors disclosing their personal information such as home addresses or parents names. A British expat has slammed an Aussie man for his 'f***ing stupidity' as he was caught on camera fishing metres away from a giant saltwater crocodile. Tez Blackmore, from West London, was walking past a river bank in Far North Queensland on Sunday when he spotted a local fishing with a crocodile lying on the sand behind him. Mr Blackmore labelled the Aussie man 'f***ing stupid' for his relaxed attitude around the dangerous reptile. 'I've seen some stupid things in Australia, and some f***ing stupid people, but this takes the piss,' Mr Blackmore can be heard saying behind the camera. 'Look at that big f***ing croc on the beach. F***ing d***head's fishing next to it... he's a cool croc. He's probably twice as long as that f***ing person.' The fisherman is believed to be around six feet tall (182cm), with the crocodile appearing considerably larger. In a second clip, the unfazed fisherman is seen leaving the bank's edge and casually walking past the crocodile. Mr Blackmore did not reveal the exact location of where he filmed the fisherman and the croc because he is worried for the crocodile's safety. The giant male crocodile is known to Far North Queensland locals and has even been given a nickname. 'He's a pretty big croc, and he's not a nuisance, so the last thing I'd want is for anything to happen to him,' Mr Blackmore told Yahoo. Authorities often regard crocodile's that grow accustomed to humans as a threat and remove the reptiles from the area. The video received backlash from critics, with many claiming the behaviour puts the fisherman's and crocodile's lives at risk. The Aussie fisherman was seen fishing off the banks of a river in Far North Queensland while a large male saltwater crocodile lay on the sand just metres behind him (pictured) In a second clip the unfazed fisherman is seen leaving the edge of the bank and casually walking past the crocodile (pictured) Queensland's crocodile expert Tommy Hayes is familiar with the crocodile and shared Mr Blackmore's concerns claiming the video gave him 'goosebumps. 'What the guy is doing in the video is beyond complacency, it's f***ing stupidity,' Mr Hayes said. 'Where he's standing is maybe 10 or 15 metres from the crocodile. I've got goosebumps and not the good kind.' It comes after a series of videos emerged showing a social media trend where influencers venture into croc-infested waters. Tourist Alistair MacPhee also filmed himself wading into the croc-infested Bloomfield River, which is also in far north Queensland. Mr MacPhee was bitten on the leg by a crocodile and survived the attack, while his beloved dog Magic Molly was swallowed whole. The 4.2m crocodile was shot dead by wildlife officers, with rangers finding Mr McPhee's pet inside its stomach. Alistair MacPhee (pictured left) was attacked and badly injured by a crocodile while his beloved dog Magic Molly (right) was killed and swallowed whole Father of the late Steve Irwin, Bob Irwin urged the Queensland government for harsher penalties for tourists and locals who take risks around crocs. Mr Irwin commented on Mr MacPhee's encounter with a croc, claiming his pet dog paid the ultimate price. 'The crocodile and his pet dog, Molly, have since paid the ultimate price for this individual's blatant stupidity,' Mr Irwin said. 'I might add, the crocodile spat Alister MacPhee out. But now he's got a scar and a story to tell, and that story is attracting worldwide attention.' Mr Hayes also called for harsher penalties for those who intentionally provoke wild crocodiles. '[Crocodiles]don't trust humans because they're friendly, they just know humans aren't going to hurt them, so they're just waiting on that moment to strike,' Mr Hayes said. 'It's a natural behaviour caused by unnatural things. But that behaviour isn't caused by the crocodile, it's caused by humans.' Joe Biden on Tuesday appeared to forget the name Maui, referring to the island in a speech in Milwaukee as 'the one where you see on television all the time.' As the death toll rose to 106, and as rescuers continued to comb the rubble in Lahaina, Hawaii, the president told the crowd that there were still fires on the Big Island - also known as Hawaii. He pointed out the fires were blazing on the Big Island, not Maui, but appeared to struggle to name Maui. 'The Army helicopters helped fire suppression efforts on the Big Island because there's still some burning on the Big Island not the one that, not the one where you see on television all the time,' he said. Joe Biden said on Tuesday that fires were still burning on the Big Island in Hawaii, but not in 'the one where you see on television all the time' Burnt out cars are seen on Wahikuli Terrace in Lahaina on Tuesday A mobile morgue is seen being readied in Lahaina on Tuesday. The death toll has now crossed 100 as a result of the fires Biden, who was quick to approve a Federal Emergency, but who has been criticized by Republicans for not yet traveling to the devastated island, said he and his wife intended to visit soon. 'My wife Jill and I are going to travel to Hawaii as soon as we can,' he said. 'That's what I've been talking to the governor about. 'I don't want to get in the way. I've been to too many disaster areas. But I want to go make sure we got everything they need. Want to be sure we don't disrupt the ongoing recovery efforts.' On Sunday, he added to anger by replying 'no comment' when asked, after spending two hours at the beach in Delaware, for his reaction to the tragedy. Kaniela Ing, a Democratic former member of Hawaii's state legislature, said he was not satisfied by the Biden administration's response. 'I campaigned for you,' he said. 'Now, when I lose dozens of my friends, family, and neighbors - this?' More than 3,000 people have registered for federal assistance, according to the Federal Emergency Management Agency, and that number was expected to grow. FEMA was providing $700 to displaced residents to cover the cost of food, water, first aid and medical supplies, in addition to qualifying coverage for the loss of homes and personal property. The Biden administration was also seeking $12 billion more for the government's disaster relief fund, as part of its supplemental funding request to Congress. The grim task of locating and identifying victims of the worst wildfire in the United States in 100 years was continuing on Tuesday. While the area has been closed to the public, the state announced plans to reopen the Lahaina Bypass Road on Tuesday to locals. It will be open the entire public on Wednesday. An aerial view of Lahaina shows the sheer scale of destruction that the wildfires have caused in Hawaii A firefighter is seen attempting to put out the blaze on Maui A fire sparked by a downed powerline on the morning of Tuesday, August 8 is believed to have developed into the conflagration which destroyed Lahaina. Officials initially brought the fire under control, but a flareup quickly spread Maui County officials said on Tuesday morning that they had combed only a third - about 32 percent of the search area, a week after the blazes near the destroyed town of Lahaina broke out. John Pelletier, the Maui police chief, said in a Monday news conference that he hopes searchers will have covered 85 to 90 percent by the weekend. On Tuesday, a mobile morgue unit finally arrived to help Hawaii officials working painstakingly to identify the 106 people confirmed killed. The site was pictured being prepared by FBI agents on Sunday, but by Tuesday it was expected to be operational. The U.S. Department of Health and Human Services has deployed a team of coroners, pathologists and technicians along with exam tables, X-ray units and other equipment to identify victims and process remains, said Jonathan Greene, the agency's deputy assistant secretary for response. 'It's going to be a very, very difficult mission,' Greene said. 'And patience will be incredibly important because of the number of victims.' Just three bodies have been identified, and officials expected to start releasing names on Tuesday, Pelletier said. He urged others who have missing relatives to submit DNA samples. So far 41 samples have been submitted, the county statement said, and 13 DNA profiles have been obtained from remains. John Pelletier, the chief of Maui police, is urging relatives to provide DNA samples to identify missing loved ones Josh Green, the governor of Hawaii, is seen at a press conference Josh Green, the governor of Hawaii, said on Tuesday that children were among the missing. 'Tragically, yes,' he told Hawaii News Now. 'When the bodies are smaller, we know it's a child.' He described some of the sites being searched as 'too much to share or see from just a human perspective.' Another complicating factor, Green said, is that storms with rain and high winds were forecast for the weekend. Officials are mulling whether to 'preemptively power down or not for a short period of time, because right now all of the infrastructure is weaker.' A week after the fires started, some residents remained with intermittent power, unreliable cellphone service and uncertainty over where to get assistance. Some people walked periodically to a seawall, where phone connections were strongest, to make calls. Flying low off the coast, a single-prop airplane used a loudspeaker to blare information about where to get water and supplies. The local power utility has already faced criticism for not shutting off power as strong winds buffeted a parched area under high risk for fire. The official cause of the fire has not been confirmed, and it is not clear whether the utility's equipment played any role in igniting the flames. Green is seen speaking to Maui County mayor, Richard Bissen, in Lahaina The smoldering ruins of Lahaina are seen on Saturday Shelee Kimura, the president of Hawaiian Electric, said many factors go into a decision to cut power, including the impact on people who rely on specialized medical equipment and concerns that a shutoff in the fire area would have knocked out water pumps. Green has said the flames raced as fast as a mile every minute in one area, fueled by dry grass and propelled by strong winds from a passing hurricane . The blaze that swept into centuries-old Lahaina last week destroyed nearly every building in the town of 13,000. The Lahaina fire caused about $3.2 billion in insured property losses, according to calculations by Karen Clark & Company, a prominent disaster and risk modeling company. The firm said more than 2,200 buildings were damaged or destroyed by flames, with about 3,000 damaged by fire or smoke or both. Even where the flames have retreated, authorities have warned that toxic byproducts may remain, including in drinking water, after the flames spewed poisonous fumes. That has left many unable to return home. The Red Cross said 575 evacuees were spread across five shelters as of Monday. Green said thousands of people will need housing for at least 36 weeks. He said on Tuesday that some 450 hotel rooms and 1,000 Airbnb rentals were being made available. The man accused of murdering teenage schoolgirl Shyanne-Lee Tatnell is facing three new charges. Shyanne-Lee, 14, vanished while walking to a friend's house along a dark industrial road in Launceston, Tasmania, on the night of April 30. After a three-month police investigation, a tip-off led police to her body in remote bushland 52km away at Nabowla, a rural locality in the state's north-east on July 26. Police arrested and charged 36-year-old Scottsdale farmer Christopher Mark Jordan with murder the day after Shyanne-Lee's remains were found. Jordan faced Launceston Magistrates Court over the teen's death for the second time on Wednesday where he did not enter a plea over the murder charge. Shyanne-Lee Tatnell, 14, (pictured) vanished while walking to a friend's house in Launceston, Tasmania, on April 30. After a three-month search, police found her body in remote bushland 52km away at Nabowla on July 26 Police arrested and charged 36-year-old Scottsdale farmer Christopher Mark Jordan (pictured) with Ms Tatnell's alleged murder. He was hit with three new charges following his second court appearance Magistrate Simon Brown directed Jordan, who appeared via video link from the Hobart Reception Prison, to enter a plea at his next court hearing on November 29. The court proceedings revealed that Mr Jordan is facing an additional three charges, ABC News reported. The fresh charges include failing to comply with prescribed storage of ammunition requirements, possessing a controlled plant - cannabis, or its products - and one count of possessing a prohibited import. Police allege that Jordan failed to adequately store 58 .223 calibre rounds and four 12-gauge shells, with the ammunition left in a cardboard box on top of a cabinet. The 36-year-old was charged with the new offences on May 16 and was remanded in custody making no application for bail. Shyanne-Lee, originally from Burnie on the state's north-west coast, was last seen on CCTV footage walking along Henry St in eastern Launceston at 8.30pm on April 30. Hundreds of mourners packed the Chapel of Parkside Funerals in Burnie to say goodbye to the 'beautiful' teenager (pictured) Ms Tatnell's grieving mother Bobbi-Lee Ketchell (right) said she was 'blessed' to have her as a daughter The 14-year-old was staying at a youth centre in Launceston when she disappeared, with her accommodation provider alerting police when she failed to return. Emergency service personnel initially searched the North Esk River and surrounds at Launceston for the missing teen. Last month, an expanded operation was launched involving 160 police officers and SES volunteers resulting in the discovery of her body in bushland near Nabowla. Ms Tatnell was last seen on CCTV walking along Henry Street in eastern Launceston o April 30 at 8.30pm (pictured) Hundreds of mourners packed the Chapel of Parkside Funerals in Burnie on August 12 to say goodbye to the 'beautiful' teenager. Almost 1,000 people watched the funeral via online livestream, with the service beginning with the lighting of candles to honour Shyanne-Lee and the grief felt by her family and the close-knit Tasmanian community. Mourners were told the funeral was a 'harsher moment' than expected, given that many questions surrounding Shyanne-Lee's death remained unanswered. Her father Nathan described the young teen as his 'little puddin', and in a message said he was proud to have seen her grow into a 'young lady'. 'You always made me so proud - apart from stealing Nan's car,' he said. 'I have to say I was a little impressed how far you made it at only 14. I've done a lot of laughing telling people what you did. 'The pain I feel now is tearing me apart, knowing I'll no longer be able to watch you grow up. You were taken away too early. You will always be Dad's puddin' bear.' Emergency service personnel initially searched the North Esk River and surrounds at Launceston for the missing teen (pictured) Pictured, police and forensic services seen gathering evidence at a Scottsdale property connected to the apparent death of 14-year-old Shyanne-Lee Tatnell Ms Tatnell's family encouraged mourners to bring written messages to be placed with the teenager during a funeral service. Mother Bobbi-Lee Ketchell broke down in tears as she spoke publicly for the first time last week since her daughter's body was found. 'This was not the outcome that we had hoped (for),' she told 7News. 'But I'm a blessed mum to have my child, to be able to farewell her. And she was taken too soon and she had her life ahead of her.' Kim Eun-soo, executive vice president of Hyundai Motor India, Middle East & Africa Strategic Region, left, poses with Asif Khatri, vice president of production at GMI, after signing an acquisition agreement for the Talegaon plant in Gurugram, Haryana state, India, Wednesday (local time). Courtesy of Hyundai Motor Group By Kim Hyun-bin Hyundai Motor has acquired GM India's Talegaon plant in response to growing demand in India's rapidly expanding automotive market, Korea's largest carmaker said Wednesday. The company signed an agreement to take over the assets of GM India's Talegaon plant located in Gurugram, Haryana state, India, Wednesday (local time). The signing ceremony was attended by about 20 officials from both companies, including Kim Eun-soo, executive vice president of Hyundai Motor India's (HMI) Middle East & Africa Strategic Region, and Asif Khatri, vice president of production at GMI. "This year will mark a new milestone in Hyundai's 27-year history in India," Kim said. "Starting from the full-scale operation of the Talegaon plant in 2025, we will establish an advanced manufacturing hub that can contribute to the growth of the Indian automotive industry." If the preliminary conditions, including approval from the Indian government, are met within this year, Hyundai Motor India will fully acquire the rights to the designated land and facilities of GMI's Talegaon plant. Hyundai's decision to acquire GMI's Talegaon plant aims to strengthen its leadership in the fast-growing Indian automotive market and actively respond to the rapid electrification transition in India. India, with the world's largest population, rose to become one of the top three global automotive markets, selling 4.76 million vehicles last year, following China (23.2 million vehicles) and the U.S. (14.2 million vehicles). The passenger car market is expected to exceed 5 million vehicles by 2030. The Indian government recently set a goal to expand the share of electric vehicle (EV) sales to 30 percent of total vehicle sales by 2030 and is aggressively pursuing strong electrification policies. Hyundai sold a total of 552,511 vehicles in India last year, capturing a 14.5 percent market share and securing the second position after Maruti. As of last month, Hyundai maintained its second position with a 14.6 percent market share, having sold 346,711 vehicles. However, despite the growth, there were limitations to meeting the increasing demand in India's automotive market due to production capacity constraints. By acquiring GMI's Talegaon plant, Hyundai aims to secure additional production capacity to expand the supply of high-demand core models and establish a system to introduce various models swiftly. The Talegaon plant has an existing annual production capacity of approximately 130,000 vehicles and is expected to begin full-scale production in 2025. In addition, Hyundai plans to establish a local EV production system to respond proactively to the anticipated rapid growth of the Indian EV market. Although the scale of EV sales in India was only around 48,000 vehicles in the previous year, accounting for 1.2 percent of the passenger car market, it has grown more than threefold compared to 2021, and sales are expanding rapidly to reach 46,650 vehicles in the first half of this year, approaching last year's annual sales volume. Annual EV sales are expected to reach 1 million vehicles by 2030. The best friend of a man who was allegedly murdered by his mate outside a day spa described him as 'the most extraordinary person'. Benny Singleton, 35, was allegedly stabbed to death by father-of-three Anthony Ford, 28, outside the Seasons 5 Resort and Spa in Cook Point, southwest Melbourne, on Sunday. Police believe Ford, from Digger's Rest in the city's northwest, stabbed Mr Singleton after meeting him at the resort with his three children. It's understood the children witnessed the incident. Mr Singleton's best friend, Hayley Gibbs, said she also knew Ford and was left reeling by the alleged fatal fight. Benny Singleton (above) was allegedly stabbed to death on Sunday after meeting with a friend at the Seasons Five Resort and Spa in Cook Point, southwest Melbourne Father-of-three Anthony Ford (above) is accused of murdering Mr Singleton after an argument 'I was just as shocked to know they [Mr Singleton and Ford] knew each other as I know Anthony. We have been friends for a while,' she told the Herald Sun. Ms Gibbs, 33, described Mr Singleton as her 'first love' and said they've been good friends since dating as teenagers. 'He was the most extraordinary person, so funny yet so smart and he always pushed me to be better as a person and as mum,' she said. She added Mr Singleton had worked as an excavator since he was 18 years old because he loved 'playing in the dirt' and spent his free time with friends and family. Several more of Mr Singleton's loved ones shared tributes to him on social media. 'Rest easy now Benny Singleton. Your friendship and memories will be cherished forever,' one wrote. 'RIP Benny Singleton, gone to soon,' another said. Another of Mr Singleton's friends, Ashley Gannon, described him as 'a great friend and a big flirt'. 'He never had a bad word to say about anyone and though our lives took us in different directions, he was always up for a conversation, a laugh and nagging me for a haircut with "mates rates",' she told the Herald. It's alleged Ford stabbed Mr Singleton after a fight broke out at about 2.30pm on Sunday. Hayley Gibbs, a close friend of Mr Singleton (above), described him as 'the most extraordinary person' and her 'first love' Paramedics treated Mr Singleton at the scene but, sadly, were unable to save him. Police arrested Ford after he allegedly drove down Point Cook Road and crashed into another vehicle. He was charged with murder and did not apply for bail. Ford will face Melbourne Magistrates Court on October 9. The Administrative Appeals Tribunal said the case seemed unfair A couple expecting their first child who have called Australia home for the last ten years are facing being deported over what they say was a bureaucratic 'mistake'. Michaela Loudinova, who is heavily pregnant, and her husband Miroslav Sulak both arrived in Australia in 2013 from the Czech Republic on student visas and fell in love with the country. Ms Loudinova worked her way up to a job managing a restaurant in Sydney's eastern suburbs using her Diploma of Management and was sponsored for a skilled worker visa. But for the last five years, she has been battling to stay in the country after her application was rejected by the Department of Home Affairs in 2018. Ms Loudina was told her application was refused because the restaurant didn't provide enough evidence to show they could regularly pay her for the next two years. Michaela Loudinova, who is heavily pregnant, and her husband Miroslav Sulak have been fighting to stay in the country for five years Ms Loudinova has a diploma in management and is working as a concierge at a hotel A couple expecting their first child who has called Australia home for the last ten years are facing being deported over what they say was a bureaucratic 'mistake'. Michaela Loudinova, who is heavily pregnant, and her husband Miroslav Sulak both arrived in Australia in 2013 from the Czech Republic on student visas and fell in love with the country. Ms Loudinova worked her way up to a job managing a restaurant in Sydney's eastern suburbs using her Diploma of Management and was sponsored for a skilled worker visa. But for the last five years, she has been battling to stay in the country after her application was rejected by the Department of Home Affairs in 2018. Ms Loudina was told her application was refused because the restaurant didn't provide enough evidence to show they could regularly pay her for the next two years. The couple are expecting a child in December but are facing the stress of having to regularly apply for bridging visas and not knowing if they will be deported They have not heard anything from the Minister's office in more than a year and the couple have now launched a petition asking Mr Giles to consider their case on 'compassionate grounds'. More than 8,500 people have signed the petition. Without this intervention, we will be forced to leave Australia,' Ms Loudinova said. 'Ten years of hard work and skills in critical industry sectors gone in an instant.' The couple both continue to work, Ms Loudinova as a concierge in a hotel and her husband for an electrical company. Mr Giles office said it could not comment on individual visa cases. A mother-of-four has been found stabbed to death inside her western Sydney home after police failed to respond to a concern for welfare call made by her partner the night before. Police received a concern for welfare call from a man at a property in St Marys at 8.40pm on Tuesday night but emergency services did not attend the home. Ten hours later at around 6.45am on Wednesday, another triple-0 call was made from the Edmondson Avenue home. Police found Drew Douglas suffering stab wounds to her chest. The mother-of-four, who had given birth just a month ago, tragically died at the scene, despite desperate attempts by paramedics to revive her. It has now emerged there was a history of domestic violence between Ms Douglas and her partner, Shaun King. Mr King, 35, attended Campbelltown Police Station 43km away in Sydney's south-west at 7.30am on Wednesday. He's currently in custody and is assisting police with their inquiries but is yet to be interviewed. No charges have been laid. Mother-of-four Drew Douglas was allegedly stabbed to death in her St Marys home Forensic officers have arrived at the home which remained a crime scene on Wednesday A critical incident team has been set up to investigate why there was a 10 hour delay in attending the home. Certainly there is a history of police involvement with both of these two people, NSW Assistant Commissioner Leanne McCusker told reporters. The circumstances surrounding why there was no attendance and the timeline from last night though to this morning is part of the critical incident investigation, she said. However, Assistant Commissioner McCusker said she was not aware of any threat of violence in the original phone call. She also indicated the triple-0 call on Wednesday morning may have been made by one of the couples children. I dont believe it was (made) by the victim but other members inside the house, Assistant Commissioner McCusker said. She added there were no current apprehended violence orders in place or pending charges. Police removed Ms Douglass body from the property shortly before 4pm on Wednesday afternoon. She had four children aged 12, 11, one, and a four week-old baby girl. Her age has yet to be confirmed but is believed to be in her 30s. Her heartbroken sister Jade took to social media to pay tribute. 'It's not fair, to rip a family apart, I'll never understand how someone can do something so evil. I'm broken shattered and numb. My family does not deserve this, my sister didn't deserve this,' Jade Douglas wrote. She later added: 'I love you sissy.' Her sister's death sparked an outpouring of tributes. 'I'm heartbroken. I'll never be be able to talk to my best friend again,' one friend commented. Forensic officers were seen photographing a car parked in the driveway of the home Homicide detectives (pictured at the home) have launched an investigation into Drew Douglas' death Drew Douglas (pictured) gave birth to her fourth child a month ago. One neighbour described the incident as 'all just really shocking'. 'It's not what you'd expect,' the resident told Daily Mail Australia. 'Drew was a nice lady but Shaun just sat playing Call of Duty a lot of the time. He didn't really care about anything else.' Neighbour Ross Windley, 61, who has lived in the street for 60 years, said the incident was 'absolutely devastating - just horrible'. 'Around quarter to seven this morning, I was woken by a couple of ambos racing down the street followed by some police cars,' he said. 'It was a hive of police activity. They have the whole street cordoned off and then a lady detective came and asked if I had any CCTV, which I didn't.' Mr Windley told Daily Mail Australia he initially saw the police drive to another address 'down the other end of the street that the police frequent quite a lot'. 'I think that's what happened this morning, they instinctively went down there when they first rocked up because they've been down there so often but then they just screamed back up straight away,' he said. Mr Windley said he did not know the couple but used to see their children playing in the street. He said he thought the young family lived with an older couple. It's understood other adults were at the home at the time, Sydney Morning Herald reported. Shocked neighbours described the woman as the 'nicest person ever'. Pictured are police outside the Edmondson Avenue home The Edmondson Avenue home remained a crime scene on Wednesday afternoon as homicide detectives launched an investigation into the circumstances surrounding Ms Douglas' death. Forensic officers were seen photographing the home and scouring the scene for possible evidence. A critical incident team will investigate all the other circumstances surrounding the incident, which be subject to an independent review. 1800RESPECT The death of mother-of-four Drew Douglas has shocked neighbours in the St Marys street Police spent the afternoon combing the street and neighbouring yards for any evidence Suppliers say poison fungi would never be sold in stores Supermarkets and mushroom suppliers have insisted there is zero chance they would sell poisonous fungi after Erin Patterson claimed she bought the ingredients from a grocery store instead of foraging for them. Ms Patterson, 48, is at the centre of a now-infamous lunch at her home Leongatha, in Victoria's southeast Gippsland region, on July 29, that left three of her in-laws dead of suspected mushroom poisoning, while a fourth man remains in a coma. Don and Gail Patterson along with Gail's sister Heather Wilkinson became severely ill and died just days after the lunch. Ian is in a critical condition in hospital. Ms Patterson revealed to police that the mushrooms used in the beef Wellington she served up to her guests had come from an Asian grocery store and supermarket. But producers have emphatically denied that poisonous mushrooms would ever be sold to customers - with Coles and Woolworths the latest to defend their products. Ms Patterson has denied any wrongdoing and no charges are expected to be laid at this stage of the investigation. Daily Mail Australia does not suggest Ms Patterson was responsible for any of the poisonings or deaths. Erin Patterson (pictured), 48, is at the centre of a now-infamous lunch at her home Leongatha, in Victoria's southeast Gippsland region that left three dead of suspected mushroom poisoning, while a fourth man remains in a coma Ian Wilkinson and Heather Wilkinson (both pictured) became severely ill after they ate wild mushrooms. Mrs Wilkinson died on Friday while her husband remains in a critical condition A Woolworths spokesperson told Daily Mail Australia: 'We work with reputable mushroom suppliers who uphold the highest food safety standards, and we have not received any reports of illness from customers.' The chain added that there was no reports of poisoning or customers falling ill and there was no information to suggest customers were in danger of poisoning. READ MORE: Shock twist as chef at centre of mushroom lunch was an 'experienced' forager Advertisement Coles stated that they 'do not sell any wild foraged mushrooms' and that it was 'paramount' their suppliers followed strict health and safety regulations. The Australian Mushroom Growers Association (AMGA) has also claimed it is 'absolutely impossible' for poisonous mushrooms to be grown in an Australian commercial farm. AMGA deputy chair Georgia Beattie, who sells mushrooms from her own organic farm in Bulla Park, told the ABC that every step of the 'growing process' had to meet strict health and safety standards. She added there were multiple checks and balances and certifications that were audited when it came to supplying fungi to commercial stores. 'The AMGA extends its deepest condolences to the families of the people affected by the recent tragedy in Leongatha and the entire Gippsland community,' she said. 'Given the recent focus on mushrooms, the AMGA feels it necessary to inform the public that commercially grown mushrooms, produced in Australia, are safe and high quality. If you want safe mushrooms, buy fresh, Australian-grown mushrooms.' Ms Beattie said the organisation took absolutely no risks when it came to the health of consumers. 'It is absolutely impossible for a poisonous mushroom to be grown in an Australian Agaricus bisporus commercial farm,' she said. 'We make sure that every step along our growing process meets a certain certification and health and safety standards.' Gail and Don Patterson, who were Ms Patterson's former in-laws, died after eating the mushrooms Supermarkets Coles and Woolworths, along with mushroom suppliers, have insisted there is zero chance poisonous mushrooms would be sold to customers (pictured, mushrooms at a Melbourne fresh food market place) Ms Beattie urged anyone with doubts about purchasing mushrooms in supermarkets and groceries to check for the Australian-grown logo. The comments come after Asian grocers in Mount Waverley vehemently rejected any suggestion Ms Patterson could have bought killer mushrooms from their stores. Daily Mail Australia visited Asian grocers in and around the heart of the suburb's busy shopping precinct on Tuesday Situated on Hamilton Place, TK Asian Supermarket is by far the largest and most popular of its type. Two shop attendants told Daily Mail Australia they had never heard of anyone becoming sick from eating mushrooms purchased from their shop. One of the shopkeepers said the very notion of someone becoming ill from a shop bought dried mushroom seemed 'crazy' to him. Victorian mushroom grower Josef Sestokas told the public broadcaster that 'foraged fungi' was never sold to supermarkets or grocery stores. Ms Patterson's claims that she purchased the mushrooms comes after Daily Mail Australia revealed that she was an experienced fungi forager known to pick wild mushrooms around Victoria's Gippsland region, a source close to the family said. A friend of the Patterson family said Erin was 'very good at foraging' and identifying different mushroom varieties. 'The Patterson family (including Erin and Simon) would pick mushrooms each year when they were in season,' the friend said. 'It's very common for people to go mushroom picking around that area.' Ms Patterson had intended to use the meal as reconciliation with her estranged husband Simon, who is the son of Don and Gail, but he pulled out at the last minute. Ms Patterson's claims that she purchased the mushrooms from a grocery stores comes after a source close to the Patterson family told Daily Mail Australia that she was an experienced fungi forager known to pick wild mushrooms around Victoria's Gippsland region Ms Patterson has bemoaned her portrayal in the media and to protest her innocence since the fallout of the lunch. 'I lost my parents-in-law, my children lost their grandparents,' she told The Australian. 'And I've been painted as an evil witch. And the media is making it impossible for me to live in this town. 'I can't have friends over. The media is at the house where my children are at. The media are at my sister's house so I can't go there. This is unfair.' The stay-at-home mum who has previously worked editing a Korumburra newsletter claimed the she was hospitalised after eating the lunch as well. Her children were not present at the lunch and went to the movies instead. They ate leftovers the next day, but the mushrooms were scraped off as they don't like them. In a written statement sent to Victoria Police on Friday and obtained by the ABC Ms Patterson expressed hurt that people 'were so quick to rush to judgement.' 'I am now wanting to clear up the record because I have become extremely stressed and overwhelmed by the deaths of my loved ones,' Ms Patterson said. 'I am hoping this statement might help in some way. I believe if people understood the background more, they would not be so quick to rush to judgement. 'I am now devastated to think that these mushrooms may have contributed to the illness suffered by my loved ones. I really want to repeat that I had absolutely no reason to hurt these people whom I loved.' Only First Nations clan can question Aboriginality, Senator Thorpe says Lidia Thorpe has hit back at the 'racist' comments questioning her Aboriginality levelled toward her by a No campaigner, arguing it's something she has 'copped her whole life'. David Adler, who is head of the Australian Jewish Association, questioned 'what per cent Aboriginal' Senator Thorpe was in March 2022. 'You appear quite white,' he reportedly said. 'Not so sure she's Blak (or Black).' Speaking to ABC's Pat Karvelas, Ms Thorpe said a First Nations' person's clan or nation are the only people who have the authority to question a person's Aboriginality. She had a quiet laugh to herself after learning of the comments in the media on Tuesday, noting she 'didn't even know' of Dr Adler. 'I don't have time for ignorant people,' she said. 'I've copped it all my life... It's water off a duck's back for me. 'It's racist. No-one has a right to question someone else's Aboriginality unless you come from that clan or nation. I can only question those that purport to be [from my country]. 'It is an ongoing issue but that's the racism that exists in this country unfortunately. 'I can't question anybody else, and now can people who aren't from any clan or nation.' Ms Thorpe said she would not waste her 'precious time' giving air to the comments that were made. 'I've got bigger fish to fry in this place,' she said. Ms Thorpe is preparing to deliver a National Press Club address on Wednesday, when she'll offer an alternative to the Voice to Parliament and explain the reasons for her position opposing the referendum. 'I'll take people on a bit of a journey so that we can educate on the effects of invasion, particularly, deaths in custody, removal of children, the destruction of land and water - they are all effects of genocide and invasion of this country,' she told ABC radio. David Adler (pictured with Peter Dutton), who is head of the Australian Jewish Association, questioned 'what per cent Aboriginal' Senator Thorpe was in March 2022 A referendum on an Indigenous voice is expected to be held in October. If the referendum is successful, the Voice would provide advice to government about matters that affect Indigenous Australians. As the debate heats up on both sides of the divide, there have been mounting concerns about some of the individuals fronting the campaigns. Dr Adler is on the advisory board for the right-wing lobby group, Advance - which runs a major 'No' campaign against the Voice. In addition to his reported comments about Ms Thorpe, Dr Adler suggested Indigenous journalist Stan Grant artificially darkens his skin in a series of tweets. The tweets, which were still on Dr Adler's Twitter profile on Tuesday morning, comprise photos of Grant throughout his career. 'Stan Grant's complexion seems to have changed,' he captioned the post. 'Look at the 3 pics. Can anyone explain?' Ms Thorpe said a First Nations' person's clan or nation are the only people who have the authority to question a person's Aboriginality Another image featured a photo of Grant on television, with an arrow pointing to a patch on his chest - the caption read: 'Ohh dear, he missed a spot.' In March, Dr Adler posted the same images with the caption: 'Is Stan Grant doing black face? If so, why?' Dr Adler later said he did not recall the comments directed at Ms Thorpe. 'I asked a question; I haven't made any accusations. I was given material and there are many people who have said that (Grant's) complexion has changed,' he told SMH. 'I am 100 per cent zero racism. I have Aboriginal friends, the most prominent being Warren Mundine, and you'll see photos of me with him.' Mr Mundine, a highly-influential indigenous businessman and No campaigner, told Radio National on Tuesday morning that Dr Adler's comments about Grant's complexion were 'bizarre'. Dr Adler later said he did not recall the comments directed at Ms Thorpe 'Stan Grant and I went to university together, we've been mates for over 30 years - brothers, in fact, we consider ourselves - so there is no question about where he comes from and no question about his Aboriginality at all,' he said. 'Over the years, I have seen silly tweets saying he got dark skin or he's pale - that is absolute nonsense.' Mr Mundine acknowledged both he and Dr Adler are united in their opposition to the Voice, but argued they do not belong to the same lobby groups: 'He's not at my board meetings, he's not at our campaign meetings'. He said it was 'disgusting' that anyone would question the percentage of someone's Indigenous heritage - slamming it as a 'racist attack'. A six-year-old boy has been shot dead by a nine-year-old in Jacksonville, Florida, on Monday. The incident occurred around 2:45 p.m. at a home on Shady Pine Street South in the Duclay neighborhood Police believe an adult was present with the children. Assistant Chief J.D. Stronko from Jacksonville Sheriff's Office said the victim, who was shot in the head, was taken to hospital but tragically died. No charges have been filed against the adult and police have not identified the people involved. A six-year-old boy has been shot dead by a nine-year-old in Jacksonville, Florida on Monday Assistant Chief J.D. Stronko (pictured) from Jacksonville Sheriff's Office said the victim, who was shot in the head, was taken to hospital but tragically died 'Initial investigation determined the two juveniles were located inside of the residence in the care of an adult,' Stronko said. 'One of the juveniles was able to obtain a firearm and fired a single shot striking the victim. There is no indication of criminal violence.' Police said the adult who was present had been taken in for questioning. Neighbors told News4Jax of their heartbreak in the aftermath of the incident. 'It's terrible, terrible. It breaks my heart,' an anonymous neighbor said. 'I always tell my kids and teach them not to touch my gun.' Neighbors said the house had been rented out several times and they were not sure who the current occupiers were. Three other children have died as a result of accidental shootings in Florida this year, according to data compiled by the Florida Department of Children and Families. The incident occurred around 2:45 p.m. at a home in the Duclay neighborhood on Shady Pine Street South In February, a three-year-old child in Volusia County died after he accidentally shot himself when he gained access to the weapon. Just a few weeks later, a four-year-old child from Orange County died after accidentally shooting himself when picking up a weapon while visiting his father and his father's paramour. The most recent incident, until Monday, was when a two-year-old child was pronounced deceased in Broward County after she sustained a gunshot wound while playing with a loaded weapon. There have been fifteen children shot in Jacksonville this year too, according to News4Jax data. A representative for the Nationwide Childrens Hospital said parents arent doing enough to prevent children from accessing their weapons. 'If your child is going to visit a friends house or a relatives house go ahead and ask: "are there firearms in the home? And if there are, how are they stored?"' Nichole Michaels, a principal investigator with The Center for Injury Research and Policy at Nationwide Childrens Hospital, advised. 'Normalizing it so that parents are asking about firearms, the same way that they ask about allergies in the home or pets in the home, you know, just making those conversations really normal, can go a long way toward, you know, opening up these discussions and preventing these tragedies from happening.' Donald Trump has until next Friday to turn himself in to an Atlanta jail described as 'unhygienic' and 'overcrowded,' where scabies outbreaks have occurred and several prisoners have been found dead in their cells. The former president and notorious germaphobe, along with his 18 co-defendants, were indicted on Monday on charges of attempting to overturn Georgia's 2020 election. They were told they had until noon on August 25 to surrender, or else arrest warrants would be issued. They must surrender to Fulton County jail on Rice Street in Atlanta - a facility built in 1985, which many say now needs to be demolished. Footage from inside the jail shows the horrible conditions of broken equipment, filthy halls and trash strew throughout the area. Fulton County Sheriff Pat Labat said officials will follow 'normal practices' when processing Trump. That would usually include fingerprinting, handcuffing and a booking photo. Donald Trump, pictured on Sunday, has until August 25 to turn himself in to the notoriously decrepit Atlanta jail The Fulton County jail, on Rice Street, is so run down that local sheriffs are demanding it be refurbished The 1,300-person facility has housed more than double that number, with some sleeping on the floors It is unclear if that will normal procedures at the Fulton County jail will happen for Trump. The Georgia indictment is his fourth, and so far none have taken his mugshot, arguing that he is sufficiently well known. He has also not been cuffed. That has not stopped his campaign from fundraising with photoshopped fake mugshots. Trump is also unlikely to spend time in the jail itself, which is intended to house 1,300 inmates, but has held more than 3,000 people in recent years. 'It's essentially been overcrowded since it was built,' said Fallon McClure of the ACLU of Georgia. 'This has just been a perpetual cycle over and over for years.' The Southern Center for Human Rights said the site provides 'unhygienic living conditions' that have led to outbreaks of COVID-19, lice and scabies. It found inmates were 'significantly malnourished', the BBC reported, and dealing with a condition called cachexia, also known as wasting syndrome. Several prisoners have died in recent months - among them a 34-year-old man was found unconscious in a medical unit cell last week. Last month, a 19-year-old woman, Noni Battiste-Kosoko, died in Fulton County jail custody - although she was held at a different site. In September 2022, Lashawn Thompson died after being housed in the jail in conditions akin to a 'torture chamber,' his attorneys said. Pat Labat, the local sheriff, said officials will follow 'normal practices' when processing Trump. That would usually include fingerprinting, handcuffing and a booking photo Footage from inside the jail shows the horrible conditions of broken equipment, filthy halls and trash strew throughout the area Trump is also unlikely to spend time in the jail itself Noni Battiste-Kosoko, 19, died in Fulton County jail custody in July this year Lashawn Thompson, 35, died inside the jail's psychiatric ward in September 2022 Thompson, 35, had been housed in the jail's psychiatric ward for three months when he died. The independent medical review listed 'untreated decompensated schizophrenia' as a contributing cause to the death, in addition to dehydration, malnutrition and severe body insect infestation, which included lice and bed bugs. Fulton County Sheriff's Office itself said the building is 'dilapidated and rapidly eroding', and is seeking the construction of a new $1.7 billion jail. McClure, of the ACLU, said she had little faith that the jail would be refurbished. 'There's been a lot of talk of cleaning it up,' she said. 'We have not really seen or heard anything particularly significant. It seems like a lot of posturing.' The jail is well known for overcrowding and insanitary conditions Trump and his co-defendants are not expected to spend time in the cells, where many languish for months The Southern Center for Human Rights said the site provides 'unhygienic living conditions' that have led to outbreaks of COVID-19, lice and scabies She said that the drain on resources provided by the Trump case also contributed to the backlog in the jail. In September 2022, an ACLU report found 117 people had waited in jail for more than a year because they had not been indicted; 12 had been held for two years for the same reason. 'There's the assumption that other cases aren't getting indicted because this is taking up so much time,' she said. Trump on Tuesday demanded the case be delayed until after the November 2024 election. Fani Willis, the prosecutor, said on Monday the timeline was up to the judge. Her office indicted Trump on state charges, meaning that - unlike federal charges - he will not be able to dismiss them even if he wins the election. Trump has routinely insisted the charges are politically-motivated, and called the indictment a witch hunt. Donald Trump is seen in a Truth Social clip he posted on Tuesday afternoon, his first video post since being indicted in Georgia The sprawling 98-page indictment listed 19 defendants and 41 criminal counts in all. All the defendants were charged with racketeering, which is used to target members of organized crime groups and carries a minimum penalty of five years in prison. Trump was charged with 13 of the 41 counts: if convicted on all charges, he faces more than 70 years in prison. Mark Meadows, Trump's former White House chief of staff, and lawyers Rudy Giuliani, Jenna Ellis and John Eastman were among those charged. 'Rather than abide by Georgia's legal process for election challenges, the defendants engaged in a criminal racketeering enterprise to overturn Georgia's presidential election result,' Willis said at a press conference just before midnight. Alec Baldwin may still be charged in the Rust shooting that claimed the life of cinematographer Halyna Hutchins, suggests a new forensic report that concluded the gun's trigger was 'sufficiently' pulled. Criminal charges against Baldwin were dropped and the movie finished filming earlier this year. But Baldwin, 65, who has repeatedly denied pulling the trigger, may be called back into court over the killing. The firearms report, obtained by People Magazine, stated: 'the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver.' Baldwin has repeatedly denied that he pulled the trigger of the gun whose bullet killed Halyna Hutchins. A new report indicates otherwise Hutchins, 42 and a mom-of-one, was killed in October of 2021 when a bullet discharged from a gun held by Baldwin and hit her 'This fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger,' wrote firearms experts Lucien Haag and Mike Haag, who were hired by the State of New Mexico in its case against Hannah Gutierrez-Reed, the set's armorer. In October of 2021, Baldwin was holding the prop Colt .45 revolver that, unbeknownst to him, contained lived rounds, when it discharged and struck Hutchins, 42, and the film's director Joel Souza. After the shooting, the Santa Fe County District Attorney's Office charged Baldwin, who also served as the film's producer, with two counts of involuntary manslaughter. In April, the charges were dismissed without prejudice, though prosecutors noted Baldwin could still face charges pending an investigation into the gun. After Baldwin's attorneys announced the decision, the special prosecutors said the 'decision does not absolve Mr. Baldwin of criminal culpability and charges may be refiled.' 'The gun and broken sear have been sent to the states independent expert for further testing. The charges against Alec Baldwin were dismissed without prejudice because a possible malfunction of the gun significantly effects causation with regard to Baldwin, not with regard to Gutierrez,' wrote the prosecutors in June. 'If it is determined that the gun did not malfunction, charges against Mr. Baldwin will proceed.' The prosecution's new report in the case against Gutierrez-Reed stated the gun was 'found to function properly and in accordance with the operational design of original Colt 1873 single-action revolvers.' Although the 'full-cock step on the hammer had been severely damaged, the top of the triggers sear was broken off and the bolt (cylinder stop) was also broken' because of the on set incident, there were no indications of modifications to the gun. An August 2022 forensic report from the FBI concluded essentially the same thing - that Baldwin pulled the trigger. Prosecutors have accused Hannah Gutierrez-Reed of involuntary manslaughter and evidence tampering The cast and crew of Rust before the fatal 2021 shooting Baldwin was initially charged but the case was dropped after evidence suggested the weapon could have been fired without him pulling the trigger Last week, 26-year-old Gutierrez-Reed pleaded not guilty to the charges against her. Her attorney, Jason Bowles said his client 'looks forward to her day in court, and to having the conduct of everyone on set fully examined.' Gutierrez-Reed waived her right to an arraignment and preliminary hearing on Wednesday when she submitted the plea. Her trial is set for December. Jury selection will begin the day before opening statements. Gutierrez-Reeds attorneys previously said that they 'fully expect at the end of this process that Hannah will also be exonerated.' The actor was seen lingering outside the park lot of the Santa Fe County Sheriff's offices after facing questions over the accidental shooting in 2021 He has said in interviews that he was told the gun was safe and that he never pulled the trigger Baldwin has maintained the shooting was nothing more than an accident. He has said in interviews that he was told the gun was safe and that he never pulled the trigger. 'I didn't pull the trigger. I would never point a gun at someone and pull the trigger on them, never,' he said in December 2021. The movie has resumed shooting in recent months. In April, Baldwin was spotted on set hauled up to the gallows for hanging. The scenes filmed did not appear to simulate an actual hanging, and it's unclear whether the Rust script calls for the execution of Baldwin's character to be carried out as part of the story. After a scathing safety review by regulators in New Mexico that detailed ignored complaints and misfires before Hutchins' death in October of 2021, the production company agreed to pay a $100,000 fine. A woman whose dead body was found wrapped in plastic in a South Los Angeles home has been identified as 30-year-old Hannah Rachel Collins. The body was found on Sunday in the bedroom of a 26-year-old Hispanic man by his horrified mother at her home in the 2200 block of Wall Street. It is not yet publicly known how or when she died but police are still seeking the 26-year-old suspect. The mother called the police and told responding officers she walked into her son's room early on Sunday to investigate a 'distinct gas smell,' the New York Post reported. Instead, she discovered the horrifying scene of Collins' body bundled up in plastic. The body was found in the bedroom of a home at 2200 block of Wall Street in Los Angeles Officials described the deceased as a Caucasian woman and she was pronounced dead on the spot by paramedics The victim had just celebrated her birthday two weeks prior, according to information released by the Los Angeles County Coroner's Office. Officials described the deceased as a Caucasian woman and she was pronounced dead at the scene by paramedics. Little other information about the victim has been released. The homeowner was not able to locate her son at the time of the discovery. The Los Angeles Police Department launched a homicide investigation but no arrests have yet been made. Police are continuing the search for the 26-year-old suspect but have not publicly released his identity. New York City's 'rat czar' was joined by crowds of supporters as the Big Apple celebrated its first-ever 'anti-rat day of action' - however, one woman lodged a whopper of a complaint. 'We've had rats the size of crocs just running up and down the street,' said Ruth McDaniels, the president of her local tenants association in Harlem. She then cleared up any misunderstanding, in case anyone was picturing crocodile-sized vermin having a block party in upper Manhattan. 'Like a croc shoe,' she clarified, 'average size eight, running up and down the street.' A clip of her interview has been liked 42,000 times on Instagram, with many finding the humor in the specificity of her description. The city's various agencies got together on Tuesday for the 'anti-rat' day to celebrate some success, while also admitting there are areas to improve. 'We've had rats the size of crocs just running up and down the street,' said Ruth McDaniels, the president of her local tenants association in Harlem It comes just weeks after Adams - who made a huge public showing of the hiring of 'czar' Kathleen Corradi - claimed that the city is 'winning' its war on rats. In June and July, the city saw a 20 percent drop in calls to 311 to report rats, with some parts of New York reporting up to a 45 percent decrease, according to CBS New York. Harlem is among four so-called 'mitigation zones' receiving extra funding from the city to try and get rid of rats. Corradi brought together various departments of the city, including sanitation, in an attempt to demonstrate how to stop the rodents. 'Rats need food, water and shelter to survive. Today, we're going to cut off their food source and reduce their habitat, take away the places they can live,' she said, according to CBS New York. The city also launched its first 'Interactive Rat Map'. The Upper East Side, Upper West Side and Harlem showed the most activity in Manhattan, while Greenwich Village, the East Village, SoHo and down into the Lower East showed some of the least. Adams declared a war on rats last December when he began his search for somebody to occupy the new position. 'There's nothing I hate more than rats,' he said while advertising what is now Corradi's job. The position was listed as being for anyone with a background in urban planning, project management, or government work. It explained the job would require doing 'the impossible' to reduce the number of rats in the city. New York City's 'rat czar' Kathleen Corradi (pictured) found a lot of supporters for the city's attempts to clear the streets of the vermin as the Big Apple celebrated its first-ever 'anti-rat day of action.' The city also 'celebrated' the anti-rat day of action by launching an 'Interactive Rat Map' on the city's website Corradi has become the first-ever Citywide Director of Rodent Mitigation, but the much-awaited position was previously dubbed the 'rat czar' At a news conference, the city laid out a variety of materials it was proposing to use in order to attack the rat population, including traps, sealant and poisons The mayor's Brooklyn neighborhood is known to have rat problems and has been treating the issue through the help of the Department of Health It also suggested the right candidate would have a 'swashbuckling attitude, crafty humor and general aura of badassery.' A knowledge of PowerPoint was also required. The job also required 'stamina and stagecraft' and the listing suggested 'the ideal candidate is highly motivated and somewhat bloodthirsty.' 'Despite their successful public engagement strategy and cheeky social media presence, rats are not our friends. Rodents spread disease, damage homes and wiring and even attempt to control the movements of kitchen staffers in an effort to take over human jobs,' the posting said. Adams's rat city-wide rat issue has affected his own home too. In December, he was served a summons for a rat infestation at the Brooklyn home in which he lives with his son. His record showed an unpaid summons penalty for $330 that he received in May - after it was found that his Bedford Stuyvesant home was flooded with the rodents. Adams contested the ticket in a hearing before the Office of Administrative Trials and Hearings in February, but an officer denied the challenge and the mayor was ordered to pay $300. A customer looks at items at Samsung Electronics' store in Tehran, in this 2018 file photo. AP-Yonhap By Park Jae-hyuk Korean companies may resume exporting products to Iran, following the unfreezing of the Middle Eastern country's estimated $7 billion worth of funds, which had been held for more than four years in two Korean banks. Trade experts expect the U.S. will allow Korean firms to resume transactions with Iran in the long run, although it could take time to completely lift sanctions on the Middle Eastern country. "While the U.S. has drifted apart from Saudi Arabia, China has boosted ties with Middle Eastern countries, so the U.S. has no choice but to make efforts to improve its relationship with Iran," said Austin Chang, director of the Korea International Trade Association's (KITA) analysis and forecasting department. "In the past, Iran imported large volumes of Korean home appliances and vehicles, so the consumer goods sector is expected to be the main beneficiary of the improved ties." He added that Korean exporters of industrial intermediate goods, such as plastics, steel and synthetic resin, and those exporting capital goods, such as machinery, could also benefit. Domestic companies, however, remained cautious about expressing optimism, given that the release of the funds does not mean the lifting of U.S. sanctions on Iran or the revival of the Iran nuclear agreement, called the Joint Comprehensive Plan of Action. "Even if we are allowed to resume exports, it takes time to sign contracts with our customers and rebuild our dealerships there," a Hyundai Motor official said. After the U.S. government reinstated sanctions against Iran in 2018, Hyundai Motor and Kia stopped exporting their vehicles to the Middle Eastern country. Before the suspension, the two carmakers had collectively sold around 45,000 vehicles there annually. Electronics companies also said it is too early to talk about a resumption of exports. "If the situation gets better, it will be an opportunity for Samsung and LG, both of which have virtually exited Iran," an electronics industry official said. "However, it is difficult to anticipate an improvement at this moment." Both Samsung Electronics and LG Electronics had expanded their presence in the Iranian market, until they withdrew from the country after the U.S. reinstated sanctions in 2018. In 2021, the Iranian government even banned the import of Korean home appliances. It also nurtured local electronics makers, such as SAM and Gplus. According to KITA, the volume of Korean exports to Iran, which had reached $6 billion in 2011, fell to $4.1 billion in 2014 and $195 million in 2022. The size of Korean imports from Iran also decreased from $11.3 billion in 2011 to $4.5 billion in 2014 and $11 million in 2022. Chang said Korean oil refiners can benefit from the potential resumption of Iranian crude oil imports. "Iranian crude oil is less expensive than crude oil from Saudi Arabia and other oil-producing countries, so Korean refiners can diversify their supply chains and enhance their bargaining power," he said. Ron DeSantis on Tuesday accused Donald Trump of being partly to blame for his mounting legal woes, insisting the former president failed to 'drain the swamp' while he had the power. DeSantis spoke to Fox News host Laura Ingraham and said Trump should have fired Christopher Wray - nominated by Trump as FBI director in June 2017 - and prosecuted Hillary Clinton while he had the chance. 'He had three years to fire Christopher Wray and he didn't fire him,' said DeSantis. 'And remember, I went to the rallies in 2016, Laura. You remember them, lock her up, lock her up, about holding Hillary accountable. 'And then, two weeks after the election, he said never mind that I said that and let her off the hook.' Ron DeSantis on Tuesday night told Laura Ingraham that Donald Trump was partly to blame for his legal problems as he failed to 'drain the swamp' DeSantis, who is trailing Trump by a massive margin in the primary polls, and who on Monday was overtaken by Chris Christie in New Hampshire, said he accepted that Trump's White House was not a total failure. But, he said, Trump administration failed to reform the Justice Department. 'I give him credit, even though we're competing, for the great things he did do,' said DeSantis. 'But one of the things he did not do was drain the swamp. The swamp got worse in his four years. 'And you had people like Wray, you had people in power who were not getting the job done. You've got to take very swift action and you've got to make it happen.' DeSantis said that, as Governor of Florida, he had successfully 'cleaned' the state of officials he felt were not doing their job. DeSantis has removed county prosecutors from their roles and alleged lax penalties for criminals- but the moves garnered strong backlash. He declared that he would fire Wray, who Trump appointed to replace James Comey, on his first day in office. DeSantis said Trump, 77, had allowed FBI chief Christopher Wray to remain in position. Trump appointed him in June 2017 Christopher Wray was appointed FBI chief by Trump. DeSantis said he would fire him Trump promised to 'lock up' Hillary Clinton, but failed to find a way to prosecute her. The former Secretary of State appeared on MSNBC on Monday night, and was by chance on air as the fourth Trump indictment was announced 'With me as president, on day one, Christopher Wray gets sent packing,' said DeSantis. 'You're going to see the DOJ cleared out. We are going to ensure a single standard of justice in this country again. 'And I can say that with credibility because I've done that in the state of Florida. 'We've drained the swamp in here. 'We've gotten rid of negligent election supervisors in South Florida. We've removed two different progressive prosecutors funded by Soros who were not enforcing the law. 'So, I've shown an ability to take action and get the job done. And, as the president, I am going to do the same thing.' DeSantis spoke as Trump, who on Monday was indicted for the fourth time on criminal charges, demanded his trials be delayed until after the 2024 election. The 77-year-old has called the prosecutors 'deranged' and 'rabid partisans', and claimed they were set on a 'witch hunt'. He is now formally charged with attempting to overturn Georgia's 2020 vote; trying to invalidate the entire 2020 election; mishandling classified information; and breaking campaign finance laws by making hush money payments to a porn star. On Tuesday night Trump spoke out in a video posted to his social media channels, and used the moment to slam Biden and his 'crime family.' Trump declared that Biden was 'a Manchurian Candidate' - his new favorite insult - and claimed that the president was being controlled by China. He also demanded, in an earlier post on Tuesday, that his trials be held after the 2024 election. 'It is now 100 percent that the Biden crime family received more than $20 million from foreign countries while crooked Joe was vice president - and probably a lot more than that; that's just the money they've found,' Trump began. 'Not one of Joe Biden's defenders has even attempted to explain what Joe and his family did, including his children and his grandchildren - what were they doing to get all this money? 'I believe we have a compromised president. He was bribed and now he is being blackmailed. He's a Manchurian Candidate.' Donald Trump is seen in a Truth Social clip he posted on Tuesday afternoon, his first video post since being indicted in Georgia Joe Biden is seen with his son Hunter, whose business dealings continue to cause his father immense political problems The term 'Manchurian Candidate' comes from a 1959 novel by Richard Condon, which tells of the son of a prominent U.S. political dynasty who is brainwashed into being an unwitting assassin for a Communist conspiracy. The thriller has been turned into several films - most recently in 2004, starring Denzel Washington, Liev Schreiber and Meryl Streep. 'That's why crooked Joe is letting other countries walk all over the United States,' said Trump. 'He's petrified of China because they know exactly how much money has been given to him, and they know where it is. China has paid him a fortune.' Trump said Biden shut down 'my initiative targeting Chinese spies in the United States' and 'let China off the hook for COVID', allowing the Chinese to set up bases in Cuba and Taiwan. He added: 'Crooked Joe's corruption is a glaring threat to national security,' and predicted 'World War Three with this grossly incompetent man'. Trump said 'as soon as I am re-elected' he will appoint a special counsel 'to look at all these bribes and kick-backs.' 'They are trying to stop me. The one person they don't want to run is Donald Trump,' the former president added. Earlier on Tuesday, he demanded the trials be held after the election. 'All of these Biden Administration bogus trials and cases, including the locals, should be brought after the 2024 Presidential Election,' he wrote on Truth Social. 'What they have done is already Election Interference, but if the trials are held before the Election, then it would be Interference on a scale never seen in our Country before.' Fani Willis is seen on Monday night announcing the charges against the 19 accused of working to overturn Georgia's election results Trump charged with 13 of the 41 counts in the indictment Count 1: Violation of the Georgia RICO (Racketeer Influenced and Corrupt Organizations) Act Count 5: Solicitation of violation of oath by a public officer Count 9: Conspiracy to commit impersonating a public officer Count 11: Conspiracy to commit forgery in the first degree Count 13: Conspiracy to commit false statements and writings Count 15: Conspiracy to commit filing false documents Count 17: Conspiracy to commit forgery in the first degree Count 19: Conspiracy to commit false statements and writings Count 27: Filing false documents Count 28: Solicitation of violation of oath by a public officer Count 29: False statements and writings Count 38: Solicitation of violation of oath by a public officer Count 39: False statements and writings Advertisement Trump on Monday was criminally indicted for the fourth time, with a grand jury in Georgia deciding he warranted trial with 18 other on charges related to trying to overturn the 2020 election. The charges, brought by Fulton County District Attorney Fani Willis, charge the 19 for a scheme intended to reverse Trump's loss to Biden. The sprawling 98-page indictment listed 19 defendants and 41 criminal counts in all. All the defendants were charged with racketeering, which is used to target members of organized crime groups and carries a minimum penalty of five years in prison. Trump was charged with 13 of the 41 counts: if convicted on all charges, he faces more than 70 years in prison. Mark Meadows, Trump's former White House chief of staff, and lawyers Rudy Giuliani, Jenna Ellis and John Eastman were among those charged. 'Rather than abide by Georgia's legal process for election challenges, the defendants engaged in a criminal racketeering enterprise to overturn Georgia's presidential election result,' Willis said at a press conference just before midnight. Trump and the other defendants have until noon EDT on Friday, Aug. 25, to surrender voluntarily, rather than face arrest, Willis said. She said she intends to try all 19 defendants together within six months. Court records show the case has been assigned to Judge Scott McAfee, a former prosecutor appointed in February by Republican Governor Brian Kemp. He will seek election next year to retain his position. Unlike the federal courts where Trump is also awaiting trial, Georgia state courts allow television cameras, meaning the public could have the unprecedented spectacle of watching a former president's trial on live TV as his campaign for a return to the White House goes into high gear. Sydney gangland figure Felix 'Big F' Lyle - known as the Bentley-driving Gentleman Bikie - has died in Russia, aged 66, after a colourful life on the wrong side of the law. He started out as a bouncer dubbed 'Buffalo' at the notorious Kings Cross bar Bourbon and Beefsteak before joining the Bandidos and rose to become its boss. But he was kicked out of the bikie gang for 'not being of good character' after he was linked to the theft of drugs worth millions from a Bandidos associate. Instead, he joined rivals Hells Angels - even though he didn't like their trademark Harley-Davidsons, or even motorcycles - and ended up head of the local chapter. Despite the gang's violent reputation, associates said New Zealand-born Lyle relied more on his ruthless rat-like cunning, humour and easy charm. He cut a distinctive figure among the criminal identities around Sydney's notorious Kings Cross nightclubs as he cruised the streets in his bright red Bentley. Sydney gangland legend Felix 'Big F' Lyle - known as the Bentley-driving Gentleman Bikie - has died in Russia, aged 66, after a colourful life on the wrong side of the law New Zealand-born Felix Lyle moved to Russia after he was kicked out of Australia when his visa was cancelled on his release from jail after serving four years on drugs and fraud charges But he was more often seen in the passenger seat while being driven by his chauffeur after losing his own driving licence. The car had a dual use though - and was said to be an ATM on wheels, with a boot stuffed with cash which was dished out as required, despite Lyle being officially bankrupt. He was acquitted of manufacturing speed in 2001, but his underworld reputation ultimately saw the Bandidos' lose their HQ in Pyrmont when it was seized by police. He was also linked to the theft of drugs worth millions from Bandidos associate Milad Sande, who was shot dead in 2005, shortly before Lyle was kicked out of the gang. But friends insist he ruled his criminal kingdom without the need to resort to violence or menacing warnings to settle 'negotiations' in his favour. Lyle rose to the top with the Hells Angels after the notorious 2009 Sydney Airport brawl between his gang and the Comanchero where one bikie was bludgeoned to death with a metal bollard in front of horrified travellers in terminal three 'Ive never seen him threaten anyone,' his mate 'Big Jim' Byrnes told the Sydney Morning Herald. '[His] biggest strength was his connections...he'd make a quid from introducing Bloke A to Bloke B.' His acquaintances, made on the streets of the nightclub strip, helped him build an impressive empire until the NSW Crime Commission stripped him of homes worth millions under the proceeds of crime laws, including units in Sydney's ritzy Double Bay He rose to the top with the Hells Angels after the notorious 2009 Sydney Airport brawl between his gang and the Comanchero where one bikie was bludgeoned to death with a metal bollard in front of horrified travellers in terminal three. His bikie gang boss reign ended when he was jailed in 2012 and served four years for fraud, large-scale drug supply and dealing with the proceeds of crime. His attempts to get bail in 2011 ahead of the trial were foiled when he couldn't find a single friend without a criminal record to support him. On his release in 2017, his visa was cancelled and he was deported to New Zealand before later moving to Russia to join his son, Dallas 'Mr Pink' Fitzgerald. On Lyle's release in 2017, he was deported to New Zealand before dotting around Asian and later moving to Russia to join his convicted criminal son, Dallas 'Mr Pink' Fitzgerald The pair had dodged death in 2002 when a hitman botched an ordered execution and shot an innocent New Zealander three times instead, who miraculously survived. Fitzgerald - said to be a national sergeant-at-arms in the Hells Angels - fled to Russia on his release from jail after he served time for a $150million money laundering ring. He quit Australia after a series of Hells Angels-related killings, including the execution of his close friend Pasquale Barbaro. Lyle is reported to have moved around Asia, including several spells in the Philippines, before settling with his son in Russia where he was treated for the cancer which finally killed him. Fitzgerald is said to have been by his father's side when he died. Police and prosecutors reportedly knew in 2007 that another man's DNA was on the clothes of the woman Andrew Malkinson was wrongly imprisoned for raping, yet he stayed behind bars for 13 more years. Mr Malkinson, who spent 17 years in prison for a rape he did not commit, had his conviction quashed last month after DNA linking another man to the crime was produced. Case files obtained by the 57-year-old as he battled to be freed show that officers and prosecutors knew forensic testing in 2007 had identified a searchable male DNA profile on the rape victim's vest top that did not match his own, The Guardian reported. They opted to take no further action and there is no record that they told the Criminal Cases Review Commission (CCRC), the body responsible for investigating possible miscarriages of justice, according to the report. The CPS said Mr Malkinson's lawyers were told of the new DNA evidence. Mr Malkinson, pictured with his mother Tricia outside the Royal Courts of Justice after being cleared last month, says he won't accept the police's apology Sarah Jackson (pictured), assistant chief constable at Greater Manchester Police, apologised to Mr Malkinson on behalf of the force Mr Malkinson stayed in prison for an additional 10 years because he maintained he was innocent. Pictured: An E-fit of the suspect in the rape case (left) and a mugshot of Malkinson shortly after he was arrested (right) The CCRC refused to order further forensic testing or refer the case for appeal in 2012 and the case files reportedly suggest it was worried about costs. Malkinson was wrongly found guilty of raping a woman in Greater Manchester in 2003 and the next year was jailed for life with a minimum term of seven years, but he served a further 10 because he maintained his innocence. Notes of a meeting between the Forensic Science Service, the CPS and Greater Manchester Police (GMP) in December 2009 suggests the CPS understood the possible importance of the 2007 DNA find, according to the Guardian report. Mr Malkinson was found guilty of the 2003 attack on a woman in Greater Manchester, but has since had his conviction quashed Andrew Malkinson In Holland on August 3, 2023 Andrew Malkinson and ex-girlfriend, Karin Schuitemaker CPS guidance states it 'must write to the CCRC at the earliest opportunity about any case in which there is doubt about the safety of the conviction'. An internal log of Mr Malkinson's first application to the CCRC in 2009 in a bid to appeal against his conviction reportedly reveals CCRC highlighted the cost of further testing and said it would be unlikely to lead to his conviction being quashed. Mr Malkinson's solicitor Emily Bolton, director of the Appeal charity, said: 'The documents are a shocking chronicle of how Andy was utterly failed by the body which should have put an end to his wrongful conviction nightmare, but instead acted as a barrier to justice. Mr Malkinson said it has been 20 years since he was arrested and it has 'taken a huge toll on his life' 'An overhaul of the CCRC is needed to prevent it failing other innocent prisoners.' James Burley, investigator at Appeal, said: 'These records prove that the CCRC's handling of Andy's case was deeply flawed and a complete mess. 'By not bothering to obtain the police files, the CCRC failed to uncover evidence which could have got Andy's name cleared a decade earlier.' He added: 'The CCRC's internal comments show that in deciding not to commission any DNA testing, cost was at the forefront of their considerations. That decision may have saved the CCRC some money, but it came at a brutal cost for both Andy and the victim. 'The CCRC has been giving the false impression that a DNA breakthrough could not have been achieved by them sooner. 'These records show that is nonsense, and I don't think they would have commissioned any DNA enquiries on this case at all if Appeal hadn't obtained new DNA testing results ourselves first.' Mr Burley said documents that showed the presence of another man's DNA on the clothes of the woman Andrew Malkinson was wrongly imprisoned for raping 'hammer home the litany of failures by the CCRC'. He told BBC Radio 4's Today programme that the CCRC turned the evidence down 'essentially speculating that there might have been an innocent explanation'. 'The victim's boyfriend had been ruled out, the paramedics who tended to the victim had been ruled out as a source of the DNA and also the dog walker who called 999 had been ruled out,' he said. 'So really that was just pure speculation and obviously that DNA has now been matched to the suspect who has been arrested.' Mr Burley said: 'These documents essentially hammer home the litany of failures by the CCRC...the body that's supposed to act as a safety net in our justice system. Effectively failures to investigate by the CCRC, really flawed decision making by the CCRC, cost Andy an extra decade in prison for a crime he didn't commit.' He agreed that even though the DNA did not result in any matches at the time it should have put Mr Malkinson's conviction into doubt, adding that the CCRC 'totally fumbled the ball' and 'at huge cost to Andy but also to the victim in this case'. Mr Malkinson's mother Trisha Hose outside the Royal Courts of Justice last month after he was cleared Mr Malkinson's half-sister Sarah embraces him after he was cleared of rape after 17 years Mr Malkinson said: 'If the CCRC had investigated properly, it would have spared me years in prison for a crime I did not commit. 'I feel an apology is the least I am owed, but it seems like the very body set up to address the system's fallibility is labouring under the delusion that it is itself infallible. How many more people has it failed?' Lord Edward Garnier KC, who was solicitor general from May 2010 to September 2012, expressed 'jaw-dropping shock' over the failures in the case. He told BBC Radio 4 Today: 'It seems to me that what we need now is complete and utter disclosure, public disclosure, of every document that relates to this case, save those which if disclosed would impede the prosecution of a new suspect, and there should be a public inquiry which should reach conclusions about what went wrong, who knew what and when, within a sixth-month period.' A Crown Prosecution Service spokesperson told MailOnline: 'It is clear Mr Malkinson was wrongly convicted of this crime and we share the deep regret that this happened. 'Evidence of a new DNA profile found on the victim's clothing in 2007 was not ignored. It was disclosed to the defence team representing Mr Malkinson for their consideration. 'In addition, searches of the DNA databases were conducted to identify any other possible suspects. At that time there were no matches and therefore no further investigation could be carried out.' The CCRC told the Guardian: 'As we have said before, it is plainly wrong that a man spent 17 years in prison for a crime he did not commit.' GMP has been approached for comment. Anthony Albanese has committed to working with states and territories to build 1.2million new homes in the next five years to combat the national housing crisis. The prime minister has promised life will get easier for renters under the new agreement, after meeting with state premiers for the final National Cabinet before the Voice referendum on Wednesday to discuss housing. He acknowledged supply remains the primary issue across the board, and has vowed the new homes will be built in 'well located' areas around Australia, starting from July 2024. This is an additional 200,000 homes than pledged last year. National Cabinet has also agreed to work toward providing a 'better deal for renters', which will include developing a nationally consistent policy to remove no-grounds evictions and move toward limiting rent increases to only once a year. The government will look toward phasing in minimum rental standards. The prime minister has promised life will get easier for renters under the new agreement, after meeting with state premiers for the final National Cabinet before the Voice referendum on Wednesday to discuss housing National Cabinet has also agreed to work toward providing a 'better deal for renters', which will include developing a nationally consistent policy to remove no-grounds evictions and move toward limiting rent increases to only once a year 'This is borrowed, unashamedly, from some of the Hawke reforms,' Mr Albanese told a press conference on Wednesday afternoon. As part of the reforms, there will be close consideration as to how to better regulate short-stay residential accommodation. The PM noted this is a problem which is impacting some electorates more significantly than others. He was flanked by each of the state premiers and First Ministers - all but one are also Labor - as he made the announcement. Mr Albanese would not be drawn to speculate the Greens' response to his policies. The party has been holding his Housing Australia Future Fund to ransom in the Senate with demands of nationwide rent freezes. Labor has stated a belief that rent freezes will make the housing crisis worse. 'I've been talking with an negotiating with First Ministers, not minor parties,' he said. 'They idea that you just have a rent freeze... there is no jurisdiction arguing for that. No-one is arguing that that will make a positive difference. 'We believe it will make it worse.' The PM said this is 'the most significant reforms to housing policy in a generation' Max Chandler-Mather is leading the Greens' campaign against the housing fund Greens Leader Adam Bandt hit back within an hour of the announcement, condemning Labor for future pressure on renters in particular. 'From now on, every unfair rent increase is Labor's fault,' Mr Bandt said. 'Labor is not spending nearly enough to address the massive public housing shortfall, and with a $20b surplus up his sleeve, I call on the Prime Minister to deliver more at Labor's national conference.' The Greens' housing spokesman, Max Chandler-Mather, said: 'Labor has just locked in yearly astronomical rent increases, and tried to handball the heavy lifting on fixing the housing crisis to the same property developers who created the crisis in the first place. 'This is a smoke and mirrors announcement designed to make it look like Labor has done something meaningful for renters, when in fact they have basically enshrined the status quo.' Mr Chandler-Mather said 'expensive private apartments' should not be the priority, and that an investment into public housing paired with rent caps are the only way to help tenants. States will be financially incentivised to build more than their fair share of the 1.2 million homes with a 'new home bonus'. In total, $3billion will be spent on building the new homes. 'An additional 200,000 homes with $3bn allows for an incentive of $15,000 per additional home, over and above the one million that had previously been agreed to,' Mr Albanese said. There will be a particular focus on promoting medium and high-density housing in areas which are close to existing public transport connections, amenities and employment opportunities. The group hope that new reforms will address delays in development approvals to get the ball rolling, and to improve community consultation processes. Mr Albanese described the policy decisions as 'the most significant reforms to housing policy in a generation'. 'My government is determined to fulfil our responsibility, because I care deeply,' he said. 'There are timelines on all of this - which is as soon as we can.' The primary concern from both Greens and independents has been that the bill does not go far enough to ease pressures on the exploding housing market. Pictured: People queueing for a property inspection Mr Albanese has not ruled out calling an early election in an attempt to push his housing proposal through government. If no agreement can be reached, the bill would have failed twice in the Senate. Mr Albanese would then be within his rights to call an early election to seek the mandate of Australian people. Mr Albanese has repeatedly accused the Greens of turning the housing crisis into a political point scoring opportunity. 'This is all about politics. And quite clearly, if this legislation is passed, there'll be more investment in social housing. You can't say you for it, and then vote against it.' Labor's Housing Australia Future Fund aims to build 30,000 social and affordable homes over five years, including 4,000 dwellings for women and children experiencing domestic violence. The primary concern from both Greens and independents has been that the bill does not go far enough to ease pressures on the exploding housing market. Bank of Ireland is facing a crisis after thousands of customers descended on ATMs yesterday evening attempting to take advantage of a major IT glitch. The bank notified customers early yesterday afternoon that there was an issue with its online app and personal banking website, after being flooded with complaints. And by the evening, customers had detected that they could access cash from ATMs despite having low levels of funds. Gardai were instructed to clear the queues from ATMs as people lined up to take advantage of the glitch. Thousands of people were last night queuing around the block outside some branches to withdraw money that they did not have in their own accounts. A source said: 'Word started filtering through after 5pm that this was happening and it spread like wildfire. There are people with no overdrafts being able to take out a grand that they don't have. 'It's like it's free money, people are saying. Gardai were told to disperse the queues tonight. These people who took money out will be expected to pay it all back but we're still at a very early stage.' By the evening, customers had detected that they could access cash from ATMs despite having low levels of funds Thousands of people were last night queuing around the block outside some branches to withdraw money that they did not have in their own accounts Huge queues surrounded ATMs as people flocked to take advantage of the banking glitch Bank of Ireland taking unilateral action to stimulate the economy. Willy wonka quantitative easing evening. And the crowd sang money for nothing and your cheques for free. Oh how we laughed. I wonder will anybody take the credit for this initiative, surely! pic.twitter.com/fXTbPRnBz5 BB Baskin (@BB_Baskin) August 16, 2023 In some cases, the fault with the online app allowed people who have no money in their account to transfer up to 1,000 into a Revolut account. They can then withdraw the cash from the Revolut account through any ATM. As word and videos spread quickly on social media, the frenzied withdrawal of cash in Dublin, Limerick and Navan, Co. Meath among other locations, continued until the gardai clamped down despite warnings on social media that there is no such thing as free cash and the money will have to be repaid. In a statement after 11pm last night, Bank of Ireland warned customers that any money taken from their account will be debited. 'We would like to remind customers if transferring/withdrawing funds - including over normal limits - this money will be debited from their account. We are conscious customers may not be able to check balances , but should not withdraw/transfer if they are likely to become overdrawn.' As word and videos spread quickly on social media, the frenzied withdrawal of cash in Dublin, Limerick and Navan, Co. Meath among other locations, continued until the gardai clamped down Gardai were instructed to clear the queues from ATMs and stand guard overnight Garda and security guards were ordered to protect ATMs and clear crowds Yesterday, Bank of Ireland apologised to customers after it said it was hit with unexpected disruption to its mobile app and online services. Customers were unable to access the bank's mobile app and Banking365 online services for hours, including many Irish customers abroad. Upon opening the app, users are informed they are unable to connect while the website is also unavailable. Customers reported having no access to their accounts for hours and being unable to transfer money for "important payments". The issue prompted an initial statement from Bank of Ireland, saying that it was working to fix the issue as quickly as possible and it apologised for any inconvenience caused. The bank was inundated with queries from customers on social media. By 10pm last night, the bank said it did not have an estimated time for when its mobile app and web service 365Online will be restored. The body of a mother-of-four stabbed to death inside her home has been removed by police, as a man is charged with one count of murder. Drew Douglas' body was discovered at a house in St Marys, western Sydney, just before 4pm on Wednesday and taken on a stretcher to an emergency services vehicle with a tarpaulin used to shield her remains. It has emerged that police received a 'concern for welfare' call from a man at a property at 8.40pm on Tuesday night but emergency services did not attend the home until 10 hours later. As the 31-year-old's body was taken away for a post mortem examination, police officers continued to take bags of evidence from the home and speak to neighbours. The body of a mother-of-four was removed from the house (pictured) Drew Douglas' body was taken from the suburban home, 47kilometres from Sydney's CBD, to an emergency services vehicle, with a tarpaulin (pictured) used to shield her remains Her remains were taken from her home in St Marys in western Sydney on a stretcher (pictured) Drew Douglas (pictured) gave birth to her fourth child just a month ago About 6.45am on Wednesday, another triple-0 call was made from the Edmondson Avenue home, 47kilometres from Sydney's CBD. When officers finally arrived, Ms Douglas was found suffering stab wounds to her chest. The mum, who had given birth just a month ago, tragically died at the scene, despite desperate attempts by paramedics to revive her. 'About 45 minutes later, a 35-year-old St Marys man was arrested at Campbelltown Police Station and held in custody,' NSW Police said. 'The man has now been charged with one count of murder; he's been refused bail to appear in Campbelltown Local Court tomorrow (Thursday 17 August 2023).' As Ms Douglas' body was taken away for a post mortem examination, police officers interviewed neighbours (pictured) A crime scene investigator wearing a full body suit is pictured taking an evidence bag from the home Police officers are pictured outside the house in St Marys in western Sydney on Wednesday Police officers are pictured at the scene on Edmondson Avenue in St Marys A police emergency service vehicle and detectives are pictured at the scene where a woman was stabbed to death A critical incident team has been set up to investigate why there was a 10 hour delay in attending the home. 'Certainly there is a history of police involvement with both of these two people,' NSW Assistant Commissioner Leanne McCusker told reporters. 'The circumstances surrounding why there was no attendance and the timeline from last night though to this morning is part of the critical incident investigation,' she said. However, Assistant Commissioner McCusker said she was 'not aware of any threat of violence' in the original phone call. She also indicated the triple-0 call on Wednesday morning may have been made by one of the couple's children. 'I don't believe it was (made) by the victim but other members inside the house,' Assistant Commissioner McCusker said. She added there were no current apprehended violence orders in place or pending charges. Mother-of-four Drew Douglas was allegedly stabbed to death in her St Marys home Forensic officers have arrived at the home which remained a crime scene on Wednesday Ms Douglas had four children aged 12, 11, one, and a four week-old baby girl. Her age has yet to be confirmed but is believed to be in her 30s. Her heartbroken sister Jade took to social media to pay tribute. 'It's not fair, to rip a family apart, I'll never understand how someone can do something so evil. I'm broken shattered and numb. My family does not deserve this, my sister didn't deserve this,' Jade Douglas wrote. She later added: 'I love you sissy.' Her sister's death sparked an outpouring of tributes. 'I'm heartbroken. I'll never be be able to talk to my best friend again,' one friend commented. Forensic officers were seen photographing a car parked in the driveway of the home Homicide detectives (pictured at the home) have launched an investigation into Drew Douglas' death One neighbour described the incident as 'all just really shocking'. 'It's not what you'd expect,' the resident told Daily Mail Australia. 'Drew was a nice lady.' Neighbour Ross Windley, 61, who has lived in the street for 60 years, said the incident was 'absolutely devastating - just horrible'. 'Around quarter to seven this morning, I was woken by a couple of ambos racing down the street followed by some police cars,' he said. 'It was a hive of police activity. They have the whole street cordoned off and then a lady detective came and asked if I had any CCTV, which I didn't.' Mr Windley said he initially saw the police drive to another address 'down the other end of the street that the police frequent quite a lot'. 'I think that's what happened this morning, they instinctively went down there when they first rocked up because they've been down there so often but then they just screamed back up straight away,' he said. Mr Windley said he did not know the couple but used to see their children playing in the street. He said he thought the young family lived with an older couple. Shocked neighbours described the woman as the 'nicest person ever'. Pictured are police outside the Edmondson Avenue home The Edmondson Avenue home remained a crime scene on Wednesday evening as homicide detectives launched an investigation into the circumstances surrounding Ms Douglas' death. Forensic officers were seen photographing the home and scouring the scene for possible evidence. A critical incident team will investigate all the other circumstances surrounding the incident, which be subject to an independent review. 1800RESPECT The death of mother-of-four Drew Douglas has shocked neighbours in the St Marys street Police spent the afternoon combing the street and neighbouring yards for any evidence The four Aussie surfers who were rescued after their boat capsized off Indonesia have spoken out about the terrifying moment they realised they were in danger. Steph Weisse, Jordan Short, Elliot Foote and Will Teagle went missing on Sunday night alongside three Indonesian crew members when their wooden longboat travelling from Nias Island to Pinang Island got caught in bad weather. All those on board, apart from one, were miraculously saved after treading water and clinging to surfboards in the middle of the ocean for 36 hours. Crew member Fifan Marongo, however, is still yet to be found. Their 50km journey across the Indian Ocean was cut short just after 6pm when they realised something was very wrong as bad weather set in and the vessel started filling with water. 'When the first one [wave] came in, Jordie's [Jordan Short] like all right guys this could be serious,' Mr Foote said in a video recorded not long after he was rescued. 'I was like all right sweet, grab me that, grab me something warm, grab me my knife, grab me this.' 'We all started grabbing s**t.' Fifan Marongo (pictured), an Indonesian man in his 20s, was a crew member aboard the boat and has not yet been accounted for with search efforts continuing on Wednesday on the western side of Sumatra The surfer turned to his partner Ms Weisse and made sure she had a life jacket on. 'I was like everybody get the f**k out now,' he said. 'Will dived out, Jordie ran to the front of the boat.' 'The boat started going like this and Jordie's reaching into board bags and I'm going get the board bags, get the board bags.' Mr Foote and Mr Teagle then climbed back on board to get as many surfboards out as possible for the group. Frantic search efforts were conducted over the course of two nights before Australian skipper Grant Richardson located Ms Weisse, Mr Short and Mr Teagle in the ocean near Aceh around 11am Sydney time on Tuesday. Mr Foote (pictured, left) and his long-term partner Ms Weisse (pictured, right) were among the four Aussie surfers who were lost at sea after their boat capsized in rough weather during an island-hopping trip in Indonesia on Tuesday Will Teagle (pictured, left) and Jordan Short (pictured, right) were found alongside Ms Weisse just after 11am Sydney time on Tuesday after a long 36 hours adrift Mr Foote was located 5km away on a nearby island 90 minutes later after being separated from the others in an attempt to get help. Mohammad Iqbal, the captain of the boat, and resort manager Junardi Akhmad were also saved during the rescue operation. A privately chartered plane spotted the remains of the capsized boat on Tuesday. However, there are concerns for third crew member Fifan Marongo, an Indonesian man in his 20s, who has not been seen since. 'Now our thoughts are with the Indonesian family and friends of the missing one,' Mr Foote said. 'He's still out there. It's hard to think about. We just hope for the best for him.' This is the incredible moment Steph Weisse, Will Teagle and Jordan Short and an Indonesian crew member were located by Australian skipper Grant Richardson after 36 hours lost at sea (pictured) Tonggor Gultom, an official in Nias with Basarnas, the Indonesian National Search and Rescue Agency said the search operation has widened on Wednesday in hopes of finding Mr Marongo, with predictions the crew member may have ended up on the western side of Sumatra. 'We continue the search until Monday. After that we will evaluate the operation, whether or not it should be continued,' he told Sydney Morning Herald. 'Our standard operating procedure is to launch search and rescue operation for a week [and] after that make the decision if it should be extended or not.' The four surfers did not know the fate of their eight other friends on a separate boat for the time they were at sea, Mr Foote said, later finding out the second boat carrying the others made it to Pinang Island after taking shelter from the weather behind another island. The four Aussies and three Indonesian crewmen went missing in a storm during a 50km voyage from Nias Island to Pinang Island The four friends were travelling on a wooden longboat (pictured) when large waves caused by a storm sunk it 'I just wanted to say thank you so much to the Australian government and the Indonesian government for banding together, pulling through in the rescue effort that went out there last couple of days', he told The Age. 'Massive thanks to our friends here on the island.' 'They did such an amazing job to pull through, to not stop searching the whole time and there were some moments out there [when] we were quite nervous and didn't quite know what the outcome was going to be but we just banded together,' he added. Mr Foote said he and his three friends were a strong unit, working together to survive the three gruelling days adrift. 'I'm just so proud of her [Steph Weisse] and the boys. Every single minute there were decisions being made and they were the right decisions,' he said. Mr Foote said the four were happy to be back on land, dry, hydrated and back with their friends. The four were among a group of 12 Australians holidaying in Sumatra to celebrate Elliot's upcoming 30th birthday. The moment a Ukrainian experimental kamikaze drone hit Vladimir Putin's 3 billion bridge linking Russia to Crimea and triggered a huge explosion has been revealed for the first time. Ukrainian secret service agency SBU today shared a video of the audacious attack by the 'Sea Baby' drone which saw huge explosions rip through the 12-mile crossing last month. The footage, seen by CNN, shows the naval kamikaze drone armed with up to 850kg of explosives sailing towards the bridge under the cover of darkness before it smashed into the crossing, sending debris flying through the air. Separate footage footage released by the SBU and Ukrainian sources showed the scale of the explosion from other angles as the strike hit the crossing which has separate road and rail links. It marks the first time the SBU has openly claimed responsibility for the attack that was conducted by the Ukrainian navy. The footage, seen by CNN , shows the naval kamikaze drone armed with up to 850kg of explosives sailing towards the bridge under the cover of darkness (pictured) before it smashed into the crossing, sending debris flying through the air Ukrainian secret service agency SBU today shared a video of the audacious attack by the 'Sea Baby' drone which saw huge explosions rip through the 12-mile crossing last month Separate footage footage released by the SBU and Ukrainian sources showed the scale of the explosion from other angles as the strike hit the crossing which has separate road and rail links Pictured: The damage caused by the sea drone on the Crimean bridge The new footage appears to show that Russian defences failed to detect two drones, reported Agenstvo. One is seen approaching the road crossing, and a second apparently exploded on the rail section as a train was crossing. There is known to be less damage to the rail part of the bridge. The new 'Sea Baby' drone has been in development for months and SBU chief Vasyl Maliuk warned Moscow of more attacks to come. Ukraine today released footage of the naval drone for the first time. The deadly unmanned boat was loaded with up to 850kg of explosives when it detonated. The Ukrainian-designed marine drone - reportedly constructed without Western help - was also used to strike two Russian vessels - the assault ship Olengorskiy Gornyak and tanker SIG, putting both out of commission. 'We are working on a number of new interesting operations, including in the Black Sea waters. I promise you, it'll be exciting, especially for our enemies,' Maliuk told CNN. 'Sea surface drones are a unique invention of the Security Service of Ukraine.' He explained: 'Using these drones we have recently conducted a successful hit of the Crimean bridge, the big assault ship Olengorskiy Gornyak and SIG tanker.' Both of these vessels were struck in a drone attack this month, causing major gashes in their sides and are now out of commission. A couple were killed and their 14-year-old daughter was seriously injured following the blasts on the Crimean bridge, which is a major supply line for Russian troops fighting in Ukraine and a prestige project that was personally opened by Putin. A section of the crossing was destroyed in the double strike, with pictures showing twisted metal barriers, debris and a damaged car in a major blow to Moscow's war effort. Drivers were seen in dash cam footage braking sharply after the explosions destroyed parts of the crossing and sent debris flying towards them. Pictured: The 'Sea Baby' kamikaze drone that was used for the attack on the Crimean bridge Pictured: The 'Sea Baby' kamikaze drone that was used for the attack on the Crimean bridge Sections of the bridge are missing alongside twisted metal barriers following the strikes Pictured: The damaged Crimean bridge following a drone attack on Monday Russian investigators and emergency services' members gather near a destroyed car on the Crimean bridge following the explosions on July 17 Speaking of the attack on the bridge, Maliuk said: 'At the final stage of the preparation we could not even think about sleep or food We were fully concentrated on the operation. 'The final last days were quite nervous. When the explosion happened, we were so happy and started congratulating one another. 'This was a very emotional moment for all of us and our victory, which will definitely come soon.' Maliuk insisted that Ukraine's Western partners are not involved in Kyiv's attacks on the Russian-built bridge opened by Putin in 2018, or warships. It comes after the Kremlin blamed Britain and the US for orchestrating the drone strike on the bridge - without providing any evidence to support their outlandish claims. Russian Foreign Ministry spokeswoman Maria Zakharova also accused Ukraine of carrying out the attack with the involvement of Britain and the US. Maliuk said the bridge linking Russia to Crimea was a 'legitimate' target for Ukraine. The new 'Sea Baby' drone has been in development for months and SBU chief Vasyl Maliuk (pictured) warned Moscow of more attacks to come Pictured: Workers repair the bridge linking Russia to Crimea following the drone attack on 17 July Pictured: Investigators collect debris at the bridge linking Russia to Crimea following the drone attack on 17 July The SBU chief also claimed responsibility for an earlier strike on the bridge on 8 October last year involving a truck, but declined to give details. The strike on the Crimean bridge left Russian politicians furious, with former Russian President Dmitry Medvedev saying Putin should 'blow up' the homes of Ukrainians in response to the drone strike. A ranting Medvedev said at the time: 'The world and our own experience shows that it is impossible to fight terrorists with international sanctions, intimidation or exhortations. 'They only understand the language of power. Only personal and completely inhumane methods. 'Therefore, it is necessary to blow up their own houses and the houses of their relatives. 'Look for and eliminate their accomplices, abandoning the insipid idea of a trial against them. 'But the main thing is to destroy the top leadership of terrorist formations, in whatever cracks these insects hide. It's difficult but possible.' The owners of 'Britain's wonkiest pub' that went up in flames before being demolished experienced another huge fire at a landfill site they owned almost exactly five years ago. Carly Taylor, 34, bought the Crooked House pub in Himley, near Dudley in the Black Country, last month. Flames then engulfed the landmark on August 5, reducing it to a charred and hollowed out shell. Police are treating the incident as arson. It has since emerged that a landfill site owned by her husband Adam Taylor, 44, caught fire in August 2018. AT Contracting and Plant Hire, which is controlled by Mr Taylor, is the owner of Finmere landfill site in Buckinghamshire. The Finmere landfill site caught fire on August 4 2018, prompting a huge emergency response. A cause was never established The Crooked House pub caught fire earlier this month, almost exactly five years on from the fire at the landfill site. Police are treating the bar blaze as deliberate The pub as it stood on Monday August 7. A day later it was rubble Adam and Carly Taylor. Mr Taylor owns the Finmere landfill site through AT Contracting and Plant Hire while his wife owns the Crooked House through ATE Farms The cause of the fire was never established, according to BBC News. Mrs Taylor, meanwhile, is the director of ATE Farms Ltd, which bought the Crooked House from pub giant Marston's in July, and is named as a 'person with significant control' in AT Contracting and Plant Hire. AT Contracting and Plant Hire hired a digger from contractors Lyndon Thomas plant hire 'several days' before the pub burnt down. The demolition was carried out two days after the pub was scorched, without council permission, prompting anger from locals and criticism from construction industry bodies. The National Federation of Demolition Contractors (NFDC) said: 'No respectable demolition contractor would carry out works in the manner seen in the pictures.' Plant hire boss Mr Thomas, who hired the digger out, said of the use of his equipment: 'I can't be held responsible for what he [the customer] does.' South Staffordshire Council is conducting an investigation into the demolition 'without appropriate permissions', while a police probe into the fire is ongoing. Slide me The Crooked House in its heyday (left) and the morning after it burnt down (right). Locals have called for the pub to be rebuilt A digger was hired 'several days' before the Crooked House caught fire and was subsequently used to demolish the pub without council permission, prompting outrage Locals inspect the remains of the Crooked House the day after it was demolished. Historic England says it is 'considering all possible avenues' to see the watering hole restored Crooked House owner Carly Taylor. She has made no comment on the watering hole's fate Marston's sold the pub as part of a cost-cutting exercise that saw the Crooked House flogged off alongside around 60 other pubs, despite only recently undergoing refurbishment. The company has since said it was 'shocked and disappointed' to learn of the building's fate, two weeks after it sold the site to the Taylors. Ex-landlord Lee Goodchild said of the sale: 'I feel very much let down by Marston's, and I'm sure the local community also feel devastated. 'They've sold off one of the oldest pubs around. It's massively known in the area and worldwide in fact, but it's their pub to sell, and it was an expensive place to run - but obviously, does the unique heritage of the site outweigh the cost? 'It's disappointing that the place has gone up in flames.' A petition to save the pub, created before it burned down, has gathered 18,000 signatures, while another plea to rebuild it has amassed a further 13,000. Historic England, which was urged to give the pub listed building status a week before it went up in flames, has since hinted that the pub could be rebuilt. Heritage campaigners have also called for legal loopholes allowing for the 'predatory purchasing and asset-stripping of historic pubs' to be curtailed. The Campaign for Pubs has written to Rishi Sunak urging a change to planning law which would prevent pubs more than 50 years old from being sold for alternative use, converted or demolished until they had been used as a pub for at least a year by their new owners. Greg Mulholland, campaign director, said: 'What has happened to the historic and unique Crooked House pub is a national scandal, as well as a loss to the local community and its history and heritage.' The Taylors have not made any statement following the destruction of the Crooked House, which entertained curious customers for nearly 200 years. First built as a farmhouse, mining works caused subsidence on one side of the pub, which stood four foot lower than the other. Buttresses were used to hold it in place and punters would enjoy coming in and watching as the cash for their pints appeared to roll uphill to the barman. It has since emerged that Mr Taylor also owns the Sarah Mansfield Country Inn, a pub in the Warwickshire village of Willey around five miles away from his home. He bought the pub in 2020 and is said to have ripped out the interior, before council planners issued a 'stop notice' to prevent any further works. It is now closed. Adam Taylor. His firm, AT Contracting and Plant Hire, owns the Finmere landfill site, and was responsible for hiring the digger that was later used to demolish the Crooked House Slide me Mr Taylor also owns the Sarah Mansfield Country Inn in Willey, Warwickshire (pictured in 2009, left, and now, right). The pub has since been gutted and local authorities issued a 'stop notice' to prevent further works Inside the Sarah Mansfield pub in Willey, owned by Mr Taylor. It has been suggested he had hoped to remodel part of the building as accommodation One local resident told MailOnline that the 'ruthless' businessman bought the Sarah Mansfield with 'the intention of gutting in and turning it into rental accommodation'. The woman, who declined to be named for fear of recrimination, said: 'The new owner did just that, he bought it and in two to three days he got his team of workers in, the skips were in place, and they gutted it.' A fellow villager added: 'We know Mr Taylor by reputation and when we heard it was his new Crooked House pub bulldozed, it was a weird feeling of deja vu. 'He comes into communities and wrecks them, with no regard to local people, and for his own profit and gain. 'The guy is absolutely minted from all his business deals, he has a crew of people running around for him 24/7 who no doubt he rewards well, but how much more money does he really need to make? 'What he is doing to local communities, ripping down well-known pubs for development and his greed, is outrageous and the authorities should put a stop to it. 'He does whatever he wants to do and seems to get away with it. We've heard he's worth a shed load of money. He drives around in a Bentley with personalised plates.' Fences have been put up around what is left of the Crooked House while nearby footpaths have been closed off. A public meeting has been arranged for Wednesday night at 6pm for residents to share their views on the site's future. Anyone with information on the Crooked House can contact Staffordshire Police using its Live Chat system or by calling 101 and quoting incident number 761 of 5 August. Anonymous reports can also be made via Crimestoppers. The Taylors were contacted for comment. Ryanair poked fun at a couple who got engaged on one of their flights, asking the bride-to-be to 'blink twice' if she needed an out. The Irish couple, Lee Parsons, 29, and Leah Louise King, 29, were onboard a flight to Reus, Spain, when he decided to pop the question. Mr Parsons cited their wanderlust as the reason for the sky-high proposal on August 6. Mr Parsons from Dublin shared with MailOnline how he had planned to propose to his childhood sweetheart ever since they returned from their travels in South America in 2021. The pairs globe trotting is well documented on their YouTube channel Borders and Beyond. He crafted a plan to ensure Ms King wouldn't catch on to the proposal, with the ring safely placed in his mother-in-laws bag in a different security section. Feeling sky-high: Lee Parsons (pictured in blue t-shirt) popped the question to Leah (pictured in red) on their way to Reus, Spain The airline called Lee Parsons (pictured) clever for his sky-high proposal to Leah. They jested: 'Get her to a location she cannot say no, smart man' He added: 'It was all carefully planned. I even payed attention when she was going to the toilet during the flight.' Mr Parsons added how helpful Ryanair staff were, even revealing the pair received two free bottles of Prosecco, whilst those onboard clapped to congratulate them. He added: 'A few people even came up to congratulate us after having their passports checked.' But in a hilarious turn of events the Irish airline decided to tease the beaming couple, re-sharing their engagement picture with the caption: 'Leah, blink twice for random seat allocation.' Under the tweet, which now has 2.3 million views, people chimed in on the joke, with one even commenting how awkward it would be if the bride-to-be had said no. Ryanair quipped: 'Get her to a location she cannot say no, smart man.' A few shared their shock that the airline didn't give the couple a fee, giving Ryanair potential new ideas. One said : 'Surprised you didn't charge them extra for the proposal. An idea maybe?' The Irish airline answered: ' Proposal fee' Some users even helped give Ryanair the idea of a proposal fee, whilst jesting with the airline 'On cloud nine!': The bride-to-be shared how she had the most magical week away, coming home with not only memories but a fiance Some users even queried if the airline had given the newly engaged couple free champagne, to which Ryanair jested: 'Are you new here.' The groom-to be was flooded with responses under his original tweet with one user going as far as to allege he had been paid by the the airline to propose. Mr Parsons responded: 'I wish, wouldn't say no to free flights to be fair though.' Another bantered under Mr Parsons' original tweet: 'Congrats to you and Leah, lovely couple! I hope they didn't charge you extra to carry on the ring??!' But the parents saw the funny side of Ryanair's post, with Mr Parsons adding: 'They are always really funny with their reactions, I got a laugh of it didn't expect it to go as viral as it did.' Leah said she still felt on 'cloud nine' after returning from the 'most magical week away' with a fiance. She added: 'It still doesn't feel real.' The pair said they hope to get married in a tropical location like Thailand or Bali, but are prioritising getting a new home first. Hyundai Motor President Kim Yong-hwa, right, poses with Seoul National University Executive Vice President for Academic Affairs Kim Seong-kyu, after signing a memorandum of understanding to establish a master's program to foster vehicle software experts at SNU in Seoul, Wednesday. Courtesy of Hyundai Motor By Kim Hyun-bin Hyundai Motor signed an agreement with Seoul National University (SNU) to establish the future automotive mobility department to foster vehicle software experts, according to the company, Wednesday. Hyundai announced that it will establish the department to foster talents specialized in the fields of vehicle software, electric vehicle (EV) control, and autonomous driving. The Future Automotive Mobility Department is the first-ever master's degree program established by SNU in collaboration with a company based on a recruitment-oriented contract. The educational curriculum has been jointly developed by Hyundai and SNU. Hyundai and SNU plan to recruit incoming students for the contract-based department starting in the second half of this year for the 2024 academic year. Afterward, they will select 20 students each year. The admitted students will receive full scholarships for two years and will join Hyundai Motor after completing the master's program. "The future technological competitiveness in mobility depends on securing talents with innovative capabilities in the field of software, represented by EV control and software-centered vehicles (SDV)," Kim Yong-hwa, president of Hyundai Motor CTO division said. "Based on the practical education of Seoul National University, the nation's top educational institution, we will spare no effort to support the fostering of advanced talents." The woman met the suspected rapists on Monday night and was allegedly sexually assaulted by the six A group of five French tourists and one Swiss tourist have been arrested after a British teenager was allegedly gang-raped in the holiday resort of Magaluf. A judge in capital Palma found there were video clips of the alleged rape on at least one of the suspects' phones, police said. She met the suspected rapists on Monday night and later went to the hotel room of one of the suspects, where she was allegedly sexually assaulted by the six, the police press office in Mallorca cited the alleged victim's statement as saying. The Civil Guard showed up at the hotel after receiving a phone call from the hotel's security staff who found the young woman crying in desperation. Police detained the suspects, all of whom are over 20, shortly after. In the first pictures of the six men, one of the suspects held his head down and stared at the ground as he was led into a court in the Majorcan capital Palma in handcuffs by a Civil Guard officer But the other five, all wearing the same shorts and t-shirt they were arrested in, made no attempt to disguise their faces as they were taken into court for a remand hearing held behind closed doors Two of the suspects are seen being led by an officer One of the suspects is seen being led by two officers The alleged victim received immediate medical attention and counselling. In the first pictures of the six men, one of the suspects held his head down and stared at the ground as he was led into a court in Palma in handcuffs by a Civil Guard officer. But the other five, all wearing the same shorts and t-shirt they were arrested in, made no attempt to disguise their faces as they were taken into court for a remand hearing held behind closed doors. All the suspects were escorted into the court building in Palma in handcuffs. Although the suspects are being held in jail, none of them has been formally charged as yet, as the investigation is ongoing. There were no details released on their identities nor that of the alleged victim. Detectives inspected the room where the alleged assault occurred and reviewed CCTV footage of the hotel entrance as part of the ongoing investigation. A medic checked the woman over and is said to have found grip marks on her arms. The woman met the suspected rapists on Monday night and later went to the hotel room of one of the suspects, where she was allegedly sexually assaulted by the six, the police press office in Mallorca cited the alleged victim's statement as saying Earlier this month, a 21-year-old British woman told Greek police that she was raped by an Israeli man after meeting him in a nightclub in Athens. The young tourist was allegedly attacked at the man's home in the early hours the previous week after a night out in the Keramikos area. She told police that during the sexual assault, the man's three friends appeared out of nowhere and filmed her with their mobile phones. The woman, who had been partying with her friend from Portugal beforehand, told the group she was leaving but the man refused to stop the act, according to local media. After a struggle the woman managed to escape the property and told police of the incident. A case has been filed against the Israeli man for rape. Just last month another British tourist accused a 47-year-old Greek man of raping her in a hotel while on holiday on the island of Rhodes, according to local media. The 21-year-old said she was attacked at around 2am on July 3 in Pefkos and made a complaint to the local police hours later, prompting a case to be opened. According to Greece's ANT1News, the young woman's lawsuit claims the older man took her from a 'health care store' in Lindos, found on the south of the island, to a hotel in Pefkos - the main city on the island that sits around 15 miles from Turkey. There, the outlet reports, the woman claims the man forced her to have sex with him through threats of physical violence. Upon making her complaint, the police asked a medical examiner to carry out an assessment, ANT1News said. She handed over the clothes she was wearing on the night which were reportedly sent to Greece's Directorate of Criminal Investigations for DNA testing. According to the same publication, a second British woman made a complaint over an incident in Faliraki - seven miles south of Pefkos - 24 hours earlier. It reports that the 18-year-old British tourist was attacked in the early hours on Sunday, July 2, at a nightclub in the popular destination. She has told police she was at the club with two of her friends, when she suspects an unknown person injected her with a narcotic substance in her hand - without her realising that it had happened at the time. From that moment, she says she suffered from complete memory loss, leading her to suspect that she was secretly injected with some form of drug. As with the first case, a coroner's examination has been ordered and police have launched an investigation into the alleged attack. In yet another grim case, a 21-year-old British tourist was allegedly raped after she was dragged into bushes on a popular Algarve holiday beach last month. The woman told police the sex attack took place on the sand by a walkway at Praia da Rocha beach in the municipality of Portimao. And last month, two Irishmen were arrested on suspicion of raping a British tourist in a hotel in Magaluf. The Briton, who has not been named, told police she had been sexually assaulted by the two men in a hotel room in Calvia by Magaluf in the early hours of July 19. Two Irishmen were arrested on suspicion of raping a British tourist in a hotel in Magaluf last month. Pictured: Patrol car with officers of the Spanish Civil Guard after the arrest of the two Irishmen The Irishmen had reportedly fled the hotel before they were arrested by police officers at the airport as they tried to return to Dublin. Police had arrived at the hotel on July 19 where the woman claimed she had been raped by the two tourists. The alleged victim was taken by officers to Son Espases Hospital by the policeman where she underwent an examination of her injuries. The Civil Guard confirmed that the woman had been raped. It came days after a British tourist was arrested at the Palma Mallorca airport after allegedly raping his girlfriend at their hotel on the Spanish island. The 38-year-old was held as he tried to fly home after his 24-year-old partner went to police to say he had forced himself on her in the bathroom of their hotel room. The couple are said to have been staying at a three-star hotel near the resort of Magaluf when the incident allegedly occurred. Meanwhile in May, a British tourist was arrested on suspicion of raping a female friend of his son's at a hotel in Magaluf. The holidaymaker was also held at Palma Airport after allegedly packing his bags and trying to leave the island in a hurry hours later. The woman, also believed to be British, told detectives she was raped after falling asleep in her friend's room, which had an internal door leading into his dad's room. The older man is said to have surprised her in the middle of the night after entering the room while his son was out partying. The alleged rape victim, who is understood to be aged around 20, fled the unnamed hotel and raised the alarm at a Civil Guard office near to the Punta Ballena party strip in Magaluf, according to local reports. A rise in the number of dengue fever cases has sparked a virus alert in parts of Florida . Broward County, the home of Fort Lauderdale, has joined Miami-Dade County in raising an alert as the mosquito-borne illness continues to spread. Florida's Department of Health reported two cases in Broward County's arbovirus surveillance report, which covers dates between July 30 and August 5. There have been 10 cases of locally-acquired dengue reported in Florida so far this year, with the majority coming in Miami-Dade County in July. 'Ten cases have been serotyped by PCR,' wrote the Department of Health in Florida. Broward County, the home of Fort Lauderdale, has joined Miami-Dade County in raising an alert as the illness continues to spread. Pictured: Fort Lauderdale beach in Florida (File image) Although mosquitoes are commonly known for spreading the disease of malaria, they are also responsible for emitting the lesser-known strain of dengue. Pictured: a yellow-fever mosquito sucking blood What is dengue? Dengue is a viral infection caused by the dengue virus (DENV), transmitted to humans through the bite of infected mosquitoes. About half of the world's population is now at risk of dengue with an estimated 100400 million infections occurring each year. Dengue is found in tropical and sub-tropical climates worldwide, mostly in urban and semi-urban areas. Most people with dengue have mild or no symptoms and will get better in 12 weeks. Symptoms include: high fever (40C/104F) severe headache pain behind the eyes muscle and joint pains nausea vomiting swollen glands rash Advertisement 'In 2022, there were two locally acquired dengue cases,' the department in Broward County added. The alert has also been raised as a precaution after nearly 200 cases in total have been reported across Florida this year. They were contracted by individuals who were known to have a history of travel to a dengue-endemic area in the two weeks before it spread around the state. The department noted that 10 of those cases were reported in non-Florida residents, with one case being classified as severe dengue. Although mosquitoes are commonly known for spreading the disease of malaria, they are also responsible for emitting the lesser-known strain of dengue. According to the Centers for Disease Control and Prevention (CDC): 'Dengue viruses are spread to people through the bite of an infected Aedes species mosquito. Almost half of the worlds population, about 4 billion people, live in areas with a risk of dengue. 'A dengue vaccine is approved for use in children aged 9 to 16 years with laboratory-confirmed previous dengue virus infection and living in areas where dengue is endemic (common). Endemic areas include some U.S. territories and freely associated states,' it adds. The CDC says there have been 225 dengue cases reported across the US so far in 2023. Cadaver dogs sniffing the wreckage of a burned-out parking lot, search and rescue crews combing the remains of a demolished warehouse and firemen sifting through the wreckage of a gas station: this is the desperate hunt for the 1,300 people still missing after devastating wildfires ripped through Lahaina last week. Exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hope fades that any will be found alive in the demolished town. The harrowing images also show how little has been left standing in the historic Maui town that was, until a week ago, home to over 12,000 people. Tourist hotspot Front Street, which boasted charming wooden buildings and an ancient banyan tree, is now littered with burned-out cars while the town's main business artery, the Honoapiilani Highway, is now a wasteland of demolished businesses and wrecked vehicles. Lahaina has been closed off to the outside world since fire ripped through it a week ago, with just search crews allowed into the wreckage. Cadaver dogs are seen sniffing through the wreckage of a burned-out parking lot in Lahaina, Maui, August 15. The destruction caused by the recent wildfire stretches into the distance These exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hope fades that any will be found alive in the demolished town Recovery teams are seen in a huddle as efforts to find the 1,300 people still missing after the Lahaina fire last week swept through the town. The death toll stands at more than 100 Recovery crews are seen scouring through the wrecks of buildings and cars in Lahaina 101 people have already been confirmed dead, among them Alabama native Carole Hartley, 61, who was killed while trying to outrun the inferno, and local man Franklin Trejos, 68, who died trying to shield his roommate's dog from the flames. Other victims include four members of the same family: Faaso and Malui Fonua Tone, their daughter Salote Takafua and her son Tony. All four were found in the burned-out remains of their car and died while attempting to escape the blaze. On Tuesday, Maui County Mayor Richard Bissen said that just 25 per cent of the 2,200 burned buildings in Lahaina have been searched, although that is expected to hit 85 per cent by the weekend. Speaking in a news conference late Monday, Maui Police Chief John Pelletier said the search had been hampered by windy conditions, as well as temperatures that topped 90 degrees Fahrenheit on Tuesday. As a result, the 20 cadaver dogs drafted in to search Lahaina can only work in 15-minute bursts, while Chief Pelletier also said progress is slow due to the 'reverence' required for the search. He added: 'It's not just ash on your clothing when you take it off. It's our loved ones.' A cadaver dog is seen leading search and rescue personnel through a parking lots that was destroyed in the Lahaina fire, that killed more than 100 people Recovery teams are seen searching through a burned parking lot in Lahaina Recovery teams are seen searching through a burned parking lot in Lahaina Recovery teams are seen searching through a burned parking lot in Lahaina A view from the coast shows burned-out cars on a main road. The destruction caused by the wildfire stretches into the distance Forensic tents are seen set up in the parking lot of a gas station that miraculously remains standing amongst other buildings burned in the Lahaina fire Investigation tents and other official vehicles are seen set up in Lahaina as efforts continue to locate people missing, feared dead in the lethal fires Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Hawaii Governor Josh Green also warned of bodies among the debris, saying: 'For those people who have walked into Lahaina because they really wanted to see, know that they're very likely walking on iwi [bones]' He also noted that some of the search areas 'are too much to share or see from just a human perspective' likely to be a reference to the burned-out cars, many of which contain the bodies of Lahaina residents who had tried to flee. The grim new photos come as President Biden finally spoke out on the tragedy on Tuesday evening after a week of silence except for a single 'no comment' when asked about the disaster over the weekend during his trip to Delaware Beach. During a speech in Milwaukee, he confirmed that he plans to visit the stricken town once rescue operations are complete but appeared to confuse Maui with the neighboring Big Island. Countering criticism that the Federal Government hasn't done enough to help Maui, he said: 'The Army helicopters helped fire suppression efforts on the Big Island because there's still some burning on the Big Island not the one that, not the one where you see on television all the time.' Following the stumble, he added: 'My wife Jill and I are going to travel to Hawaii as soon as we can. Vehicles and officials are seen amongst cars destroyed in the Lahaina fires Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Recovery workers are seen searching through the wrecks of cars and buildings as efforts to continue to find bodies of the victims of the fires Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Ti leaves are left on the fence outside of the MPD Morgue Office, where bodies from the victims of the Lahaina wildfire are being held to be identified A person looks out to sea at sunset in Kihei, Maui 'That's what I've been talking to the governor about. I don't want to get in the way. I've been to too many disaster areas. 'But I want to go make sure we got everything they need. Want to be sure we don't disrupt the ongoing recovery efforts.' Despite widespread criticism of his response to the Maui disaster, President Biden will travel West on Friday for a family vacation in California and Nevada's spectacular Lake Tahoe. The resort is also a short drive from Snowmass where former Speaker of the House Nancy Pelosi and husband Paul own a vacation home. Detectives investigating the deaths of three people after a poisonous mushroom lunch will hone in on 10 lingering questions when they re-interview the woman who cooked the meal. Erin Patterson, 48, prepared a beef wellington for her former in-laws, Don and Gail Patterson, and Gail's sister and brother-in-law Heather and Ian Wilkinson, at her home in Leongatha, south east of Melbourne, on July 29. The lunch is believed to have been included death cap mushrooms, which killed Mr and Erin and Heather Wilkinson, while pastor Wilkinson remains in a serious condition in hospital. Erin had initially provided a no-comment interview with police under legal advice but has since made herself available for a follow-up interview. While Erin has not been charged with any crimes and denies any wrongdoing, a follow-up interview can provide further information as to what occurred in the lead up to, and following the meal. The chef at the centre of the deadly mushroom lunch, Erin Patterson (pictured), is set to be re-interviewed by police 1. Where did the mushrooms come from? When the four family members arrived at local hospitals during the early hours of July 30, it was initially believed they were suffering from gastro. It was not until their conditions deteriorated and they were rushed to Melbourne that medics determined their symptoms were consistent with death cap mushroom poisoning. It's still unclear where the mushrooms came from or how they ended up in the meal. Erin told police she had bought dried mushrooms from an Asian grocer at least three months prior to the lunch but could not recall the name. Victoria Police Detective Inspector for the Homicide Squad, Dean Thomas, said those details were still under investigation - and Erin refused to answer the question when asked by journalists on Monday. Where the killer mushrooms came from may remain a mystery, but the source does not, as they grow widely across Victoria during warm, wet weather. Autumn presents ideal blooming conditions for the fungi, with state health officials issuing a warning in April for Victorians to be wary of consuming mushrooms found in the wild. 2. Did Erin eat with her guests or her children? Police have said that the four guests were given different meals to Erin's children. When asked by reporters whether the mother-of-two had eaten the mushrooms, Inspector Thomas did not give a definitive answer, but noted that she did not fall ill. 'She has not had symptoms,' he said. 'So, again, whether she did or didn't eat any of the mushroom forms part of our investigation.' Criminologist Dr Xanthe Mallett told Daily Mail Australia that Inspector Thomas may not have answered the question because officers would be circumspect about what information they released to the public for 'investigative reasons'. 'They'll be cautious about what [information] they release and when... they don't want the public to jump to any conclusions,' she said. The meal Erin served to her former in-laws and two of their relatives is suspected to have involved death cap mushrooms (stock image) which even a small amount can cause death 3. Did the guests know they were eating wild mushrooms? Neither police or Erin have revealed whether those at the lunch gathering were aware they were eating wild mushrooms. Inspector Thomas also did not go into detail as to whether Erin was known to forage for mushrooms, but said it would form part of the investigation. Police have not divulged how the mushrooms were incorporated into the dish, which will inform detectives as to whether the fungi was a main ingredient or subtle addition. When the four guests went to hospital, they initially thought they had gastro and did not mention they had eaten foraged mushrooms. It was only later that doctors linked their symptoms to death caps. 4. Why did Erin's ex husband pull out of the lunch? Police have said Erin and Simon's relationship was 'amicable' despite the pair being separated. Sources have told Daily Mail Australia that he was also meant to attend the lunch 'Simon was supposed to go to the lunch but couldn't make it at the last minute,' a friend said on Wednesday. 5. What was Simon Patterson's mystery illness? Simon Patterson almost died from a mystery stomach illness last year. He spent 21 days in intensive care after collapsing at his home in May 2022. 'I collapsed at home then was in an induced coma for 16 days through which I had three emergency operations mainly on my small intestine, plus an additional planned operation,' he wrote on Facebook after recovering. 'My family were asked to come and say goodbye to me twice as I was not expected to live. 'I was in intensive care for 21 days, after which I was in the general ward for a week, and now I'm at a rehab place.' Simon continued, writing the treatment 'seemed to have fixed the serious gut problems'. 'I have a big scar on my tummy which is healing itself slowly, and I have ICU acquired weakness which is a common condition of people who lie in intensive care for a long time as I did,' he said. 'I can walk and do all the normal daily functions myself, however my left shoulder in particular is very weak.' Those that know Simon say he has never explained the background to his stomach condition. One friend, who wished to remain anonymous, told Daily Mail Australia if he knew what had caused the illness he hadn't told any friends or family. 'He worked really hard to be rehabilitated. He went and stayed with his parents and at the time there was no talk of anything untoward,' the friend said. Throughout Simon's time in hospital, his ex-wife continued to keep his worried friends updated about his condition on social media. 'As far as we all knew, they were quite friendly. He's never said a bad word about her. He wouldn't. They've got kids together and he's that type of person,' another friend said. Gail and Don Patterson died after eating the mushrooms Ian Wilkinson and Heather Wilkinson (both pictured) became severely ill after they ate wild mushrooms. Mrs Wilkinson died on Friday while her husband remains in a critical condition in hospital 6. Was a food dehydrator used to prepare the meals? Police have seized a dehydrator that was reportedly found dumped in a tip near Erin's house. Dehydrators are used to make dried foods such as beef jerky, fruits, herbs and of course, mushrooms. The device is undergoing testing to determine whether it was used to prepare any of the food Erin served for lunch. Inspector Thomas would not provide additional information about the dehydrator, such as whether it had been confirmed to be Erin's or what led to its discovery at the tip. However, he said that a number of items had been seized during a search of Erin's house on Saturday would undergo further testing. 7. Why was the dehydrator disposed of but the not leftovers of the meal? Erin admitted she lied to police about disposing of the food dehydrator, having been spooked by her ex-husband who accused her of using the appliance to poison his parents. The Department of Health were able to locate leftovers of the meal in her home for testing. 8. Why did Erin only get a mild dose of illness? Erin is more than 20 years younger than the four others who went to hospital, which may help her help her stave off the illness. There is no known cure to death cap poisoning and no understanding as to why Erin was not as effected by the meal. ACT Health state all parts of the death cap mushroom are poisonous, warning: 'eating even a small amount of a death cap mushroom can kill you'. 'Death cap mushrooms remain potentially lethal, even if cooked.' 9. When did Erin learn of the food poisoning and why was she confident her children would not become ill? All of Erin's guests fell ill from the meal except her children. She served the leftovers to her children after knowing her guests had gone to hospital with suspected food poisoning. She claims the mushrooms were also removed from the leftovers as her children didn't like their taste. 10. Why did hospital staff not admit Erin along with four others who ate the meal being? Erin had claimed the she was hospitalised after eating the lunch as well, however was not admitted to hospital unlike the four other guests. She claims to have was given a liver protecting drug and IV drip to help protect her from potential poisoning from the mushrooms. Police have made it clear they are not investigating a murder probe and instead investigating the 'unexplained deaths' of Mr and Ms Patterson and Ian Wilkinson Erin has denied any wrongdoing and no charges are expected to be laid at this stage of the investigation. Police have made it clear their investigation is not a murder probe but instead one into three unexplained deaths. Daily Mail Australia does not suggest Erin was responsible for any of the poisonings or deaths. Daily Mail Australia is also not suggesting that the police suspect Erin was in any way guilty or responsible for Simon's illness, or for the the poisoning and three deaths earlier this week, only that she is a person of interest. A top accountant working for Kensington and Chelsea Council and his younger female colleague have won more than 13,000 in a sex harassment case after a high-ranking executive told workmates they were having an affair. Chief Finance Officer, Francis Austin, had personally recruited project manager Monika Newton to join his team on a pay packet worth 100,000 a year, to support the London borough's response to the Grenfell Tower disaster. But an employment tribunal heard Ms Newton's arrival antagonised Kensington and Chelsea council's group accountant, Lesley Shields, who became suspicious the two contractors were 'ducking off' to have an affair. Ms Shields openly insinuated that Mr Austin and Ms Newton were sleeping together and later told a colleague the pair must have gone to a hotel before adding: 'She's s***ing his c*** about now'. Mr Austin, who earned a pay packet worth 150,000, and Ms Newton later complained about Ms Shields' comments before successfully suing the council after their contracts were terminated, winning combined payouts worth 13,887. Francis Austin (pictured) was contracted to lead a finance team coordinating Kensington and Chelsea Council's response to the Grenfell Tower disaster Francis Austin hired project manager Monika Newton (pictured) in 2019 on a deal that saw her paid sums equivalent to 100,000 a year Mr Austin told a hearing, to rule on compensation, that Ms Shields' remarks were 'highly damaging' and that 'going into work not knowing who might be thinking he was sleeping with another employee... caused him considerable stress and anguish'. Ms Newton had suffered 'understandable upset about the harassment' and as a contractor and new to the organisation had been in a 'vulnerable position'. The two council contractors have now been awarded a combined sum worth 13,887 for injury to feelings, with the council told to pay Mr Austin 4,000 plus interest of 1,050 and Ms Newton 7,000 plus 1,837 in interest. The employment tribunal in central London heard that Oxford-educated Mr Austin joined the local authority on a rolling contract as deputy Chief Finance Officer in March 2017. The deal saw the senior accountant paid 650 a day, the equivalent of an annual salary of more than 150,000. In June of that year, the fire at the Grenfell Tower block claimed the lives of 72 people and Mr Austin was made head of finance for the team helping the council's response to the tragedy. Ms Shields - group accountant at the council - was his deputy, the tribunal heard. The hearing was told that by the autumn of 2019, tensions between the Mr Austin and Ms Shields had begun to mount, over how slowly the team's work was being completed. At the same time Mr Austin met with Ms Newton - an experienced project manager he had worked with before - to discuss her joining to help with a 'housing legacy' project related to the disaster. The tribunal was told that without authorisation, Mr Austin hired Ms Newton on a 450 a day short term contract, equivalent to more than 100,000 a year. Lesley Shields (pictured) insinuated Francis Austin and Monika Newton were missing work to have an affair On Ms Newton's first day in November, the tribunal heard Ms Shields told her new colleague she was unhappy at the way she had been appointed and was not convinced her role was required. Ms Shields - who was feeling under increasing work pressure - told the tribunal Mr Austin's behaviour changed after Ms Newton's appointment. 'He came in to work late and went home early, and often took Ms Newton for lunch,' she said. 'There were grumbles in the team about how little work Mr Austin was doing. 'Mr Austin was going to all of Ms Newton's meetings when there was no need for him to do so.' The tribunal was told that without authorisation, Mr Austin proceeded to hire Monika Newton (pictured) on a 450 a day short term contract, equivalent to more than 100,000 a year The hearing was told that soon after her arrival, Ms Shields described Ms Newton to colleagues as a 'c***' and a 'bitch'. Ms Shields told the hearing that on 29th November Mr Austin and Ms Newton arrived at work late, then went to lunch together. 'She said they gathered their bags and coats at 1:45 pm and Ms Shields asked where they were going,' the tribunal heard. 'They said they were going to the Tower. 'Ms Shields asked why and they said they were going to [a meeting] and Ms Newton wanted to see the Tower. Ms Shields looked at [the] diary which did not show such a meeting. 'The whole team was under pressure but they seemed to be wasting time and money. She herself was working evenings and weekends and under pressure to meet budgeting deadlines and had personal issues. 'Ms Shields accepted she said something along the lines of: 'She's (Ms Newton) sucking his (Mr Austin's) cock about now' to (a colleague). She said she regretted it immediately and apologised.' Finance Manager Ronica Barard told the hearing Ms Shields initially approached the bank of desks where she and others were sitting and said Mr Austin had nothing in his diary so she was not sure where they had got to. 'She said they must have got a hotel room,' Ms Barard told the tribunal. 'About half an hour later Ms Shields approached the desks again and said: 'She must be s***ing his c*** right now.' In the following months, tensions between the trio escalated. On hearing that Ms Shields had told colleagues she suspected an affair, Ms Newton complained to Mr Austin: 'This is sexual harassment in a workplace and to that effect extremely distressing, derogatory and offensive.' The council launched an investigation which led to Ms Shields being warned about her behaviour. In June of that year, the fire at the Grenfell Tower block claimed the lives of 72 people and Mr Austin was made head of finance for the team helping the council's response to the tragedy Concluding that the housing legacy project was unnecessary, the local authority decided not to extend Ms Newton's contract after the end of March 2020. And the following month Mr Austin was told his employment was being terminated as well. The pair took the council to the tribunal claiming sex discrimination, victimisation and that they had been badly treated for whistle blowing. The panel - chaired by Employment Judge Natasha Joffe - dismissed the other claims but agreed that Ms Shields' remarks to colleagues had been sexual harassment. '[Her] purpose appears to have been to vent her frustrations about Mr Austin and Ms Newton to her colleagues,' it said. Alleging they were having 'sexual relations rather than working' would have 'violated their dignity' and created a 'humiliating environment' for them, it said. 'The extreme vitriol involved in describing a colleague as a 'c***', arose, we concluded, from the particular level of resentment created by the combination of factors we have identified, including the perception...that Mr Austin and Ms Newton might be having an affair.' In ruling on compensation, judge Joffe said: 'We accepted that Mr Austin was distressed by the remarks made by Ms Shields. They were highly inappropriate and offensive. 'We accepted that the remarks made about Ms Newton were highly offensive and she was distressed when she heard about the remarks.' However, in deciding not to award damages at the higher level of the spectrum, the judge added: 'We also considered that her presentation to the Tribunal was histrionic and exaggerated. 'We did not accept that, as she claimed at the remedy hearing, she thought about the remarks every day. 'We have also found that [Mr Austin and Ms Newton] made use of the fact that Ms Shields had made these inappropriate remarks to seek to improve their own positions at a time when their contracts were up for consideration. Mr Austin was more actively involved in these machinations than Ms Newton.' A couple accused of being Russian spies were locked in a row with their London neighbours over a satellite dish, it emerged today. Bizer Dzhambazov, 41, and his partner Katrin Ivanova, 31, were arrested under the Official Secrets Act and face trial for possession of fake documents with 'improper intention', including passports and identity cards. The Bulgarians were arrested in Harrow, in the north of the capital, but previously lived in Northolt, around three miles west, where they are said to have angered locals with some of their behaviour. Eyebrows were raised when Dzhambazov installed a satellite dish on the side of his property, which appeared to be pointing in the wrong direction, compared to every other one in the street. He then tried to put up an even bigger antenna on the exterior wall, until those living next door complained that it was going to block the light to their home, neighbours claimed. Dzhambazov is also said to have told people nearby that he worked for Interpol. One neighbour, James, told the Telegraph: 'I do remember that they had their [satellite dish] pointed in a different direction to all the other ones. 'At one stage, he had a friend around and they tried to mount a massive one on the wall. But it would have blocked out the light into my flat and so we had words about that and it didn't happen. 'When he arrived, he mounted a camera on the wall of his flat so it looked out across the car park. It's still there.' As well as properties in the couple's name, counter-terror police also raided the grubby three-star Haydee Hotel in Great Yarmouth. where they allegedly found equipment to produce false documents in the room of signals intelligence expert, Orlin Roussev. The 45-year-old, who is said to include '007' in one of his email addresses, was one of three people who it yesterday emerged were dramatically accused of working for the Russian secret services. Roussev, Bizer Dzhambazov, 41, and Katrin Ivanova, 31 - who are all Bulgarian - are facing trial for possession of fake documents with 'improper intention' including passports and identity cards. They were arrested under the Official Secrets Act and have been charged under the Identity Documents Act with possessing fake identity documents including passports and identity cards for Britain, Bulgaria and seven other European countries, including France, Italy and Spain. The Official Secrets Act investigation is ongoing. Roussev lived in his block of apartments in the seaside town of Great Yarmouth in Norfolk Katrin Ivanova, 31, (left) and Bizer Dzhambazov, 41, (right) lived in Harrow, north London The trio has also been accused of posing as journalists from American television companies after Scotland Yard found forged press cards and branded clothing from the Discovery and National Geographic channels, The Times reported. Neighbours of the Haydee Hotel recalled a scenes of crime tent being erected on the doorstep after Roussev was seized. Groups of masked men dressed in black were seen searching the address for nearly two days, leading to local residents to assume that a crime had taken place. Local residents said they had not seen anyone come in or out of the hotel for months, and did not believe it had been open for business since at least last year. Orlin Roussev, 45, of Great Yarmouth, Norfolk claims to have previously worked as an adviser to the Bulgarian Energy Ministry There was no reply at the door of the budget guest house which has eight rooms and is just 100 yards away from Great Yarmouth seafront. The hotel, which is in a Victorian terrace and painted white and blue, was sold on September 16, 2021, for 220,000 to Irina Paravanova, 47, according to Land Registry records. Moira Scott, a bar manager at the family-owned Prom Hotel opposite the Haydee, recalled security personnel descending on the hotel in February. She said: 'I came to work at about 9am and I was opening up as I was first one in when I thought, 'What is going on over the road?' 'There was a big scenes of crime tent outside the door of the Haydee and there were a load of plain police vans with blacked out windows. 'My first reaction was that someone had been murdered. There was a lot of men dressed in black clothes with their faces covered who were coming and going. 'They had balaclavas on as if they didn't want anyone to recognise them which I thought was a bit weird. I couldn't see their faces. 'I was going to ask them what was going on, but they didn't look very approachable. I went inside and kept looking out of the window. 'At one stage a group of about six of the men walked over towards the seafront, and I wondered what they were doing. 'I looked on the local news to see what was going on, but there was nothing about it. I remember asking customers if they knew what was happening, but nobody did. 'The tent was still here the next day with guys going in and out, but by the third day it was gone.' Ivanova and her partner ran a community organisation providing services to Bulgarian people, including familiarising them with the 'culture and norms of British society' Dzhambazov and Ivanova have been held in custody and are suspected of working for Russia Meanwhile, Dzhambazov and Ivanova, described by neighbours as a normal couple, are said to have put 'chunky' CCTV cameras outside their home in Harrow, north London. A woman living opposite told the Sun: 'We were concerned they were pointing at our house but of course now we suspect it was pointing at the road. 'They used to have a van come quite late at night, around midnight to 1am, and they would load it up. 'We thought they were just doing deliveries. We never spoke to them and they never spoke to us.' Other neighbours, however, described how Dzhambazov, a hospital driver who was a fan of rock legends, Queen, and Ivanova, a lab assistant with a private healthcare company, made friends locally by sharing 'cakes and pies'. The 'unremarkable' couple lived in a rented 1,100-a-month apartment in a modern block of flats on a busy high street, amid a mix of supermarkets, cafes and charity shops. Simon Corsini said that the pair were regular customers at his cafe, Gino's, a stone's throw from their rented one-bedroom flat. They would usually order the 'Super Breakfast' a 7 dish of egg, bacon, beans and tomato, accompanied by four slices of bread. Dzhambazov, who frequently went in alone, also ordered hash browns. Mr Corsini said the Bulgarian couple did not stand out in Harrow, which has a large Turkish and Albanian community, adding: 'No one would give them a second glance.' He was shocked to learn of the charges against them, he said, but added that he was not overly concerned that two alleged spies had been living alongside him in the north London suburb. He said: 'It is not something that you would expect, and it is quite a shock. 'I can't say that I am worried. There have been three stabbings in Harrow and that worries me more.' Other locals were also left bemused, with one customer at a barber's telling the Guardian: 'I don't get it What are they going to tell the Russians that there are three items for 10 quid in Iceland?' Sonal Thakrar said the couple had lived at the property for five years. She got into the flat when neighbours in the modern block in Harrow Weald first told her that police officers were inside searching and found the place full of alcohol. She said: 'I was so shocked. It was more like a pub there was so much alcohol, There were dozens of bottles of whiskey and gin. I know people like to have a drink, but I have never see so many bottle of alcohol around the place. 'It was not very homely and I did not get the impression it was a nice place.' She added that police had not told them about the couple's arrest on suspicion of spying for Russia: 'We have been kept completely in the dark. All we knew was that police had been to the flat, and they had moved out.' She said the couple's references were checked out by the managing agents and they paid their rent on time each month. 'We were told they were a Polish couple, but I never spoke to them or went to the flat while they were there. That was the job of the managing agents. 'We never had any trouble and the main thing was that they paid the rent on time.' Dzhambazov and Ivanova ran a community organisation providing services to Bulgarians. The group was called Bulgarian Social Platform and this is believed to be their offices A photo of the offices of Bulgarian Social Platform, which Dzhambazov and Ivanova ran Recalling her visit to the flat last March, Sonal said: 'There were no photos of family or anyone around. It was very bare and I would not describe it has homely.' Neighbours witnessed police officers searching their house for at least a week around the time of their detention. Mori Kalay, who lives in the same block of flats as the couple, told Mail Online they were' 'unremarkable' and did not go out of their way to talk to others in the flats. He lived on the second floor of the block with the couple's flat on the first floor. He said: 'I can't say that I knew them. I did not see them very often and we did not talk. 'The only clue we had something was going on was earlier this year when there were police inside and outside the flats. 'When I asked what was going on I was told I did not need to know and to go back into my flat.' According to Ivanova's LinkedIn profile, she studied at Bulgaria's National College for Trade and Banking for four years, and worked as a brand consultant before undertaking a three-year university degree in commercial management. She then moved to Britain in 2013, initially working as an office manager and a sales consultant before becoming a business development manager, listing her skills as establishing rapport and 'negotiating and renegotiating'. She and Dzhambazov set up a social media site in Britain, offering help and advice to Bulgarians who had moved to London. The Bulgarian Social Platform offered free English courses and help with documentation and professional training. A report on the site on Bulgarian national radio said the couple had helped around 1,500 people and believed 'people should selflessly help each other because this will help the Bulgarian community maintain a good image in the UK'. Photographs from the couple's social media showed Ivanova partying at a popular Bulgarian restaurant in Palmers Green in north London. Ivanova appearing in a video promoting the services offered by the community group she ran with her partner Dzhambazov Ivanova were both registered at the same address in Harrow, north London Meanwhile the couple's alleged spy comrade Orlin Roussev was living in the Haydee Hotel, having moved to the UK in 2009. According to his online LinkedIn profile he studied at Queensland University of Technology in Australia and is a former adviser to the Bulgarian ministry of energy. In Britain, he set up his own technology business registered with Companies House, although it was later dissolved. Roussev claimed that he was working on a new television project. He posted on LinkedIn that he was 'redefining TV', saying his project offered 'the next generation multi-screen TV, any-time, any-place at your finger tips, when you want it, what you want'. His company, Newgen Technologies, was set up in 2013 but dissolved four years later, according to Companies House. Roussev also claimed to be a software developer and 'accomplished network engineer', and was living at the budget guesthouse in Great Yarmouth. Neighbours said that they had not seen anyone come in or out of the eight-room B&B for months. Yesterday, wooden blinds remained drawn across the front downstairs windows and curtains were closed on the upper two storeys. Dead plants were visible in two hanging baskets and two wall mounted plant holders, with two rusting metal tables and empty chairs at the front of the hotel. Stickers in the front window stated that it had ratings of 8.7 and 9 on website booking.com. One hotel website described it as having 'eight rooms with homely comforts'. Bar manager Mrs Scott, a mother-of-three with two grandchildren, did not recognise Roussev when shown his picture. She said: 'We get a lot of people coming in. I suppose he could have come in for a coffee, but I wouldn't have remembered him.' Princes Road has a number of guest houses and hotels, with some providing rooms for holidaymakers and others housing long term tenants. Mrs Scott said: 'It used to be just traditional hotels here, but the area has changed quite a bit over the years. 'I don't want to run down the road, but it is not just traditional guest houses any more.' Kelly Flynn, 39, who is homeless and was helping a friend check into a hotel in the road, said: 'I can remember the police tent going up outside the hotel. 'But I didn't really think anything of it as it is not an unusual sight in Great Yarmouth. I don't recognise the guy who was arrested. 'But there was a Russian living a couple of doors down who apparently had PTSD after being in the Russian army. 'He nicked my mobile phone and the police got it back for me, but I didn't want to press charges. He just needed help.' Aman Khanna, general manager of the Waverley Hotel in Princes Road, said: 'Every second house in the road here is a guest house. 'It is not unusual for crimes to happen at some of the places. Sometimes you have not too peaceful people staying here and then it becomes disturbing. 'The Haydee hotel has been there for a long time, but I have not really seen guests going in or out very much.' Ivanova regularly appeared in Facebook photos promoting its services, which included teaching Bulgarians about the 'culture and norms of British society' The trio were arrested in February and appeared at the Old Bailey last month. They have yet to enter any plea to the identity document charges they face and are due for trial next year. The Bulgarian embassy in London said that it had not been informed that three of its citizens had been detained on suspicion of espionage. A 31-year-old man and a 29-year-old woman, both from London, remain under investigation. Roussev, Dzhambazov and Ivanova are due to go on trial for the identity offences at the Old Bailey in London in January. They have yet to enter pleas to the identity document charges. A Scotland Yard spokesman said: 'On February 8, 2023, officers from the Met's Specialist Operations arrested five people on suspicion of an offence under the Official Secrets Act 1911.' A Crown Prosecution Service spokesman said: 'The CPS has authorised charges against Orlin Roussev, Bizer Maicimov Dzhambazov, and Katrin Ivanova with possession of an identity document with improper intention, contrary to the Identity Documents Act.' The Bulgarian embassy in London said on Tuesday that it had not been informed that three of its citizens had been detained on suspicion of espionage. Britain has been sharpening its focus on external security threats and last month it passed a new national security law, aiming to deter espionage and foreign interference with updated tools and criminal provisions. The government labeled Russia 'the most acute threat' to its security when the law was passed. Police have charged three Russians, who they say are GRU military intelligence officers, with the 2018 attempt to murder former double agent Sergei Skripal with the military-grade nerve agent Novichok. Two were charged in 2018 and the third in 2021. Last year, Britain's domestic spy chief said more than 400 suspected Russian spies had been expelled from Europe. Britain has been one of the strongest supporters of Ukraine since the Russian invasion last year and has imposed a range of sanctions on Russian officials and oligarchs. A disagreement between Russian units in the occupied Zaporizhzhia region descended into a full-blown conflict in which at least 20 of Putin's troops died after shooting at each other with automatic weapons, it has been claimed. Ukraine's National Resistance Centre - an organisation that helps to coordinate the operations of pro-Ukrainian movements in occupied territory - reported that Russian fighters from Dagestan clashed with a unit of Chechen troops operating under orders from the head of the Republic of Chechnya Ramzan Kadyrov, a close Putin ally. A disagreement between the groups, who encountered each other in the Ukrainian town of Mykhailivka near Melitopol, led to some of the troops getting embroiled in a fist-fight. But the scrap quickly spiralled out of control. One fighter was stabbed, at which point the violence immediately escalated and the troops drew their guns, blasting each other with automatic weapons and even grenades, according to NRC sources. Russian fighters from Dagestan clashed with a unit of Chechen fighters operating under orders from the head of the Republic of Chechnya Ramzan Kadyrov (pictured), a close Putin ally Kadyrov is pictured alongside Putin The incident unfolded in the Ukrainian town of Mykhailivka near Melitopol The conflict was sparked when troops from Dagestan - a poor, mountainous region of southern Russia disproportionately affected by the Russian army's conscription drive late last year - took umbrage with the arrival of the 'Kadyrovites', who are particularly vocal on social media and were allegedly in town to film propaganda clips. Around 8pm local time, a fight broke out between the two groups. The NRC said: 'During the quarrel, one of the occupants opened fire in the air from a small automatic weapon. 'In the course of the fight, one of the occupiers was inflicted with numerous stab wounds which were fatal. 'This led to an open confrontation between units using under-barrel grenade launchers GP-25 Koster hand grenades and small automatic weapons.' Some 20 Russian troops slaughtered each other in the impromptu war, with the Chechen crew ultimately being overpowered by the Dagestanis, according to the NRC. More than 40 were allegedly wounded. The reports of Chechen units active in the Zaporizhzhia region corroborate the account of Britain's Ministry of Defence whose intelligence update this week recognised Kadyrov's praise of his troops' work there. 'In an online post on August 10, 2023, Chechen leader Ramzan Kadyrov acknowledged the efforts of the Chechen Vostok Akhmat Battalion in the heavily contested Orikhiv sector in Zaporizhzhia Oblast. A Chechen unit in Mariupol poses for a group photo before a destroyed building Dagestan, a relatively poor region in southern Russia, was heavily impacted by the Russian military's mobilisation efforts last year. Widespread protests against conscription saw many arrests 'Kadyrov's comments highlighted the continuing role of one of the premier Chechen units in this key area. Vostok officially comes under the command of the Southern Military District's 42nd Motor Rifle Division which has been active around the village of Robotyne. 'Chechen forces comprise a relatively small but high-profile component of Russian forces in Ukraine. Kadyrov likely heavily promotes his units' roles partially to burnish his credentials as a Putin loyalist.' The town of Orikhiv, where Kadyrov claims his troops were instrumental in fighting Ukrainian counterattacks, sits a mere 25 miles from Mykhailivka. The report of Russian troops turning on one another comes as pictures from the frontlines showed Ukrainian artillerymen raining fire down on Vladimir Putin's invaders amid Kyiv's counter-offensive. The Ukrainian military said it had pushed Russian forces out of pockets of territory along the front lines in the east and south of the country, building on the gruelling counter-offensive launched two months ago. The gains - announced by Ukraine's deputy defence minister - came as Russia claimed its forces had progressed in the eastern Kharkiv region, undermining Kyiv's highly anticipated campaign. Pictures from the frontlines have shown Ukrainian artillerymen raining fire down on Putin's invaders, as Kyiv's troops continue their counter-offensive Ukrainian soldiers fire with D-30 artillery at Russian positions in the direction of Klishchiivka as the Russia-Ukraine war continues in Donetsk Oblast, Ukraine on August 12 The Ukrainian military said it had pushed Russian forces out of pockets of territory along the front lines in the east and south of the country, building on the gruelling counter-offensive launched two months ago. Pictured: Ukrainian artillerymen fire shells at Russian positions Ukraine kicked off its counter-offensive against Russian forces in June after building up assault battalions and stockpiling Western-donated weapons. But progress has been hampered by widespread Russian-laid minefields and strong fortifications, Deputy Defence Minister Hanna Maliar said. Nevertheless, the Ukrainian military had pushed forward around the village of Staromaiorske, around 60 miles southwest of Russian-held Donetsk, and was pressing on two fronts in the south, Maliar said. She said troops were fighting for control of the neighbouring settlement of Urozhaine. A Moscow-installed official in an occupied part of Ukraine's Zaporizhzhia region said on Sunday that Kyiv was attempting to pierce Russian lines by gaining a foothold in both villages which stand in the path of Ukraine's goal of reaching the Sea of Azov. 'Hostilities are taking place in the vicinity of Urozhaine and the fight is for this particular locality,' Maliar said in a statement to an official military platform. 'There is some success on the southern and southeast axes in the vicinity of Staromaiorske.' Maliar also said Kyiv's army had conducted 'certain tasks' on the left bank (or eastern bank) of the Dnipro river in the Kherson region. The river was rendered the de facto front line between Ukrainian and Russian forces in the region after Kyiv recaptured the territory's main city, also called Kherson, in November. In a recent intelligence update, the British defence ministry noted 'an uptick in small-scale combat' along the lower Dnipro river, where it said Ukrainian forces were attempting to conduct raids and set up new bridgeheads. Villagers have reacted with anger after it emerged the council is housing refugees in a newbuild estate where properties sell for more than 700,000. Residents living in rural Langtoft, Lincolnshire, say there is 'an outcry' over the plans to house Afghan and Ukrainian families on the 1.8million estate. They say locals who have been waiting for accommodation or those struggling to get on the housing ladder should be given priority. Property prices in the village are said to average 400,000. South Kesteven District Council bought 12 of the houses for just over 1.8million, using joint funding from the Government's Local Authority Housing Fund and the Council's Housing Revenue Account budget. The dozen homes are a mix of two and three-bedroom new-builds and two-bedroom bungalows which were already identified for social housing, according to the council. They are the first of 21 houses earmarked for the re-homing scheme. Villagers have reacted with anger after it emerged the the council is housing refugees in a posh newbuild estate (pictured) where properties sell for more than 700,000 Residents living in rural Langtoft, Lincolnshire, (pictured) say there is 'an outcry' over the plans to house Afghan and Ukrainian families on the 1.8million estate The council has bought 12 houses in the estate (pictured), which are listed for sale for between 600,000-720,000 by Housing developer Ashwood Homes Housing developer Ashwood Homes boasts the 41 high-specification homes that comprise its Woodland Rise development are in a beautiful rural location, with three of the houses listed for sale for between 600,000-720,000. The council expects to take ownership of the 12 properties by the end of the month and they will be used to house Ukraine and Afghan migrants who have arrived in the UK legally. It has 4.4million earmarked for a total of 21 properties for the re-housing scheme, with 2,383,750 coming from the council's Housing Revenue Account and 2,098,750 of funding allocated by the Government Local Authority Housing Fund. South Kesteven District Council is one of three local authorities in Lincolnshire and among just 180 nationwide to be selected to benefit from the Government's fund. The fund, which is worth 500million nationally, helps provide affordable homes for legal evacuees until their visas expire in around three to five years' time, by supporting local authorities. Funding from it can be used for, but is not limited to, acquiring, refurbishing, converting and developing properties to provide housing for Ukrainian and Afghan families under the government's humanitarian schemes. This could include acquiring new build properties off-the-shelf, according to government guidance. The council said the homes will later be occupied by families on its Housing Register. Local resident Liz Jarman, 74, said people in Langtoft have 'not been given one chance' to buy a house on the development. Although she believes it's 'wonderful' the Government is housing refugees and has 'no objections to that', she is angry that 'little Langtoft' has been chosen for half of the district's allocation. Local resident Liz Jarman, 74, said people in Langtoft have 'not been given one chance' to buy a house on the development The development has 41 homes in total which are a mix of two and three-bedroom houses and two-bedroom bungalows She said: 'We are just being totally disregarded. It's not that I'm against refugees - I welcome them but how can the council do that? 'I'm totally disgusted by the disregard they're treating us like we are completely stupid. 'They are more than welcome as they are desperate for homes but so are our people living in crumby bedsits, hotels and hostels. Where is the justice? 'This is not because we're anti-refugees, it's the way it's been done. 'This is about council secrecy and transparency. The meetings to discuss it were held in secret. 'We've got so many of our citizens who are struggling, and ex-servicemen who would give anything to get a home. 'When this was put forward, it clearly stated the refugees would be best placed in large towns around here like Grantham and Market Deeping. 'It just came out of the blue, we knew nothing of it.' Liz says she believes her village is poorly suited to home refugees as there is 'no infrastructure.' She added: 'We want this done in their best interests, these people deserved to be helped and supported, but this is not the best way to do that. 'My stepfather is Ukrainian, I know how hard working they are.' Another resident, who did not wish to be named, said: 'We've got people struggling to get on the housing ladder and yet they find room for refugees. 'They should be going to bigger towns and cities where there's a bigger housing stock.' However, other locals disagreed and welcomes the refugees. Liam Dodds, 44, added: 'They have got to go somewhere and I for one would welcome them. 'Some of these people have gone through suffering you couldn't imagine so I think we need to put things into perspective and help where we can. 'They are probably desperate families looking to catch a break, this is the least we can do for them.' South Kesteven District Council said the homes will be given to those who have arrived legally in the country before being used by families on the council's housing register The council said the dozen homes were already identified for social housing and are the first of 21 earmarked for the re-homing scheme South Kesteven District Council said the homes will be given to those who have arrived legally in the country before being used by families on the council's housing register. Cabinet member for housing and planning, Councillor Phil Dilks said: 'The Government funding had specific timelines for their process. 'Options were explored across the district and the opportunity to purchase 12 suitable houses in Langtoft was identified as the most appropriate way to meet the urgent demands placed around sourcing accommodation. 'The 12 units were already identified for social housing as per the planning permission; SKDC chose to pursue these under best value for money. 'There are five families in Langtoft on the housing register, all in the lower needs band of four or five and all with no emergency housing need. 'The original report was shared and fully supported at full council, with specifics on location shared at the earliest appropriate time in line with procedure for this or any other acquisition.' Rishi Sunak is 'really struggling' to recruit Government ministers in the House of Lords because of peers' unwillingness to take on unpaid jobs. The Prime Minister is planning a reshuffle of ministerial ranks but his efforts are reportedly being made more complicated by a lack of peers wanting to take on roles. Under the 1975 Ministerial and Other Salaries Act, there is a maximum of 109 paid ministerial posts. But Mr Sunak currently has more than 120 ministers in his Government across the House of Commons and House of Lords. One Conservative peer admitted the PM was facing a problem in trying to replace ministers who wanted to stand down. This is because peers are expected to give up their outside interests before taking on a ministerial role in the Lords. Rishi Sunak is 'really struggling' to recruit Government ministers in the House of Lords because of peers' unwillingness to take on unpaid jobs The Prime Minister is planning a reshuffle of ministerial ranks but his efforts are reportedly being made more complicated by a lack of peers wanting to take on roles A sign of the PM's struggles is that Lord Goldsmith, who quit the Government in June, has still not been replaced as a Foreign Office minister in the Lords 'They are really struggling,' the Tory peer told The Times. 'You are asking people to give up their income to take on a very intense job that is effectively unpaid. 'It is especially hard in departments like the MoD (Ministry of Defence) where you have to spend days out of London. In those cases you can't even claim the daily allowance.' Peers are allowed to claim a flat rate attendance allowance of 342 for each sitting day they turn up to the Lords. But they are required to physically 'clock in' in order to receive the payment. A Tory peer told the newspaper it was becoming 'much harder' to find suitable candidates for ministerial roles in the Lords. 'More and more of the paid jobs are being hoovered up by MPs in the Commons, leaving more unpaid roles to fill in the House of Lords,' they said. 'You can always find ambitious flunkies who will do it but it is much harder to find anyone good.' Another source revealed that one peer turned down the offer of a ministerial role because it would mean having to give up a full-time job they already held. 'They did the sums and said it wouldn't even cover the school fees,' they said. The problem facing Mr Sunak is also said to have been harder by the approaching general election, meaning peers are unwilling to give up their existing paid jobs for an unpaid ministerial role when the Tories might soon be out of office. A sign of the PM's struggles is that Lord Goldsmith, who quit the Government in June, has still not been replaced as a Foreign Office minister in the Lords. Meanwhile, the PM recently gave peerages to former Conservative Party chief executive Darren Mott and ex-Tory MEP Kay Swinburne before immediately making them Government whips in the Lords. Rangers at Wales' biggest national park have blasted vain Instagrammers for posting selfies of themselves enjoying beauty spots - amid concerns that their images are drawing in an unmanageable number of visitors and damaging the landscape. Mountain rescue teams say they are facing record numbers of callouts to Snowdon - a 3,560ft high peak known in Welsh as Yr Wyddfa - that are placing an 'increasingly significant' strain on their volunteers. Snowdonia National Park bosses claim social media posts mentioning the park and the peak - of which there are over a million - have caused 'over-capacity' at popular spots including picturesque waterfalls and dramatically rocky quarries. Some of the most Instagrammable locations are off the beaten track - with nature chiefs claiming previously unheard-of footfall is causing erosion to the natural landscape. The issue is encapsulated perfectly by the reality of what awaits for those lured in by glamorous images of an empty Snowdon summit - a queue to get a photo at the top. An Instagram post of the Ogwen Valley within Snowdonia National Park, breathlessly describing the 'epic mountains'. Influencers are posting eye-popping images of their adventures on Snowdon and in Snowdonia National Park to millions of people A hiker tackles Crib Goch, described as the British Mountaineering Council as 'more thrilling and more hazardous' than similar routes. Images of picture-perfect hikers and dramatic landscapes are fuelling an unsustainable rise in visitor numbers according to park bosses A massive queue for the summit of Snowdon in April this year. The reality that awaits those who follow in the footsteps of their favourite influencers is very different from what they see on social media Waterfalls dotted around Snowdonia National Park are perfect fodder for Instagrammers seeking the perfect snap to share with their followers. But bosses say many of these hotspots are off the beaten track on 'particularly challenging' routes Around 600,000 people climb to the top of Snowdon each year, but visitor numbers to the national park are believed to have surged during the coronavirus pandemic as people took advantage of the great outdoors. On Instagram the #snowdonia hashtag has 1.2million posts of would-be hikers posing at waterfalls, on hillsides and in quarries while the #snowdon tag has 521,000. Over on TikTok, where influencers sell the national park as a 'must visit spot', videos tagged #snowdonia have 152.7million views, while #snowdon videos have just under half that, 73.2million. Llanberis Mountain Rescue Team (LLMRT), which covers Snowdonia National Park, is responding to a record number of callouts in 2023: it has been called out 183 times so far this year, up from 155 at the same point in 2022, with seven deployments in one day during the summer. It said in a post on its Facebook page earlier this month: 'Rescue on Yr Wyddfa is becoming an increasingly significant amount of effort for a team of volunteers to undertake alongside their day jobs.' Jethro Kiernan, of LLMRT, says the increase is largely down to pleas for help from the 'less experienced'. He told MailOnline: 'Callouts have gone up massively and that increase is noted to be among the less experienced. It's not [requests for help from] experienced hikers that have increased tenfold. 'People are not necessarily aware of where to get good advice about mountaineering, or the physical changes in the weather that can take place. 'The problem we have with social media is the same problem we've always had: that it's not very realistic, it's all summer skies and perfect weather. 'There are also some very irresponsible videos going out that the algorithms seem to love.' LLMRT is used to responding to a number of slips and trips, even among experienced hikers, throughout the year. But what it is seeing more of is demands for help in relation to health issues like asthma attacks and chest pains, as inexperienced climbers try follow in the footsteps of super-fit influencers without proper training. Posts on Instagram promoting climbing and hiking in Snowdonia National Park - at Tryfan North Face (left) and Cader Idris (right). There are 1.2million posts on Instagram bearing the hashtag #snowdonia and 521,000 tagged #snowdon The Llanberis Mountain Rescue Team at work in Snowdonia National Park earlier this year. It has seen callouts rise amid rising visitor numbers Influencers are posting photogenic images of their adventures on Snowdon and in Snowdonia National Park - allegedly inspiring copycats Llanberis Mountain Rescue Team on a hillside in Snowdonia. Volunteers are pleading with those following after their favourite influencers to plan ahead before setting off Some of the images posted to the #snowdonia tag recently are tagged from locations such as the Tryfan North Face and Cader Idris, which is designated a 'hard/strenuous' hike. Mountain rescuers are also seeing a rise in calls for assistance from people who have got lost after using their mobile phone to navigate and running out of battery, with no paper map as a backup. Earlier this year, LLMRT issued a strongly worded warning to would-be adventurers who got stuck on an unstable ledge as they climbed out of a pit in the Dinorwig slate quarries within Snowdonia National Park. After lifting the casualties 35m (115ft) to safety, the team sternly wrote: 'No Instagram story is worth the level of risk that some people are unwittingly taking.' But despite the rise in callouts among people who have taken after their favourite Instagrammers, the crew doesn't want to be seen as a group of middle-aged curmudgeons discouraging people from enjoying the great outdoors. Instead the team - which is staffed by volunteers and relies on donations to buy vehicles and supplies - is urging those who see appealing pictures and videos online to prepare properly before heading out. Mr Kiernan added: 'As a team, we are not the gatekeepers of the mountain. But a bit of minimal preparation on your route and your clothing can do a lot. 'Google Maps is great for getting to Trafalgar Square - but not for getting to the summit of Snowdon, and it's always worth putting a warm jacket in your backpack. 'At the end of the day, our viewpoint is this: we're a voluntary group and we're there to help people, and we extend that to anyone that goes out on the hills. 'If people follow an influencer's post and get themselves into a pickle the hope is they will come back wiser for the experience. 'It's a life skill: you should be prepared for whatever you're doing, and be responsible towards yourself and others.' A travel blogger gazes across Dinorwig Quarry - where earlier this year mountain rescue teams had to lift hikers 115ft out of a pit after they got stuck Llanberis Mountain Rescue Team pulled climbers to safety (left) in April this year after they got stuck in a pit at Dinorwig quarry. Rescuers established a tripod (right) on the side of a cliff to haul them up The situation in Snowdonia comes as locals continue to butt heads with visitors seeking serenity in the Welsh countryside. Residents have declared war on Airbnb after holiday lets sprung up in the area, and some 40 cars were towed away by police earlier this year as daytrippers dumped their motors on grass verges. Angela Jones, partnership manager at Snowdonia National Park, said vanity-fuelled posts were encouraging inexperienced walkers onto extremely tricky routes such as Watkin Path, described on the authority's website as a 'particularly challenging route'. 'We've seen a tremendous increase of visitors to that area which has put huge, huge pressures on the local community, on the car parking capacity in the area, and actually now on the waterfalls themselves and on the quality of water in the river,' Ms Jones told BBC News. 'And there's erosion all along the pathway and along the waterfalls there, because they are actually off the official path. 'I've spoken to people myself who have driven all the way from London just to have a photograph taken in the Watkin's pools.' She has urged those considering posting images of themselves grinning atop peaks and under waterfalls to reconsider, as it could 'encourage other people to follow you to those areas which can't necessarily cope with that capacity'. Ms Jones added: 'There are instances where purely social media has caused-over capacity at areas which, of course, puts pressure on all of the services, including the mountain rescue teams.' U.S. Secretary of State Antony Blinken speaks during a press conference at the State Department on Aug. 15 in Washington, D.C. AFP-Yonhap The upcoming trilateral summit between Korea, Japan and the United States will help strengthen their joint efforts to promote peace and stability not only in the region but around the world, U.S. Secretary of State Antony Blinken said Tuesday. The top U.S. diplomat also noted that the countries will likely hold regular meetings down the road. "This summit comes at a moment when our region and the world are being tested by geopolitical competition, by climate crisis, by Russia's war of aggression against Ukraine, by nuclear provocations," he told a press briefing. "Our heightened engagement is part of our broader efforts to revitalize, to strengthen, to knit together our alliances and partnerships, and, in this case, to help realize a shared vision of an Indo-Pacific that is free and open, prosperous, secure, resilient and connected," added Blinken. The White House said earlier that President Joe Biden will host Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida at Camp David on Friday. Blinken noted the summit will be the first-ever "standalone" trilateral summit of the countries, while Yoon and Kishida will also be the first foreign leaders to visit the U.S. presidential retreat since 2015. "Japan and South Korea are core allies, not just in the region but around the world," Blinken said. "Strengthening our trilateral cooperation is critical to delivering for our people, for the region and for the world." The trilateral summit will cover a wide range of issues, "including security questions, including economic security questions, but also including things like coordination on development aid, on humanitarian assistance, on shaping the use of emerging technologies, greater people-to-people exchanges," the secretary said. To this end, the leaders are well expected to agree to holding regular three-way meetings in the future, according to Blinken. "On the trilateral cooperation, I think what you can expect to see coming out of this summit is a collaboration on a trilateral basis that is further institutionalized in a variety of ways to include regular meetings that are at a variety of levels, at senior levels in our governments," he told the press briefing. U.S. President Joe Biden, left, Japan's Prime Minister Fumio Kishida, center, and Korea's President Yoon Suk Yeol greet each other ahead of a trilateral meeting during the G7 Leaders' Summit in Hiroshima on May 21. AFP-Yonhap A drunk teacher who groped a colleague's breast and said he would like to 'f***' her in front of pupils after joining in with their drinking games at prom has been banned from the classroom for five years. Dr Tom Kershaw, who taught science at Ivybridge Community College in Devon, joined the school in 2011 before quitting while under investigation for his behavoiur in September 2020. The married teacher, who was made head of sixth form in 2019, attended the Year 13 prom along with other colleagues and students in June 2018, where the incident took place. Kershaw, now 40, admitted being under the influence of alcohol at the event. But he had denied that his behaviour was sexually motivated a claim which has now been dismissed by a disciplinary panel. Dr Tom Kershaw has been struck off for drunkenly groping a female colleague Kershaw attended the prom at an external venue where he became drunk and grabbed his colleague's breast and made the comment. He also admitted engaging in a drinking game with the teenage Year 13s and that his behaviour had to be monitored by colleagues. The month after the prom a student made a comment to a member of staff about the night and disclosed concerns over 'an overfamiliar relationship between [Kershaw] and a pupil', saying that the individual had been given some jewellery by him. Formal investigations began in late 2018 about his behaviour and he quit the school in September 2020. A Teaching Regulation Agency disciplinary panel heard how Kershaw slid his hand along a colleague's body before he cupped and grabbed her left breast. He said of the co-worker 'if it wasn't for [her] wedding ring, I'd f*** her in a heartbeat'. Kershaw admitted being under the influence of alcohol at the event but said 'my conduct was in the spirit of the prom' with both staff and students drinking. He said he allowed himself to be bought drinks by pupils at the bar and 'drank to a level that was inappropriate for a senior member of staff at a school prom'. Dr Tom Kershaw, who taught at Ivybridge Community College (pictured) in Devon, joined the school in 2011 This included participating in a drinking game with students in which he had to down his drink if a penny was dropped in it. A witness said he was 'displaying drunken behaviour including slurred speech, he was very giggly and laughing, his lack of control of body, for example, he was stumbling, grabbing onto things to stabilise himself and his pitch of voice was very loud'. Another said he was playing a 'down the drinks game' with pupils. Kershaw denied deliberately 'groping' anyone but the sober witness said he slid his hand up, stopping at the colleague's breast. The panel found Kershaw cupped and groped her breast, 'holding and squeezing' it for up to four seconds and pupils saw what happened. They also found he made the comment about 'f***ing her in a heartbeat' loudly in front of pupils which made her feel uncomfortable. Kershaw denied his conduct was sexually motivated but the panel ruled that the cupping of the breast and the comment about having sex with her were sexually motivated. The panel said his conduct amounted to unacceptable professional conduct that may bring the profession into disrepute and he has been banned from the classroom for five years, saying the sexual misconduct was at the lower end of the spectrum. A family is facing deportation after they were denied permanent residency due to their four-year-old daughter's 'slow learning' being a 'burden' on the health system. Navneet Kaur, in her late 30s, moved to Perth eight years ago from India as a qualified doctor but 'couldn't further that career' due to the visa she was on, so decided to work in hospitality instead. She went through 'all the processes' to become a permanent resident but was denied - with the Department of Home Affairs rejecting her application on the grounds of her daughter's learning difficulties. Ms Kaur, her husband and her daughter Iris have been given 21 days to leave the country and say goodbye to their close network of friends. Navneet Kaur, in her late 30s, moved to Western Australia eight years ago from India as a qualified doctor but 'couldn't further that career' due to the visa she was on, and decided to pursue a career in hospitality 'They've been told, basically, that Iris is a burden on the state because she [Iris] is not perfect,' close friend and employer Wes D'Arcy told Yahoo News. '[Navneet] went through all the processes for PR [permanent residency], so she's ultimately eligible and has been here long enough to then apply for citizenship straight away. 'But they've prohibited her from advancing in that because your PR is subject to a medical [evaluation] and the child failed on that basis.' Mr D'Arcy said if Iris had a voice, she would say she is Australian because she was born here and has never left the country. The four-year-old sufferers from something referred to as 'slow learning' and completes tasks similarly to how a two-year-old would. Conditions such as Down syndrome and autism have been ruled out but doctors haven't been able to diagnose exactly what is causing her condition. Experts have maintained Iris will grow out of the condition and eventually have no problem entering the workforce. Doctors have not been able to pinpoint what is causing Iris' condition Ms Kaur (pictured) has been described as an 'amazing' pillar of the community and the backbone of the Jarrah Bar and Cafe at Hillarys Boat Harbour by close friend and employer Wes D'Arcy 'So they've been told "you gotta go, you can't advance", and "we will not be approving your permanent residency" despite all of the pathways having been adhered to along the way, and their commitment to the community, always working, always paying tax,' Mr D'Arcy said. 'They [the federal government] made up some stupid figure that they pulled out of the air to say that for the next three years for the help that she [Iris] needs, it's going to cost $140,000.' Mr D'Arcy is the owner of the Jarrah Bar and Cafe at Hillarys Boat Harbour and has worked with Ms Kaur for five years across multiple business ventures. The mother has been described as an 'amazing' pillar of the community and the backbone of the business. 'She's been amazing, just like a sister to me,' Mr D'Arcy added. 'I've seen her get married and have a child and grow in the community.' She 'loves Australia' and has been left 'very stressed out' and 'very hurt' by a system she thought she trusted. Ms Kaur's close friend and employer Wes D'Arcy said the federal government had told the young family support for Iris (pictured) was going to cost $140,000 The young family have been given 21 days to leave Australia as the Perth community rallies behind them (pictured, Ms Kaur) The Perth community has rallied behind the young family, with hundreds sharing their support on social media. 'As someone that knows this family, my heart is truely breaking for them!!' one user commented. 'Iris is such a beautiful little girl and I've never met a more devote mother than Navi! My little one will be so heartbroken if Iris leaves!' 'How we can kick out someone that was born in Australia is literally beyond me!!!' Another wrote: 'This is unacceptable. They are working, paying taxes and positivity contributing to Australia. They deserve that respect in return from this country.' 'How can they be a burden. They are here working and paying taxes,' said one local. 'The child is an Australian citizen and the government is turning their back on one of their own! Absolute disgrace on the Australian government'. The young family's next step is to lodge an appeal to the Administrative Appeals Tribunal in their remaining days. Angela Rayner today insisted Sir Keir Starmer was 'no Tony Blair' and she is 'no John Prescott' as she was pressed about her relationship with the Labour leader. The party's deputy leader stressed Labour would be taking 'a team' into government if it won the next general election. Ms Rayner also spoke of becoming deputy prime minister under Sir Keir if Labour are victorious, having described their ties as like 'an arranged marriage'. It has been speculated that Ms Rayner could lose some of her shadow cabinet responsibilities when Sir Keir conducts a pre-election reshuffle of his top team. But other reports have suggested the Labour deputy leader could be moved to the levelling up brief as part of a wide-ranging domestic portfolio. This has been compared to the job enjoyed by Lord Prescott when he was the party's last deputy PM under Sir Tony. Yet, Ms Rayner this morning rejected a comparison of her relationship with Sir Keir - which has historically been rocky - to the Blair/Prescott partnership. Angela Rayner insisted Sir Keir Starmer was 'no Tony Blair' and she is 'no John Prescott' as she was pressed about her relationship with the Labour leader It has been speculated that Ms Rayner could lose some of her shadow cabinet responsibilities when Sir Keir conducts a pre-election reshuffle of his top team Ms Rayner rejected a comparison of her relationship with Sir Keir - which has historically been rocky - to the Blair/Prescott partnership 'Keir's no Tony Blair and I'm no John Prescott,' she told Times Radio. 'We're in a different era. Me and Keir are very clear - we've got to deliver change for people. 'People need to have hope into the future.' Ms Rayner also played down the prospect of Sir Keir dominating a future Labour administration, as she was quizzed about what role she might play in government. 'My job will be supporting that process as a deputy prime minister and there's lots of skills that I have, that I can deploy in terms of supporting the team,' she added. 'Because it is a team that will be fortunate enough - if we win the general election - that will go in there and will be a team united in making sure we make that change for people.' In a previous Labour reshuffle in May 20201, Sir Keir and Ms Rayner were reported to have been locked in a power battle after the Labour leader attempted to demote his deputy. She subsequently emerged in a significantly beefed-up role, with a string of job titles, after Sir Keir saw his plans derailed by the stand-off. In another radio interview this morning, Ms Rayner admitted her and Sir Keir's relationship had 'evolved'. Asked by BBC Radio 4's Today programme about Labour's commitment for the next election, Ms Rayner said the party's 'values remain exactly the same' despite it appearing to have softened many policy proposals in recent months. She added: 'Mine and Keir's relationship has evolved as well. I often talk about it as an arranged marriage. We were both elected by the membership differently and independently. 'We have worked constructively together and we continue to do so, because me and Keir both know that we need a Labour government and we need that change in this country.' Pressed about whether she may see her responsibilities change in an upcoming reshuffle, Ms Rayner said: 'The important thing is that I will be the deputy prime minister and I will be the deputy leader of the Labour Party, so actually the important job is around supporting Keir as the leader. 'To me, the important job is getting into government and then delivering, and wherever that is and however I use my skills within that, I am happy to do that. 'It is an absolute honour and a privilege to be an MP for my constituency, and to be on the front bench, and to do the job I am doing, and to be His Majesty's Government would be an incredible honour, and I take that seriously and would do whatever it takes to improve the lives of British people in whatever role that is.' Jacinta Price has taken aim at Anthony Albanese after he admitted to not having read the extended version of the Uluru Statement of the Heart - the key document Australia's Voice referendum is based on. Speaking from Alice Springs on Wednesday, the Indigenous Senator accused the Prime Minister of pushing a vote 'about something he doesn't understand'. While the official Uluru Statement from the Heart is only one page long and calls for 'Voice, Treaty, Truth', an extended 26-page document also calls for reparations, 'rent' to be paid, a reconsideration of land rights and a re-writing of Australia's history. Critics of the referendum have concerns Mr Albanese's 'vow' to introduce the document in full may include parts of the extended document as well. Mr Albanese on Wednesday admitted to not having read the full document during an interview with 3AW's Neil Mitchell, saying: 'There's 120 pages, why would I (read it)?' Jacinta Price has taken aim at Anthony Albanese after he admitted to not having read the extended version of the Uluru Statement of the Heart - the key document Australia's Voice referendum is based on The bizarre comment infuriated Ms Price. 'For months the Prime Minister has claimed there is adequate detail on the divisive Voice and has told Australians to go read it,' she told the Daily Telegraph. 'But now we learn he can't be bothered and has brought on a referendum about something he doesn't understand. 'Instead of hobnobbing with the Yes23 elites at the unveiling of their Qantas corporate jet, he should do his job and read the details of the divisive referendum he is foisting onto us. 'These details were in the final report of the Referendum Council and have been lauded by the 'yes' campaign for year.' Sky News commentator Peta Credlin also slammed Mr Albanese following the radio interview saying the fact that he hadn't read the documents was 'madness'. She said the PM had repeatedly said he would implement the Uluru Statement of the Heart 'in full'. 'Surely, to sign us up to something you admit you haven't even bothered to read is madness. How do you even know what it is in full if you've just read the cover and not the contents?' She said it was the 'constitutional equivalent of giving a whole lot of Indigenous activists a blank cheque'. 'This is a politician who says he's supported the Uluru push from day one, yet he admits that he's failed to even flick through the very material that the Uluru authors say everyone must read.' Her comments were backed up by 2GB morning host Ben Fordham, who said he was 'astonished' the PM hadn't read the documents which led up to the Uluru Statement of the Heart. 'Are you serious? Indigenous leaders say you should, PM,' Fordham said. Prime Minister Anthony Albanese joins, Indigenous Affairs Minister Linda Nurney, Noel Pearson, Adam Goodes, Qantas CEO Alan Joyce and YES23 Campaign Director Dean Parking unveil their Yes23 aircraft at Sydney Domestic Airport in Sydney, Monday, August 14, 2023 Prime Minister Anthony Albanese (right) shakes hands with with Indigenous leader Noel Pearson (left) at the Yes23 Qantas launch Ms Price said the Prime Minister has been too busy 'hobnobbing with the Yes23 elites at the unveiling of their Qantas corporate jet' (pictured) to read the extended Uluru statement 'They say to truly understand how they arrived at the Uluru Statement, it helps to read the whole document, not just the glossy one-pager. I think you can handle 120 pages.' Later on 2GB, Opposition Leader Peter Dutton said he'd 'never seen anything like it' when asked to weigh in on the PM's revelation. 'This is the biggest proposal to change in our nation's history and the prime minister says he hasn't read the supporting documents. He's making it up as he goes along. 'I don't know whether he's deliberately doing it, or he's not across the detail, or if he believes that if he tells the truth it will give rise to more questions.' Mr Dutton said: 'When you make a change in the constitution you can't change a law in the parliament that undoes it... The PM can't hand on heart say any area of public policy is outside the scope of the Voice. 'I don't know why he's pretending, trying to mislead. I don't know what it is but he's in a fantasy land at the moment.' What was adopted at the Uluru convention in 2017 was the single page statement, and other requests and suggestions made during the dialogue process were not 'endorsed by the statement' or 'adopted as government policy'. Prime Minister Anthony Albanese has repeatedly said the this proposal fits on one A4 page. He held up a piece of paper, with the Uluru Statement, in parliament Yes campaigners and officials have repeatedly insisted the Uluru Statement from the Heart 'respects the primacy of parliament' and that all decision making power will ultimately fall on politicians of the day to negotiate. The referendum would ensure that an advisory body - the Voice to Parliament - would be constitutionally enshrined and there would be no way for a future government to abolish it. Confusion around the Voice and Mr Albanese's handling of the impending referendum has hurt him at the polls, with support for his party and him as leader slumping over the past month. Labor is still the preferred party to govern, but core support has fallen from 39 to 37, while the Coalition has risen from 30 to 33 per cent. Respondents overwhelmingly described the Voice as a point of contention in the Resolve poll, as campaigning from both sides of the divide heats up. Mr Albanese argued that Peter Dutton and the No campaign know full well the statement 'is one page, not hundreds of pages'. He said the pages that have recently been 'unearthed' under a Freedom of Information request have never been kept hidden. 'Those pages have been on the website for years, put there under the former Coalition government,' he said. 'I respect people can look at the same thing and come to different conclusions, there are legitimate reasons why people would do that. I just wish people would debate what is real, rather than what they know is not real.' Mr Albanese has repeatedly said Labor is committed to adopting the Uluru Statement of the Heart 'in full' Yes campaigner and Uluru Dialogue co-chair Professor Megan Davis said has repeatedly said First Nations people have been calling on the Australian public to read all 26 pages of the document, which contains the Uluru Statement for years. She said: 'For seven years, we have encouraged politicians, the media and Australians generally to engage to learn more about the Uluru Statement from the Heart. 'We have asked Australians to read the one pager and the 'Our Story' that follows and the summary of the decision-making and many other documents contained in the report. 'We have conducted thousands of conversations about it and offered many more.' Mr Albanese confirmed he would not seek to legislate the Voice if it is voted down at the referendum, and will respect the wishes of the Australian public. But he does think it would be a missed opportunity to improve the lives of people suffering from 'intergenerational disadvantage'. 'We're doing our best,' the PM said. Mr Albanese flatly denied supporting reparations, and assured listeners that such a policy is not on his agenda. He was asked: 'will we have to face that? Regardless of whether the Voice gets up, somewhere down the track, do we have to face that?' The PM answered: 'no.' Mr Albanese confirmed he would not seek to legislate the Voice if it is voted down at the referendum, and will respect the wishes of the Australian public Mitchell asked if it was 'unreasonable for people to read the additional pages and ask' questions about the prospect of treaties, reparations and truth-telling. But Mr Albanese said his problem has been with No campaigners appearing to conflate those matters with the Voice. 'What is not legitimate is to pretend that that is what the referendum is about. There is nothing in the Uluru Statement about reparations. There is truth-telling, but is anyone actually against telling the truth?' Mitchell said: 'well it depends, the truth according to who?' In 2018, Prof. Davis told a convention that: 'The Uluru Statement from the Heart isn't just the first one-page statement; it's actually a very lengthy document of about 18 to 20 pages, and a very powerful part of this document reflects what happened in the dialogues.' Seeking to clarify, Prof. Davis now says: 'The first page, the one page, is the statement and the rest shows how our mob worked that out in the course of the two years that we did this work.' Yes23 campaign director Dean Parkin told 2GB's Ben Fordham: 'The additional documents that are being talked about are the inputs. These are the broader consultations that happened in the lead up. 'It was about making sure that people understand that there is substance that led into the process, that led to that final one-document page.' But there are concerns about the contents of the broader document, as it shows the agenda of those who framed the Uluru Statement, which the government is intent on adopting in full. The document clarifies that Makarrata is another word for Treaty, which is another word for agreement making. The terms can be used interchangeably Within the 26-page document is discussions about land rights, reparations and sovereignty. 'At the heart of our activism has been the long struggle for land rights,' the document states. 'The taking of our land without consent represents our fundamental grievance against the British Crown. 'There is Unfinished Business to resolve. And the way to address these differences is through agreement making.' The document clarifies that Makarrata is another word for Treaty, which is another word for agreement making. The terms can be used interchangeably. 'This is the culmination of our agenda,' the document states - a line which did make its way into the final version of the Uluru Statement. 'It captures our aspirations for a fair and honest relationship with government and a better future for our children based on justice and self-determination. 'Through negotiated settlement, First Nations can build their cultural strength, reclaim control and make practical changes over the things that matter in their daily life. 'By making agreements at the highest level, the negotiation process with the Australian government allows First Nations to express our sovereignty.' The number of migrants arriving in the UK this year is set to top 17,000, recent figures show. Latest Home Office data shows 16,790 migrants had arrived in Britain since January 1, with the number expected to pass 17,000 once figures for Tuesday and Wednesday were included. Today, around 50 suspected asylum seekers were brought ashore by RNLI lifeboat volunteers and interforce officials in Dungeness, Kent. And at least 100 potential asylum seekers had also arrived in Dover since the early hours, reports suggest. It comes as Border Force has reportedly been put on 'red' alert due to the number of arrivals expected in the coming days, with migrants expected to take advantage of the good weather. It comes as around 50 suspected asylum seekers were brought ashore by RNLI lifeboat volunteers and interforce officials in Dungeness, Kent, today (pictured) The Home Office would not confirm the reports about Border Force readiness being increased, saying only that its status is operational. The department recorded that 509 people arrived in ten boats on Saturday, despite a tragedy in the Channel that day when at least six people died and dozens of others were rescued after a boat got into difficulty off the coast of Sangatte, northern France. The deaths did not deter more people from making the perilous sea journey, with Home Office records showing that 111 people arrived in two boats on Monday. Prime Minister Rishi Sunak has made stopping the boats one of his top five priorities ahead of next year's likely general election. On Tuesday, the Conservative Party leader said the current numbers of arrivals are 'lower than they have been in previous years', adding it is 'the first time that has happened'. Mr Sunak said he is 'determined to fix this problem' but admitted there is a 'long way to go'. On the beach in Dungeness, Kent, migrants were helped ashore by Royal National Lifeboat Institution volunteers and interforce officials In one photo, RNLI crew could be seen carrying a young child wearing a red jumper (pictured) with a lifeboat the background Latest Home Office data reveals the number of migrants crossing the Channel this year has reached 16,679, with that number expected to pass 17,000 this week The UK Government has applied a number of measures aimed at making it less appealing to come to Britain via unauthorised routes. Ministers are looking to move migrants out of hotels and into accommodation established on barges and former military sites. The Illegal Migration Act also allows for those arriving via small boats to be sent back to their country of origin or deported to Rwanda in east Africa. However, flights destined for Kigali have yet to take off, with the policy currently awaiting an appeal decision in the courts. A Home Office spokesman said: 'The unacceptable number of people risking their lives by making these dangerous crossings is placing an unprecedented strain on our asylum system. 'Our priority is to stop the boats, and our Small Boats Operational Command is working alongside our French partners and other agencies to disrupt the people smugglers. Another picture shows an interforce officer carrying a small girl (pictured) ashore as she clings to his hand Border Force officials could also be seen assisting an elderly woman, who was picked up while crossing the English channel from France, as she walked up the shingle beach Pictured: An interforce official carries a young boy after migrants were picked up in the English channel by an RNLI lifeboat 'The Government is going even further through our Illegal Migration Act, which will mean that people arriving in the UK illegally are detained and promptly removed to their country of origin or a safe third country.' Yesterday, Rishi Sunak argued the Government's approach to dealing with the Channel crossings was fairer for the taxpayer than forking out millions to put up asylum seekers in hotels. Migrants were housed on the barge off the Dorset coast on Monday last week. But they were removed on Friday when traces of Legionella were found in the water supply, a bacteria which can cause the potentially fatal Legionnaires' disease. Mr Sunak swerved a question about whether he was personally warned about potential health risks for asylum seekers on board the barge. 'What has happened here is it is right that we go through all the checks and procedures to ensure the wellbeing and health of the people being housed on the barge,' the Prime Minister told broadcasters on a visit to a hospital in Milton Keynes. Mr Sunak, who has returned to work after a family holiday in California, went on to argue that ministers were taking a fair approach when it came to the small boats crisis, adding: 'But taking a step back, what is this about? This is about fairness. 'It is about the unfairness, in fact, of British taxpayers forking out 5 million or 6 million a day to house illegal migrants in hotels up and down the country, with all the pressure that puts on local communities. 'We've got to find alternatives to that, that is what the barge is about and that is why we are committed to it. 'But more fundamentally, we've just got to stop people coming here in the first place illegally. That is why one of my five priorities is to stop the boats. 'We've passed tough new laws that, when they come into force, will enable us to do that and we're already seeing numbers this year that are lower than they have been in previous years. That is the first time that has happened. Once ashore, people sat alongside a wall with their belongings as children wrapped in blankets stood and stared Pictured: A young child stands ashore in Dungeness, Kent, after being picked up by a RNLI lifeboat Pictured: Migrants, including two children, climb ashore the shingle beach in Dungeness, Kent, today 'I know there is a long way to go on this but I'm determined to fix this problem and we are making progress and people can be reassured we will keep at it.' The Bibby Stockholm will reportedly cost taxpayers more than 20,000 a day, and could accommodate more than 500 migrants in total. Dorset Council has been paid 2 million to help manage the scheme locally. Ministers estimate the current cost of housing migrants in hotels across the UK is 6 million a day. NGO Reclaim the Sea has estimated the savings from the hotel bill through the use of the Bibby Stockholm could be 4,694 a day, a sum the advocacy group describes as 'trivial'. More than 20 packages containing faeces and urine were posted from Western Australia to Hollywood stars Leonardo DiCaprio and Jared Leto, a court has heard. Veronica Grey, who identifies as male, got a two-year good behaviour bond for sending satchels containing his frozen waste from post offices in Perth, Lesmurdie, High Wycombe and Kalamunda. The 23 packages were labelled as 'Valentine confectionery' and posted to DiCaprio and Leto at various addresses in California, in what Grey admitted was 'poor judgement'. The bizarre contents were discovered when one parcel leaked onto an Australia Post worker when it burst during transit, the ABC reported. Grey, who is in Australia on a temporary bridging visa, was charged with five counts of using a postal service to menace, harass or cause offence from February 2 to 8. More than 20 packages containing faeces and urine were posted from Western Australia to Hollywood stars Leonardo DiCaprio (pictured) and Jared Leto, a court has heard The 23 packages were labelled as 'Valentine confectionery' and addressed to DiCaprio and Leto (pictured) at various addresses in California READ MORE: Leonardo DiCaprio, 48, is joined by original ladies' man Mick Jagger, 80, who turns on the charm Could notorious lothario Leonardo DiCaprio have been taking inspiration for his womanizing ways from his pal Mick Jagger all these years? Advertisement The Philippines-born man had ticked boxes on the packaging declaring the contents did not include any prohibited items. At Broome Magistrates Court on Wednesday, Grey's lawyer Kaia Gooding said he sent the packages to the actors because they, like him, are passionate about the environment. A psychiatric report found that Grey had not considered the consequences of his actions due to a mental impairment. 'The risk of re-offending is non-existent,' Ms Gooding said. Magistrate Deen Potter said Grey's actions had a 'significant impact' on postal operations, with personal protective equipment having to be used. 'Based on your world views being outside of the accepted norms you weren't motivated by malice,' Mr Potter said. But he added that 'In a world of increasingly political polarisation the court must discourage other individuals from sending material through the post.' After Grey was given a penalty of a two-year recognisance bond of $3,000, he said 'bless you' to Mr Potter and thanked 'everyone who was empathetic to what I accept was poor judgement'. A Connecticut doctor was kidnapped from Brooklyn Mirage, the NYC hot spot where two clubbers have died in recent weeks, then held hostage for an entire weekend by a club promoter from the Bronx. The doctor is not named, but his story has emerged with the arrest of his captor, Anthony Benjamin, who has now been arrested. On July 21, the doctor was leaving the club in Brooklyn when he got into an unlicensed taxi. The driver refused to take him home and Benjamin - who was already inside - directed them to a party in Manhattan instead. 'Club promoter' Anthony Benjamin was arrested after the doctor escaped to the hospital where he worked and told colleagues about being kidnapped Once there, he claimed he was the doctor's 'protection', according to Connecticut local news outlet The Hour. Benjamin then forced the doctor to call a Lyft back to where his vehicle was parked near Brooklyn Mirage, but continued to hold him hostage for two more days. Steve Daley was also arrested for helping Benjamin by driving the doctor's car First, he demanded that he withdraw money, asking for $20,000. The doctor could only take out $1,000, which Benjamin used to buy food for friends and family. Over the next two days, he forced him to buy him clothes and buy him a haircut. The doctor said Benjamin was armed with a gun throughout. Eventually, he was able to escape when doctors from the hospital where he worked called. He told Benjamin it was an emergency and that if he didn't allow him to go to the hospital, his colleagues would become suspicious. Once inside Norwalk Hospital, Connecticut, on July 23, he told co-workers that he'd been kidnapped and they called police. Benjamin was arrested along with another man, Steve Daley, who'd been helping him drive. While unrelated, the kidnapping incident comes amid ongoing concern for clubbers at the Brooklyn hotspot after two recent, mysterious deaths. Brooklyn Mirage has been plagued with controversies in recent weeks after a spate of deaths In June, Karl Clemente was found dead in a creek near the nightclub after being turned away for being too drunk. His death was ruled as unsuspicious, but few other details have emerged about how he died. On July 29, Goldman Sachs analyst John Castic vanished after leaving the club for an Uber at around 3am. He appeared sober and in control of his senses in a surveillance video which showed him leaving the club. Two days later, his body was also found in the same creek. His grieving father previously told DailyMail.com the family did know what caused his death. He suggested he could have had a fatal reaction to food containing peanuts, which he was deathly allergic to, and fallen in the creek. Bradley Cooper has been accused of 'stealing' the role of legendary composer Leonard Bernstein from half-Jewish actor Jake Gyllenhaal after he was slammed for donning a large prosthetic nose for the part. Cooper, 48, has been accused of being anti-Semitic by critics who feel he took the role from Gyllenhaal, 42, He has also faced accusations of 'Jewface'. The Philadelphia native plays the role of the legendary musical conductor in the film Maestro opposite Carey Mulligan, who portrays his wife Felicia Montealegre, with the movie examining their relationship. Many have been quick to question why Gyllenhaal, whose mother is Jewish, was not cast despite previously showing interest. The two top Hollywood A-Listers both pitched movies about the music star in 2018 - but Cooper's project won the rights to Bernstein's music, effectively killing the Gyllenhaal rival. Cooper, 48, has been accused of being anti-Semitic by critics who feel he took the role from Gyllenhaal, 42 New York City-based group Stop Antisemites tweeted a picture of Bernstein, before launching an attack on Cooper Gyllenhaal announced his project first, on May 1, confirming that he would be working with director Cary Fukunaga, with Bron Studios, before the pair scrambled to get permission for the musical rights. Cooper announced his plan on May 18. Ultimately Cooper came out on top, with Hollywood heavyweights Steven Spielberg and Martin Scorsese being given the rights alongside Paramount. Gyllenhaal's movie - The American - was not given permission to feature any music that Bernstein composed, including West Side Story, causing the project to come screeching to a halt. Since the first trailer for Maestro dropped, Cooper has been slammed for wearing a prosthetic nose. New York City-based group Stop Anti-Semites tweeted a picture of Bernstein, before launching an attack on Cooper. They tweeted: 'Hollywood cast Bradley Cooper - a non-Jew - to play Jewish legend Leonard Bernstein and stuck a disgusting exaggerated 'Jew nose' on him. 'All while saying no to Jake Gyllenhaal, an actually Jewish man, who has dreamt of playing Bernstein for decades. Sickening.' Maestro was tabbed as one of this year's most anticipated releases and marks Cooper's first project as director since his Oscar-nominated A Star is Born. Many have been quick to question why Gyllenhaal, whose mother is Jewish, was not cast despite previously showing interest Bradley Cooper (left) is seen on the left in a trailer for the biopic movie about Leonard Bernstein (right) Others have been quick to come out in defense of Cooper, who featured on The Late Show with Stephen Colbert in 2020, announcing the film Bradley Cooper's prosthetic nose in the trailer for Leonard Bernstein biopic Maestro has sparked online chatter, with some calling out the actor/director, 48, for playing to 'Jewface' stereotypes with the exaggerated facial feature Others have been quick to come out in defense of Cooper, who featured on The Late Show with Stephen Colbert in 2020, announcing the film. Reposting the clip, they said: 'Heres Bradley Cooper in January 2022 mentioning how STEVEN SPIELBERG had the rights of Maestro and offered him first to play Leonard Bernstein and then telling him to direct the film. 'So stop saying Bradley Cooper stole anything from Jake Gyllenhaal. Spielberg chose him.' Another said that, despite the prosthetic, they felt that Cooper was the better actor for the role for the two. They said: 'I love Jake Gyllenhaal with all my heart and loins, and yes he is actually Jewish, but Bradley Cooper is a better actor and filmmaker. 'Idk where his team was when he was putting on them prosthetics, but I simply cant see Jake doing a better job.' However some then doubled down on their accusations, calling the use of the prosthetic 'completely unnecessary'. Another added that 'there was no need for Bradley Cooper to add an odd prosthetic nose on top of this to play Leonard Bernstein,' as 'his own nose is longer!' Bradley Cooper is seen with a prosthetic nose as Leonard Bernstein on the set of 'Maestro' filming in New York City Cooper plays the role of the legendary musical conductor in the film opposite Carey Mulligan, who portrays his wife Felicia Montealegre, with the movie examining their relationship Some users said that Bernstein should have been played by a Jewish actor instead of Cooper, who is not Jewish Cooper was spotted with the prosthetic nose while filming scenes for the movie in New York City in July 2022 On social media, many users sounded off on the size of the prosthetic, with some using photo to show that Bernstein's nose was not close to that size One user noted that the Academy Award-nominated star, who is not Jewish, should not have even been in the casting discussion with 'so many great Jewish actors out there.' A third said that Cooper's appearance was both offensive and unnecessary to the actual storytelling process in the film. 'Bradley Cooper wearing a prosthetic nose to play Leonard Bernstein is the equivalent of Black face,' the user said. 'He should be able to portray the character through the magnificence of his own acting. 'Particularly if Cooper has been hired over a Jewish actor. There has to be a line.' The concept of 'Jewface' has been debated across Hollywood, with some people critical of Felicity Jones, who is not Jewish, playing late Supreme Court Justice Ruth Bader Ginsburg in the 2018 film On the Basis of Sex. Another controversy come in the fall of 2021 when Kathryn Hahn, who is not Jewish, was cast to play late comic and talk show host Joan Rivers in a limited series titled The Comeback Girl. (The project did not move forward due to licensing rights.) One of the biggest critics of Hahn's casting was Sarah Silverman - who, in an ironic twist, plays the role of Shirley Bernstein in Maestro alongside Cooper. A controversy come in the fall of 2021 when Kathryn Hahn, who is not Jewish, was cast to play late comic and talk show host Joan Rivers in a limited series titled The Comeback Girl One of the biggest critics of Hahn's casting was Sarah Silverman - who, in an ironic twist, plays the role of Shirley Bernstein in Maestro alongside Cooper On The Sarah Silverman Podcast in October of 2021, Silverman said that 'there's this long tradition of non-Jews playing Jews, and not just playing people who happen to be Jewish but people whose Jewishness is their whole being.' Silverman said that 'one could argue, for instance' that a non Jewish actress 'playing Joan Rivers correctly would be doing what is actually called 'Jewface.'' Silverman on the podcast said that 'Jewface' is 'defined as when a non-Jew portrays a Jew with the Jewishness front and center, often with makeup or changing of features, big fake nose, all the New York-y or Yiddish-y inflection' She asked, 'In a time when the importance of representation is seen as so essential and so front and center, why does ours constantly get breached even today in the thick of it?' Maestro is set to arrive in theaters and on Netflix December 20. A new court document revealed Special Counsel Jack Smith obtained access to Donald Trump's Twitter account - including private messages and draft tweets - after securing a secret search warrant amid his investigations into the former president. Smith has indicted Trump for alleged crimes to obstruct the 2020 election results and also for his mishandling of classified documents. The new filing reveals he was granted the search warrant in January, allowing him to dig through '@RealDonaldTrump' for evidence of criminal offenses. A judge had initially fined Elon Musk's social media giant $350,000 for refusing to comply, according to documents released last week. The company, now known as X, was also barred from telling Trump about the warrant. An unsealed court filing made public Tuesday evening revealed that Twitter did produce the requested records According to an attorney on Smith's special counsel team, the investigators were concerned that, if Trump learned about the warrant, he might attempt to 'harm' their investigation. 'There actually are concrete cognizable reasons to think that if the former president had notice of these covert investigative steps, there would be actual harm and concern for the investigation, for the witnesses going forward.' An unsealed court filing made public Tuesday evening revealed that Twitter did eventually produce the requested records. The filing says Smith obtained a search warrant directing Twitter to produce 'data and records' related to Trump's Twitter account. It was not immediately clear what was contained in the messages or draft tweets. According to a transcript included in the filing, a Twitter lawyer said that the company sent 'all direct messages, the DMs' to the special counsel team, including those in 'draft form.' In addition, the special counsel team had access to Trump's 'deleted' and 'nondeleted' direct messages. The former president had previously accused Joe Biden of being behind the order. The former president had previously accused Joe Biden of being behind the order He also slammed Smith for 'breaking' into his Twitter account in a post on Monday. 'How dare lowlife prosecutor, Deranged Jack Smith, breaking into my Twitter account without informing me and, indeed, trying to completely hide this atrocity from me. What could he possibly find out that is not already known.' The former president was given a permanent Twitter ban in January 2021 after the Capitol riot, though Musk has since reinstated his account. However, he still hasn't posted. His last Twitter post on January 8, 2021 reads: 'To all of those who have asked, I will not be going to the Inauguration on January 20th. Smith indicted Trump on 37 counts in June on criminal charges including obstruction of justice for his handling of the classified materials, which he pleaded not guilty to. Additionally, the special counsel secured federal criminal charges against Trump over his attempts to cling to power after losing the 2020 election. A grand jury indictment from earlier this month accuses him of spreading 'lies' and 'sham' investigations while stoking an 'angry' mob on January 6. Smith said in a televised public statement following the release of the indictment that the attack on the Capitol was 'fueled by lies' made by Trump 'targeted at obstructing the bedrock function of the U.S. government - the nation's process of collecting, counting and certifying the results of the presidential election.' He pledged that his office will conduct a 'speedy' trial. A Georgia state agency said Tuesday that it will name a special prosecutor to consider whether the state's Republican lieutenant governor should face criminal charges after former president Donald Trump and 18 of his allies were indicted Monday for working to overturn the state's 2020 election results. Lt. Gov. Burt Jones was one of 16 Republican electors who falsely claimed that Trump won Georgia. As a state senator, he also sought a special session of Georgia's Legislature aimed at overturning President Joe Bidens narrow win in the state. But Fulton County District Attorney Fani Willis was barred by a judge from indicting Jones. Superior Court Judge Robert McBurney agreed with Jones that Willis, an elected Democrat, had a conflict of interest because she hosted a fundraiser for the Democrat who lost to Jones in the 2022 election for lieutenant governor. McBurney said in a hearing that Willis's decision to host the fundraiser was a 'what are you thinking?' moment. A Georgia state agency said Tuesday, Aug. 15, 2023, that it will name a special prosecutor to consider whether Georgia Lt. Gov. Burt Jones should face criminal charges after former president Donald Trump and 18 of his allies were indicted Monday, Aug. 14, for working to overturn the state's 2020 election results That leaves the Prosecuting Attorneys Council, a state agency that supports district attorneys, to appoint a special prosecutor to investigate whether Jones' actions were criminal. Pete Skandalakis, the council's executive director, said Tuesday that he will begin looking for an appropriate prosecutor. 'Ultimately, the special prosecutor will make the decision about whether or not to file any charges,' Skandalakis told The Associated Press in a phone interview. Jones has previously denied wrongdoing, saying he and other electors acted only to preserve Trump's chances if Trump won a court challenge. Others who used that explanation, including former state Republican Party Chairman David Shafer, were indicted. Tuesday, Jones issued a statement claiming Willis' investigation was 'a constant media and PR campaign for the sole purpose of furthering her own political career.' The 97-page grand jury indictment handed up late Tuesday accuses Trump and 18 others of racketeering and other charges related to Trump's election overturn effort A judge called Willis' decision to host a fundraiser for Jones' Democratic opponent a 'Willis's decision to host the fundraiser was a 'what are you thinking?' moment Jones, who signed a documents falsely stating that Donald Trump had won the state in 2020, called prosecution a 'political vendetta' after a judge said Willis couldn't prosecute him Jones suggested Willis was pursuing 'the political vendettas of the past' and should have been 'going after real criminals.' But Senate Democratic Minority Leader Gloria Butler applauded the move, saying Jones' role should be examined. 'He doesnt get a pass simply because the Fulton County DA wasnt permitted to bring charges,' Butler said. Skanadalakis said he would review the indictment, seek a copy of the still-sealed report of the special investigative grand jury that laid the groundwork for Mondays indictments, and consult with Willis on what her investigators may know about Jones. Despite being ordered not to subpoena Jones or his records, Willis was allowed to ask other witnesses about him. It's not clear how much risk of indictment Jones faces. Willis only indicted three of the 16 electors who signed certificates falsely asserting Trump won the state and claimed to be the states 'duly elected and qualified' electors. Two of those people - Shafer and then-state party treasurer Shawn Still - helped orchestrate the meeting, the indictment alleges. The third spurious elector who was indicted, Cathy Latham, is accused of helping others copy software and data from election equipment in south Georgia's Coffee County. The indictment alleges Latham committed a number of election law and computer felonies. Latham is also accused of perjuring herself by lying about her involvement in a federal court deposition in 2022. However, the indictment lists 30 unindicted coconspirators. 'Individual 8' is clearly identifiable as Jones, with actions listed including taking part in state Senate committee meetings, receiving emails from other people, issuing a tweet calling on people to pressure state lawmakers to support a special session, and acting as a Trump elector. The indictment alleges that all 16 Trump electors, including Jones and the other 12 who weren't indicted, committed four felonies - impersonating a public officer, forgery in the first degree, false statements and writings and filing false documents. At least eight other of the Trump electors signed immunity deals shielding them from criminal prosecution by Willis in exchange for their testimony. And Willis did not bring indictments against other Georgia Republican lawmakers who operated on Trump's behalf following the 2020 election. But Jones did other things, notably flying to Washington the night before Jan. 6 to meet with Vice President Mike Pence. Jones was carrying a letter from a number of Republican state senators asking Pence to delay counting the electoral votes of Georgia and other states. But Jones has said he never delivered the letter, deciding it would be futile to try to sway Pence. Trump endorsed Jones in 2022 for lieutenant governor, a position that presides over the Georgia Senate and helps control the flow of legislation. Jones' Democratic opponent for lieutenant governor, Charlie Bailey, frequently attacked Jones for his actions, calling him 'un-American and unpatriotic' in a debate. Skandalakis declined to weigh whether Jones acts' merit indictment, saying 'I dont even know whats in the investigation.' But the outcome of the investigation could weigh on Jones' political future - he's seen as a likely candidate for governor in 2026. Current Republican Gov. Brian Kemp can't seek reelection because of term limits. Skandalakis doesn't have to name one of Georgia's 49 other district attorneys to examine Jones. He can choose anyone with prosecutorial experience. But he said that it may be difficult to find a prosecutor willing to take the case. Georgia pays little to a special prosecutor and provides no money at all to hire other staff. That means a district attorney would have to use their existing staff to do the work, and a retired prosecutor wouldn't be able to hire any staff at all. A couple accused of being Russian spies were locked in a row with their London neighbours over a satellite dish, while another suspect used a grubby seaside guest house as his headquarters, it emerged today. Bizer Dzhambazov, 41, Katrin Ivanova, 31, and Orlin Roussev, 45, who are all Bulgarian, were arrested under the Official Secrets Act and face trial for possession of fake documents with 'improper intention', including passports and identity cards. Ivanova and Dzhambazov, believed to be a couple, were arrested in Harrow, in the north of the capital, but previously lived in Northolt, around three miles west, where they are said to have clashed with locals. Eyebrows were raised when Dzhambazov installed a satellite dish on the side of his property, which appeared to be pointing in the wrong direction, compared to every other one in the street. He then tried to put up an even bigger antenna on the exterior wall, until those living next door complained that it was going to block the light to their home, neighbours claimed. Roussev lived in his block of apartments in the seaside town of Great Yarmouth in Norfolk Katrin Ivanova, 31, (left) and Bizer Dzhambazov, 41, (right) lived in Harrow, north London Moira Scott, a bar manager at the family-owned Prom Hotel opposite the Haydee, recalled security personnel descending on the hotel in February Dzhambazov is also said to have told people nearby that he worked for Interpol. One neighbour, James, told the Telegraph: 'I do remember that they had their [satellite dish] pointed in a different direction to all the other ones. 'At one stage, he had a friend around and they tried to mount a massive one on the wall. But it would have blocked out the light into my flat and so we had words about that and it didn't happen. 'When he arrived, he mounted a camera on the wall of his flat so it looked out across the car park. It's still there.' As well as properties in the couple's name, counter-terror police also raided the three-star Haydee Hotel in Great Yarmouth. There, they arrested Roussev, 45, who is said to include '007' in one of his email addresses. Orlin Roussev, 45, of Great Yarmouth, Norfolk claims to have previously worked as an adviser to the Bulgarian Energy Ministry It comes as a former KGB agent warned today that Russian spies have infiltrated 'every single part of the British society'. Boris Karpichkov, a former double agent who worked for both Russia and Latvia before fleeing to Britain, fears the Kremlin has a huge network of so called 'sleepers' across the country and slammed British spooks for not doing enough to 'localise' their widespread activity. 'It's just a small 'fragment' of the real 'iceberg' related to the illegal activity of the Russian spies,' he told the Sun. 'The spread and extent of the Russian security services spy activity now is immense - regardless of the fact staff at the Russian embassy in London has been significantly reduced during the last few years. 'Security agencies seemingly seriously underestimate and disregard these activities to be serious enough to take much more effective actions.' But while Mr Karpichkov expressed fears of such agents living among us, some locals in Harrow, north-west London, where two of the suspects - Bizer Dzhambazov, 41, and Katrin Ivanova, 31 - were found, appeared less worried. One customer at a barber's told the Guardian: 'I don't get it What are they going to tell the Russians that there are three items for 10 quid in Iceland?' Simon Corsini, who runs Gino's on the High Road, where the couple would often order his 7 'Super Breakfast', added: 'I don't understand it. There's nothing here. If I was a spy I'd have been in central London living the high life, rather than living on the outskirts in Harrow Weald.' Ex-British spy, Christopher Steele, who ran London's MI6 desk between 2006 and 2009, said it was too early to make any definitive judgements. He told Sky News: 'It's an impressive [police] operation. It will act as a deterrent, I think, for others. 'But of course Russia is effectively at war for the moment and Russia and Putin will stop at very little to pursue their state objectives, whether it's on the battlefield or in the sort of espionage elements of areas of the UK and Europe.' Boris Karpichkov, a former double agent who worked for both Russia and Latvia before fleeing to Britain, fears the Kremlin has a huge network of so called 'sleepers' across the country and slammed British spooks for not doing enough to 'localise' their widespread activity Dzhambazov and Ivanova have been held in custody and are suspected of working for Russia The trio were arrested under the Official Secrets Act and have been charged under the Identity Documents Act with possessing fake identity documents including passports and identity cards for Britain, Bulgaria and seven other European countries, including France, Italy and Spain. They are also accused of posing as journalists from American television companies after Scotland Yard found forged press cards and branded clothing from the Discovery and National Geographic channels, The Times reported. Neighbours of the Haydee Hotel recalled a scenes of crime tent being erected on the doorstep after Roussev was seized. Groups of masked men dressed in black were seen searching the address for nearly two days, leading to local residents to assume that a crime had taken place. Local residents said they had not seen anyone come in or out of the hotel for months, and did not believe it had been open for business since at least last year. There was no reply at the door of the budget guest house which has eight rooms and is just 100 yards away from Great Yarmouth seafront. The hotel, which is in a Victorian terrace and painted white and blue, was sold on September 16, 2021, for 220,000 to Irina Paravanova, 47, according to Land Registry records. Moira Scott, a bar manager at the family-owned Prom Hotel opposite the Haydee, recalled security personnel descending on the hotel in February. She said: 'I came to work at about 9am and I was opening up as I was first one in when I thought, 'What is going on over the road?' 'There was a big scenes of crime tent outside the door of the Haydee and there were a load of plain police vans with blacked out windows. 'My first reaction was that someone had been murdered. There was a lot of men dressed in black clothes with their faces covered who were coming and going. 'They had balaclavas on as if they didn't want anyone to recognise them which I thought was a bit weird. I couldn't see their faces. 'I was going to ask them what was going on, but they didn't look very approachable. I went inside and kept looking out of the window. 'At one stage a group of about six of the men walked over towards the seafront, and I wondered what they were doing. 'I looked on the local news to see what was going on, but there was nothing about it. I remember asking customers if they knew what was happening, but nobody did. 'The tent was still here the next day with guys going in and out, but by the third day it was gone.' Ivanova and her partner ran a community organisation providing services to Bulgarian people, including familiarising them with the 'culture and norms of British society' Meanwhile, Dzhambazov and Ivanova, described by neighbours as a normal couple, are said to have put 'chunky' CCTV cameras outside their home in Harrow, north London. A woman living opposite told the Sun: 'We were concerned they were pointing at our house but of course now we suspect it was pointing at the road. 'They used to have a van come quite late at night, around midnight to 1am, and they would load it up. 'We thought they were just doing deliveries. We never spoke to them and they never spoke to us.' Other neighbours, however, described how Dzhambazov, a hospital driver who was a fan of rock legends, Queen, and Ivanova, a lab assistant with a private healthcare company, made friends locally by sharing 'cakes and pies'. The 'unremarkable' couple lived in a rented 1,100-a-month apartment in a modern block of flats on a busy high street, amid a mix of supermarkets, cafes and charity shops. Simon Corsini said that the pair were regular customers at his cafe, Gino's, a stone's throw from their rented one-bedroom flat. They would usually order the 'Super Breakfast' a 7 dish of egg, bacon, beans and tomato, accompanied by four slices of bread. Dzhambazov, who frequently went in alone, also ordered hash browns. Mr Corsini said the Bulgarian couple did not stand out in Harrow, which has a large Turkish and Albanian community, adding: 'No one would give them a second glance.' He was shocked to learn of the charges against them, he said, but added that he was not overly concerned that two alleged spies had been living alongside him in the north London suburb. He said: 'It is not something that you would expect, and it is quite a shock. 'I can't say that I am worried. There have been three stabbings in Harrow and that worries me more.' Other locals were also left bemused, with one customer at a barber's telling the Guardian: 'I don't get it What are they going to tell the Russians that there are three items for 10 quid in Iceland?' Sonal Thakrar said the couple had lived at the property for five years. She got into the flat when neighbours in the modern block in Harrow Weald first told her that police officers were inside searching and found the place full of alcohol. She said: 'I was so shocked. It was more like a pub there was so much alcohol, There were dozens of bottles of whiskey and gin. I know people like to have a drink, but I have never see so many bottle of alcohol around the place. 'It was not very homely and I did not get the impression it was a nice place.' She added that police had not told them about the couple's arrest on suspicion of spying for Russia: 'We have been kept completely in the dark. All we knew was that police had been to the flat, and they had moved out.' She said the couple's references were checked out by the managing agents and they paid their rent on time each month. 'We were told they were a Polish couple, but I never spoke to them or went to the flat while they were there. That was the job of the managing agents. 'We never had any trouble and the main thing was that they paid the rent on time.' Dzhambazov and Ivanova ran a community organisation providing services to Bulgarians. The group was called Bulgarian Social Platform and this is believed to be their offices A photo of the offices of Bulgarian Social Platform, which Dzhambazov and Ivanova ran Recalling her visit to the flat last March, Sonal said: 'There were no photos of family or anyone around. It was very bare and I would not describe it has homely.' Neighbours witnessed police officers searching their house for at least a week around the time of their detention. Mori Kalay, who lives in the same block of flats as the couple, told Mail Online they were' 'unremarkable' and did not go out of their way to talk to others in the flats. He lived on the second floor of the block with the couple's flat on the first floor. He said: 'I can't say that I knew them. I did not see them very often and we did not talk. 'The only clue we had something was going on was earlier this year when there were police inside and outside the flats. 'When I asked what was going on I was told I did not need to know and to go back into my flat.' According to Ivanova's LinkedIn profile, she studied at Bulgaria's National College for Trade and Banking for four years, and worked as a brand consultant before undertaking a three-year university degree in commercial management. She then moved to Britain in 2013, initially working as an office manager and a sales consultant before becoming a business development manager, listing her skills as establishing rapport and 'negotiating and renegotiating'. She and Dzhambazov set up a social media site in Britain, offering help and advice to Bulgarians who had moved to London. The Bulgarian Social Platform offered free English courses and help with documentation and professional training. A report on the site on Bulgarian national radio said the couple had helped around 1,500 people and believed 'people should selflessly help each other because this will help the Bulgarian community maintain a good image in the UK'. Photographs from the couple's social media showed Ivanova partying at a popular Bulgarian restaurant in Palmers Green in north London. Ivanova appearing in a video promoting the services offered by the community group she ran with her partner Dzhambazov Ivanova were both registered at the same address in Harrow, north London Meanwhile the couple's alleged spy comrade Orlin Roussev was living in the Haydee Hotel, having moved to the UK in 2009. According to his online LinkedIn profile he studied at Queensland University of Technology in Australia and is a former adviser to the Bulgarian ministry of energy. In Britain, he set up his own technology business registered with Companies House, although it was later dissolved. Roussev claimed that he was working on a new television project. He posted on LinkedIn that he was 'redefining TV', saying his project offered 'the next generation multi-screen TV, any-time, any-place at your finger tips, when you want it, what you want'. His company, Newgen Technologies, was set up in 2013 but dissolved four years later, according to Companies House. Roussev also claimed to be a software developer and 'accomplished network engineer', and was living at the budget guesthouse in Great Yarmouth. Neighbours said that they had not seen anyone come in or out of the eight-room B&B for months. Yesterday, wooden blinds remained drawn across the front downstairs windows and curtains were closed on the upper two storeys. Dead plants were visible in two hanging baskets and two wall mounted plant holders, with two rusting metal tables and empty chairs at the front of the hotel. Stickers in the front window stated that it had ratings of 8.7 and 9 on website booking.com. One hotel website described it as having 'eight rooms with homely comforts'. Bar manager Mrs Scott, a mother-of-three with two grandchildren, did not recognise Roussev when shown his picture. She said: 'We get a lot of people coming in. I suppose he could have come in for a coffee, but I wouldn't have remembered him.' Princes Road has a number of guest houses and hotels, with some providing rooms for holidaymakers and others housing long term tenants. Mrs Scott said: 'It used to be just traditional hotels here, but the area has changed quite a bit over the years. 'I don't want to run down the road, but it is not just traditional guest houses any more.' Kelly Flynn, 39, who is homeless and was helping a friend check into a hotel in the road, said: 'I can remember the police tent going up outside the hotel. 'But I didn't really think anything of it as it is not an unusual sight in Great Yarmouth. I don't recognise the guy who was arrested. 'But there was a Russian living a couple of doors down who apparently had PTSD after being in the Russian army. 'He nicked my mobile phone and the police got it back for me, but I didn't want to press charges. He just needed help.' Aman Khanna, general manager of the Waverley Hotel in Princes Road, said: 'Every second house in the road here is a guest house. 'It is not unusual for crimes to happen at some of the places. Sometimes you have not too peaceful people staying here and then it becomes disturbing. 'The Haydee hotel has been there for a long time, but I have not really seen guests going in or out very much.' Ivanova regularly appeared in Facebook photos promoting its services, which included teaching Bulgarians about the 'culture and norms of British society' The trio were arrested in February and appeared at the Old Bailey last month. They have yet to enter any plea to the identity document charges they face and are due for trial next year. The Bulgarian embassy in London said that it had not been informed that three of its citizens had been detained on suspicion of espionage. A 31-year-old man and a 29-year-old woman, both from London, remain under investigation. Roussev, Dzhambazov and Ivanova are due to go on trial for the identity offences at the Old Bailey in London in January. They have yet to enter pleas to the identity document charges. A Scotland Yard spokesman said: 'On February 8, 2023, officers from the Met's Specialist Operations arrested five people on suspicion of an offence under the Official Secrets Act 1911.' A Crown Prosecution Service spokesman said: 'The CPS has authorised charges against Orlin Roussev, Bizer Maicimov Dzhambazov, and Katrin Ivanova with possession of an identity document with improper intention, contrary to the Identity Documents Act.' The Bulgarian embassy in London said on Tuesday that it had not been informed that three of its citizens had been detained on suspicion of espionage. Britain has been sharpening its focus on external security threats and last month it passed a new national security law, aiming to deter espionage and foreign interference with updated tools and criminal provisions. The government labeled Russia 'the most acute threat' to its security when the law was passed. Police have charged three Russians, who they say are GRU military intelligence officers, with the 2018 attempt to murder former double agent Sergei Skripal with the military-grade nerve agent Novichok. Two were charged in 2018 and the third in 2021. Last year, Britain's domestic spy chief said more than 400 suspected Russian spies had been expelled from Europe. Britain has been one of the strongest supporters of Ukraine since the Russian invasion last year and has imposed a range of sanctions on Russian officials and oligarchs. The Fulton County Sheriff is welcoming Donald Trump and his 18 co-defendants to 'turn themselves in' at the Fulton County Jail. It comes after District Attorney Fani Willis gave Trump and the crew until noon on August 25 to voluntarily surrender themselves to authorities for processing and arraignment. 'Keep in mind, defendants can turn themselves in at any time,' the sheriff's office said Tuesday the day after the grand jury voted to indict. 'The jail is open 24/7,' the sheriff continued. 'Also, due to the unprecedented nature of this case, some circumstances may change with little or no warning.' While this is the fourth indictment against Trump, it could be the first time he gets his mug shot taken. And critics are waiting with bated breath to get a chance to use an authentic mugshot of the former President in their protest signs. Fulton County Sheriff welcomes Donald Trump and his 18 co-defendants to voluntarily turn themselves in at anytime before August 25 at noon, saying: 'The jail is open 24/7' Among the co-defendants are Trump's lawyer and former New York City Mayor Rudy Giuliani (left) and lawyer Sidney Powell Although he will need to be appear for an arraignment like in the three other indictments it's highly unlikely that Trump will actually go to jail. Along with the former President, 18 others were also indicted Monday evening. Co-defendants include Trump's lawyer and former New York Mayor Rudy Giuliani, former White House chief of staff Mark Meadows and former state Republican Party chairman David Shafer. The 41-count indictment also names lawyers John Eastman, Sidney Powell, Kenneth Chesebro, Jenna Ellis and Ray Smith. All 19 stand charged with violating Georgia's RICO Act (Racketeer Influenced and Corrupt Organization), which was often used to take down mob bosses and their lackeys. Fulton County Sheriff Pat Labat (pictured) suggested that Trump will have to pose for a mugshot when he turns himself over The charges come following the investigation and case into Trump and allies' efforts to overturn the 2020 presidential election results in Georgia and the pressure campaign for Secretary of State Brad Raffensperger to 'find the votes' needed for a Trump victory there. Fulton County Sheriff's Office spokeswoman Natalie Ammons said in a statement: 'At this point, based on guidance received from the District Attorney's office and presiding judge, it is expected that all 19 defendants named in the indictment will be booked at the Rice Street Jail.' In a late night news conference on Monday, DA Willis said arrest warrants were issued for all 19 defendants. The three other indictments against Trump have not yet resulted in convictions. The first was earlier this year in relation to the hush-money payment Trump paid to porn star Stormy Daniels, the second was just a month later for mishandling classified documents and the third came at the end of July when Trump was indicted for his role in the January 6, 2021 Capitol attack and attempts to overturn the election. The Georgia case is another election interference indictment but specifically goes after Trump's intense pressure campaign in the Peach State to get authorities to overturn Biden's win there. Former President Donald Trump has not had a mugshot taken so far in the three cases in which he has been charged. That could change in Georgia. Instead, AI has been employed by social media users to mock up what a mugshot might look like Fulton County Sheriff Pat Labat suggested that Trump will have to pose for a mugshot when he turns himself over. 'Unless somebody tells me differently, we are following our normal practices, and so it doesn't matter your status, we'll have a mugshot ready for you,' Labat told WSB-TV. Arrestees are generally fingerprinted at the county's jail, which is notorious for its squalid conditions, although Trump will not be held there while he awaits trial. In other cases, Trump has not had to suffer the indignity of seeing a mugshot released publicly. 'Most of the kids who are gender minotaurs love mermaids,' she said The Minotaur, in Greek mythology, was a creature with the body of a man and the head of a bull A Chief psychologist at a California children's hospital has claimed children can identify as 'gender minotaurs'. Dr. Diane Ehrensaft is the director of mental health and chief psychologist at the UCSF Benioff Children's Hospital gender development center. Her research focuses on the effects of puberty blockers and hormones on children. First reported by Fox News, Ehrensaft has made claims that children can identify as gender hybrids, which include 'gender minotaur'. The Minotaur, in Greek mythology, was a creature which had the body of a man but the head of a bull. Dr Diane Ehrensaft is the director of mental health and chief psychologist at the UCSF Benioff Children's Hospital gender development center The Minotaur was a creature in Greek Mythology which had the body of a man but the head of a bull Other claims made by the psychologist include what she describes as a 'gender prius' In a list of terms published by Ehrensaft, in a paper titled The Gender Affirmative Model, she refers to different ways in which children have described themselves. One of these included 'gender minotaur', which is described as being a descriptor for a child who sees themselves as one gender on top, and another on their bottom half. Other claims made by the psychologist include what she describes as a 'gender prius'. This label is said to have been explained to her by a child who looked like a boy at the front, but had a long braid tied in their hair with a pink bow. According to the paper, the child said: 'You see - I'm a Prius, a boy in the front, a girl in the back. A hybrid.' Other terms include a 'gender smoothie' which is described as a variation of being gender fluid. One teenager described it to Ehrensaft as: 'You take everything about gender, throw it in the blender, press the button, and youve got mea gender smoothie.' USC Benioff Children's Hospital in San Francisco, where Ehrensaft works as a psychologist Another term shared by Ehrensaft is 'gender tesla' which she describes as a transgender state some children reach after being gender hybrid. Ehrensaft had previously told a 2018 talk held at the San Francisco Public Library: 'I totally agree we are in the midst of a gender revolution and the children are leading it. 'It's a wonderful thing to see. And it's also humbling to know [children] know more than we do about this topic of being gender expansive.' Ehrensaft believes that transgenderism is derived from a 'gender web' which is influenced by culture, upbringing and nature. During the talk in 2018, Ehrensaft discussed a conversation she had with a 7-year-old that there can be 'gender minotaurs'. She also said: 'I started meeting a whole bunch of other gender hybrids. And so we have the gender prius, we have a gender minotaur. 'And most of the kids who are gender minotaurs love mermaids. So make sure you have a lot of mermaid books. If you really you think about it, it works.' DailyMail.com has reached out to Ehrensaft and the hospital for further comment. Months before she was convicted of his murder, Ohio teenager Mackenzie Shirilla paid tribute to the boyfriend she killed online, saying: 'You are the last person who deserved this... you had such a perfect life ahead of you.' Shirilla, now 19, miraculously survived the 100mph July 2022 crash that claimed the lives of her boyfriend Dominic Russo, 20, and his 19-year-old friend Davion Flanagan. All three had smoked marijuana before Mackenzie, then 17, got behind the wheel of her Toyota Camry. At 5.30am, she drove at high speed into a corner of the Plidco Building in Strongsville. A passer-by spotted the vehicle 45 minutes later and called for help. Mackenzie Shirilla with boyfriend Dominic Russo. She was convicted of his murder on Monday After the crash, Mackenzie insisted it was an accident. She left emotional tributes to her boyfriend on an online obituary as did her family The two young men were pronounced dead on the scene and Mackenzie, who was unconscious and not breathing, was taken to the hospital where she underwent multiple surgeries. In November last year, she was charged with murder, aggravated vehicular homicide, felonious assault, despite insisting that the crash was an accident. Prosecutors said she was on a 'mission of death' that she saw as her way out of their toxic relationship and that she'd scouted out the route a week before she killed him and his friend, who was 'cargo'. In a tribute to Dominic on his online obituary, she said: 'I miss you nug. I still feel like your [sic] just going to walk in the door any second. I miss your laugh your perfect smile. I feel your energy around me every day I just wish it was physical. 'God u [sic] are the last person to deserve this you had such a perfect life ahead of you. I wish I told you all this more. Please wait for me.' Davion Flanagan was in the backseat of the car. His family said he was simply looking for a ride home, and was an 'innocent passenger' Davion's family say he was 'innocent' and in the wrong place at the wrong time. They asked for 'justice' but said they were not seeking 'vengeance' Mackenzie Shirilla was yesterday convicted of murder and sentenced to life in prison with her earliest chance of parole in 15 years Shirilla sobbed as she was convicted. She claimed the crash was accidental Shirilla's boyfriend Dominic Russo (left) was killed along with his friend Davion Flanagan (right) Her parents also left a tribute to him. 'She loved you, we loved you. My whole family loved you. Forever in our hearts...forever.' Prosecutors said surveillance footage proved the crash was intentional and that she had even planned the route by visiting in her car earlier in the week. Mackenzie was found guilty by a judge at a bench trial this week. Russo's family and friends told the court their romance was tumultuous and had become particularly volatile in the weeks before the crash. On one occasion, friends had to come and pry Russo from her vehicle during a fight. One overheard her threatening to crash the car with Russo inside, while others said she'd threatened to key her boyfriend's car unless he let her inside his family home. His mother and brother testified that their relationship was volatile, and that she was regularly 'unfair' towards him. As for Flanagan, his friend, prosecutors said he was in the wrong place at the wrong time. Cuyahoga County Common Pleas Court Judge Nancy Margaret Russo convicted her on Monday, calling her 'literal hell on wheels' who was on a 'mission of death' 'He was friends with Dominic, and Davion was just cargo. He was just a suitcase in the backseat in the defendants mind,' said prosecutor Michael O'Malley. His family released a statement before the verdict was announced asking for 'justice'. 'We do not seek vengeance, only justice for our son who was nothing more that an innocent passenger looking for a ride home,' his father, Scott, said. Before the trial, his mother called for Shirilla to be jailed. 'This has been an absolutely devastating loss for our families. We feel that Mackenzie is a threat to both herself and the community if she were to be released,' she said. The judge yesterday branded Shirilla 'literal hell on wheels', refusing her claims that the crash was accidental and condemning what she called a 'mission of death'. Surveillance footage of the crash shows her driving calmly and within the speed limit, then accelerating to 100mph as she approached a warehouse building. All three were unconscious and not breathing when they were taken from the car. The two young men died at the scene but Shirilla made a full recovery. This was all that was left of the car after the fatal crash. Mackenzie was pulled from the vehicle unconscious and not breathing, but she made a miraculous recovery Police said she was on a 'death mission' and became 'literal hell on wheels' when she sped up This was the wall Mackenzie plowed into at 100mph Four months later, she was charged with murder. At trial, her attorneys attempted to argue that she had lost control of the car and that it was an accident. Prosecutors used surveillance footage of her driving completely in control before the crash to disprove their argument. Cuyahoga County Common Pleas Court Judge Nancy Margaret Russo convicted her on Monday. Mackenzie sobbed at the defense table, looking incredulously at her family as the verdict was handed down. The judge showed no mercy. 'She morphs from a responsible driver to literal hell on wheels as she makes her way down the street,' she said. 'Mackenzie alone made the decision to drive the car, to drive an obscure route, a route she visited a few days before, and a route not routinely taken by her. 'Mackenzie alone chose a time to make the drive, early in the morning, when any reasonable person would expect a few people would be nearby to witness it or offer life-saving assistance. Mackenzie, 17, with her then 20-year-old boyfriend. She insisted the crash was an accident Mackenzie was charged in November last year with murder, aggravated vehicular homicide and felonious assault Prosecutors said Mackenzie was desperate to end her turbulent relationship with Russo so decided to kill them all 'This was not reckless driving. This was murder. She had a mission, and she executed it with precision. 'The decision was death,' Judge Russo said. An incredulous Mackenzie was visibly shocked as she was put in handcuffs and led away. Her family looked equally stunned. Cuyahoga County Prosecutor Michael O'Malley said: 'The intent was obvious upon seeing that video that there was only one goal and the computer demonstrated that there was no attempt to slow down or stop, that it was full speed into a building and tragically it cost two people their lives.' Shirilla's conviction carries an automatic sentence of life in prison with parole eligibility after 15 years. She will be 34 by the time she is eligible. She is due to return to court to be formally sentenced on August 21. Her mother said she hopes her attorney files an appeal. Her attorney James McDonnell declined to comment when contacted by DailyMail.com. The White House announced Joe and Jill Biden will visit Hawaii on Monday, as the administration pushed back on criticism of the president's handling of the devastating fires on the islands. 'The President and First Lady will travel to Maui on Monday, August 21 to meet with first responders, survivors, as well as federal, state, and local officials, in the wake of deadly wildfires,' the White House announced. Biden said on Tuesday he wanted to visit the state when he wouldn't disrupt rescue efforts. He was criticized earlier in the week for his refusal to comment on the fires that have killed more than 100 people. And, during his speech in Milwaukee on Tuesday, the president appeared to forget the name Maui, referring to the island as 'the one where you see on television all the time.' President Joe Biden will visit Hawaii on Monday along with first lady Jill Biden But Biden did tell the crowd he and the first lady want to visit the destruction. 'My wife Jill and I are going to travel as soon as we can,' he told the crowd. Meanwhile, White House press secretary Karine Jean-Pierre on Wednesday pushed back at criticism of the president's handling of the wildfires in Maui, insisting 'we are taking this incredibly seriously.' 'You're going to continue to hear from the president. He's eager to head out to Maui, to see for himself,' she told CNN's This Morning. 'The president has mentioned, you heard him publicly say that the first lady and himself are going to be going to Hawaii, when we know they are not being disruptive,' she noted. Tuesday's comments were Biden's first major remarks on one of the deadliest wildfires in US history. He countered criticism that his administration hasn't done enough to help Maui by saying: 'The Army helicopters helped fire suppression efforts on the Big Island because there's still some burning on the Big Island not the one that, not the one where you see on television all the time.' The president was also accused of being insensitive over the weekend. He was in Rehoboth Beach with the first lady and on the way to the beach with friends when asked about the rising death toll in Hawaii. 'No comment,' he replied. But Jean-Pierre argued Biden has dealt with a lot of natural disasters during his presidency and has shown up for the people in crisis. 'Sadly, this president has had to deal with many disasters in the past two years. He has shown up and he has been there,' she told CNN. 'So we are going to be here for the people of Maui until they need us throughout this time.' White House press secretary Karine Jean-Pierre pushed back at criticism of Biden's handling of the wildfires in Maui, insisting 'we are taking this incredibly seriously' A cadaver dog is seen leading search and rescue personnel through a parking lots that was destroyed in the Lahaina fire, that killed more than 100 people Recovery teams are seen in a huddle as efforts to find the 1,300 people still missing after the Lahaina fire last week swept through the town. The death toll stands at more than 100 President Joe and Jill Biden are scheduled to leave Friday for a vacation in Lake Tahoe - above the couple at Joint Base Andrews last week President Biden jets off to Lake Tahoe on Friday for another summer vacation. He will stay there until the following Thursday, according to guidance from the White House. He will likely break from that trip to visit Hawaii, where the death toll is at 106. And 1,300 people are still unaccounted for. The blaze that swept through Lahaina nearly a week ago destroyed nearly every building in the town of 13,000, leaving a mountain of debris. That fire has been 85% contained, according to the county. Another blaze known as the Upcountry fire has been 60% contained, officials told the Associated Press. There has been an estimated $5.6 billion in damages. Exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hope fades that any will be found alive in the demolished town. The harrowing images also show how little has been left standing in historic Lahaina. Thousands of people are staying in shelters, in hotel rooms and Airbnb units, or with friends. Around 2,000 homes and businesses still don't have electricity and the fire contaminated much of the water supply. The fires in Maui have leveled the historic town of Lahaina, reducing around 2,200 buildings to ash, and claimed at least 106 lives He has also warned off investors and developers who want to 'steal land from our people' Green has asked his attorney general to consider a moratorium on the sale of damaged properties The governor of Hawaii is considering a ban on the sale of properties and land damaged in the wildfires on Maui in a bid to stop developers exploiting the tragedy. Josh Green said he has instructed his attorney general to consider a moratorium on sales. The plan was announced following claims that victims whose homes were destroyed have been approached by developers keen to cash in on the tragedy, so-far known to have killed 106. 'I've actually reached out to our attorney general to explore options to do a moratorium on any sales of properties that have been damaged or destroyed,' he said. 'Moreover I would caution people that it's going to be a very long time before any growth or housing can be built and so you will be pretty poorly informed if you try to steal land from our people and then build here.' The historic town of Lahaina has been destroyed by last week's fire, with 1,300 people still missing and around 25 percent of the damaged area searched for survivors. About 2,200 buildings in Lahaina were destroyed. Josh Green said he has instructed his attorney general to consider a moratorium on sales following claims that victims whose home were destroyed have been approached by predatory developers Governor of Hawaii Josh Green, left, and Maui County Mayor Richard Bissen, Jr., speak during a tour of wildfire damage on Saturday, Aug. 12, 2023, in Lahaina, Hawaii One woman claimed 'investors and realtors [are] calling the families who lost their home, offering to buy their land'. 'How dare you do that to our community right now. If you are a victim and they are calling you, please get their business name so we can put them on blast,' she added. The White House announced on Wednesday that Joe and Jill Biden will visit Hawaii on Monday to meet with first responders and officials as the administration pushed back on criticism of the president's handling of the deadly wildfire. 'The President and First Lady will travel to Maui on Monday, August 21 to meet with first responders, survivors, as well as federal, state, and local officials, in the wake of deadly wildfires on the island,' the White House announced. Biden said on Tuesday he wants to visit the state at a time when he won't disrupt rescue efforts. He was criticized earlier in the week for his refusal to comment on the fires that have devastated the island killed more than 100 people. And, during his speech in Milwaukee, the president appeared to forget the name Maui, referring to the island as 'the one where you see on television all the time.' President Joe Biden will visit Hawaii on Monday along with first lady Jill Biden But Biden did tell the crowd he and the first lady want to visit the destruction. 'My wife Jill and I are going to travel as soon as we can,' Biden told the crowd. Meanwhile, White House press secretary Karine Jean-Pierre on Wednesday pushed back at criticism of Biden's handling of the wildfires in Maui, insisting 'we are taking this incredibly seriously.' 'You're going to continue to hear from the president. He's eager to head out to Maui, to see for himself,' she told CNN's This Morning. 'The president has mentioned, you heard him publicly say that the first lady and himself are going to be going to Hawaii, when we know they are not being disruptive,' she noted. Tuesday's comments were Biden's first major remarks on Hawaii's deadliest natural disaster ever, and the worst wildfire in the United States for 105 years. He countered criticism that his administration hasn't done enough to help Maui, he saying: 'The Army helicopters helped fire suppression efforts on the Big Island because there's still some burning on the Big Island not the one that, not the one where you see on television all the time.' The president was accused of being insensitive over the weekend. He was in Rehoboth Beach with the first lady and on the way to the beach with friends when asked about the rising death toll in Hawaii. 'No comment,' he replied. But Jean-Pierre argued Biden has dealt with a lot of natural disasters during his presidency and has shown up for the people in crisis. 'Sadly, this president has had to deal with many disasters in the past two years. He has shown up and he has been there,' she told CNN. 'So we are going to be here for the people of Maui until they need us throughout this time.' White House press secretary Karine Jean-Pierre pushed back at criticism of Biden's handling of the wildfires in Maui, insisting 'we are taking this incredibly seriously' A cadaver dog is seen leading search and rescue personnel through a parking lots that was destroyed in the Lahaina fire, that killed more than 100 people Recovery teams are seen in a huddle as efforts to find the 1,300 people still missing after the Lahaina fire last week swept through the town. The death toll stands at more than 100 President Biden jets off to ritzy Lake Tahoe on Friday for another summer vacation. He will stay there until the following Thursday, according to guidance from the White House. He will likely break from that trip to visit Hawaii. The blaze that swept through Lahaina nearly a week ago destroyed nearly every building in the town of 13,000, leaving a mountain of debris in the sea-side town. That fire has been 85% contained, according to the county. Another blaze known as the Upcountry fire has been 60% contained, officials said told the Associated Press. There has been an estimated $5.6 billion in damages. Exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hope fades that any will be found alive in the demolished town. The harrowing images also show how little has been left standing in the historic Maui town that was, until a week ago, home to over 12,000 people. Thousands of people are staying in shelters, in hotel rooms and Airbnb units, or with friends. Around 2,000 homes and businesses still don't have electricity and the fire contaminated much of the water supply. Alan Dershowitz is a lawyer, Harvard Law School Professor and author of 'Get Trump: The Threat to Civil Liberties, Due Process, and Our Constitutional Rule of Law' Electoral challenges have long been part of American history. Only now are they being criminalized. I was one of the lawyers involved in objections to Florida's presidential vote in 2000. A margin of less than 600 ballots determined that Governor George W. Bush rather than Vice President Al Gore won the state and, thus, the electoral college vote. I was convinced then and I am convinced now that this result was wrong. No one was indicted, disbarred, disciplined or even much criticized for those efforts, yet here we stand today. President Donald Trump and 18 other defendants has been charged with election fraud, conspiracy, racketeering and more, under a law designed to take down criminal organizations, known as the RICO Act. Should Al Gore have been charged in 2000? What about me? I represented the voters of Palm Beach County, many of whom voted by mistake for Pat Buchanan rather than Gore because of the infamous butterfly ballots and hanging chads that prevented their votes from being accurately counted. During the course of our challenges, many tactics similar to those employed in 2020 were attempted. Lawyers wrote legal memoranda outlining possible courses of conduct, including proposing a slate of alternate electors, who would deliver our preferred election results to Congress. A margin of less than 600 ballots determined that Governor George W. Bush rather than Vice President Al Gore (above) won the state and, thus, the electoral college vote. I represented the voters of Palm Beach County, many of whom voted by mistake for Pat Buchanan rather than Gore because of the infamous butterfly ballots (above) and hanging chads that prevented their votes from being accurately counted. Electoral challenges have long been part of American history, only now are they being criminalized. I was one of the lawyers involved in objections to Florida's presidential vote in 2000. (Above) Alan Dershowitz is a lawyer, Harvard Law School Professor and author of 'Get Trump: The Threat to Civil Liberties, Due Process, and Our Constitutional Rule of Law' Now, Trump and his attorney Rudy Giuliani, along with others, are accused of conspiracy to commit forgery and false statements for drafting their list of alternate electors. In 2000, Florida state officials were lobbied to secure recounts in selected counties in which we thought the tally would favor us. We were trying to find at least 600 votes that would change the result. This new indictment features Trump's phone call with Secretary of State Brad Raffensperger, which was captured in an audio recording. In the conversation, Trump asks Raffensperger to 'find' 12,000 votes. In my mind, this call is among the most exculpatory pieces of evidence. Trump was entitled as a candidate to ask a Georgia state official to locate votes that he believes were not counted. In 2000, attempts were made to influence various Florida officials to recount the votes. Now, the former president's request that Georgia's Republican Speaker of the House reconsider the count is being charged as soliciting a public official to violate his oath. Florida state officials were lobbied to secure recounts in selected counties in which we thought the tally would favor us. We were trying to find at least 600 votes that would change the result. (Above) Paln Beach, Florida County elections officials conduct presidential vote recount on November 11, 2000 President Donald Trump and 18 other defendants has been charged with election fraud, conspiracy, racketeering and more, under a law designed to take down criminal organizations, known as the RICO Act. But if similar behavior was legal in 2000, how could it be illegal in 2023? In the end, all those efforts in Florida failed when the Supreme Court in a five-to-four vote ordered the recounts stopped thereby turning the election over to President George W. Bush. I wrote a book entitled Supreme Injustice, condemning the Supreme Court's decision and insisting that the election had been stolen from Gore and improperly handed to the candidate who received fewer votes. The book was a bestseller, featured in front page reviews in the New York Times and other major publications. Most Americans thought that those challenging the Florida vote had acted in good faith, even though the courts ruled against them. What's different today is that many observers do not believe that Trump and his advisors were sincere when they declared that he had won the election. But that doesn't make what they did a crime. The Georgia indictment hinges on the allegation that Trump was lying in order to corruptly prevent the inauguration of the candidate who won the election fair and square. Conspiracy and RICO violations are specific 'intent' crimes. In order to secure a conviction, prosecutors must prove a personalized agreement to join a criminal activity. That will be an incredibly difficult case to make, especially regarding Trump himself who to my knowledge has never wavered from his belief that the election was stolen. In the end, all those efforts in Florida failed when the Supreme Court in a five-to-four vote ordered the recounts stopped thereby turning the election over to President George W. Bush. Most Americans thought that those challenging the Florida vote had acted in good faith, even though the courts ruled against them. (Above) Demonstrations at the U.S. Supreme Court in Washington, DC where justices determined whether the Florida recount could continue He is wrong, but again, that is not enough to prove him guilty. The First Amendment and general criminal law principles protect the right to be wrong, especially if that right is based on an honest mistake or belief. Many point to the claim that Trump associates allegedly stole voting machine data, but that accusation is hotly contested. The jury will have to assess the credibility of each side. The fundamental truth of this indictment is that if the evidence of specific crimes were compelling, there would be no need to charge under the onerous 'intent' requirements of RICO and conspiracy laws. The proof is not compelling, because these electoral challenges have precedent. Once again, as with the preceding three Trump indictments, the law is being stretched to its limits in order to snare a former president. 'Show me the man, and I'll show you the crime,' is the infamous Soviet-era boast attributed to Joseph Stalin's chief of the secret police. Is this really what our country has become? When prosecutions are rooted in the fickle ground of politics and not the solid rock of justice everything will crumble. Brits are set to enjoy some wonderful highs of 27C as the summer really begins Families have packed out Britain's beaches as the country basked in joyous rays of 27C sun after weeks of non-existent summer. In Portsmouth, many clambered onto the sands to make the most of the balmy temperatures which could last until next week according to the Met Office. In the agency's latest forecast, experts say the UK could be about to enter a sustained four-day warm spell with highs of 27C in some places. And despite the rest of this summer's weather being changeable and dour so far, forecasters say there's a good chance this warm spell will be more temperate and calm meaning it could last longer. According to the Met Office, Brits could soon see 'drier, sunnier, and fairly warm weather'. BOURNEMOUTH: Families have packed out Britain's beaches as the country basked in joyous rays of 27C sun after weeks of non-existent summer SOUTHSEA: Families flocked to the fair sands of Portsmouth for a dip in the ocean today Brits are preparing for a stellar week of weather, with the mercury set to reach as high as 27C in some parts of Britain SOUTHSEA: Children were seen gleefully splashing through the sea as they enjoyed the wonderful weather today EASTBOURNE: Arlo Mulley, five, Thea Fulcher, four, and Avery Mulley, three, enjoyed a day out at the beach today HOTWALLS: This couple felt the sun on their backs as they lounged out near Portsmouth However, it warned that 'should hotter weather develop, this could also bring the chance of thunderstorms either within it, or as the heat breaks down and less warm conditions resume'. Their forecast reads: 'From Wednesday we will see high pressure build bringing a southerly airflow across the UK and temperatures pushing up into the mid 20s for much of the southern half of the UK, with the warmth likely to peak on Friday and Saturday as the mercury rises into the high 20s.' Despite the optimism, the agency does note that following the spell, 'the risk of heavy rain and thunderstorms arriving from the West will not be far behind.' HENLEY-ON-THAMES: The river looked incredibly gorgeous today in the lovely summer sun GREEN PARK: The summer sun lulled more than a few people to sleep as they basked in its rays today Deputy Chief Meteorologist Dan Harris, said: 'A general warming trend is expected through much of this week, as the weather settles down for a time. 'It's not until Thursday that the warmer weather will become more widespread, with parts of Scotland also reaching the low 20s. 'Most places will be dry with sunshine, although some early mist and low cloud could mean a slow start for some areas. BOURNEMOUTH: A lady in dark pink trousers zoomed over beachgoers on a zipline today LONDON: People at Primrose Hill were pictured strolling through the park today LONDON: A woman took a glug of water as she rehydrated on Primrose Hill today LONDON: The heat was too much for some people, who hid in the shadow of a large and leafy bush 'We are likely to see the warmest weather on Friday and Saturday, with low to mid 20s widely and a peak of 29C most probable in the southeast; at this stage the odd 30 Celsius here on Friday cannot be ruled out. 'A frontal system arriving into the west and southwest later on Friday, which could be preceded by thunderstorms, does complicate matters somewhat; after a very muggy night in the southeast overnight into Saturday.' The UK has been waiting with bated breath for signs that a sustained period of sunny weather and a washout July. LYME REGIS: The beach was packed with holidaymakers enjoying the scorching hot sunshine yesterday WEYMOUTH: Thousands of Brits pack onto the sands at Weymouth to make the most of the weather yesterday BOURNEMOUTH: Hundreds of people were seen frolicking on the beach yesterday as the weather cleared Britain endured its sixth wettest July ever last month after the country was battered by downpours. A succession of low pressure systems brought long periods of damp and windy weather to much of the country, making it feel at times more like autumn than summer a sharp contrast to July 2022, which saw heatwaves and temperatures as high as 40C. The UK had an average of 140.1mm rain last month, the sixth highest total for July since records began in 1836, according to provisional data. Some parts of England also set new rainfall records. Greater Manchester, Lancashire and Merseyside all saw their wettest July. Lancashire was the wettest county compared to average, with 234.6mm of rain falling in the month. However, those hoping for a boiling August Bank Holiday weekend are perhaps best advised to temper their expectations, with the Daily Mirror reporting that a Met Office spokesman has advised a significant late summer hot spell is 'unlikely.' They warned: 'Although temperatures are set to pick up this week, an August Bank Holiday heatwave is looking probably unlikely.' A petition against building a wind farm on Lake Erie has gained nearly 10,000 signatures, amid fears it will hurt tourism, kill bald eagles, and leak chemicals into a vital source of drinking water. Great Lakes residents and environmentalists are alarmed by the Lake Erie Energy Development Corporation's (LEEDCo) plan, known as Icebreaker, to build six turbines off the shore near Cleveland, Ohio. Supporters of the scheme say it will power millions of homes with clean energy and combat global warming; critics say it's the first phase of a 1,600-turbine farm that will change a national treasure beyond recognition. 'We support clean alternative energy, but we cannot get behind this project to build wind turbines in our great lake,' said John Lipaj, of the Lake Erie Foundation, a local who spends time on the water with his family. The anti-turbine campaigners say a 1,600-turbine array will look like this Local campaigner John Lipaj says he loves spending time on the lake with his wife and children Lipaj says LEEDCo and the Norwegian developer Fred. Olsen Renewables were 'allowed to bypass crucial environmental studies' when they were drawing up their plans. These safeguards must be finished before America's first freshwater offshore wind project gets underway, he added. The petition, which has collected more than 9,000 signatures, urges Ohio's Republican Governor Mike DeWine to order an Environmental Impact Statement before the Icebreaker project goes ahead. 'Lake Erie is Ohio's most precious resource, and we all must work together to protect it,' said Lipaj. The petition says the turbines will harm the millions of birds that cross Lake Erie each year. That includes the bald eagles and other raptors that fish there, as well as migratory cranes, waterfowl, and songbirds. Meanwhile, the gearboxes of each turbine would carry 404 gallons of industrial lubricants, says the petition. That grease could easily leak into the lake, which is a source of drinking water for 11 million people. The first phase of Icebreaker features six turbines off the Lake Erie shore near Cleveland, Ohio Eagles and other birds of prey have a hard time navigating through wind farms Turbines also use magnets forged from rare earth metals, which are hazardous. Worse still, says Lipaj, are the developer's long-term plans. The first phase of Icebreaker would see six turbines erected as soon as 2025 and start generating 20 megawatts of electricity two years later. But the company also plans an expansion to a 5,000 megawatt farm, requiring 1,400-1,600 turbines, within about a decade, the petition says. That would upend a tourism sector that brings the region $14 billion per year, as tourists would steer clear of a lake filled with turbines, it adds. Visitors flock to Lake Erie in summer for its beaches, vineyards, lighthouses, kayaking at Harbor Yak, and the waterfront rollercoaster park Cedar Point. Supporters of the scheme say it will bring clean energy to a region that relies on carbon-producing fracked natural gas and oil. Nearly 10,000 people have signed a petition against America's first freshwater offshore wind project Protestors have rallied on the streets of Ohio to oppose a wind farm they say will destroy an American beauty spot Developers say the initial scheme of six turbines will benefit locals with jobs and money Jade Davis of the Port of Cleveland, which partners with LEEDCo on the project, said the region of more than 30 million people needs to keep pace with the switch against fossil fuels. 'We need to be part of the electrification transition, or we will be left behind,' Davis told The Guardian. 'We already saw that with tech and that various parts of the country did not embrace that, and it had economic impacts.' Supporters also say the wind farm will bring jobs and money to the region claims that are disputed by Lipaj. A successful Lake Erie wind farm could see copycat projects elsewhere, including along Michigan's massive 3,288-mile coastline. The Ohio Supreme Court in August 2022 voted 6-1 in favor of the LEEDCo's plan. It has also been approved by other local, state and federal agencies in a proposal process that has dragged out for more than a decade. Gov DeWine's office did not immediately answer DailyMail.com's request for comment. Americans by wide margins support imposing the death penalty against those caught smuggling drugs or people into the US policies touted by former president Donald Trump in his bid to regain the White House. Fully 58 percent of US adults say traffickers of narcotics and people should face the ultimate punishment, our DailyMail.com/TIPP poll shows, a sign of growing alarm over unchecked migration and cross-border flows of fentanyl. Trump, the front-runner for the Republican nomination, last month released a campaign proposal to punish human traffickers with the death penalty, his latest tough-on-crime policy in his reelection campaign. Once back in the White House, he would end Democratic President Joe Biden's 'border nightmare that traffickers are using to exploit vulnerable women and children,' Trump said in a campaign video. Executions in border states Texas and Arizona, and in federal cases, involve lethal injections A Trump policy resonates with millions of Americans worried about drugs and immigration 'I will urge Congress to ensure that anyone caught trafficking children across our border receives the death penalty immediately,' he added. Trump has also been calling for imposing the death penalty against drug traffickers, smugglers, and dealers, since last year, as the US battles an opioid crisis that killed nearly 110,000 people in 2022. 'The penalties should be very, very severe,' Trump told a Washington DC think tank last year. 'If you look at countries throughout the world, the ones that don't have a drug problem are ones that institute a very quick trial death penalty sentence for drug dealers.' Though too extreme for most Democrats and many of those also seeking the Republican nomination, Trump's tough penalties for narcotics and people smuggling resonate with millions of voters. They highlight broader concern about two issues the large numbers of undocumented migrants crossing the southern border from Mexico, and a drug epidemic that touches nearly every US family. Illegal crossings along the US southern border jumped more than 30 percent in July, according to US Customs and Border Protection data obtained by The Washington Post earlier this month. The more than 130,000 arrests at the frontier last month marked an uptick from 99,545 in June a blow to President Biden's new immigration strategy introduced as pandemic-era enforcement rules lapsed. Undocumented migrants used to hire freelance 'coyotes' to get into the US, but that's evolved into a multi-billion-dollar business run by organized crime, including some of Mexico's most violent drug cartels. Trump admits the policy 'sounds horrible' but says it's the only way to end the drugs scourge Most Republicans and Democrats support the harshest of penalties, our poll shows A 'coyote' people smuggler (right) guides an inflatable boat carrying migrants illegally from Mexico to the US to seek asylum, on the Rio Grande river Those same groups have been linked to the manufacture of fentanyl and other drugs that are smuggled into the US, which thanks to their deadly potency leave a trail of destruction across the country. Drug deaths nationwide hit a new record in 2022, with 109,680 lives claimed as the fentanyl crisis deepened, according to preliminary data released by the Centers for Disease Control and Prevention. Synthetic opioids mostly fentanyl now kill more Americans every year than died in the Vietnam, Iraq, and Afghanistan wars combined. Mexican cartels import precursor chemicals from China and India and press fentanyl into hundreds of thousands of counterfeit pills each day. They often resemble such brand name drugs as Xanax, Percocet or oxycodone. The pills are smuggled over the border to supply drug addicts across the US, including the homeless users seen stumbling around on the streets of San Francisco, New York and other big cities. Against this backdrop, executing the drug and people smugglers behind the trade is broadly popular supported by most Americans, with a third saying they 'agree strongly' with the harshest punishment. Republican voters are the keenest on the proposal 69 percent favor the death penalty for those convicted of drug and people smuggling offenses. Even a solid 54 percent majority of Democrats agree with them. While a president can spotlight policy debates and pressure politicians, changing sentencing rules for convicts would require support from Congress and legislatures in the states, which are responsible for most drug prosecutions. A Texas influencer has broken her silence following the ordeal which saw her forced to stay in Dubai for three months after she was barred from leaving the United Arab Emirates. Tierra Young Allen was arrested in May and had her passport confiscated after she allegedly screamed at a rental car agency employee - and was thought to be facing two years in prison. Her mother paid a $1,360 fee to get her daughter's passport back and lift the travel ban so she could leave the country. She was finally freed earlier this month and returned to Houston where she spoke of her relief. Allen, who goes by the name Sassy Trucker, was worried she was going to face time in jail following the incident with the rental car company after she had been involved in a fender bender. Texas influencer Tierra Young Allen (right) has broken her silence following the ordeal which saw her forced to stay in Dubai for three months after she was barred from leaving the UAE Allen, who goes by the name Sassy Trucker, was arrested in May and had her passport confiscated after she allegedly screamed at a rental car agency employee 'It was very scary for me because I didn't know at the time if I was going to face jail time or go to prison,' Allen told Good Morning America. 'They stripped me of my passport and I couldn't go anywhere.' The vehicle she has rented was impounded along with her phone and credit cards and she claims she was told she could only have them back if she paid an undisclosed sum. She fought back, arguing with the employee who made the apparent demand. 'From there things just transpired into something really big that wasn't expected,' Allen added. 'He followed me outside and told me he was calling the cops on me for shouting at him and I said okay you can call the cops.' The influencer was then arrested and held in jail for one day, She then had her passport confiscated despite being freed from custody and was not allowed to have it back for months. She said: 'I was shocked, I was nervous and I was scared. I didn't know what to do.' Her mother Tina Baxter eventually paid $1,360 to get her daughter's passport back and she was allowed to leave the country. 'I felt there was hope finally that my daughter would return home,' she said. However, Allen's joy turned to horror when she was pulled aside by immigration officials at the Gulf state airport, just moments before her flight was due to leave. I was so scared. I thought they were sending me to jail, she told Radha Stirling, the chief of NGO Detained in Dubai. She was finally freed earlier this month and returned to Houston where she spoke of her relief Allen bills herself as one of the only female trucking influencers in the world. She has visited Dubai multiple times in the past and always documents her trip on social media The influencer told Radha Stirling, the chief of NGO Detained in Dubai, she feared her detention would continue after a last run-in with airport security A Dubai Court was still messaging demands for payment just an hour before her flight took off. Airport officials told her she was banned from returning to the Gulf kingdom as they finally allowed her to board the plane. 'I was never thinking twice about coming back,' Allen said. 'I was so stressed every day.' She added that being home in Houston 'feels actually amazing' and said: 'I enjoy the freedom I appreciate all the hard work and I am very excited to be in the USA.' Allen's mother fought to keep her daughter's case in the spotlight, Stirling thanked Texas Senator Ted Cruz and Congresswoman Sheila Jackson Lee for their help in securing Allen's freedom. But she said the international attention to Allen's plight was crucial in swaying Emirati officials. 'The state department is used to be able to help Americans make their way through these different rules. But as a woman she was ultimately treated differently,' Representative Jackson Lee said. Allen bills herself as one of the only female trucking influencers in the world. She has visited Dubai multiple times in the past and always documents her trip on social media. She was assisted by Detained in Dubai - a non-profit that assists US citizens and other foreign nationals who find themselves incarcerated there. Allen is a pioneering female trucker who has documented her journeys to the Middle East Previous trips to Dubai have passed without trouble and Allen had hailed the country in previous social media posts Allen's mother Tina Baxter paid a $1,360 fee to get her daughter's passport back and lift the travel ban so she could leave the country 'The police changed their story regarding the charges against her as soon as her case hit the headlines; falsely claiming that Tierra had been verbally abusive, when initially they only stated that she had raised her voice,' said charity boss Stirling. 'Eventually a civil case was filed against her in what appeared to be a doubling-down by police officials. 'Tierra's case is a reminder that foreign nationals in the UAE are still routinely targeted. 'The legal system is used against them maliciously by locals to extort and intimidate them precisely because they are vulnerable and enjoy no substantial legal protections.' Neither Allen or the State Department believe there are any pending criminal charges against her. Democrats accused Republicans on Wednesday of deliberately timing the release of evidence in their Hunter Biden investigation to distract from the fourth indictment of Donald Trump. The accusation was included in a memo circulated by House Oversight Committee Democratic staff designed that provides talking points for members. It calls out Republicans for releasing the transcripts of their interview with an FBI agent who raised issues with the investigation into Hunter Biden on the same day former President Trump was set to be indicted in Georgia. The document offers the same claim that Republicans make in reverse: GOP committee members say that every time damaging news about Hunter Biden is dropped, Trump gets indicted. Top Oversight Democrat Jamie Raskin had hammered Chairman James Comer for taking four weeks to release the transcript of an interview with a former FBI Supervisory Special Agent (SSA) that they said undercut the GOP's narrative. House Oversight Democratic staff circulated a new memo Wednesday that points to suspect timing of the release of information about the Republican-led investigation of Hunter Biden The memo specifically calls out Republicans for releasing the transcripts of their interview with an FBI agent on the same day former President Trump was set to be indicted in Georgia Now, they accuse Comer of holding the transcript and not dropping it until Monday - the day Trump was indicted for the fourth time - this time in the Georgia election case. They also called out the chairman for giving the transcript to Fox News before releasing it publicly. 'The SSAs statements during this interview clearly debunked Republicans claims of political interference in DOJs investigation and prosecution of Hunter Biden,' the letter, from Democratic staffers to Democratic members, read. 'Despite multiple calls by Ranking Member Raskin for Chairman Comer to publicly release the transcript and allow the American people to draw their own conclusions based on all of the facts, Chairman Comer waited four weeks to release the transcript and did so only after first providing it to Fox News.' 'Mondays release of the SSAs transcript is the most recent episode in congressional Republicans campaign to weaponize Congress and this Committee to do the political bidding of former President Trump.' Fulton County District Attorney Fani Willis indicted the former president over charges related to his attempts to overturn the election results in Georgia in 2020. The Democrats also note that Comer released the transcripts of Hunter business partner Devon Archer's testimony on the same day Trump was arraigned on federal charges related to the January 6 Capitol riot. The Democratic memo said both transcripts prove Republicans' probe of the Biden family business deals to be a 'complete and utter failure' and accuse them of trying to 'cherry-pick, distort, and mischaracterize facts.' 'Democrats latest propaganda is another example of them acting as Biden family defense lawyers,' a House Oversight GOP spokesperson said in response. 'The Oversight Committees investigation has produced concrete evidence through bank records and witness testimony that reveals Joe Bidens involvement in his familys corrupt influence peddling schemes.' The memo admits Hunter Biden 'appears to have engaged in conduct that is common in lobbying and government relations in Washingtontrading on family names and Washington connections, and, in the case of Hunter Biden the illusion of access to his father.' But, they say the investigation has 'repeatedly found that President Biden never took any official action to benefit Hunter Biden, his clients, or his business partners.' 'This radical misuse of congressional resources by Republican Members of Congress to boost Mr. Trumps election prospects is no secret strategy.' Monday's newly released transcripts confirm IRS whistleblower testimony that the FBI attempted to interview Hunter Biden as part of their investigation into his tax and gun crimes but never got to do so. Years into the Hunter Biden investigation, which began in 2018, the FBI decided to interview their target. The interview was to occur on December 8, 2020 - weeks after the presidential election. The FBI agent said he understood that Secret Service needed to be notified for safety reasons but said he intended to notify them at 8 a.m. the morning of the interview of their 'intent' to speak with Hunter. But the evening before, the FBI agent learned both Secret Service and the Biden transition team had already been notified. 'This essentially tipped off a group of people very close to President Biden and Hunter Biden and gave this group an opportunity to obstruct the approach of the witness.' 'I felt it was people that did not need to know about our intent,' he said. 'I believe that the Secret Service had to be notified for our safety, for lack of confusion, for deconfliction, which we would do in so many other cases, but I didn't understand why the initial notification.' The agent was then told to give his contact information to Secret Service and not to approach the house but to wait outside for a call saying Hunter was ready for the interview. The agent said that he had never recalled being told to wait for a target to call and say they were ready for an interview. The call never came and the agent had other interviews to conduct, so he left. As far as the Biden transition team being clued in, 'I don't know why that would have happened,' the agent said. Democrats, led by ranking member Jamie Raskin, had hammered Chairman James Comer for taking four weeks to release the transcript of an interview with a former FBI Supervisory Special Agent (SSA) that they said undercut the GOP narrative 'Despite multiple calls by Ranking Member Raskin [above]for Chairman Comer to publicly release the transcript and allow the American people to draw their own conclusions based on all of the facts, Chairman Comer waited four weeks to release the transcript and did so only after first providing it to Fox News,' memo says The unusual interview attempt occurred under the Trump administration, while U.S. attorney David Weiss in Delaware was overseeing the Hunter Biden case. Weiss has since been appointed special counsel over the case, giving him greater independence from the main Department of Justice. When asked if he had 'ever known U.S. Attorney David Weiss to make prosecutorial decisions based upon political influence,' the agent said 'no.' The Hunter Biden case remains ongoing after a plea deal blew up when the judge notified Hunter that pleading guilty to tax and gun crimes would not shield him from future charges, specifically mentioning failing to register as a foreign agent. Meanwhile, the Archer testimony, which dropped on the day of Trump's arraignment, revealed that Joe Biden had spoken with Hunter's Business associates around 20 times over the course of 10 years. Archer did not claim to have ever heard Biden directly discuss business, but said having a then-vice president father on the phone sold the idea of access to foreign executives. A 44-year-old mother drowned while trying to rescue her 10-year-old son who was being pulled by the current at a popular waterfall. Another of her sons, aged 18, jumped in to help but became lodged in boulders and was dramatically rescued by his father, authorities said. The mother was part of a Massachusetts family of five visiting Franconia Falls in Lincoln, New Hampshire along with a friend on Tuesday afternoon, the New Hampshire Fish and Game Department said. She had gone into the waterfall in an attempt to rescue her 10-year-old son, who had slipped, causing him to fall into the vicious onrushing stream. Her two other children jumped into the river to help their brother and mother. They were able to get their brother out of the water, but in doing so, another brother became lodged in the boulders and could not escape. A 44-year-old mother drowned while trying to rescue her 10-year-old son who was being pulled by the current at a popular waterfall. Another of her sons, aged 18, jumped in to help became lodged in boulders and was rescued by his father, authorities said. Pictured: Emerald pools at the basin in Franconia Notch State Park The family had arrived at the Lincoln Woods trailhead at approximately 1:00 pm local time. They had planned to hike to Franconia Falls, along with a friend, according to a press release shared by New Hampshire's Fish and Game Law Enforcement Division.. Pictured: a locator map of Franconia Falls, which is located in Lincoln, New Hampshire The family's father attempted to find the mother, eventually locating her on a rock and desperately beginning CPR with the pair's children present. Unfortunately, she was unable to be revived. The father then made it over to his son's location and was able to pull him to safety. 'One of the minor children slipped and fell into one of the pools at the falls,' Sgt. Heidi Murphy said in a news release. The family had arrived at the Lincoln Woods trailhead at approximately 1:00 pm local time. They had planned to hike to Franconia Falls, along with a friend, according to a press release shared by New Hampshire's Fish and Game Law Enforcement Division. Once they arrived at the falls they began to get ready to swim. One of the younger children slipped and fell into one of the pools at the falls and was unable to free himself from the pool. 'He could not get out of the pool as it was a fast, circulating current. The mother jumped into the river to help her child and began to immediately have trouble,' he added. People have shared their condolences across social, with one user writing on Facebook: 'Super sad, you have to be careful out here. It's a lot of fun, but can be deadly. This woman died following her motherly instinct.' Another added: 'Sooooo sad...in front of her babies..., please when you have littles [sic] in or around water make sure they have a life jacket on...the water is AWESOME, but danger is always around...RIP momma...you did what a mother should do..' 'That is so heartbreaking. Mother fights to save her children, father fights to save his wife and children, children fight to save other family members. Heroism runs in their blood,' a third stated. Two other children jumped into the water to help their brother and mother. The mother was part of a Massachusetts family of five visiting Franconia Falls in Lincoln, New Hampshire along with a friend on Tuesday afternoon, the New Hampshire Fish and Game Department said. Pictured: Avalanche falls at the top of the Flume Gorge in Franconia Notch State Park 'They were able to get their brother out of the water, but in doing so, another brother became lodged in the boulders and could not escape,' Murphy said. At the same time, the father was trying to find the mother. He eventually found her on a rock and immediately began CPR, but she was unable to be revived, Murphy said. Murphy added that two people with non-life-threatening injuries were taken to a hospital for further evaluation and treatment. The names of the mother and her family were not immediately released. A pilot collapsed on a LATAM Boeing 787 Dreamliner flying from Miami to Chile, forcing a captain and a co-pilot to make an emergency landing in Panama City, where he was declared dead. Ivan Andaur, 56, was piloting flight LA505 from Miami International Airport to Santiago on Sunday night when he started to feel unwell and collapsed in the lavatory. Another captain and a co-pilot took control of the aircraft and landed at Tocumen International Airport, where paramedics provided first aid to Andaur and pronounced him dead. A registered nurse, identified only as Isadora on social media, said on a video recorded by another passenger that she joined another nurse and two doctors in an attempt to revive the pilot after he suffered symptoms related to cardiac arrest. While she says the crew did their utmost to try and help, she claims the improvised medical team did not have 'necessary or sufficient supplies' to resuscitate him. LATAM captain Ivan Andaur fell ill on a flight from Miami to Chile on Sunday and was pronounced dead by paramedics after the aircraft made an emergency landing in Panama City Isadora, a registered nurse, joined another nurse and two doctors in attempting to save the life of the pilot after he fell ill during a flight Sunday 'Unfortunately, we did not have the necessary or sufficient supplies to perform a good resuscitation,' Isadora said. 'LATAM needs to improve the issue of protocol in case of health and medical emergencies like this where lives can be saved but the resources are needed.' It is not clear what supplies Isadora was referring to. 'The group emphasizes that all the necessary safety protocols were carried out during the flight in order to safeguard the life of the affected pilot,' LATAM said in a statement. 'Unfortunately, after landing and receiving assistance on the ground the pilot passed away.' Another unnamed female passenger said the flight departed Miami around 11pm before one of the co-pilots requested medical assistance. 'After 40 minutes the pilot asked us if there was a doctor on the plane, we don't know what happened there,' she said. The woman said a flight attendant asked the passengers if anyone had items used for people who are insulin-dependent. 'They told us that we were going to land because the pilot felt sick and when we arrived they asked us to evacuate the plane because the situation had worsened,' she said. The late LATAM pilot Ivan Andaur with his wife Veronica Andaur, who died in 2017. The couple are survived by their daughter, Sofia Andaur Ivan Andaur was enlisted with the Chilean Air Force prior to becoming a commercial airline pilot Sky Airways captain Paula Mandini (third from right) recalled one of the many trips she enjoyed with the late Ivan Andaur (right) during their time as LATAM coworkers Walter Guerra, a Chilevision television producer, told Chilean news outlet LUN that the airplane spent half an hour at the gate before the airline announced it was cancelling the flight. Passengers were booked into area hotels and resumed their flight Tuesday afternoon. Andaur was a Chilean Air Force pilot before he joined LATAM. He was married to Veronica Andaur, who passed away in 2017. The couple were parents to a daughter, Sofia Andaur. Sky Airline captain captain Paula Mandini paid tribute to Andaur on Facebook, recalling the flight crew's night out in Hamburg, Germany, before returning to Chile. 'I have many memories of flights, conversations and so many things ... I have a giant lump in my throat,' Mandini wrote. 'I only hope that you are with your Vero and from heaven you give strength to your daughter..!!!!! Fly high..!!!!!' 'At Latam we are deeply moved by what happened and we extend our most sincere condolences to the family of our collaborator,' LATAM said. 'We are deeply grateful for his 25-year career and his valuable contribution, who has always stood out for his dedication, professionalism, and commitment.' Aristocrat Constance Marten and her boyfriend 'camped off grid' in the depths of winter with their newborn daughter, before the girl's body was found wrapped in a plastic bag in a shed, a court heard today. Marten, 35, and Mark Gordon, 48, are accused of killing baby Victoria in rural Sussex, after going on the run with the child between January 5 and February 27. Their disappearance sparked a huge manhunt involving hundreds of Metropolitan and Sussex police officers, as well as search and rescue volunteers who scoured 90 square miles of land for the child. The search continued for weeks, before the parents were arrested. Victoria's body was found on a Brighton allotment, underneath a pile of nappies, on March 1. The couple are charged with the manslaughter of Victoria by gross negligence, concealment of the birth of a child and perverting the course of justice. Aristocrat Constance Marten is accused of killing baby Victoria in rural Sussex, after going on the run with the child between January 5 and February 27, alongside her partner Mark Gordon A court heard today the body of Marten's daughter Victoria, had been found buried under nappies in an allotment shed in Brighton. Pictured: Aristocrat Marten The couple (pictured) are charged with the manslaughter of Victoria by gross negligence, concealment of the birth of a child and perverting the course of justice They are also charged with child cruelty and causing or allowing the death of a child between January 4 and February 27. Marten appeared at the Old Bailey today wearing a white flowery blouse and red lipstick. Today she applied for bail but Judge Mark Lucraft, KC, the Recorder of London, refused and she remains remanded in custody. Marten and Gordon were first reported missing on January 5 after their car caught fire on the M61 near Bolton. It was thought Marten had only given birth a few days before and had not been assessed by medical professionals. Over the following days, there were sightings in a number of places, including Liverpool, Essex, south London and East Sussex. On one occasion, the couple were caught on CCTV wearing heavy outdoor clothing and carrying bags down the street. They had also been seen sleeping rough in a blue tent. The pair avoided being traced by the police by only making payments in cash and booking hotels using false names. A previous court drawing of Gordon, who is charged with gross negligence manslaughter, concealing the birth of a child and perverting the course of justice Gordon being led out of Crawley Police Station in March after being charged with manslaughter alongside his partner Marten The family's disappearance sparked a huge police man hunt involving hundreds of officers and volunteers Police teams wandering through the woodland on March 1 as they continued to look for baby Victoria Police officers pictured in March searching allotments near the Downs in Sussex Marten was born into a wealthy family with royal connections, growing up at the Dorset estate Crichel House. Martens estranged father Napier Marten is a former page to Queen Elizabeth II. Her grandmother was the Queen Mothers goddaughter and used to be a playmate of Princess Margaret as a child. Once considered a promising drama student, Marten met Gordon in 2016. They went onto live a nomadic lifestyle after abandoning their south-east London home last September. Marten worked as a freelance photographer before starting her drama course, and dropped out when she met Gordon. Mr Marten and her mother Virginie de Selliers made public pleas for Constances whereabouts through the media while police offered a 10,000 reward for information leading to the couple being found. Marten and Gordon will next appear for a mention hearing to consider custody time limits on August 25. A four-to-six week trial date has been set for January 2 next year. Sixty survivors of Maui's devastating wildfires were rescued from a single house during the massive search operation. The group, many of whom were previously unaccounted for, were found taking refuge in an isolated private residence where electricity and phone lines had failed. Maui County Mayor Richard Bissen announced the miracle discovery before the death toll was revised to 106, with more than 1,000 people also still unaccounted for. Authorities are now using the term 'unaccounted for' instead of missing because the lack of communications on the island has prevented some survivors from reaching out to loved ones to confirm they are alive. 'We discovered yesterday that there was a family that was housing 60 people at a home... on the west side, and many of those folks were unaccounted for, and theyve now been reunited with their families,' Bissen said at a press conference on Monday. Maui County Mayor Richard Bissen announced the miracle discovery before the death toll was revised to 106, with more than 1,000 people also still missing The hall of historic Waiola Church in Lahaina and nearby Lahaina Hongwanji Mission are engulfed in flames along Wainee Street Bissen didn't offer further details about the group which were found. Maui Police Chief John Pelletier said the group had 'no phones, no internet, no power'. Exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hopes fade that any will be found alive in the demolished town of Lahaina. The pictures show cadaver dogs sniffing the wreckage of a burned-out parking lot, search and rescue crews combing the remains of a demolished warehouse and firemen sifting through the wreckage of a gas station. The harrowing images also show how little has been left standing in the historic Maui town that was, until a week ago, home to over 12,000 people. Tourist hotspot Front Street, which boasted charming wooden buildings and an ancient Banyan tree, is now littered with burned out cars while the town's main business artery, the Honoapiilani Highway, is a wasteland of demolished businesses and wrecked vehicles. Lahaina has been closed off to the outside world since fire ripped through it a week ago with just search crews allowed into the wreckage. Cadaver dogs are seen sniffing through the wreckage of a burned-out parking lot in Lahaina, Maui, August 15. The destruction caused by the recent wildfire stretches into the distance These exclusive DailyMail.com photos show how rescue efforts are still ongoing even as hope fades that any will be found alive in the demolished town Recovery teams are seen in a huddle as efforts to find the 1,300 people still missing after the Lahaina fire last week swept through the town. The death toll stands at more than 100 Recovery crews are seen scouring through the wrecks of buildings and cars in Lahaina At least 106 have died, among them Alabama native Carole Hartley, 61, who was killed while trying to outrun the inferno and local man Franklin Trejos, 68, who died trying to shield his roommate's dog from the flames. Other victims include four members of the same family: Faaso and Malui Fonua Tone, their daughter Salote Takafua and her son Tony. All four were found in the burned out remains of their car and died while attempting to escape the blaze. On Tuesday, Maui County Mayor Richard Bissen said that just 25 percent of the 2,200 burned buildings in Lahaina have been searched, although that is expected to hit 85 per cent by the weekend. Speaking in a news conference late Monday, Maui Police Chief John Pelletier said the search had been hampered by windy conditions, as well as temperatures that topped 90 degrees Fahrenheit on Tuesday. As a result, the 20 cadaver dogs drafted in to search Lahaina can only work in 15-minute bursts, while Chief Pelletier also said progress is slow due to the 'reverence' required for the search. He added: 'It's not just ash on your clothing when you take it off. It's our loved ones.' A cadaver dog is seen leading search and rescue personnel through a parking lots that was destroyed in the Lahaina fire, that killed more than 100 people Recovery teams are seen searching through a burned parking lot in Lahaina Recovery teams are seen searching through a burned parking lot in Lahaina Recovery teams are seen searching through a burned parking lot in Lahaina A view from the coast shows burned-out cars on a main road. The destruction caused by the wildfire stretches into the distance Forensic tents are seen set up in the parking lot of a gas station that miraculously remains standing amongst other buildings burned in the Lahaina fire Investigation tents and other official vehicles are seen set up in Lahaina as efforts to continue to locate people missing, feared dead in the lethal fires Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Hawaii Governor Josh Green also warned of bodies among the debris, saying: 'For those people who have walked into Lahaina because they really wanted to see, know that they're very likely walking on iwi [bones].' He also noted that some of the search areas 'are too much to share or see from just a human perspective' likely to be a reference to the burned-out cars, many of which contain the bodies of Lahaina residents who had tried to flee. The grim new photos come as President Biden finally spoke out on the tragedy on Tuesday evening after a week of silence except for a single 'no comment' when asked about the disaster over the weekend during his trip to Delaware Beach. During a speech in Milwaukee, he confirmed that he plans to visit the stricken town once rescue operations are complete but appeared to confuse Maui with the neighboring Big Island. Countering criticism that the Federal Government hasn't done enough to help Maui, he said: 'The Army helicopters helped fire suppression efforts on the Big Island because there's still some burning on the Big Island not the one that, not the one where you see on television all the time.' Following the stumble, he added: 'My wife Jill and I are going to travel to Hawaii as soon as we can. Vehicles and officials are seen amongst cars destroyed in the Lahaina fires Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Recovery workers are seen searching through the wrecks of cars and buildings as efforts to continue to find bodies of the victims of the fires Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Search and rescue crews are seen in a huddle in Lahaina next to a large, destroyed building Recovery teams with cadaver dogs search areas destroyed by wildfire in Lahaina Ti leaves are left on the fence outside of the MPD Morgue Office, where bodies from the victims of the Lahaina wildfire are being held to be identified A person looks out to sea at sunset in Kihei, Maui 'That's what I've been talking to the governor about. I don't want to get in the way. I've been to too many disaster areas. 'But I want to go make sure we got everything they need. Want to be sure we don't disrupt the ongoing recovery efforts.' Despite widespread criticism of his response to the Maui disaster, President Biden will travel West on Friday for a family vacation in California and Nevada's spectacular Lake Tahoe. The resort is also a short drive from Snowmass where former Speaker of the House Nancy Pelosi and husband Paul own a vacation home. Two great white sharks have been tracked swimming together for 4,000 miles along the Atlantic coast. The duo, named Simon and Jekyll, had both been tagged by marine biologists with OCEARCH in December of last year and have since remained close together. Being tracked by satellites, the pair are currently situated in the Gulf of St. Lawrence in water off New Brunswick, Canada. The pair had travelled all the way to the area after initially pinging their location from Georgia. Typically solitary creatures, chief scientist at OCEARCH Robert Hueter told The Washington Post he had never seen great white sharks behave this way. They are currently situated in the Gulf of St. Lawrence in Canada, according to recent tracking data Simon has been most recently tracked just last Friday near the Gulf of St. Lawrence in Canada Simon, pictured here, weighed in at 434 lbs when he was originally tagged and measured 9 ft 6 in Heuter told the outlet: 'White sharks were already more complex than we used to think they were. 'Now this adds a whole new element of sort of a familial and social component to migration.' According to h, 71, he is also now awaiting blood results to see if the two are related. Simon last pinged his last location on August 11, while Jekyll has not pinged his since July 18. The two had started swimming up past North Carolina at similar times in April, with Heuter saying they had stayed between 10 and 100 miles of each other. Simon is a juvenile male, and when he was tagged weighed 434 lbs and measured 9 ft 6 in. Jekyll is also a juvenile male and weighed in at 395 lbs when tagged, measuring 8 ft 8 in. Their locations are collected when the tags they are wearing breach the surface of the ocean. In this image of Jekyll, shared by OCEARCH, is shown - weighing 395 lbs and measuring 8 ft 8 in Jekyll last pinged his location on July 18 in the same area as his new found friend Simon Heuter said that sharks sometimes spend time together to ate and eat but typically migrate alone. He continued: 'Social behavior in sharks is something that's not particularly well-known. 'And it's not thought to be something that they have much of, except in maybe isolated cases of certain species.' Ocearch is a nonprofit organization that is dedicated to studying and conserving sharks. The organization has tagged over 90 sharks. It comes after a landmark study found that Cape Cod had become a great white shark hotspot. A study which monitored the coastline from 2015 to 2018 found that a staggering 800 individual great white sharks had visited the waters. Fox News firebrand Greg Gutfeld has suggested the solution to a recent spate of looting lies with men being in positions of power - and that such crimes would simply fade away if women were to vanish from the earth. The assertion from the network's most viewed star came in reaction to a recent incident in LA where a group of at least 30 thieves ransacked a Nordstrom before making off with about $300,000 worth of merchandise. Appearing on Monday's episode of 'The Five' - two days after the brazen broad daylight robbery - Gutfeld said such illegal activity isn't discouraged enough and honed in on the city's Democratic mayor, Karen Bass. The mayor on Saturday said the incident was 'absolutely unacceptable,' but Gutfeld said her claims were empty and at odds with policies that he says allow such acts to occur. He went on to declare that 'smash and grabs' - the term for the type of theft that's become common in California - would 'disappear' if women like Bass were removed from their posts. Scroll down for video: Greg Gutfeld suggested Monday that the solution to a recent spat of looting lies with only men being in positions of power - and that such crimes would fade away if women were to vanish The assertion from the network's most viewed star came in reaction to a recent incident in LA, in which an organized group of at least 30 were filmed ransacking a Nordstrom before making off with some $300,000 worth of merchandise Reacting to the footage and several other similar incidents, Gutfeld honed in on Democratic mayor Karen Bass, blaming her for the rise in organized theft - despite them largely stemming from the city's male DA Gutfeld admitted that the hypothetical would in turn cause 'lots of new, bad problems' - but stuck to his guns as he posed his theory to his colleagues. Two of the show's panelists are women. 'How many of these problems would still exist if all the women took a ladies' week off and they went to Venus?' he laughed, after watching now viral footage of the Saturday incident. As cohosts Dana Perino and Jeanine Pirro kept mum, the talking head proceeded to provide himself with an answer. 'The smash-and-grabs, the no cash bail, the rampant recidivism that we are putting up with would disappear.' Gutfeld, the highest rated host on the channel, added of policies seen under Bass since she was elected in 2020: 'We have gotten so soft and it's because we have decided that somehow discipline and punishment is wrong. 'We have decided that the carrot is better than the stick when all I want is a carrot shaped like a stick to beat looters with,' he joked 'That's not too much to ask, right?' The comment was a clear jab at Bass, despite the lax bail laws largely stemming from her DA, the famously progressive George Gascon. Like Bass, he was also elected in 2020. In the nearly three years since, crime - particularly organized heists like the one seen Saturday - have prevailed, with many blaming policies implemented by Gascon almost immediately into his tenure that allowed repeat offenders released back into society without facing severe punishment for their crimes. Reforms administered days into Gascon's first term as the city's DA also saw years shaved off sentences for several killers who committed crimes when they were still teens, in an apparent bid to keep the city's young people out of jail. Such measures have since failed miserably, with both organized and petty crime now commonplace. A string of planned hits last week - including the one at the Nordstrom - seemed indicative of this, and spurred a statement from Mayor Bass. In it, she claim that 'those who committed these acts and acts like it in neighboring areas must be held accountable.' and that the city's police force was currently working to prevent similar attacks. Gutfeld on Monday appeared unconvinced by the mayor's assertions. A shocking video captured a scene of mob-style mayhem, showing the 'smash-and-grab'-style robbery, which saw a guard maced with bear spray and all the suspects escape unmarred. One of the thieves is seen here laden down with numerous bags and even a small suitcase Others grabbed items of clothing and quickly made their escape before police arrived on the scene Witnesses watched the looters free, several in vehicles, including Lexus and BMW cars The group sprinted to the door in an attempt to make swift exit from the store, securing as much merchandise as they can carry. As of Wednesday, an arrest has yet to be made 'She says it's not acceptable? Of course it's acceptable; that's why it keeps happening! If it was unacceptable, it would never happen,' he claimed. 'If you don't do anything after this happens, you're telegraphing to everybody to imitate the behavior,' he continued. 'You're incentivizing this behavior, and if you try to fight back - thanks to the modern, progressive liberal - you will become the villain. You will be investigated. Pointing to the disturbing footage in which staffers watched hopelessly as their store was looted, Gutfeld said: 'it's a crime to fight back, but it's no longer a crime to commit crime.' Footage taken inside the store shows men in dark hoodies and face masks ransacking the department store, located at Westfield Topanga Mall, even resorting to attacking security guards with bear spray. Videos has since rapidly circulated on social media, showing the mob shattering display cases and grabbing high-end designer accessories, some still affixed with electronic security tags. One of the thieves was even seen pulling a large metal display shelf behind him as he fled, with a luxury leather bag still affixed to it by a security tag. The group sprinted to the door in an attempt to make swift exit from the store, securing as much merchandise as they can carry. The thieves took off with thousands of dollars worth of luxury handbags and designer clothing, an LAPD spokesman told NBC News. The group swarmed the store at around 4pm on Saturday, scooping up armfuls of items, some inadvertently dropping amid the chaos, as they maneuvered around shattered glass and overturned mannequins. A Los Angeles Nike store was hit by a pack of thieves who stuffed merchandise into trash bags and took off just days after an area Nordstrom was targeted similarly According to officials with the Los Angeles Police Department, the robbery happened just after 5.30pm in the 4500 block of Whittier Blvd The men appeared to be targeting the luxury Italian fashion brand Bottega Veneta, known for its signature woven leather handbags. Investigators believe the group stole up to $100,000 in merchandise. The criminals used bear spray to attack at least two security guard at the store. The extent of their injuries are unclear. Bear spray, deployed from pressurized canisters, contains capsaicin and other ingredients that can inflame the eyes and upper respiratory system. Mall goers were not evacuated and it is unclear if anyone was injured. There have been no arrests reported at this time. Police are searching for 30 to 50 suspects. These types of robberies appear to be on the rise and becoming all too common, especially in the Los Angeles area. In another recent incident later in the week, a Nike store in the was hit by a pack of thieves in the very same mall - with members of the group filmed stuffing merchandise into trash bags before taking off largely unhindered. Video posted Sunday on the Citizen App showed the shocking robbery - which happened Saturday evening and involved two still-at-large women and one man who were seen grabbing shoe boxes before exiting the store. Staffers and other customers at the crowded Nike Community Store on Whittier Blvd. in East Los Angeles seemed at a loss as to what to do - a general trend in the city of Angels as politicians continue to push policies that have failed to quell crime. That, along with a historic homeless crisis that has also persisted since the pandemic, saw Bass - who assumed office in 2020 - met with boos at a meeting about housing earlier in the month, as seen in videos posted to social media. A similar heist was carried out last week by a mob of thieves at an Yves Saint Laurent store at a mall in Glendale, with the loss also estimated at $300,000. Between 20 to 30 suspects were involved and all fled the scene in several vehicles, including a BMW, a Lexus and a Honda Controversial Los Angeles District Attorney George Gascon, at the Long Beach Pride 40th annual parade on Sunday (pictured) has been attacked for slashing criminals' jail time Problematic policies, which include lenient jail time rules, were set into motion by LA County DA Gascon for the past three years. The rules, more often than not, allowed repeated offenders to be released back into society without facing severe or lengthy punishment for their crimes. The lax laws caused a rift between LAPD Chief Michael Moore and Gascon, after Moore shifted blame of the city's high crime rate away from his department and toward the district attorney. Gascon, while being criticized as being soft on crime, later backtracked on some of his most controversial policies, including not pursuing sentences of life in prison without parole, and not prosecuting juveniles accused of serious offenses as adults. That sudden change of heart came came more than a year ago, when the 69-year-old faced a second recall effort organized by his critics, who at the time contended his policies were to blame for LA' rising crime rates. In the span since, crime has continued to soar - and both Bass and Gascon have felt the wrath of the public as a result Speaking to reporters Monday as the search for the culprits behind the Nordstrom raid continued, Gascon - who is being branded 'the godfather of progressive prosecutors' - insisted the perpetrators will be held accountable. 'We've got a team of people that have been working in the Glendale case and other cases, and will continue to do so,' he said. 'We assigned our organized crime division to work on these cases about a year and a half ago. 'We view them as organized crime, and we will use every tool available under the law when there is an arrest made to make sure these individuals are held accountable. This is unacceptable behavior in a civilized society.' A group of five is seen on video using sledgehammers to enter a luxury jeweler last year, obliterating the front window of the store before making off with multiple items, Such crimes have been commonplace since the pandemic, despite it being more than three years later He added that there are people in the community who can identify the robbers, with some people even buying stolen merchandise from the heist, and they also need to be held accountable. His department is not directly involved in the Glendale or Canoga Park cases, Sheriff Robert Luna told reporters the sheriff's department will coordinate with other law enforcement. Gascon was elected into office along with Bass during the midst of the pandemic, and is up for reelection next year. Bass is as well. He made made his name as DA in San Francisco where he co-authored notorious state senate bill Proposition 47 that slashed the number of crimes on the statute book. The politician repeated the move while promising to abolish bail bonds on his first day in office in December 2020, pledging the release of hundreds of people from LA County jails. He said his prosecutors would no longer seek the death penalty, calling it 'racist', and pledged to stop the prosecution of juveniles as adults. He estimated some 20,000 state prison inmates would be considered for early release but his reforms have infuriated staff, leading to at least 140 unfilled roles and a backlog of 10,000 cases. 'The problem is, people started leaving because they became so fed up with his policies, so those of us who stayed are carrying two or three times the caseload,' one prosecutor told the NY Post. But it is his determination to keep young people out of the criminal justice system that has put his re-election in jeopardy and helped prompt a recall petition by victims' rights advocates that attracted more than 715,000 signatures last year. DailyMail.com has reached out to Bass's office for comment on Gutfeld's statements. A former GRU spy agency colonel has complained that Vladimir Putin is to blame for war failures as he was fined for 'discrediting' the Russian army on social media. Vladimir Kvachkov said in a Moscow court on Tuesday that Putin, Defence Minister Sergei Shoigu and Valery Gerasimov, the Chief of the General Staff, are not 'capable of waging a war' in Ukraine. The scathing comments came as the court convicted Kvachkov of 'discrediting the armed forces' and fined him 40,000 roubles (332) for three social media posts featuring criticism of the 'special military operation in Ukraine and the Russian leadership'. Kvachkov, 75, spoke in court of the senseless charges him, arguing it was 'Putin, Shoigu and Gerasimov who are discrediting the Russian armed forces'. He also denied any involvement with content on Odnoklassniki, the Russian social network that had shared the three posts. Vladimir Kvachkov said in Court in Moscow on Tuesday that Putin, Defence Minister Sergei Shoigu (pictured right), the country's Defence Minister, and Valery Gerasimov, the Chief of the General Staff, are not 'capable of waging a war' in Ukraine (File Photo) In this photo provided by the Odesa Regional Administration Press Office, a granary destroyed in a Russian drone attack at night is seen in a Danube port near Odesa, Ukraine, in the early hours of August 16, 2023 Kvachkov told a reporter before the hearing that it was 'Putin and his team who are guilty'. He will face a prison sentence if convicted again on the same charge. Kvachkov has appeared frequently at public events and on social media streams with Igor Girkin, a pro-war blogger and staunch supporter of the invasion, who wrote in July that Putin should stand down before the presidential elections in March. Girkin and three others were found guilty of shooting down Flight MH17 over eastern Ukraine in 2014, killing all 298 on board. The 52-year-old was arrested last month pending an investigation into alleged extremism. Defence Minister Shoigu yesterday told officials that Ukraine's ability to fight had been 'almost exhausted' and said the war had exposed vulnerabilities in Western weapons systems that Moscow would soon share. The scathing comments came as the court convicted Kvachkov (pictured) of 'discrediting the armed forces' and fined him 40,000 roubles (332) for three social media posts featuring criticism of the 'special military operation in Ukraine and the Russian leadership' A Ukrainian soldier of the Aidar battalion, fires D-30 artillery in the direction of Bakhmut frontline in Donetsk Oblast, Ukraine on August 14, 2023 The war has sown devastation across swathes of eastern and southern Ukraine, killed or injured hundreds of thousands and triggered the biggest rupture in Russia's ties with the West since the 1962 Cuban Missile Crisis. Ukraine and the West have accused Russia of war crimes and cast Moscow's invasion as an imperial-style land grab. The Kremlin casts the conflict as an existential battle with a hostile West, which it says wants to tear Russia apart. Speaking to a security conference in Moscow attended by China's Defence Minister, Li Shangfu, Shoigu, 68, said the conflict had been a serious test for Russia. 'In the special military operation, the Russian army has debunked many myths about the superiority of Western military standards,' Shoigu said in a rare public speech, according to a text supplied by his ministry. 'The preliminary results of combat operations show that Ukraine's military resources are almost exhausted,' said Shoigu, one of Putin's most powerful allies. He did not give detailed evidence to back up either statement. The West casts the war as Moscow's biggest strategic blunder since the 1979 Soviet invasion of Afghanistan and Western leaders say they want to defeat Russia on the battlefields of Ukraine. Ukrainian soldiers fire the SPG recoilless gun in the direction of Torske frontline in Donetsk Oblast, Ukraine on August 15, 2023 Kyiv launched its long-anticipated counter-offensive in June, but has acknowledged tough battles as it struggles to break through heavily fortified Russian positions. Moscow says it will achieve all its aims in Ukraine, which it casts as a puppet of the West. It has repeatedly indicated it is prepared to fight a long war and has put swathes of its $2 trillion (1.57 trillion) economy on a war footing. Shoigu said he would share details about the weaknesses of Western weapons and that none were invulnerable. 'We have data on the destruction of German tanks, American armoured vehicles, British missiles and other weapons systems,' he said. 'We are ready to share our assessments ... with our partners.' Shoigu accused Ukraine of repeatedly using civilian infrastructure to hide soldiers and heavy military equipment and said that Kyiv had shelled civilian settlements in Russian-controlled eastern Ukraine. He said Ukraine had used the Black Sea grain deal as a cover for building up stores of weapons and munitions in Odesa and other ports. Ukraine has repeatedly denied using civilian infrastructure for military purposes and denies any targeting of civilians. Firefighters try to extinguish fire at a building destroyed by a Russian rocket attack in Kramatorsk, Ukraine on August 15, 2023 In remarks aimed at China, Shoigu said the West was intentionally stoking the issues surrounding Taiwan, comparing the situation to the Ukraine war. 'Under these conditions, bilateral relations between Russia and China have surpassed the level of strategic ties in all respects, becoming more than just allied,' Shoigu said. Kyiv said today that a civilian cargo vessel had exited its southern port of Odesa despite warnings from Russia that its navy could target any ships using Ukraine's Black Sea export hubs. The announcement, which raises the spectre of a standoff with Russian warships, came hours after Ukraine said it had liberated a village as part of a grinding push to wrest Moscow's forces along the southern front. Russia issued its maritime threat after scuppering a key deal last month - brokered by the UN and Turkey - that guaranteed safe passage for grain shipments from three Ukrainian ports. Ukraine's Infrastructure Minister Oleksandr Kubrakov said the Hong Kong-flagged Joseph Schulte left this morning from the port of Odesa - one of three that participated in the now-scrapped grain export deal. 'The first vessel is moving along the temporary corridors established for civilian vessels to and from Black Sea ports,' he said in a statement. A handout picture made available by Oleh Kiper, the head of the Odesa Regional Administration, shows a grain depot at the damaged grain terminal of a port on the Danube River in the Odesa region, southern Ukraine, on August 16, 2023 after Russian troops attacked the southern regions of Ukraine with shock drones overnight The Joseph Schulte was hugging the Ukrainian coast and moving in the direction of Vylkove in southern Ukraine, a Maritime tracking website showed as of 2.20pm local time (1120 GMT). Since Russia's exit from the accord in July, it has stepped up attacks on Ukraine's Black Sea port infrastructure and facilities Kyiv uses to export grain through the Danube river. The governor of the Odesa region said today that Russian attack drones had damaged grain facilities at a river port near the Romanian border. The airforce meanwhile said it had downed 13 Russian drones over Odesa and the neighbouring Mykolaiv region. The incident sparked an outcry in EU-member Romania - now a key hub for Ukrainian grain exports abroad since the collapse of the exports deal. 'I strongly condemn the continued (Russian) attacks on innocent people, civilian infrastructure, including grain silos in the ports of Reni and Izmail,' Foreign Minister Luminita Odobescu said. The possibility of a Russian attack on cargo ships in the Black Sea increased after Moscow said it fired warning shots from a warship at a cargo vessel heading towards Izmail last week. The Joseph Schulte left Odesa hours after Kyiv announced the capture of Urozhaine, a small hamlet in the industrial east. 'Our defenders are entrenched at the outskirts. The offensive continues,' Deputy Defence Minister Ganna Malyar said on social media. Mike Pence took a swing at his former boss on Wednesday saying that the 2020 election was not stolen in Georgia as he leans into his position as the man who refused to help Donald Trump stay in power illegally. In his first comments since the former president was indicted in Georgia, Pence made clear he would not join many of his rivals for the 2024 nomination in defending Trump. For his part, Trump claims he will on Monday produce 'irrefutable' evidence that the result in the key swing state was fixed against him. Pence rubbished the idea in a speech in his home state of Indiana at a meeting of the National Conference of State Legislatures. 'Despite what the former president and his allies have said ... the Georgia election was not stolen and I had no right to overturn the election on Jan. 6,' he said. Mike Pence took a swing at his former boss on Wednesday saying that the 2020 election was not stolen in Georgia. He was speaking at a summit of the National Conference of State Legislatures in Indianapolis, in his home state of Indiana Late Monday night Fulton County District Attorney Fani Willis charged Trump and 18 associates in a wide-ranging scheme to reverse his 2020 presidential election loss in Georgia to Joe Biden. It is the fourth time Trump has been indicted in a criminal case since April. And reaction has largely divided along party lines, with Republicans claiming that he is the victim of politicized prosecutors intent on knocking him out of the 2024 race. But Pence's comments come a day after his ally Georgia Gov. Brian Kemp pointed out that no-one had produced evidence of voter fraud in his state. 'The 2020 election in Georgia was not stolen,' he wrote on X, the platform formerly known as Twitter. 'For nearly three years now, anyone with evidence of fraud has failed to come forward under oath and prove anything in a court of law.' Their comments set up a potential faultline between 2024 rivals one week ahead of the first Republican debate. Chris Christie, the former governor of New Jersey and the loudest anti-Trump voice in the race, endorsed Kemp's comments: 'This is a strong leader telling the truth. Others should try it,' he said on X. Trump remains the frontrunner for the 2024 Republican nomination despite now being indicted in four separate criminal cases. He has denied all the charges so far Fani Willis is seen on Monday night announcing the charges against the 19 accused of working to overturn Georgia's election results Yet Trump is doubling down on his Georgia claims and promises to reveal all next week. 'A large, complex, detailed but irrefutable report on the presidential election fraud which took place in Georgia is almost complete and will be presented by me at a major news conference at 11:00 A.M. on Monday of next week in Bedminster, New Jersey,' said Trump Tuesday morning. 'Based on the results of this conclusive report, all charges should be dropped against me and others there will be a complete exoneration! 'They never went after those that rigged the election. They only went after those that fought to find the riggers!' Allies of Pence point out that no evidence has been produced to show the Georgia election was rigged but Trump claims he will lay out new details on Monday He offered no further information on what his evidence was or why it has not yet been released. At the same time, Pence's stance comes with risks. His campaign is struggling to gain traction in a party where Trump remains the dominant force. During the Iowa State Fair at the weekend, he was dogged by protesters. 'Why did you commit treason on January 6 and not stand by President Trump?' asked one man during a question-and-answer session. But he was also thanked by attendees for standing up to then president. Lucha de Clases the Venezuelan section of the International Marxist Tendency expresses its firm opposition to the recent attack on the Communist Party of Venezuela (PCV), orchestrated by the leadership of the ruling United Socialist Party of Venezuela (PSUV) and carried out by the judiciary. This manoeuvre has stripped the PCVs membership and the legitimate leadership of the party of legal control of its name, symbols and legal identity. Leer en espanol Through ruling 1160, issued on Friday 11 August, the Constitutional Chamber of the Supreme Tribunal of Justice (TSJ) decreed as admissible the appeal for legal protection filed by PSUV members on 10 July, and appointed an ad hoc Board of Directors to take legal control of the PCV. In this way, the judiciary has once again revealed its role as the executive arm of the state, which no longer hides its Bonapartist, authoritarian character and its opposition to the interests of the workers of the city and the countryside. #12Ago Congreso Mundial de la Corriente Marxista Internacional envia contundente mensaje de apoyo al Partido Comunista de Venezuela "Manos fuera del PCV" es la consigna para condenar el asalto judicial ejecutado por el Gobierno de Nicolas Maduro contra los comunistas venezolanos pic.twitter.com/XqyoCf7Xmx Tribuna Popular Web (@_TribunaPopular) August 12, 2023 This shameful ruling adds to the long history of judicial intervention and denial of the electoral rights of multiple parties, in the interests of the PSUV's political scheming. In the past, this exact process has been used against right-wing parties in an attempt to build a moderate opposition tailored to the government's interests. In an extremely vicious manner, this manoeuvre has been carried out against left-wing organisations in order to prevent the formation of a political alternative that would take votes away from the PSUV in elections. The PSUV leadership is unwilling to allow other united formations of the left to emerge from the sea of social discontent. Such an organisation would unmask the false revolutionary and anti-imperialist phrases of the PSUV, which have distorted the true meaning of socialism in the eyes of a broad layer of the population. We will never stop repeating that socialism did not fail in Venezuela, because it was never established. What failed was the rentier capitalism that the corrupt bureaucracy of the PSUV insisted on regulating and sustaining at any cost. It should be pointed out that the attack on the PCV has eliminated the only remaining option for the left to express itself electorally. This constitutes a serious violation of the political and organisational rights of the Venezuelan working people. For this reason, we absolutely and unwaveringly reject this attack. The events of Thursday 10 August give important additional context to the PSUVs manoeuvres. President Maduro, accompanied in the Miraflores palace by Venezuelas most powerful bosses, signed a decree establishing four Special Economic Zones. In this way, the national government is continuing its policy of squeezing wages and undermining the basic rights of the working class, whilst making endless concessions to the capitalist class in Venezuela and internationally. The assault on the PCV is part of the PSUVs plan to win the confidence of the capitalists, on whose altar the government is willing to sacrifice its progressive past in its entirety. Maduro's aspiration is to make an electoral pact with the traditional oligarchy, which will allow him to continue running the state in the interests of the exploiters. To this end, the government has deployed an aggressive austerity policy that is unprecedented in the nations history. The criminalisation of protest, the persecution of workers, the excessive strengthening of the states repressive bodies, and now the pacifying of the left electorally these attacks on the working class prepare the ground for the return to power of the bourgeoisie on an authoritarian basis. El Comite Ejecutivo de Lucha de Clases, se solidariza con el PCV tras el nombramiento de una directiva ilegitima por parte del TSJ. Toda nuestra solidaridad clasista y Revolucionaria!#YoDefiendoAlPCV pic.twitter.com/MFjEKvCkCo CMI Venezuela (@LdcVenezuela) August 14, 2023 The PSUV leadership has set itself up as a caste of the nouveau riche, based on the total plunder of public resources. The interests of the majority of the people are utterly alien to them. This is the bureaucracy that put the brakes on the advance of the Bolivarian Revolution, that suffocated the organisation and participation of the masses, that pulverised attempts at workers' control and reversed the reforms that benefited the peasantry. Today, this layer is jointly responsible, together with imperialism and the traditional bourgeoisie, for the historic precipice at which Venezuela finds itself. All of this constitutes the enormous service that Maduro has rendered to the interests of capital. History will never forget the executioners of the people! We call on the working people to step up the struggle to rescue and extend their rights, and for the conquest of a dignified life, which will not be possible within the limited framework of the capitalist crisis. Today, the capitalist mode of production is rotting all over the world. The present situation in Venezuela is only an extreme expression of this tendency. The international struggle, organisation and mobilisation of the working class is necessary to overthrow capitalism and build a world free of all exploitation and oppression. In light of this, we want to convey our revolutionary solidarity to the membership of the PCV in these difficult hours. National and international solidarity must be boldly linked with the workers', peasants' and popular struggles, in order to confront government policy, organise the oppressed and open up opportunities for the revolutionary transformation of society in the future. For class solidarity and revolutionary solidarity! Our answer will be more struggle and organisation! Billionaire Leon Black has sued the law firm representing his latest rape accuser for what he claims is a 'life-ruining' campaign to put him behind bars over 'false' sexual assault allegations. Black, 72, is currently being sued by two women - Cheri Pierson and a woman going by Jane Doe - who both say he raped them in Jeffrey Epstein's home in 2002. Both women are being represented by Wigdor Law and attorney Jeanne Christensen. Jane Doe's complaint describes how he allegedly attacked her on a massage table in Epstein's house when she was 16. She said she had autism and mosaic Down syndrome, and had been trafficked to Black through Ghislaine Maxwell. Christensen previously sued Black on behalf of another accuser, his ex-girlfriend Guzel Ganieva, but the case was dismissed because Ganieva had signed an NDA agreeing not to discuss her relationship with Black. Now, Black is claiming in new court filings that Wigdor has a history of 'questionable ethics' and that attorneys have ignored evidence that he is innocent. Black, 72, is currently being sued by two women - Cheri Pierson and a woman going by Jane Doe - who both say he raped them in Jeffrey Epstein's home in 2002 Both women are being represented by Wigdor Law and attorney Jeanne Christensen Black claims in his most recent lawsuit that Wigdor, whose other clients include Harvey Weinstein accusers, has a 'unique business model' to sue wealthy men or threaten to sue them in order to win settlements and take a cut. Black paid Epstein $158million over 5 years 'Wigdor threatens to sue defendants with scandalous allegations that can be avoided only at the cost of a large settlement, of which Wigdor takes a substantial cut. 'When Leon Black had the temerity to reject this scheme, Wigdor sought to teach him a lesson by three separate headline-grabbing lawsuits which it knew, or should have known, were false.' Wigdors pattern of willfully filing false, life-ruining allegations without properly investigating their merit, or even worse filing despite knowing their falsity, must be stopped.' His attorneys say there is 'proof' that Jane Doe is making up her story. It's unclear what precisely that proof is beyond conversations Black's private investigators had with her estranged parents. 'In those conversations, they said she'd never been diagnosed with Down syndrome and autism as she claims, and that they do not believe she was abused by Jeffrey Epstein either. He says his other accuser, Cheri Pierson, is a 'serial litigant' and criminal whom he has never met. He says they are only going after him because their lawsuit involving Ganieva failed. Ganieva signed an NDA agreeing to never discuss their relationship in exchange for a years-long payment scheme that would have netted her $20million. Model Guzel Ganieva had an affair with Black then sued him, claiming rape. Her case was dismissed because she had signed an NDA which prevented her from filing lawsuits against him She went public on Twitter anyway, years after signing the NDA, after the #MeToo movement swept the US. Black denies raping her, insisting their relationship was always consensual, and says he has never met either of the other two accusers. He however admits sending a private investigator to their families' homes, calling it research required to fight the claims. The lawsuits against Black coincide with fresh controversy over his payments to Jeffrey Epstein. Between 2012 and 2017, he paid Epstein $158million for what he says was financial advice. He also donated $10million to a charity run by Epstein, becoming its only donor, and paid prosecutors in the US Virgin Islands $62million to avoid being swept up in any lawsuits against the late pedophile's estate. When questioned over why he paid the Virgin Islands, Black's attorney told DailyMail.com it was similar to the payments by banks like JP Morgan and Deutsche Bank, which were fined by the US government for continuing to do business with Epstein despite being aware of the allegations against him. His representatives are yet to explain why the Virgin Islands requested his personal correspondence with Epstein, or photos of his visits to his Caribbean island. US Virgin Islands prosecutors are also yet to explain why they went after Black with a subpoena, or why they accepted his settlement. A family of fraudsters joked they were buying properties 'like Donald Trump' as they fleeced the Royal Mail out of more than 70 million, a court heard. Parmjeet Sandhu, 56, director of Tiger International Logistics Ltd and Worldwide Transport Express Ltd, and his nephew Balginder Sandhu, 46, director of Worldwide Express Ltd, lied to postal companies between May 2008 and May 2017. In a decade-long postal con they gave untrue and misleading declarations about the quantity, weight, class and destination of mail to take more than 70million from the Royal Mail and other operators. Narinder Sandhu, 62, Parmjeet's brother and Balginder's uncle, was the owner and director of Packpost International Ltd (PPIL), the catalyst of the fraud from 2005. He lived in a multi-million pound mansion with an indoor pool and drove a Bentley and a top of the range Rolls Royce. A family of fraudsters joked they were buying properties 'like Donald Trump' as they fleeced the Royal Mail out of more than 70 million, a court heard Parmjeet Sandhu, 56, and his nephew Balginder Sandhu, 46, were part of a scheme to under-declare mail posted through a network of logistics companies in Buckinghamshire and Berkshire, pocketing millions of pounds, Southwark Crown Court (pictured) heard Lakwinder Sekhon, 42, also worked for Narinder and Parmjeet. Together with Balginder, the four worked the fraud to line their own pockets, it is alleged. Both Narinder and his company PPIL admitted conspiracy to commit fraud by false representation. Parmjeet, Balginder and Sandhu, along with companies Tiger International Logistics Ltd, Worldwide Transport Express Ltd and Worldwide Express Ltd, all deny conspiracy to commit fraud by false representation. Today (Tues) Mr Ellis Sareen, prosecuting, told jurors how Parmjeet was 'integral' to the fraud, but not rewarded as generously compared to his brother. He said: 'His [Parmjeet's] rewards were commensurate with the importance of his work. 'He did not do as well as Narinder Sandhu out of this fraud. Narinder Sandhu and his wife Jaswinder had a multi-million-pound home near Beaconsfield. As well as a Bentley, a Rolls Royce, a pool house, etc. 'His declared income - what he was paying tax on - was about 1 million per year towards the end of the period that the fraud was running. 'Parmjeet Sandhu did not become as wealthy as his brother Narinder, but he still made a lot of money out of the fraud. 'In the tax year 2014/15 he declared an income to HMRC of 495,000 per annum. 'Notwithstanding a financially disadvantageous divorce settlement, as of December 2020 he owned real property - houses, flats - worth around 2.6million, with equity of around 1.3million, largely funded from the companies involved in the fraud. 'However, it is important not to fall into the trap of thinking that because the loss to Royal Mail was in excess of 70million, there must be a sum this large stashed away somewhere. 'Some of the gain obtained by defrauding Royal Mail and other postal operators was passed on to customers with lower prices.' In a text exchange in 2012, Sekhon joked that Balginder was buying properties like former US president and businessman Donald Trump. Balginder wrote: 'I'm doing the new invoices, which are starting today, and realised how rich ur getting. Mans is smashing it. 'U keep making big bux.' Sekhon replied: 'What U on about? Mans ain't making s***'.' Balginder said: 'In your world Shaily [his wife] will become a wag soon. Sekhon: 'hahahaha coming from a man buying properties like Donald Trump. I can only wish to live in Windsor'. Balginder: 'Ur property is like a hotel.' Sekhon: 'I know. I have to cook and clean for everyone'. Sekhon worked as a mortgage broker and advisor and worked as Narinder's 'property man' to find him land to invest the profits of his frauds. 'Sekhon didn't just find properties; he also managed them. In particular, he had a role in looking after Hadley Grange, Narinder's mansion near Beaconsfield.' Balginder's role was not as central as either of his uncles' as he worked on site on the shop floor. Mr Sareen added: 'His role was not entirely manual work. 'Both Balginder and Sekhon were involved in setting up new sites for collections to be made from and in liaising with Royal Mail.' Balginder submitted a defence statement that said: 'He is computer illiterate and had no knowledge of any fraudulent activity.' Parmjeet was a director of all three defendant companies at various points between 2008 and 2017. 'He was the only person with the legal power to direct the activities of the company Worldwide Transport Express Ltd. 'He was not afraid to get his hands dirty driving a forklift from time to time. But much of his time was spent organising where mail would go - which one of the various sub-contractors would handle it - and directing the staff. 'In his defence statement he says that, if there was a fraud he knew nothing about it. He says that he spent almost all of his working day on the factory floor and left the paperwork to others.' Parmjeet and Balginder, both of Slough, and Sekhon, of Isleworth, West London, along with companies Worldwide Transport Express Ltd, Tiger International Logistics Ltd and Global Express Worldwide Ltd all deny conspiracy to commit fraud by false representation. The eight-week trial continues. Police bodycam footage shows the moment marketing executive Tiffany Gomas stormed off an American Airlines jet after her viral outburst about an invisible man snarling at cops who asked for her boarding pass. The now-infamous Dallas native, 38, called staff 'f***ing delusional' as she continued to rant on the jet bridge before marching away from officers who called for her to come back and hand over her ID. Gomas is then seen on the phone to her mother, in the aftermath of the viral July 2 flight, telling her that she is being followed by two officers, who are 'recording her' before calling them 'f***ing d*****bags'. An exasperated air stewardess can be seen trying to explain the situation to Gomas, asking her to leave, as she films the plane and points to it as her mother asks where her suitcase is. Officers tell her that she has to leave or she will be arrested for criminal trespass, with Gomas eventually handing over her boarding pass which shows she was able to get through security with TSA Pre-Check. The now-infamous Dallas native, 38, called staff 'f***ing delusional' as she continued to rant on the air bridge before marching away from officers who called for her to come back The glam brunette, who is clutching her $1,900 Goyard bag the entire time, then tells staff that the flight 'will not get to Orlando' as she vows 'never to fly' with American again. She can be heard begging her mother to believe her on a FaceTime call, as she soothes her daughter to 'calm down' before she says 'you know me Mom, that plane is going down'. The successful marketing executive was escorted from Dallas Airport's airside area for passengers to board by two police officers, who told her: 'We're trying to help.' Bodycam footage shows her saying: 'I wanna get the f*** outta here' before calling the cop a 'motherf**er' for asking where her boarding pass is. Bizzarely, Gomas tells cops that the flight 'isn't going to make it to Orlando', adding 'that flight will f***ing blow up'. In an apparent bid to control the narrative after her infamous 'that motherfu**er is not real' rant, Gomas has coordinated a complete re-brand after apologizing for the viral plane meltdown, getting up from her row 21 aisle seat. She has gained more than 107,000 followers on Twitter and 75,000 on Instagram since the controversy. Gomas described it as her 'very worst moment', and relaunched her social media accounts after breaking her silence to DailyMail.com. In an apparent bid to control the narrative after her infamous 'that motherfu**er is not real' rant, Gomas has coordinated a complete re-brand after apologizing for the viral meltdown Gomas, her Goyard bag under her arm, marched to the front of the plane on July 2 and told fellow passengers she was disembarking because the aircraft was not safe Gomas is then seen on the phone to her mother, in the aftermath of the viral July 2 flight, telling her that she is being followed by two officers, who are 'recording her' before calling them 'f***ing d*****bags' She then goes on to say: 'My dad's a cop, f**k you, dude. F**k you' she say before requesting the police officer, who is remaining calm, to 'get the f**k out of my face' Gomas unlocked her Instagram page more than a month after the July 2 viral incident aboard an American Airlines flight 'My life has been blown up. It's frightening,' she said. 'Things go viral and everything changes.' Gomas continued: 'First and foremost, I want to take full accountability for my actions. 'They were completely unacceptable. Distressed or not, I should have been in control of my emotions, and that was not the case. 'My use of profanity was completely unnecessary and I want to apologize to everyone who was on that plane, especially those who had children aboard.' She said she could not imagine 'going through that and trying to explain to your kid what in the world just happened.' Gomas, her Goyard bag under her arm, marched to the front of the plane on July 2 and told fellow passengers she was disembarking because the aircraft was not safe. The video showed a visibly shaken, and arguably crazed, Gomas telling passengers: 'I'm getting the f*** off and there's a reason. I'm telling you right now that motherf***er back there is not real and you can sit on this plane, and you can die with them or not. I'm not going to.' She has gained more than 107,000 followers on Twitter and 75,000 on Instagram since the controversy. Gomas was also accused of assaulting a man, who told cops that she pushed him, but did not want to press charges Gomas went viral after the July 2 incident on an American Airline plane where she was seen saying, 'the motherf***** is not real' Gomas described it as her 'very worst moment', and relaunched her social media accounts after breaking her silence to DailyMail.com Speaking exclusively to DailyMail.com from her home in Dallas, a recalcitrant Gomas said: 'No one knows anyone else's story, and no one should judge. No one knows what it's like.' Dressed in a fitted white tank and black leggings, her long hair slicked back in a bun, the owner of Uppercut Marketing, which promises its clients: 'We make you look really really good,' stood at the door of her $1.6million property and said she was consulting a lawyer. 'They're staking out my house. They're staking out my neighbors. They're going through my mail,' she said. 'So much of what's out there is inaccurate,' but when asked for specifics she declined to comment further. Her return to public posting follows the extremely viral video of the fit she threw on an airplane traveling from Dallas to Orlando. The coordinated relaunch is ripe for monetization - which she has activated across her YouTube, Instagram, Twitter and TikTok account. 'I apologize and take accountability for my actions, they were uncalled for,' Gomas said of the July 2 viral clip. 'My very worst moment was captured on video.' Gomas also claimed that much of what has been circulating in the media or online is 'inaccurate' but declined to elaborate Gomas, 38 now has over 107,000 followers on Twitter and 75,000 on Instagram since her efforts to relaunch herself on social media days ago Speaking from her $1.6million Dallas, Texas home, Tiffany Gomas finally broke her silence on her viral 'meltdown' on an American Airlines flight last month Gomas had a picture of herself on Instagram at the recent Jake Paul and Nate Diaz fight in Dallas Gomas was flying to Florida on July 4 weekend when she decided that the plane was unsafe, loudly demanding everyone on the flight evacuate. She said in the video posted Sunday to Twitter: 'Although the memes have been amusing, the flip-side has been cruel. I'm thankful for my friends and family for supporting me through this. 'This experience has been life-altering and I hope to do good from it and promote positive mental health. Stay tuned!' Gomas coordinated her post on Twitter with the relaunch of her Instagram page and YouTube channel. She also launched her webpage that tells people 'coming soon.' All posts except one on her Instagram page were posted inside of the last month, or edited inside of the last week. She has also chosen to follow just 16 specific accounts so far on her Twitter profile, which include uber successful podcasters like Joe Rogan, Jordan Peterson and Lex Fridman, as well as TMZ and Barstool Sports. Gomas coordinated her post on Twitter with the relaunch of her Instagram page and YouTube channel 'Distressed or not, I should have been in control of my emotions, and that was not the case,' Gomas said in a new video 'My use of profanity was completely unnecessary and I want to apologize to everyone who was on that plane, especially those who had children aboard,' Gomas (right) said Passengers on Flight 1009 from Dallas Fort Worth to Orlando that day were forced to deplane after a 'distraught' Gomas suggested the plane was not safe, forcing staff to re-screen the aircraft and passengers. The marketing executive, who is based in Dallas, was issued a warning for Criminal Trespass, but she was neither charged nor taken into custody Gomas's meltdown was apparently sparked by an argument with a relative whom she accused of stealing her airpods. 'The female then started claiming the aircraft was not safe and did not want the aircraft to leave due to her believing it would not make it to its destination,' the police report states. 'Due to the statements the flight attendants felt the aircraft needed to be rescreened. [The airline manager] explained that the passenger was denied boarding and they wanted her escorted to the public side.' Police officers approach her as she is speaking to her mother, saying that she 'needs to understand that she isn't lying'. But in bodycam footage she tells cops that she asked to swap seats with the 'a**hole' sitting next to her. She said: 'I switched seats with him thinking it was a good idea, then he stole my AirPods and then he had his face up and I tried to talk to him and he wouldn't. Gomas (left) posted pictures of herself with friends in happier times that is now visible on her social media accounts Tiffany Gomas's meltdown was caught on video last month when she frantically demanded to get off a plane, claiming someone in the back of the aircraft was 'not real' Gomas, who was clutching a $1,900 Goyard tote bag in the clip, heads up her own marketing firm and lives in a $1.6million luxury four-bedroom property complete with a swimming pool 'The other male never got escorted out just I did because I said I wasn't comfortable being on that flight with him.' She then goes on to say: 'My dad's a cop, f**k you, dude. F**k you' she say before requesting the police officer, who is remaining calm, to 'get the f**k out of my face.' DailyMail.com has been unable to confirm whether Gomas was telling the truth about her father working in law enforcement. The policeman simply tells her to 'have a nice day' which Gomas repeats back at him as the video ends. Gomas was also accused of assaulting a man, who told cops that she pushed him, but did not want to press charges. When asked about the incident by officers she laughed, before saying: 'Wait, I assaulted someone? I'm 5-feet 2-inches, 120 pounds. Could I hurt you? How tall are you?' The marketing executive was deemed a 'rising star' in 2017, when she served as Vice President of Client Services at Elevate Brand Marketing. She now heads up her own marketing firm, UpperCut Marketing, which boasts big name clients such as Xbox, Microsoft and others. Its public profiles were locked after the incident. Gomas resides in a $1.6million luxury four-bedroom property complete with a swimming pool. She bought the home in the affluent neighborhood in January 2022 for $572,530, before renovating the entire space. A teenager who stabbed a 14-year-old schoolboy through the heart and left him dying has been sentenced to a minimum of 16 years behind bars. Daniel Haig, 18, murdered Justin McLaughlin, 14, with a single blow through the heart at Glasgow's High Street Station on October 16, 2021. Haig, who was 16 at the time, was sentenced to life imprisonment at the High Court in Edinburgh on Wednesday and ordered to serve a minimum of 16 years before he is eligible for parole, the Crown Office said. During the trial at the High Court in Glasgow in June, the court was played CCTV of an altercation between Justin and Haig. Justin had arrived at the train station with a group of friends, while Haig and an acquaintance were already at the station. CCTV footage shows Daniel Haig jumping on to the tracks to retrieve his knife before he struck Justin McLaughlin with the weapon Justin (pictured) was killed after arriving at the train station with a group of friends Despite denying he murdered Justin, Haig (pictured) was handed a life sentence and ordered to serve a minimum of 16 years imprisonment before being eligible for parole Haig denied murdering Justin and claimed he carried a knife for protection. At an earlier hearing, Haig pleaded guilty to a previous assault and to previously carrying a concealed knife. Prosecutors said that during the trial, the court heard Haig and Justin were affiliated to rival gangs in Glasgow's east end. During the altercation at the station, the court heard Haig had dropped his knife on the train tracks and jumped off the platform to retrieve it before chasing after and striking Justin with the weapon while the younger boy was in a 'defenceless' position. In a sentencing statement posted on the Judiciary of Scotland website, judge Lord Clark told Haig: 'Your murder of (Justin McLaughlin) has had a devastating effect on the family. 'They are left with the dreadful loss you have caused for the rest of their lives. There is no sentence available to me which can even begin to alleviate the impact on them. 'It is deeply disturbing to see this gang activity still happening. 'It has been going on for many decades. A boy with a knife attacking and killing another boy because he is from a different local scheme, and there is gang rivalry, is completely senseless. 'The sentence for murder is fixed by law. I therefore sentence you to detention for life.' Both Haig and Justin were affiliated to rival gangs in Glasgow's east end, prosecutors said Haig (pictured) denied murdering Justin and said he carried a knife for protection Prosecutor Moira Orr, head of homicide and major crime for COPFS, said: 'This case is tragic evidence of the destruction wreaked when young people carry bladed weapons. 'Daniel Haig armed himself with a knife. Just 16 himself at the time, he was carrying it in his rucksack as he walked in Glasgow city centre. 'Because he had that knife, Justin McLaughlin lost his life two days after his 14th birthday. 'His family, his friends and a wider community have been left utterly bereft. 'Our thoughts are with them now as they struggle with the enormity of their loss. 'Meanwhile, at the age of 18, Haig now faces a future spent in jail as a result of his actions. 'We must hope this sends a message to children and teenagers who may be tempted to carry knives. They risk causing calamitous and irreparable harm to others and to themselves.' Former New York City Mayor Rudy Guiliani was among Donald Trump's most loyal defenders during the last days of the administration echoing his claims of election fraud and ferrying those claims to state legislators around the country. He now finds himself indicted by a grand jury in Fulton County, Georgia, with his legal bills mounting while he fends off multiple probes and lawsuits, and fights to keep his law license. Lawyers for the once high-rolling former mayor - who savors fine cigars - have citied his financial woes in court, and his legal fees have now climbed to hundreds of thousands of dollars. He even put his Upper East Side apartment on the market, although some real estate experts doubt he'll fetch the $6.5 million price tag, in part due to the 'negative perception' from all this legal drama. The fees and fines keep piling up. Giuliani was the second official identified after Trump in the indictment handed down in Georgia Tuesday, accusing them of a 'criminal enterprise' as part of Trump's election overturn effort. Rudy Giuliani has been indicted by a Fulton County, Georgia, grand jury. It is just the latest in his legal woes. A court filing says he is having difficulty complying with an order to pay $89,000 in legal fees and wants to suspend it Under an order by the judge, he will have to turn himself in for arrest by August 25. Georgia election workers Shaye Moss and Ruby Freeman, who are referenced in the indictment, have sued Giuliani for defamation. A judge ordered him to pay them $89,000 in legal fees. Late last month, he admitted in a legal filing that he made the statements detailed in their complaint, and that 'to the extent that statements were statements of fact and otherwise actionable, such actual factual statements were false.' It isn't clear he has available assets to pay the fees, and he is asking the court to defer payment. According to a new filing in the case, Giuliani 'is having financial difficulties and would like the payment of fees to be tolled until the case is resolved. 'Giuliani needs more time to pay the attorneys' fees and would like the opportunity to seek an extension from the Court.' He faces significant exposure in the Fulton County case. He is charged with 13 counts, among them false statement and solicitation of public officials amid the 'fake' electors scheme. His 'massive' legal bills have now reached into the hundreds of thousands of dollars, CNN reported. He faces another defamation suit from Smartmatic, plus one from Dominion Voting Systems, both linked to his defense of Trump and claims of fraud. Giuliani (photographed in 1987) ripped Fulton County DA Fanni Willis for hitting him with RICO charges he once used against the mob and white collar criminals Noelle Dunphy filed a $10 million suit against Giuliani, alleging harassment and sexual abuse Giuliani has listed his New York apartment Giuliani, pictured with former President Donald Trump in Bedminster, New Jersey Fulton County District Attorney Fani Willis Ruby Freeman, the mother of Shaye Moss, a Fulton County, Ga., elections worker, sued Giuliani for defamation Giuliani and Trump lawyer Sidney Powell (r) were each indicted Tuesday He parted with some of his resources during an acrimonious divorce from Judith Giuliani. Noelle Dunphy in May sued him for $10 million for sexual harassment and abuse. Transcripts from audiotapes in one of her filings has him commanding her: 'Come here, big tits. Come here, big tits. Your tits belong to me.' Giuliani has 'unequivocally' denied the charges. Facing financial strain, Giuliani put his $6.5 million Upper East Side coop on the market. But Cara Ameer of Coldwell Banker told Realtor.com he may have to provide a discount for negative goodwill. 'While many properties command a little extra for "celebrity aspirational pricing," in this case, that might work against him,' said Ameer, a listing agent. 'Given all of the legal drama overhanging Giuliani for his involvement with former President Trump, the property could have a negative perception,' Ameer continued. 'Because Giulianis legal troubles are well known, it might be difficult to command top dollar for this property. There is no doubt a buyer may want to try to take advantage of the situation and bottom-feed a bit.' Giuliani blasted Fulton County District Attorney Fani Willis Tuesday for the use of the racketeering statute against him that he pioneered as a U.S. attorney in New York. 'This is a ridiculous application of the racketeering statute,' he told Newsmax host Eric Bolling. 'Theres probably no one that knows it better than I do. Probably some that know it as well. I was the first one to use it in white-collar cases,' he said. 'This is not meant for election disputes. I mean, this is ridiculous what she's doing,' he added. 'Also, I dont know if she realizes it because she seems like a pretty incompetent, sloppy prosecutor. I mean what she did yesterday with that indictment is inexcusable. 'If she worked for me, I wouldve fired her,' he added, referring to the fact that the indictment was posted online before the Grand Jury had voted to file the charges. More parents can expect to be jailed if their children miss school after a court upheld prison terms for two mothers whose young children missed just two weeks over the course of a year. Mothers Tamarae LaRue and Caitlyn Williams from Lebanon, Missouri, were put behind bars for not ensuring regular attendance by their six and seven-year-old children. And their appeal was dismissed on Tuesday by the Missouri Supreme Court which insisted that the state did not have to define what 'regular' means. No Missouri parent would conclude attendance on a regular basis means anything less than having their child go to school on those days the school is in session, Supreme Court Judge Robin Ransom declared. The moms from Leclade County were prosecuted by the Lebanon II School District which demands an attendance rate of at least 90 per cent. Tamarae LaRue was sentenced to 13 days behind bars when attendance by one of her four sons dropped below what the school deemed acceptable LaRue, 32, was sentenced to 13 days after her son missed 15 days of first grade, while Williams was jailed for seven days after her daughter missed 16 days of kindergarten. Williams alerted staff on some occasions including dentist appointments, a bad cough and a dose of ringworm, and LaRue, a mother of three other boys also notified her sons school of some absences due to illness. But she began having panic attacks and fearing she was being picked on in a state where almost a quarter of students attended school less than 90 per cent in 2021/22. I was busting my tail trying to make sure they had all the proof they needall the doctors notes they needcalling them while at the eye doctor, LaRue told the Wall Street Journal from the gas station where she works. Nearly 600 charges have been filed by state officials for violations of Missouris compulsory-education law in the last five years. Steve Jackson, the judge who jailed Williams in June 2022 admitted the law was a mess and said he hoped she would appeal. 'It is absolutely a horrible statute,' he told the court. But the state's supreme court has left the law untouched, arguing that the mothers had been warned, opening the door to more jail terms for parents. Esther Elementary School sent Williams a letter in November 2021 after her daughter's sixth unexplained absence, telling her 'the Missouri Department of Elementary and Secondary Education states that students should have a 90 per cent or higher attendance percentage'. The assistant principal reportedly warned that the absences were affecting her daughter's performance, and she was subsequently charged with a 'class C misdemeanor of violating the compulsory attendance law'. The single mom was convicted by the circuit court which sentenced her to seven days in the Laclede County jail. LaRue too was written to after six unexplained absences and later sentenced to 15 days jail before her sentence was commuted to two years probation. 'This nonattendance was not excused by any circumstance provided for in the statute,' the court wrote. 'Given the notice provided to each parent and that each parent was in control of their young child, evidence existed to support the inference that each parent knowingly failed to cause their child to attend school on a regular basis.' 'School attendance is crucial for a students academic, social and personal development,' said district spokeswoman Jacy Overstreet. 'Our first approach is to work collaboratively with students, their families and our dedicated staff members to identify the underlying reasons for the absences.' Detectives investigating a homophobic double stabbing outside a London gay pub have issued new images of a man they want to trace. The CCTV grabs, which depict a hooded man wearing a black facemask, show the individual took a route 50 bus in Thornton Heath, Croydon, at around 8.30pm on Sunday, shortly before the attack near the Two Brewers in Clapham at 10.15pm. The double stabbing saw two men one in their 20s and one in their 30s knifed outside the nightclub on Clapham High Street. Both victims were taken to hospital but have been discharged since. Met Police officers are searching for the suspect in the attack, which they are treating as homophobic. Detectives investigating a homophobic double stabbing outside a London gay pub have issued new images of a man they want to trace The CCTV grabs, which depict a hooded man wearing a black facemask, where taken on a route 50 bus in Thornton Heath at around 8.30pm on Sunday, shortly before the attack outside the Two Brewers in Clapham at 10.15pm Two men, in their 20s and 30s, were attacked near the Two Brewers in Clapham (pictured) on Sunday evening. Both victims were treated in hospital and discharged Detective Chief Inspector Jivan Saivb, from the local policing team in Lambeth, said: 'These images provide a clearer picture of the person we need to identify and locate following this shocking attack. 'I would urge people to look at these images do you know this man? Do you recognise the clothes he is wearing? If you can help identify him then please get in touch.' An earlier CCTV image taken outside the bar at the time of the attack showed a masked man dressed in a Nike top and grey hoodie. One of the victims has spoken out on social media, saying the attack, which is being treated as homophobic, has left him with 'so many questions'. The victim, who gave his name only as Neil, wrote: 'I've not been left feeling sad, nor angry, or confused. 'I've just been left so many questions. An earlier CCTV image taken outside the bar at the time of the attack showed a masked man dressed in a Nike top and grey hoodie 'To think what can lead to changing a person's mind that much that they can feel its ok (to) attack anyone, whatever their reasons... and how we change that. 'What today has strengthened in me, more than ever before, is that I could never, and have never, be prouder, happier, or more comforted, by the community I am lucky enough to have as my LGBTQ+ family.' He posted a photo of himself smiling with bandages wrapped around his head. Mayor of London Sadiq Khan took to Twitter after the stabbing to blame the 'culture wars' for the attack. Mr Khan criticised those who 'stoke up hate and vilify LGBTQ+ people', saying: 'Your culture war has real-life consequences.' Anyone with information is asked to contact police on 101 or tweet @MetCC and quote CAD 7198/13Aug. You can also provide information anonymously to the independent charity Crimestoppers on 0800 555 111. The search warrant that authorized a sensational raid on a local newspaper's headquarters and its co-owner's home has been withdrawn. All seized items from the Marion County Record have been released to the newspaper's attorney - five days after they were swiped by the police department. The police chief, Gideon Cody, was accused by newspaper owner Eric Meyer of using 'Hitler tactics' during the raid. His mom, Joan, died following the intense stress. According to KSHB 41 News, attorney Bernie Rhodes, representing the newspaper, now has a forensics expert on hand to examine if the seized computers and phones were searched by law enforcement. Joel Ensey, Marion County Attorney, ruled on Wednesday that there is 'insufficient evidence' to justify why a search warrant was issued in the first place. Despite the lack of equipment, the Marion County Record successfully went to print on Wednesday - with the front page reading: 'Seized...but not silenced.' The moment that police using 'Hitler tactics' raided the Marion County Record newsroom has been caught on camera - just a day before the paper's co-owner subsequently died The lack of supplies did not stop the presses this week. The Marion County Record successfully went to print on Wednesday - with the front page reading: 'Seized...but not silenced' Ensey said he reviewed the affidavits - which are still sealed from the public - and found they did not establish enough probable cause to warrant pillaging the newspaper. Alongside detailing why he decided to withdraw the search warrant that was signed off by Magistrate Judge Laura Viar, Ensey also demanded all items be returned to their owners. The major development comes just two days after the Kansas Bureau of Investigations took over the investigation - which triggered a First Amendment debate across the country. However, retrospectively withdrawing the search warrant will not undo the most tragic aspect of this unfolding story in Kansas. Newspaper co-owner Joan Meyer, 98, died from the grief and stress she felt after the entire Marion Police Department raided her belongings at her home for hours. She was sobbing, couldn't eat, and died mid-sentence just 12 hours after the ordeal. Joan Meyer, 98, collapsed and died following the intense stress and grief she felt when her home was raided by the entirety of the Marion Police Department in Kansas - headed by Gideon Cody The police chief, Gideon Cody (seen here during the raid at the paper's HQ) was accused by Eric Meyer of using 'Hitler tactics' during the seizure Im putting together my story for later but heres a message from Eric Meyer, owner of Marion County Record to get you by. @KSHB41 pic.twitter.com/HWyNEn3pEK Jessica McMaster (@JessMcMasterKC) August 16, 2023 Asked how he felt following the withdrawal of the warrant today, Eric Meyer told KSHB41: 'Great. Relieved. Vindicated. 'Feeling like my mother didn't die in vain. Feeling that democracy won.' He said he needs to go back into the office and reassemble the computer network. And he gave warning: 'It can happen to anybody. They need to do what we did. Fight back! There are good people out there that will help you.' The elderly woman, who was the co-owner of the newspaper, was subjected to the raid by five officers and two sheriff's deputies on Friday - which caused her to be 'stressed beyond her limits and overwhelmed by hours of shock and grief' In the wake of the raids, the newspaper was also considering legal action against the police force. They seized their computers, phones, and other vital publishing equipment. Since the raid on Friday, the newspaper has seen a spike in online subscribers - more than 1,000 - as the local journalists garnered support from across the country. On Tuesday, it emerged that Cody was also being investigated by the newspaper over allegations of sexual misconduct. Cody became chief of the Marion County Police Department in late April, after leaving the Kansas City police amid allegations of sexual misconduct. On Tuesday, it emerged that Cody was also being investigated by the newspaper over allegations of sexual misconduct. Cody became chief of the Marion County Police Department in late April, after leaving the Kansas City police amid allegations of sexual misconduct Eric Meyer - Joan Meyer's son, and the co-owner and publisher of the Marion County Record, announced the death of his mother following the traumatizing raid over the weekend It was Kari Newell, 46, who accused the Marion County Record of getting her 2008 DUI information illegally, which would have disrupted her request for a liquor license - triggering the multiple police raids on Friday. Attorney Rhodes has slammed police chief Cody for instigating the raid at the 98-year-old woman's home himself. He said: 'Can he spell hypocrisy? This was his affidavit. His investigation and his search. He (Cody) drove to the house to personally search the house of a 98-year-old who had nothing to do with this. A woman who died the next day.' When Cody was fronted by reporters yesterday, he refused to answer any questions, and instead said: 'Do you realize how angry KBI (Kansas Bureau of Investigations) will be at me if I start talking about their case at this point?' Philadelphia businesses are being forced to set up booby traps like hidden sprinkler systems to fend off drug users. Local activist Frank Rodriguez has revealed that business owners in the Kensington area of the city are having to devise tricks to keep addicts off their stoops. The neighborhood has become ground zero for the city's drug epidemic, and is frequently seen strewn with trash and addicts injecting drugs in the open. Speaking to Fox News, Rodriguez said: 'Businesses end up throwing soapy water on the ground just so it is wet and it is not a comfortable place to sit down. 'There's businesses that set up sprinkler systems, they have to set up these crazy little hacks and booby traps just to keep people of their stoops.' Local activist Frank Rodriguez has said that businesses in Philadelphia are resorting to booby traps to keep drug addicts away from their storefronts Drug users either inject xylazine or smoke it, mixed with fentanyl and other drugs Rodriguez continued: 'The businesses don't last long. When they are put in the community, the community tends to tear them down. It's not a place for anything to thrive.' Outside of Cantina La Martina, a James Beard Award-nominated Mexican restaurant in Kensington, Rodriguez said workers had come outside to clean up 'needles, vomit, feces and bodies'. He continued: 'I couldn't imagine the customers to my business having to come through all this chaos just to support my business.' 'Who wants to come down to this neighborhood to shop here? Who wants to do that? Nobody.' The disaster in the neighborhood has been fueled by the rise of the drug Xylazine, known as 'tranq' - a lethal sedative that is used to enhance the effects of heroin, fentanyl and cocaine. Philadelphia has become overwhelmed by drug-fueled crime under Democratic District Attorney Larry Krasner, a self-described 'progressive prosecutor.' His failure to crack down led to his impeachment in 2022 for 'dereliction of duty', however he remains in office after his trial was indefinitely postponed. 'Tranq' users experience debilitating highs that leave them in a zombie-like stupor The shocking footage laid bare how 'tranq' has turned Philadelphia's Kensington district into a drug-infested hellhole Crime has soared by a fifth in Philadelphia compared to last year, with theft among the law-breaking which has made the problem persistent. Homeless people and drug addicts are often driven to petty theft as a way to find sustain themselves or scrape enough money together to supply their addictions. Footage by Dailymail.com back in May revealed the scale of Philadelphia's untamed 'tranq' epidemic, which has transformed the city's streets into a drug-infested hellhole. GOP candidate Vivek Ramaswamy, who visited the area as part of his campaign, earlier this year said that the streets of Philly had turned into an 'open-air drug market.' In a video of him walking around the city, he said: 'Look, there are needles lying on the left and right, just saw two rats running by. It's not just driven by poverty. 'Here, it's actually inflicted by drug users literally crossing our southern border,' he claimed. 'It's like an open-air drug market.' The inner city district has long been a magnet for drug users seeking their next high, but the scale of problems caused by xylazine is shocking even to locals A person is pictured passed out from the drug. Xylazine is now found in 90 per cent of all Philadelphia's heroin One person previously gave DailyMail.com an inside look into the harrowing situation, explaining 'people are starving, people are overdosing.' 'I've had to do CPR on five people out here. We have found three dead bodies, people just stepping over bodies that are laying there. I've lost count of how many times we've had to do Narcan, uh, for folks,' the person said. The person added that the addicts are flocking from California and New York and other areas of the United States. 'You know, when I, when we come from Virginia, people are like, why are you going to Philly? It's a Philadelphia problem. 'Like, this is an America problem and it's coming to a city near you if we don't do something about it because, um, people are literally coming from all over the east coast because they know they can come here and use and they won't, they won't get in trouble for it.' A British Museum staff member has been dismissed after items were found to be 'missing, stolen or damaged' from the collection as bosses vow to find the treasures in a 'painstaking' recovery job. The items were 'small pieces' including gold, jewellery and gems of semi-precious stones and glass dating from the 15th century BC to the 19th century AD. They were mainly used for research and academic work not recently on public display. The London museum, which houses artefacts from around the world, has launched an independent review of security and will be starting a 'vigorous programme to recover the missing items'. Former museum trustee Sir Nigel Boardman pledged that while the recovery process will be a painstaking job, it will be made an absolute priority. Legal action will also be taken by the museum against the unnamed staff member, the institution said. The matter under investigation by the economic crime command of the Metropolitan Police. A member of staff has been dismissed and police are investigating after items from the British Museum collection were found to be 'missing, stolen or damaged'. Pictured: The British Museum in London Announcing an independent review of security, museum bosses pledged to recover the irreplaceable items however long it takes. The independent review will be led by former trustee Sir Nigel Boardman, and Chief Constable of the British Transport Police, Lucy D'Orsi. They will provide recommendations regarding future security arrangements and start 'a vigorous programme to recover the missing items', according to the museum. It was reported last night that the items were believed to have been taken over a long period of time and were not recently on public display because they were kept primarily for research purposes, with many in a storeroom. It is not known how many artefacts were taken, but they are believed to include items dating from 1500BC to the 19th century. Sir Nigel Boardman, who has vowed to recover the pieces, said: The British Museum has been the victim of theft and we are absolutely determined to use our review in order to get to the bottom of what happened, and ensure lessons are learnt. We are working alongside the Metropolitan Police in the interest of criminal justice to support any investigations. READ MORE: Gold, gems and glassware stolen from the British Museum 'could already have been melted down, recut or sent abroad,' expert warns Christopher Marinello, (pictured) a lawyer and the CEO of Art Recovery International, alleged that 'unsophisticated' criminals who steal works of art are typically focused on 'quick cash' and destroy the pieces as soon as possible Advertisement Furthermore, the recovery programme will work to ensure the stolen items are returned to the museum. It will be a painstaking job, involving internal and external experts, but this is an absolute priority however long it takes and we are grateful for the help we have already received. Sir Nigel and Lucy DOrsi, Chief Constable of the British Transport Police, will lead the museums independent review. They will provide recommendations regarding future security arrangements and will also kick-start and support a vigorous operation to recover the items. George Osborne, chairman of the London museum one of the largest in the world said the theft was a sad day for all those who loved the gallery. Mr Osborne said: 'The trustees of the British Museum were extremely concerned when we learnt earlier this year that items of the collection had been stolen. 'The trustees have taken decisive action to deal with the situation, working with the team at the museum. 'We called in the police, imposed emergency measures to increase security, set up an independent review into what happened and lessons to learn, and used all the disciplinary powers available to us to deal with the individual we believe to be responsible. 'Our priority is now threefold: first, to recover the stolen items; second, to find out what, if anything, could have been done to stop this; and third, to do whatever it takes, with investment in security and collection records, to make sure this doesn't happen again. 'This incident only reinforces the case for the reimagination of the museum we have embarked upon. It's a sad day for all who love our British Museum, but we're determined to right the wrongs and use the experience to build a stronger museum.' Hartwig Fischer, director of the British Museum, added: 'This is a highly unusual incident. I know I speak for all colleagues when I say that we take the safeguarding of all the items in our care extremely seriously. 'We have already tightened our security arrangements and we are working alongside outside experts to complete a definitive account of what is missing, damaged and stolen. This will allow us to throw our efforts into the recovery of objects.' Suspicion has centred on a member of staff, who has since been sacked. George Osborne, (pictured) chairman of the London museum one of the largest in the world said the theft was a sad day for all those who loved the gallery. He said: 'Our priority is now threefold: first, to recover the stolen items; second, to find out what, if anything, could have been done to stop this; and third, to do whatever it takes, with investment in security and collection records, to make sure this doesn't happen again' A spokesperson for the Met Police said: 'We have been working alongside the British Museum. There is currently an ongoing investigation - there is no arrest and inquiries continue. We will not be providing any further information at this time.' Items have gone missing from the museum in previous years including a number of coins and medals in the 1970s and a 1993 break-in when Roman coins were taken. In 2002, the museum reviewed security following a 2,500-year-old Greek statue being stolen by a member of the public. The institution said at the time that the Greek Archaic Gallery had been open to the public but there was no permanent guard on duty when the 12cm-high marble head was taken. Two years later, Chinese gems also went missing. In 2017, it was revealed a 750,000 Cartier ring diamond from the heritage asset collection had been reported absent in 2011. Speaker Kevin McCarthy seems to have accepted the GOP-led House will not be able to push through 12 spending bills before the end of the fiscal year, and will instead need to pass a resolution to keep government funding at its current levels temporarily. Congress has until September 30 to pass legislation to fund the government through fiscal year 2024 - but the House does not return from recess until September 11. The speaker told his rank-and-file members on a press call Monday that a short term CR, or continuing resolution, was the most likely path forward - prompting immediate opposition from a number of Republicans who would rather not see the process drawn out. Speaker Kevin McCarthy seems to have accepted the GOP-led House will not be able to push through 12 spending bills before the end of the fiscal year, and will instead need to pass a resolution to keep government funding at its current levels temporarily A continuing resolution would extend current spending levels - which were set under Democrats in last year's 117th Congress - and buy more time for Congress to hash out its differences. Democratic Senate Majority Leader Chuck Schumer said Tuesday that he and McCarthy had met 'a few weeks back' and agreed to pursue a CR. 'I thought that was a good sign,' Schumer told Morning Joe on Wednesday. 'We are working together to avoid that shutdown in the Senate, Democrats and Republicans, but the House, McCarthy is going to have the dilemma of dealing with these hard right people who are just totally destructive and angry.' McCarthy has promised to push through 12 separate funding bills, which set spending levels for each agency separately, rather than an over-arching 'omnibus' bill. Under an omnibus, members are often forced to choose between voting for provisions they don't agree with or holding up funding for the government and forcing a shutdown. But some conservatives say Congress should not punt its disagreements down the road. 'I am a NO on any Continuing Resolution that only kicks the can down the road,' moderate GOP Rep. Tony Gonzales wrote on X, formerly Twitter. 'Lock Congress in a room until we pass a conservative budget void of excess financial waste.' Conservative Rep. Chip Roy, Texas, came out forcefully against a continuing resolution. 'Under no circumstances will I support a 'continuing resolution' to fund the government at the bloated, corrupt 2023 levels,' he said in a statement. 'This is especially true if it were to stupidly expire in December.' He also suggested Congress should have worked through the August recess to get spending bills done. 'I might might support a short series of 24 hour 'CR's' to create maximum pain for Congress to do its damned job, which by the way we could be doing in Washington right now.' McCarthy could pass short-term continuing resolution with the help of Democrats, but the pushback from conservatives again prompts the question of how McCarthy will navigate the spending battle and secure budget cuts for fiscal year 2024 without angering the rightward flank. Even before the final vote on a short term CR, McCarthy would need the support of almost all Republicans for a procedural vote on the rule for the CR. Democrats typically do not assist the GOP speaker with passing the rule on a bill, even if they do vote for the final bill. 'McCarthy has been allegedly talking about, 'Well, we'll do a three-month CR into December.' That's exactly the playbook to try to get it done and to roll us,' Roy said in a Spaces conversation on X on Monday. 'So we're going to have to throw everything we have in fighting that heading into September.' If the House did a longer-term CR to stretch into the new year, an automatic one percent across-the-board cut would kick in beginning January 1. Rep. Matt Gaetz, R-Fla., said a short-term deal followed by one percent cuts could be preferable to Democrats. 'Joe Bidens going to get 100% of what he wants, followed by 99% of what he wants,' he said in a Spaces conversation on X Monday night. Conservative Rep. Chip Roy, Texas, came out forcefully against a continuing resolution Rep. Matt Gaetz, R-Fla., said a short-term deal followed by one percent cuts could be preferable to Democrats Some of the conservative demands include immediately cutting funding to agencies tied up in Donald Trump's legal woes. Rep. Ronny Jackson, R-Texas, said he would not vote for a CR that doesn't 'smash' the Department of Justice's budget. 'I WILL NOT vote for any continuing resolution that doesn't smash Biden's DOJ into a million pieces,' Jackson wrote on X. 'The DOJ has very rapidly become the enemy of the American people, and if nothing is done soon, our rights will be GONE. We MUST defund it!!' Roy, meanwhile, has said he would not vote for a stopgap or full-year spending bill that funds the Department of Homeland Security (DHS) without policy changes. Last week, fourteen other House Republicans from Texas signed on to a letter from Roy promising to oppose DHS funding without major changes. McCarthy did not appear to be on board with holding up funding for DHS ahead of the August recess. 'I want my border secure. I'm going to fund my border,' McCarthy said. Further complicating matters is Ukraine funding. President Biden requested $40 billion in supplemental funding, including some $24 billion for Ukraine last week. The House's right-wing members balked at the request - 12 of them wrote a letter to the White House expressing their outrage. 'Americans are tired of funding endless wars and want policies that not only help restore fiscal sanity in Washington, but also put America and American citizens first,' the lawmakers wrote. The White House requested the supplemental aid 'as part of a potential short-term continuing resolution for the first quarter of FY 2024,' though it's not clear if leadership would pair Ukraine aid with the spending bill. Last month, 89 House Republicans voted for an amendment to strip $300 million in aid for Ukraine from the Pentagon's yearly spending bill. Rishi Sunak tonight rejected Tory calls for a referendum on the Government's pledge to reach net zero by 2050. The Prime Minister insisted he was 'committed' to the target and claimed there was existing 'agreement' within Britain on action to tackle carbon emissions. The Tories' surprise win in last month's Uxbridge and South Ruislip by-election - largely thanks to a local backlash against the expansion of London's Ultra Low Emission Zone (ULEZ) - has seen some MPs to call for a review of green policies. This includes backbenchers demanding the Government to put the 2050 net zero target to a nationwide vote. Under the objective signed into law by Theresa May when she was PM, the UK is legally obliged to reduce its greenhouse gas emissions by 100 per cent from 1990 levels over the next 27 years. Rishi Sunak has rejected Tory calls for a referendum on the Government's pledge to reach net zero by 2050 The PM said he 'cared' about the environment that would be left to his two daughters Anoushka and Krishna (centre left) Tory MPs Karl McCartney and Marco Longhi have been among those pushing the PM for a public vote on the 2050 target Speaking to ITV News this evening, Mr Sunak reaffirmed that he was 'committed to net zero'. 'I have two young daughters, I care about the environment that we - I - leave them, ' the PM said. 'My job is to leave it in a better state than I found it. 'But I think the path to net zero has got to be one that we tread carefully, that we bring everyone along with us on that journey, and we make that journey in a proportionate and a pragmatic way. 'So, my view is I'm committed to it. We will get there. 'We will bring people along with us as we do, we will not unnecessarily burden them with extra hassle or extra cost as we do it. That is my overall approach to net zero.' When asked whether he would consider putting the 2050 deadline to a public vote, Mr Sunak appeared to rule it out, saying he thought there was 'agreement on it'. 'I think most people are committed to getting to net zero, but getting there in a proportionate and pragmatic way,' he added. 'That seems to me that common-sense approach to doing this, I think that has broad support.' The PM's stance is set to disappoint Tory 'Red Wall' MPs who had been pushing for a net zero referendum. Marco Longhi, the MP for Dudley North, told the Telegraph this week: 'Given the complexity of this issue and its far-reaching consequences, I believe that involving the public through a referendum is right. 'A proper debate has never been had, and it should be. 'A referendum would require the Government to communicate the intricacies of the 2050 net zero target to the public, fostering a better understanding of the challenges and benefits.' Karl McCartney, the Lincoln MP, agreed that Mr Sunak should 'rethink the headlong rush for net zero', adding: 'The establishment was solely focused on chasing approval from London's woke eco-zealot crowd who have no clue what happens in the real world. 'Just like Brexit, the Government needs to make sure the public are on board with such radical changes, and that they hear the arguments and can make an informed decision.' Mr Sunak was also asked by ITV News about Greenpeace targeting his constituency home while he was away on holiday in the US with his family. A group of demonstrators scaled the Sunaks' Grade II-listed constituency manor house in Richmond, North Yorkshire, to protest against his oil and gas licences announcement. The activists draped an oil-black fabric from the roof, staying there from about 8am to 1.15pm, when they were arrested and later bailed as part of an ongoing investigation by North Yorkshire Police. The PM suggested he agreed that it should not have been possible for protesters to get to his Yorkshire home, but said it 'wouldn't be right' for him to comment further following the arrests. Mr Sunak said he had given the police additional powers to crack down on 'these kinds of eco extremists, these eco zealots, the Just Stop Oil and others who are disrupting all these sporting events over the summer in particular.' A leading Russian general purged by Vladimir Putin has been found dead. Colonel General Gennady Zhidko, 58, died after a 'lengthy illness' on August 16, 2023, according to Russian online news outlet Readovka. Zhidko briefly led the invasion of Ukraine as commander of the Southern Grouping of Forces before giving way to General Sergei 'Armageddon' Surovikin in October. The 58-year-old also served as the Chief of Staff of the Russian Armed Forces in Syria in 2016, and in 2018 was appointed Commander of the Eastern Military District. The high-ranking official - who was awarded the highest honorary title, the Hero of Russia, for his service in 2017 - later became deputy minister of defence in 2021. Gennady Zhidko, Hero of Russia and 2022 Commander of Eastern Military District died at 58 Vladimir Putin congratulates Major General Gennady Zhidko after awarding him the title of the Hero of the Russian Federation at the State Kremlin Palace December 28, 2017 Zhidko was ousted from his role in Ukraine in October 2022 - as commander of Putin's 'special military operation' - after the failure to grab the city of Kharkiv. He had been seen as in charge since April after taking over from ousted General Alexander Dvornikov, aka the 'Butcher of Syria'. The role led to Western sanctions against Zhidko. Surovikin, who replaced him, was later demoted from the role by chief of the general staff Valery Gerasimov. Surovikin remained his deputy but is believed to have been detained in June amid suspicion he knew of plans to stage a coup against Putin's regime by Wagner mercenary chief Yevgeny Prigozhin. Little had been seen of Zhidko in recent months. His death was confirmed by the loyalist governor of Khabarovsk region Mikhail Degtyarev, who expressed his condolences, but there was no official announcement. The reports did not say where Zhidko died. The commander was seen as having one of the best military brains in Russia. News of the Zhidko's death comes as Russia has stepped up attacks on Ukraine's Black Sea port infrastructure and facilities Kyiv uses to export grain. Pictured: Damaged warehouses in a port on the Danube river, in southern Odesa region on Wednesday The possibility of a Russian attack on cargo ships in the Black Sea increased after Moscow said it fired warning shots from a warship at a cargo vessel heading towards Izmail, Turkey last week. Pictured: The cargo ship that was targeted by Russian forces on Tuesday last week News of the Zhidko's death comes as Russia has stepped up attacks on Ukraine's Black Sea port infrastructure and facilities Kyiv uses to export grain through the Danube river. The governor of the Odesa region said Wednesday that Russian attack drones had damaged grain facilities at a river port near the Romanian border. The air force meanwhile said it had downed 13 Russian drones over Odesa and the neighbouring Mykolaiv region. Ukrainian President Volodymyr Zelensky condemned the strikes, adding that 'every Russian attack on them is a blow to world food prices, it is a blow to social and political stability in Africa and Asia'. The possibility of a Russian attack on cargo ships in the Black Sea increased after Moscow said it fired warning shots from a warship at a cargo vessel heading towards Izmail, Turkey last week. Russia issued its maritime threat after scuppering a key deal last month brokered by the UN and Turkey, which guaranteed safe passage for grain shipments from three Ukrainian ports. Kyiv said on Wednesday that a civilian cargo vessel had exited its southern port of Odesa on Wednesday despite warnings from Russia that its navy could target ships using Ukraine's Black Sea export hubs. The announcement, which raises the spectre of a standoff with Russian warships, came hours after Ukraine said it had liberated the village of Urozhaine as part of a grinding push against Moscow's forces along the southern front. 'Our defenders are entrenched at the outskirts. The offensive continues,' Deputy Defence Minister Ganna Malyar said on social media. The Donetsk region - which has faced the brunt of fighting in recent months - is one of four Ukrainian regions the Kremlin claimed to have annexed last year. Pictured: Ukrainian soldiers preparing to board a pickup truck in the direction of Torske frontline on Tuesday Ukrainian soldiers fire the SPG recoilless gun at a forest in the direction of Torske frontline, as the Russia-Ukraine war continues in Donetsk on Tuesday A Ukrainian soldier prepares GSP shells in the direction of Torske frontline on Tuesday Malyar's announcement comes a day after Russian defence minister Sergei Shoigu said Ukraine's military resources were 'almost exhausted', despite receiving Western arms deliveries. Moscow did not confirm the takeover, saying only that its forces were continuing to engage Ukraine's forces around the village. The Donetsk region - which has faced the brunt of fighting in recent months - is one of four Ukrainian regions the Kremlin claimed to have annexed last year. Russian attacks in the last 24 hours killed four people and wounded seven, its governor Pavlo Kyrylenko said Wednesday. Further west of Urozhaine, Ukrainian forces have also been fighting to push back Russian forces from the north and south of the war-battered town of Bakhmut. Russian troops captured it in May after a months-long battle but immediately Ukrainian forces began clawing back ground around its flanks. Russian forces have been pushing back in the northern Kharkiv region, spurring local authorities to urge vulnerable residents to evacuate. Russia's FSB security service said it had 'eliminated' four Ukrainian 'saboteurs' who tried to cross into Russia's western Bryansk region from northern Ukraine, Russian news agencies reported. The newest Russian airborne combat vehicle BMD-4M fires during a dynamic display of military equipment at the International Military-Technical Forum on Wednesday Russia also said its air defence systems had downed several drones overnight near Moscow, in the latest of a string of aerial attacks that have targeted Russia's urban centres. At an exhibition in the Moscow region, Russia showcased Western military hardware seized from Ukraine. Among the 'trophies', according to the Russian ministry of defence, was an American M113 armoured carrier, an Australian Bushmaster armoured car and a Swedish CV90-40 combat vehicle. Also in Moscow, a Russian court sentenced a young man to ten years in jail for trying to set fire to a military registration office, independent rights group OVD-Info said Wednesday. Bulgarian prosecutors have opened an investigation into a group of nationals suspected of spying on Britain for the Russians. The Balkan nations electoral commission is also looking into whether the suspected spies sought to influence elections by helping expats cast votes in their homeland from the UK. Orlin Roussev, 45, of Great Yarmouth, Norfolk, along with Bizer Dzhambazov, 41, and Katrin Ivanova, 32, of Harrow, north-west London were arrested under the Official Secrets Act but at the moment have only been charged under the Identity Documents Act. A 31-year-old man and a 29-year-old woman, both from London, were also arrested in February and remain under investigation. The Sofia prosecutors office confirmed it was conducting an espionage investigation alongside the nations counter-intelligence service. The trio, all suspected of being spies on behalf of Russia, lived at addresses across the UK Bizer Dzhambazov (left) and Ivanova have been held in custody and are suspected of working for Russia Dzhambazov and Ivanova were both registered at the same address in Harrow, north London And Rositsa Mateva, from the Bulgarian Central Election Commission, said it was working to establish whether the individuals were working with specific political parties. At the moment, the Central Election Commission (CEC) is conducting an investigation and cannot provide unambiguous information, she added. Dzhambazov and Ivanova ran a community organisation providing services to Bulgarians, including familiarising them with the culture and norms of British society. Bulgarian state documents also list them as working for electoral commissions in London which help expats to vote in Bulgarian elections. The development comes as London-based medical firm Doctors Laboratory confirmed that Ivanova worked for the company from 2021 as a lab assistant. Partying: Katrin Ivanova, right, is seen dancing at a Bulgarian restaurant in Palmers Green, north London Ivanova (circled) can be seen holding hands in a party circle at the Bulgarian restaurant in 2015 The pair appeared alongside Roussev at the Old Bailey on July 31. They have yet to enter pleas to the charges and are remanded in custody. The trio are accused of possessing 19 fake documents with improper intention, including passports, identity cards and papers for the UK, Bulgaria, Italy, Spain, France, Croatia, Slovenia, Greece and the Czech Republic. Scotland Yard detectives also allegedly found forged press cards and branded clothing from the Discovery and National Geographic television channels. Roussev is understood to have been arrested at a seaside guesthouse owned by a Bulgarian businesswoman. A Scotland Yard spokesman said: On 8 February 2023, officers from the Mets Specialist Operations arrested five people on suspicion of an offence under the Official Secrets Act 1911. A Crown Prosecution Service spokesman added: The CPS has authorised charges against Orlin Roussev, Biser Maicimov Dzhambazov, and Katrin Ivanova with possession of an identity document with improper intention, contrary to the Identity Documents Act. A 39-year-old man has been arrested following a major data breach at the Police Service of Northern Ireland (PSNI). The suspect was detained after being arrested on suspicion of being in possession of information likely to be of use to terrorists following a search in Lurgan, Co Armagh. He is being questioned by detectives at the Musgrave serious crime suite in Belfast. It comes after the PSNI revealed last week that a document had mistakenly been shared online which included the names of about 10,000 officers and staff - including more than 660 officers working in intelligence, counter-terrorism and surveillance as well as those working for MI5. Around 3,000 officers have since expressed concern for their safety in Northern Ireland, where police are under threat from terrorists - with the current level of threat assessed as severe, meaning an attack is highly likely. A 39-year-old man has been arrested following a major data breach at the Police Service of Northern Ireland. The suspect was detained after being arrested on suspicion of being in possession of information likely to be of use to terrorists Officers in Northern Ireland's force fear for their safety after the names of 10,000 staff were leaked in a data breach. Pictured: Members of the PSNI The leaked document named officers working in the most sensitive roles, including those investigating dissident republican groups, close protection officers guarding politicians, judges and other VIPs from terrorists and others working in 'secret areas' of the force. Details released included the surname and first initial of every employee, their rank or grade, where they are based and the unit they work in. Detective Chief Superintendent Andy Hill said today: 'We are working tirelessly to address the risk posed to officers and staff. Today's search operation, and subsequent arrest, is just one piece of a large-scale operation. 'We will continue in our efforts to disrupt criminal activity associated with this Freedom of Information data breach and to keep communities, and our officers and staff who serve them, safe.' Last week, the PSNI revealed a document had mistakenly been shared online in response to a Freedom of Information request. PSNI Chief Constable Simon Byrne said this week he believed the information was in the hands of dissident republicans. Last than a week later a redacted version of the leaked document was posted on a wall near a Sinn Fein office in Belfast. Gerry Kelly, a Sinn Fein Member of the Northern Ireland Assembly, told the Mail on Monday that a version of the document had been posted overnight close to the Sinn Fein office on the Falls Road. The document included information about a substantial number of police officers and staff although their names had been removed. Film crews capture the remains of one of pages of the leaked police data posted on a wall overnight PSNI Chief Constable Simon Byrne said this week he believed the leaked information was in the hands of dissident republicans. He is pictured on Monday In an apparent intimidation bid, the document was accompanied by a photograph of Mr Kelly with the message: Gerry, we know who your mates are. Mr Kelly is a member of the Northern Ireland Policing Board which met last week to quiz Chief Constable Simon Byrne about the blunder. He said: There was a photograph of myself and then there was a statement saying in large writing: Gerry, we know who your mates are. Under that there was what appeared to be, and what turned out to be, a section of the leaked documents that were put out. READ MORE: New safety fears as list of PSNI staff is posted on wall near Sinn Fein office Dissident republicans were accused of bragging that they have the name of every police officer in Northern Ireland after a list was posted on a wall Advertisement It did not have the names of the police officers involved but it had everything else. It wasnt the whole 10,000 [names] but it was a substantial number. I look upon this as a threat by dissidents to me and I will not be intimidated. More serious is that this is the dissidents, or whoever is involved, putting out that their claim that they have access to the leaked documents, they are putting out a verification on that. I think that is their main intention. Mr Kelly was once a prominent IRA member jailed for the Old Bailey bombing in 1973 which killed one person and injured 200. He later broke out of the Maze Prison shooting a prison officer who survived an attempt to foil the escape. But Mr Kelly went on to renounce violence and played a key role in the Northern Ireland peace negotiations which led to the Good Friday Agreement in 1998. Assistant Chief Constable Chris Todd confirmed on Monday that an investigation had begun into the posting of the list, which one former officer has likened to dynamite for terrorists and criminals. Earlier this year, dissident republicans were blamed for the attempted murder of senior PSNI detective John Caldwell. Meanwhile, the Catholic Primate of all Ireland, Archbishop Eamon Martin, said he had spoken to Mr Byrne today to express his concerns about the data leak. He said: 'In recent days I have spoken to several families and relatives of Catholic PSNI members. 'I wish to state my unequivocal support for all those who serve as police members or civilian support workers for the PSNI. Gerry Kelly, a Sinn Fein Member of the Northern Ireland Assembly, told the Mail on Monday that a version of the document had been posted overnight close to the Sinn Fein office on the Falls Road. Mr Kelly is pictured on Monday 'I have previously encouraged young people from the Catholic community to consider a career in policing. Today I reiterate this sentiment. 'For that reason I also call on everyone in the community to reject entirely those who would intimidate or threaten the courageous women and men - including those from the Catholic community - who selflessly choose this noble vocation of policing.' He added: 'I am in no doubt that the future of peace and prosperity across the island of Ireland will depend upon respect and support for policing. 'Today I assured the Chief Constable that all members of the PSNI, and their families, are in my prayers at this time.' The closures come as NYC Mayor Eric Adams struggles to house the huge influx of asylum seekers being bussed into the city on a near-daily basis Staff in one of the eateries said the loss was particularly shameful because they are moving from its 120-year-old landmark building Staff in one of Manhattan's most beloved Italian restaurants said its upcoming closure was a 'shame' after an influx of migrants overran the iconic hotel where it is located. The Redbury Hotel's 400-plus rooms are now all occupied by refugee families, just days after the historic landmark was converted into a migrant shelter. The move led the hotel's two famed restaurants - Marta, an upscale pizzeria, and ritzy wine bar Maialino - to announce they would be shutting on August 25, saying in a statement that 'the viability of our business relies significantly' on 'spaces that are now unavailable for our use.' The restaurants are owned by Danny Meyer, 65, a restaurant mogul with a $400 million net worth and a fleet of restaurants and franchises including Shake Shack. Marta (pictured) and Maialino were packed on Wednesday as customers savored their final meals at the restaurants before they shut on August 25 Patrons in Marta are greeted by two huge wood burning ovens and the restaurant's wafer-thin pizzas are known as some of the best in the city but will be no longer after migrants moved into The Redbury Hotel where the restaurant is located As business picked up for the final days of the restaurants inside, droves of migrant families poured in and out of The Redbury. The hotel is one of over 100 establishments and facilities used to housed the more than 90,000 migrants flooding into the city in recent months Marta's staff told DailyMail.com that losing the iconic eatery was sad to see, with the ever-popular restaurant remaining almost entirely filled with patrons by midday on a Wednesday. The Redbury, a four-star establishment, is one of around 100 hotels and facilities being used to house at least 90,000 migrants across the city, who have been bussed into the city from states such as Texas on a near daily basis after they crossed the southern border. Patrons in Marta are greeted by two huge wood burning ovens, and the restaurant's wafer-thin pizzas are known as some of the best in a city famous for a good slice. Since its opening in 2014, the restaurant has been among the NoMad neighborhood's swankiest Italian eateries, and staff said the closure came as something of a surprise after almost a decade in business. At Maialino, a wine bar tucked away on the other side of the hotel, customers are offered a variety of fine dining options including slow roasted lamb shoulder for $42 and heritage suckling pig for $45 'It was a shock,' said one waitress to DailyMail.com, who said she was on vacation from the restaurant when she heard the news. She added that 'everybody is pretty calm' about the closure, because staff were promised they wouldn't be out of the job and will be offered a place at one of the other restaurants owned by Meyer throughout the city. Another staff member, who didn't wish to be named, added that it seemed like a 'shame' to see the restaurant lose its place in the iconic hotel, which was declared a city landmark in 2012. The same job security was offered to their colleagues in Maialino, a wine bar tucked away on the other side of the hotel that offers fine dining options including slow roasted lamb shoulder for $42 and heritage suckling pig for $45. Hailed by publications such as the New York Times as one of the best restaurants in the city, it also offers patrons amaros, vermouths, gins and grappa popular in Italy. Its extensive wine list includes a range of Italys finest vintages with salumi, cheeses, preserved vegetables, crostini and panini. Marta staff told DailyMail.com that the closure would be a big loss to Manhattan's NoMad district A chef in the back of the ritzy Italian restaurant, who will have been offered a role in one of the parent company's other locations in the city Marta is known as one of the best upscale Italian restaurants in NoMad, especially due to its wafer-thin wood oven pizzas Residents had already been wary about the fate of their favorite pizza place and wine bar earlier this month, when the 120-year-old Redbury was suddenly converted to a shelter But while fine diners may flock to Maialino, waiters in Marta said the pizzeria's loss will be sorely felt in the NoMad district of Manhattan because it has 'the best pizzas in the neighborhood.' The wait staff added that the restaurant has even seen a slight boom in business and reservations since the closure was announced over the weekend, as longtime customers flock to get a taste of its famed wood-oven pizza's before they're gone. On Wednesday, as business picked up in the establishment soon after it opened, droves of migrant families - who have been given priority over single adults - were seen leaving and entering the back of the hotel. Several US Marines were also stationed around the $350-a-night hotel to help deal with the influx, with one sat by the door as the migrants were ordered to check in and check out. The Redbury was converted to a migrant shelter earlier this month when about 100 families were moved into the four-star hotel. Although an initial statement saw the hotel claim the restaurants' operations wouldn't be hampered, Meyer's company issued a statement at the weekend confirming the closings. The group added it remains 'fully supportive of the Redburys initiative' and will continue pushing for policies that will help asylum seekers get jobs. Families have been given priority in housing over single adults, and most of those seen in The Redbury appeared to be relatives enjoying the four-star establishment A child plays with a tablet outside The Redbury Hotel on Wednesday, August 16, 2023 The Redbury was converted into a migrant shelter earlier this month when about 100 families moved into the hotel Following the migrant influx into the hotel, Union Square Hospitality Group said reduced event spaces and the hotel bar no longer being available for their use as a reason for the closures When a staffer on the front desk was asked about occupancy on Wednesday, she said all of its 400-plus rooms were now filled. City officials are increasingly turning to the Big Apple's hotel rooms as a solution for the relentless wave of refugees, as authorities warn the influx is stretching their resources to breaking point and is costing roughly $10 million a day. But while New Yorkers fume, the humanitarian crisis has been a bonus for hotels who have secured large government contracts to house the streams of people. Row NYC Hotel in Times Square, secured a $40 million deal from city officials to lease all of its rooms from October 2022 to April 2023, according to The New York Times. Meyer's Union Square Hospitality Group said in a statement that the closures came at a time when it was 'eagerly anticipating the hotel's full post-pandemic reopening.' Several US Marines were stationed around the hotel as officials scramble to find solutions to the crisis A US Marine was positioned by the entrance to the hotel as refugees were ordered to sign in and out Meyer has previously blamed New York's labor shortage for his restaurant's reopening struggles since the pandemic and has worked for expedited work permits for asylum seekers in the past. His statement announcing the closures added that the group remains 'fully supportive of the Redburys initiative' and will continue pushing for policies that will help asylum seekers get jobs. The crisis has been a mounting issue for New York officials for months, after it was exacerbated by the ending of Title 42 - a pandemic-era immigration law - in May. After the law expired, officials estimated that upwards of 13,000 migrants were crossing the southern border, leading lawmakers such as Texas Governor Greg Abbott to bus the migrants north to help deal with the situation. Over 90,000 migrants have been bussed into New York City in recent months, stretching the city's resources to breaking point The influx to New York City continued this past week when at least six busloads of migrants were brought to the Roosevelt Hotel (pictured August 2), which closed during the pandemic and is now used by the city to house asylum seekers New York City Mayor Eric Adams previously slammed Abbott for turning away migrants, but has changed his tone in recent months as his officials scramble to find solutions to the huge numbers of people pouring into the city. Adams introduced plans for a shelter at the Brooklyn Cruise Terminal earlier this year, but images of an array of dank cots bunched together at the facility turned the migrants off and they refused to go. Tent-laden streets and fully occupied have been a fixture of the city since, as Adams' administration continues to struggle to find answers to the situation. Democratic presidential hopeful Robert F. Kennedy Jr. hired a Republican member of the New Hampshire House to work on his presidential campaign, which his campaign manager said proves he has 'crossover appeal.' The New Republic reported Tuesday that Kennedy had added Republican Aidan Ankarberg to his staff. Ankarberg is a sitting GOP member of the New Hampshire House of Representatives and formerly served as deputy majority whip. Kennedy's campaign manager, former Democratic Rep. Dennis Kucinich - an ex-presidential contender himself - told TNR that the addition of Ankarberg simply shows how the Democratic longshot, a prominent anti-vaxxer, has support outside of party lines. 'He's got the broadest appeal of anybody that's run in a long time,' Kucinich told the left-leaning magazine. 'Mr. Kennedy has crossover appeal. And it's really powerful. 'And we had Republicans who are coming over. We have independents. We have libertarians, we have conservatives, we have liberals, every stripe of political following and endeavor is moving toward our campaign.' Democratic presidential hopeful Robert F. Kennedy Jr. hired a Republican member of the New Hampshire House to work on his presidential campaign, which proves he has 'crossover appeal' New Hampshire state Rep. Aidan Ankarberg, a Republican, is now working for Democratic hopeful Robert F. Kennedy's 2024 presidential campaign Polling shows that Kennedy has lost support among Democrats since announcing his bid for the White House in April. Kennedy's high-water mark to date was receiving 21 percent of Democratic support in an Emerson national poll conducted in late April. In a Quinnipiac survey from last week, that support stood at 13 percent. A FiveThirtyEight analysis published earlier this month showed that throughout July, Republicans consistently held Kennedy in higher regard than his Democratic counterparts. A recent New York Times/Siena College poll echoed that, finding that 55 percent of Republicans held a favorable view of Kennedy, while just 28 percent of Democrats felt the same way. Kennedy's anti-vaxx rhetoric, his stance against funding the Ukraine war, and a murky position on abortion restrictions have helped his standing on the political right. Over the weekend at the Iowa State Fair, Kennedy's crossover appeal was on full display, with most of his audience comprised of Trump supporters who had popped over to see the Democratic hopeful after Trump's trio of stops wrapped up. 'Trump-Kennedy!' one woman in a Trump shirt yelled in the direction of RFK Jr - the son of the late Sen. Robert F. Kennedy and nephew of the late President John F. Kennedy. Half of all Trump voters in Iowa think RFK Jr would make a good VP pick, according to a poll for DailyMail.com that surveyed 600 likely caucus-goers After his appearance, Kennedy was asked if he'd serve in a Republican administration, with the journalist pointing to how many GOP voters had told her they liked what he was saying. Half of all Trump voters in Iowa think Kennedy would make a good VP pick for the ex-president, according to a poll for DailyMail.com that surveyed 600 likely caucus-goers Kennedy volunteered that he 'would not' serve as Trump's running mate. Kennedy could, however, give Trump a good night - by embarrassing President Joe Biden in New Hampshire. Due to Biden-aligned Democrats pushing to reorder the presidential primaries, it's likely Biden's name won't appear on the ballot in the Granite State if it holds its traditional first-in-the-nation primary. The Democrats voted to allow South Carolina to leapfrog Iowa and New Hampshire - but New Hampshire's state law forces its primary to still be held first. Biden's supporters will have to write the president's name in, while Kennedy and Democratic hopeful Marianne Williamson have no restrictions to appear on the ballot. But for all the chatter about Kennedy's Republican support, New Hampshire voters - including Ankarberg - would have to change parties in order to back him in the Democratic primary. Saudi Arabia has executed an American citizen who was convicted of killing his father. Bishoy Sharif Naji Naseef was executed on Wednesday in Riyadh, according to a statement from the Saudi Interior Ministry, which said he had beaten and then fatally strangled his Egyptian father. The statement also claimed Naseef used narcotics, mutilated his father's body after the slaying and attempted to kill another person before his arrest. The ministry did not identify how Naseef was executed, but Saudi Arabia has in the past often used beheading when implementing the death penalty. A lawyer for Naseef could not be immediately identified. It wasn't known if he had a home address in the United States, and other biographical details including his age remained unclear. The annual rate of executions in Saudi Arabia has nearly doubled since Crown Prince Mohammed bin Salman (above) and his father gained power The United States Embassy in Riyadh, Saudi Arabia, is seen above. Saudi Arabia has executed an American citizen who was convicted of killing his father The US State Department was unable to offer further information or confirm Naseef's death. 'We are aware of those reports and are monitoring the situation but don't have any specifics,' State Department spokesman Vedant Patel told reporters. He said a US consular official last visited Naseef in July. It's unclear whether Saudi Arabia has ever executed a US citizen, but the country was responsible for the extra-judicial killing of Saudi-American journalist Jamal Khashoggi in Turkey in 2018. Saudi Arabia ranked third among the nations with the worst record for executions, behind China and Iran in 2022, according to statistics from Amnesty International. The Gulf kingdom is frequently criticized for its prolific use of capital punishment, which human rights groups say undermines its bid to soften its image through a sweeping 'Vision 2030' social and economic reform agenda. Its annual rate of executions has nearly doubled since Crown Prince Mohammed bin Salman and his father gained power, according to a report from British human rights group Reprieve. More than 1,000 death sentences have been carried out since 2015, the report said. A total of 91 people -- 19 of them foreigners -- have been executed so far this year, according to an AFP tally based on state media reports. More than 1,000 death sentences have been carried out since bin Salman and his father King Salman assumed power in 2015 Riyadh, the capital of Saudi Arabia, is seen in a file photo. Saudi Arabia on Wednesday executed an American citizen who had been convicted of killing his father In March 2022, the kingdom executed 81 people on the same day, the largest known mass execution carried out in the kingdom in its modern history. Saudi officials said at the time that the criminals executed included members of Al Qaeda and had 'followed the footsteps of Satan'. A total of 73 Saudis, seven Yemenis and one Syrian died in the mass execution. The latest execution of a US citizen comes even as Saudi Arabia presses for stronger security guarantees from Washington DC. Several weeks ago, bin Salman met in Jeddah with President Joe Biden's national security adviser Jake Sullivan, in a bid to accelerate talks on a deal to normalize relations between Saudi Arabia and Israel. One of the biggest Saudi demands is a new formal security commitment from the US, including ironclad guarantees of a US response to any Iranian aggression. In recent years, Iran has stepped up its seizure of oil tankers in and around the Strait of Hormuz, the U-shaped gateway to the Gulf of Oman and Arabian Sea that carries a fifth of world oil output. The US military says Iran has either seized or attempted to take nearly 20 internationally flagged ships in the region in the past two years. Saudi Arabia and Washington's other Gulf allies -- reliant on the shipping lane to deliver their oil to global markets -- have long demanded stronger US security commitments. Workers in the Nancy Pelosi Federal Building in San Francisco have been ordered to work from home indefinitely due to conditions in the surrounding Downtown area. The federal government issued the stay-home order in a memo to staffers in the 18-story building on August 4. Uncovered Monday by The San Francisco Chronicle, it reportedly advised federal workers to stay home 'for the foreseeable future', due to the dangers that come with commuting to the neighborhood. The building - which cost taxpayers $144million to create - houses the former House speaker's office, as well as various agencies operating under President Joe Biden. Opened in 2007 and renamed after Pelosi this year, it sits adjacent to the city's Tenderloin District - which, set in the center of the city's Downtown, has become a haven for open-air drug use and often violent criminals. The stay-home order was sent to staffers in the 18-story Nancy Pelosi Federal Building - which cost taxpayers $144million to construct - on August 4, and was uncovered Monday by a local newspaper The building - in addition to housing various federal agencies like the Department of Health and Human Services - is the site of Pelosi's office, though five federal workers employed under her are reportedly still commuting despite instructions from the federal government The area outside the building is now considered the biggest of all the open-air drug markets across the city, after suddenly sprouting up earlier this year. Today, somewhere between 50 to 100 dealers operate on the street daily, usually doing so undeterred and in broad daylight Cheryl Campbell, the first woman of color to be sworn in as the Department of Health and Human Services' assistant secretary for administration, sent the memo on August 4. 'In light of the conditions at the [Speaker Nancy Pelosi Federal Building] we recommend employees maximize the use of telework for the foreseeable future,' the administrator said. Referring to the federal government zone that covers California and other Western states, Campbell added: 'This recommendation should be extended to all Region IX employees, including those not currently utilizing telework flexibilities.' Included in that class are the five federal staffers employed under Pelosi - whose husband was assaulted at the couple's house late last year by a man who attacked him with a hammer. According to the paper, Pelosi's five-person staff was not subject to the order - spurring officials from her office to later tell the paper their boss already raised concerns about the tenant safety last week. That alleged conversation came during a meeting with the US attorney for the northern district of California, a spokesperson said - after The Chronicle confirmed the correspondence was sent to hundreds of other workers in the building. Moreover, the memo - which comes as many Silicon Valley firms are desperately working for a full-fledged return to in-office work - was reportedly sent on the same day that Biden's White House chief of staff called for more federal employees to return to their offices. That order, first reported by Axios, come as federal workers across the country for years have continued to work remotely - despite the fact that virtually all Covid-containing measures have since been nixed. The site where the building sits has proved particularly troublesome in terms of security - as it has become a point of congregation for countless dealers who routinely plant themselves outside or across the street from the property The government reportedly advised federal workers to stay home 'for the foreseeable future', due to the dangers that come with commuting to the now overrun neighborhood The filth and squalor at the junction of Jones and Eddy Streets in San Francisco's Tenderloin District, a couple blocks from federal building, is seen here A Pelosi spokesperson told The Chronicle in a statement: 'The safety of workers in our federal buildings has always been a priority for Speaker Emerita Pelosi, whether in the building or on their commutes.' The rep added that 'federal, state, and local law enforcement - in coordination with public health officials and stakeholders - are working hard to address the acute crises of fentanyl trafficking and related violence in certain areas of the city.' Meanwhile, the site where the building sits has proved particularly troublesome in terms of security - as it has become a point of congregation for countless dealers who routinely plant themselves outside or across the street from the property. Operating in shifts as users smoke, snort, or even shoot up their purchases, pushers - as well as their customers - regularly flock to the concrete benches outside the building, getting high and passing out for all to see. Incidents of violence are also not uncommon - as well as organized outfits of drug dealers drawn by the city's relaxed drug policies, some of whom are reportedly making upwards of $350,000 a year. The Chronicle also uncovered this this month, after an 18-month investigation - as the Tenderloin District in particular has surfaced as the focal point for the increasingly bold 'open-air' drug markets now seen in the city. In response to this crisis, citizens started erecting adverts earlier this year demanding local leaders do more to address the city's fentanyl epidemic - as the recently surfaced synthetic continues to kill about 100,000 Americans each year. Recently, Pelosi secured more federal law enforcement assistance in cracking down on the city's fentanyl crisis in the currently overrun Tenderloin and SoMa neighborhoods - where homeless encampments and crime are most common. That being said, San Francisco is one of 34 cities included in a federal program called Operation Overdrive, which targets drug traffickers in especially stricken areas. Launched in February - more than two-and-half years after the dueling homelessness and crime crises first arose - the operation, as well as an additional $42.5 billion offered by Biden for National Drug Control Program agencies, has so far failed miserably, with overdoses still on the rise and cities like San Fran still suffering. Open air use of class A substances is rampant among San Francisco's burgeoning homeless population leading to others avoiding the downtown area of the city A woman lies passed out in front of a children's play area. Even upscale areas such as Russian Hill, which is part of the cable car route along Hyde Street, and tourist hotspots like the Golden Gate Bridge have been affected An analysis of official figures and other research reveals San Francisco may lose hundreds of millions of dollars through an exodus of businesses and its failure to recover from Covid This is especially noticeable when looking at hotspots like Seventh and Stevenson Streets - with the former being the street which the Nancy Pelosi building is set, and the latter just a stone's throw away. The area outside the building is now considered the biggest of all the open-air markets across the city, after suddenly sprouting up earlier this year despite local and federal efforts. Today, the Chronicle reported, somewhere between 50 to 100 dealers operate on the street daily, doing so undeterred despite selling on federal property. The rest of the city, meanwhile, is not faring much better - especially other parts of the Downtown area, which has surfaced as the biggest problem spot as it experiences an unprecedented homeless and crime crisis. It has lead to a mass exodus of retailers such as Whole Foods, Anthropologie, Old Navy, AmazonGo, Saks Off Fifth, and Office Depot. These sweeping closures are likely the result of brainstorming sessions from brass behind these retailers, and roundtable meetings to address increasing incidents of harassment and general debauchery around the stores. Since the start of the pandemic - which for months left the Bay Area at the mercy of homeless tent encampments and bands of career criminals - more than 95 retailers including Brooks Brothers, Ray Ban, Christian Louboutin, Lululemon, and Marmot have packed up and left the city for greener pastures. That's more than half of the neighborhood's entire retail demographic in total - an amount that will soon be bolstered by the already announced closures of Williams Sonoma, AT&T, Nordstrom, and Banana Republic in the coming months. Meanwhile, remaining stores such as Target have been reduced to locking up their entire stock behind glass to deter shoplifters. 'Decisions like this are never easy, and this one has been especially difficult,' wrote Nordstrom in a statement announcing its decision to close this past May. 'But as many of you know, the dynamics of the downtown San Francisco market have changed dramatically over the past several years, impacting customer foot traffic to our stores and our ability to operate successfully.' Westfield Mall, the latest victim of the exodus, was more blunt in its statement to the Washington Post this month, pointing directly to rising crime running business out of town, which it referred to as 'unsafe conditions for customers, retailers, and employees.' The mall said 'these significant issues are preventing an economic recovery of the area.' Gap was the first to announce its departure in August 2020, shortly followed by H&M and Marshall's. As the years went on, more stores slowly pulled out. The Market Street Anthropologie, meanwhile, closed May 13. As a result, the brand no longer has a location in the city. Office Depot, located on Third Street, was also recently shuttered, but the exact closing date is unknown. The brand has a bigger store on Geary Boulevard, which will not close. A map reveals the major businesses which have left, or have announced they are leaving, San Francisco in recent months. Retailers like Whole Foods, Anthropologie, Old Navy, AmazonGo, Saks Off Fifth, and Office Depot are among those taking part in the mass exodus Meanwhile, out of 203 retailers open in 2019 in the city's Union Square area, just 107 are still operating, a drop of 47 percent in just a few pandemic-ravaged years Among the heavy hitters, Brooks Brothers, Ray Ban, Christian Louboutin, Lululemon and Marmot have all packed it in The Nordstrom Rack in downtown San Francisco which will be closing on July 1 Despite official reports that San Francisco's crime rate is on the way down, one former prosecutor claimed in May that the city's liberal district attorney's decision not to prosecute many crimes skewed those numbers. The issue has driven numerous retailers from the area, as rampant crime in downtown San Francisco has left numerous retailers throwing up their hands and moving out. In April, Whole Foods announced it was closing its locations, while Anthropologie and Office Depot have also left. Others to flee include H&M and Marshall's, with historic department store Gump's recently saying it may vacate after 166 years as well. An aide for Rep. George Santos was arraigned Wednesday for allegedly impersonating a staffer of House Speaker Kevin McCarthy to raise funds for the embattled Republican lawmaker. According to the indictment unsealed in New York's Eastern District, the Santos aide Samuel Miele was charged with wire fraud and identity theft. Miele appeared in court in Brooklyn Wednesday morning and was released on a $150,000 bond after pleading not guilty. He is accused of sending 'fraudulent fund-raising' emails to potential donors posing as a 'high-ranking aide' to a Republican in House leadership. Miele received a 15 percent commission from any funds raised, the indictment said. Rep. George Santos himself was hit with federal criminal charges in May. Now a fundraiser for the embattled congressman is under federal indictment In January, CNBC reported that wealthy Republican donors had received calls and emails from a man named Dan Meyer, who had served as McCarthy's chief of staff during the 2020 and 2022 campaign cycles. That individual was actually Miele, CNBC said. The indictment against Miele, which was filed Tuesday, does not identify Santos by name - but the two men had already been connected in news reports. The indictment says that Miele admitted to Santos in an email of 'faking my identity to a big donor' saying there was 'high risk, high reward in everything I do.' Santos himself was hit with federal criminal charges in May. Federal prosecutors filed criminal charges against the Long Island lawmaker who has admitted to lying about his past and fabricating his resume. Among a litany of lies, he has been accused of breaking campaign finance laws, stealing money meant for the dying dog of an Iraq War veteran and credit card fraud. Santos pleaded not guilty in court to 13 counts of money laundering, wire fraud and making false statements during his campaign. Eastern District of New York prosecutors said Wednesday that the Santos and Miele cases should be considered 'related.' Democrats and some Republican colleagues have called for Santos to resign, but he has refused and instead announced his run for reelection in 2024. McCarthy said in May that he will 'quickly' try to refer a Democrat-led resolution to expel disgraced Santos to the Ethics Committee. 'I would like the Ethics Committee to move rapidly on this. I think there's enough information out there now that they can start looking at this,' the GOP leader said, in comments that were a step further from previous times where he refused to call on Santos to resign. House Democrats introduced the resolution to expel Santos after he was indicted. Monica Lee believes that Elward and Stickman are responsible for her son's death - her attorney is using the judges ruling in the case to pursue legal action Cameron's mother was relieved to see 'Goon Squad' Elward plead guilty to a separate incident in which six white officers tortured two black men in January A Mississippi 'Goon Squad' cop who pleaded guilty to torturing two black men and shooting one in the mouth has been accused of beating to death a mentally ill black man while trying to arrest him in 2021. Monica Lee claims Hunter Elward and another deputy pummeled her son, Damien Cameron, after he was accused of vandalizing a neighbor's home while living with his grandparents. A grand jury declined to indict Elward at the time and he was never convicted of a crime. But brazen acts of violence to which he would plead guilty two years later have given Lee a fresh appeal to pursue a civil suit against him and the other cop. On July 26, 2021 Elward arrived at a residence in Braxton, Mississippi after a 911 call was made claiming Cameron was vandalizing a neighbors home. Lee claimed in court documents that she witnessed the deputy chase her son through the woods and around the family's house while threatening and tasing him several times. Mississippi 'Goon Squad' cop, Hunter Elward, who pleaded guilty to torturing two black men and shooting one in the mouth has been accused of beating to death a mentally ill black man while trying to arrest him in 2021 She said Elward followed Cameron into the house, tased him, wrestled him down to the floor before dragging him outside. The mother alleges that Elward punched her son and rolled him over before kneeling on his neck and back for about 20 minutes until Deputy Luke Stickman arrived. She also accused Stickman of kneeling on Cameron's back before they handcuffed him and brought him to his family's front porch. Lee said in interviews at the time that her son pleaded with the deputies and said, 'I can't breathe.' Cameron later died at the hospital, and an autopsy report listed his cause of death as 'undetermined.' Incident reports filed separately by the arresting officers Elward and Strickman in addition to the MBI appear to contradict each other. Concerns have been raised around whether Cameron collapsed while fleeing into his mother's house after initial reports filed by both Rankin County officers contain no mention of him doing so. A Mississippi Bureau of Investigations report on July 25, 2022, cites an interview with Elward, saying the deputy 'attempted to arrest Damien Cameron when Cameron fled behind the house,' and that they wrestled on the ground. The deputy tasered him as he ran inside the home and they wrestled on the floor of a bedroom, the report says. Monica Lee claims Elward and another deputy pummeled her son, Damien Cameron, after he was accused of vandalizing a neighbor's home while living with his grandparents Cameron later died at the hospital, and an autopsy report listed his cause of death as 'undetermined' Then Stickman arrived and 'assisted handcuffing (Damien) Cameron,' and the deputies walked him to the police car and placed him inside, the report continues. The report does not mention the officers kneeling on Damien Cameron's neck. 'Elward returned to his patrol unit and found (Damien) Cameron unresponsive,' the report says, adding that the deputies performed CPR on him and called paramedics. Months after the MBI report was released, in October 2022, a grand jury declined to indict either deputy. DailyMail.com contacted Rankin County Sheriff's Office by phone for comment but they did not immediately respond to a request. No email has been listed on the website at this time. Years later, five deputies from the Rankin County Sheriff's Office, some of whom called themselves 'the Goon Squad,' and an officer from the Richland Police Department admitted to taking part in a racist assault against Michael Corey Jenkins and Eddie Terrel Parker. Among them was Elward. The men never thought their abusers would pay for their crimes, Parker told Associated Press: 'It's really a shock, but I enjoyed every moment of it' as he recounted the former officers being led out of a federal courtroom in shackles. Court documents unsealed by federal prosecutors suggest only some members of the Goon Squad participated in the raid. There are other Rankin County deputies 'known to the United States Attorney,' the documents say. Lee, who spoke to the Associated Press the day after the guilty pleas, she rejoiced that Elward was headed to federal prison. The officers charged in relation to the assault of Michael Corey Jenkins and Eddie Terrell Parker were (Top L-R) Brett McAlpin, Jeffrey Middleton, Christian Dedmon. (Bottom L-R) Hunter Elward, Daniel Opdyke, Joshua Hartfield The men never thought their abusers would pay for their crimes, Parker told Associated Press: 'It's really a shock, but I enjoyed every moment of it' as he recounted the former officers being led out of a federal courtroom in shackles But even as Elward and the other deputies face accountability for their brutal crimes against Jenkins and Parker, she said, a culture of corruption and violence exists within the sheriff's office, which she worries will persist. 'They say one bad apple spoils the whole bunch,' Lee said. 'If they do it once, they'll do it again.' The charges follow an investigation by the outlet linking some of the deputies to at least four violent encounters with black men since 2019 that left two dead and another with lasting injuries. When a white neighbor complained black people were staying with a white woman on Jan. 24, the officers went to the home and found Jenkins and Parker. They burst inside without a warrant and handcuffed the men. They beat and sexually assaulted the pair and shocked them with stun guns. They poured milk, alcohol and chocolate syrup over their faces and mocked them with racial slurs. Elward shoved a gun in Jenkins' mouth and fired, lacerating his tongue. To cover up their crimes, they planted drugs on Jenkins. 'That behavior is taught,' Rev. Ricky Sutton of Mount Carmel Ministries, a Rankin County church said. 'When I think about this culture, I just ask myself, how deep does it run?' The behavior runs deep enough, Sutton said, that some black people are afraid to spend time in Rankin County, a majority-white county just east of the state capital, Jackson, which is home to one of the highest percentages of black residents of any major U.S. city. As if channeling that fear as a tool to layer their physical abuse with maximum psychological terror, the officers warned Jenkins and Parker to stay out of Rankin County and go back to Jackson or 'their side' of the Pearl River, court documents say. Jenkins and Parker, who aren't sure if they will ever return to the state for an extended period, took solace that at least one part of the justice system appears to have worked The families of Michael Corey Jenkins and Damien Cameron sat together during the Justice Department's Civil Rights Division tour June 1, 2023 The former officers who pleaded guilty included Elward, Christian Dedmon, Brett McAlpin, Jeffrey Middleton and Daniel Opdyke of the Rankin County Sheriff's Office, and Joshua Hartfield of the Richland Police Department. The officers believed they could operate with impunity because of the negligence of Rankin County Sheriff Bryan Bailey, said Angela English, president of the Rankin County NAACP. 'It starts at the top, and I don't believe you regain our trust if the same people are running the show,' English said. Bailey has presided over a 'code of blue' in which officers protect one another instead of citizens, English said. Bailey, who said he was lied to by the officers, told reporters Thursday that he would not resign. 'The only thing I'm guilty of in this incident right here is trusting grown men that swore an oath to do their job correctly. I'm guilty of that. But the people of Rankin County elected me to do a job during good times and during bad times,' Bailey said. 'There've been times during this I want to hide under a rock because I'm ashamed and embarrassed about what they've done.' Keith Taylor, a professor at John Jay College of Criminal Justice and former New York police officer, said the mindsets of officers are often downstream of department culture. 'If you have a policing culture that tolerates all the -isms sexism and racism and classism if you have a department that allows for that kind of behavior to thrive, then it'll be exhibited by the officers on the street,' Taylor said. Had a better internal system been in place for conducting oversight, Lee said her son would still be alive and the January episode would never have happened. Jenkins and Parker, who aren't sure if they will ever return to the state for an extended period, took solace that at least one part of the justice system appears to have worked. 'We finally got justice knowing what we went through,' Jenkins said. 'They got what they deserved.' An outside group supporting Ron DeSantis' bid for the Republican presidential nomination accused Donald Trump of being too weak to debate in a new advert on Wednesday. The first Republican debate for 2024 hopefuls will be held next week. And the former president and clear frontrunner has yet to announce whether or not he will take part. 'We can't afford a nominee who is too weak to debate,' says the narrator in the ad produced by Never Back Down, a super PAC supporting DeSantis. 'Republicans need a nominee with stamina. 'We deserve a candidate who earns our vote not one who demands it.' An advert released by Never Back Down, a super PAC supporting Ron DeSantis, uses Donald Trump's own words against him and claims he is too weak to join next week's debate Trump has said he will announce his plans for the debate, to be held next Wednesday, sometime this week. But as favorite for the nomination, with a lead of as much as 45 points in polls, he knows that he will be the main target and that rivals will be looking to use his star power to elevate themselves. Trump has also said he will not sign a pledge required by debate organizers to support the eventual nominee, whoever that is. Opponents have been busy trying to bait him into joining the debate, which will be hosted by Fox News in Milwaukee, Wisconsin. The loudest anti-Trump voice in the race is former New Jersey Gov. Chris Christie. He said Trump was a 'coward' if he skipped out. The new advert uses Trump's own words against him. 'I hear he's afraid to debate,' he says in an old clip from previous campaigns. In an another soundbite, he adds: 'He's too cowardly to even show up and debate.' Florida Gov. Ron DeSantis has struggled to gain traction in the race and, like other candidates, needs a good debate in order to start hauling in the frontrunner Our latest poll shows the strength of Trump's lead. In Iowa, he has extended his advantage over DeSantis who dropped nine points from April to August The 30-second ad then switches to Trump talking about the forthcoming showdown and why he might skip it. 'Why would I let these people take shots at me?' he asks. Campaign experts generally agree, pointing out that other candidates have more to gain. And he could even divert attention away by timing his arraignment in Fulton County, Georgia, on election fraud charges, for the same day. 'As the polls reflect, the GOP base is fully supportive and behind President Trump,' state Sen. Joe Gruters, a former chairman of the Florida GOP, told NBC News. 'If scheduling his arrival in Fulton County on the same night as the debate further shines a spotlight on the political persecution, I'm all for it.' Stand by for flying crockery in Montecito... I can disclose that King Charles has accorded a rare honour to one of the Duke and Duchess of Sussex's biggest hate figures. The King has appointed Sir Edward Young as his Permanent Lord in Waiting. It means that Lord Young, who hit the headlines after clashing with Prince Harry, may represent the King at events which the monarch is unable to attend in person. 'His Majesty has great respect for Lord Young and is grateful for all the work he did for his mother, often under great pressure,' a source tells me. 'This new honour is a reflection of that.' Sir Edward, who was Queen Elizabeth's most senior aide, was made a lord when he retired from royal service after 19 years. He had stayed on after her death to help smooth over the change in reign. Sir Edward Young, Private Secretary to Queen Elizabeth II attends the opening ceremony of the sixth session of the Senedd at The Senedd on October 14, 2021 King Charles, then the Prince of Wales, meets residents of The Guinness Partnership's 250th affordable home in Poundbury on May 8, 2015, in Dorchester, Dorset There had long been speculation that he was one of those blamed by Harry and Meghan for failing to accommodate their ambitions within the Royal Family. These rumours were confirmed when the Duke's memoirs, Spare, were published earlier this year. In the book, Harry accused Sir Edward of preventing him from seeing his grandmother to lobby her over 'Megxit'. The Duke also blamed him for ensuring he was stripped of his Scotland Yard security deal after quitting royal duties. Harry nicknamed him 'The Bee', due to his 'oval-faced and fuzzy' appearance and tendency 'glide around with great equanimity and poise'. The three top palace advisers who helped negotiate the 'Megxit' deal were nicknamed The Bee, The Fly, and The Wasp in the book. The trio are believed to be the private secretaries of the senior royals at the time; respectively, Edward Young, who acted for the Queen, Simon Case, who worked for Prince William, and Clive Alderton for the then Prince Charles. Harry wrote: 'I'd spent my life dealing with courtiers, scores of them. But now I dealt mostly with just three, all middle-aged white men who'd managed to consolidate power through a series of bold Machiavellian manoeuvres. 'They had normal names... but they sort more easily into zoological categories. The Bee, The Fly and the Wasp. The Bee was oval-faced and fuzzy and tended to glide around with great equanimity and poise, as if he was a boon to all living things.' The Fly had 'spent much of his career adjacent to and, indeed drawn to, s***. The offal of government and media and wormy entrails, he loved it, grew fat on it, rubbed his hands in glee over it.' Prince Harry, Duke of Sussex, and Meghan, Duchess of Sussex arrive on the long Walk at Windsor Castle arrive to view flowers and tributes to HM Queen Elizabeth on September 10, 2022 Harry said of The Wasp: 'Because he seemed so weedy, so self-effacing, you might be tempted to push back, insist on your point, and that was when he'd put you on his list. 'A short time later, without warning, he'd give you such a stab with his outsized stinger that you'd cry in confusion. Where the f*** did that come from?' But Lord Young was considered an 'outstanding' public servant at the palace. A Buckingham Palace spokesman confirms: 'The King has been pleased to appoint the Lord Young of Old Windsor to be a Permanent Lord in Waiting to His Majesty.' A Florida dog groomer accused of posing as a vet has been arrested and charged for allegedly killing a pregnant Chihuahua. Osvaldo Sanchez, 61, has been charged with animal abuse and practicing veterinary medicine without a license following an investigation into his alleged behavior. The Animal Cruelty Investigations Alliance had been probing Sanchez, after Sugar, a six-pound Chihuahua, died following surgery performed by him. Her owners, a woman identified as 'BD' in court documents, were at home in Naples, Florida, when dog groomer Sanchez is said to have performed a C-section on Sugar. Sanchez had been introduced to the couple as a veterinarian and performed the surgery in his mobile office, and removed a stillborn puppy, charging them $600. Osvaldo Sanchez, pictured here, has been charged with animal abuse and practicing veterinary medicine without a license following an investigation into his alleged behavior Sanchez had performed a C-Section on Sugar, pictured here, who later died as a result of the botched surgery In documents seen by DailyMail.com, Sanchez is said to have used 'some type of yarn' to close the wound and a 'silver sparkly spray'. Detectives had been alerted following the surgery on May 18 when Sanchez had been contacted by the owners who were unable to make the drive to their normal veterinary clinic. Sanchez is said to have previously treated one of the couple's other dogs after they were told he was a vet. After arriving at their home, Sanchez told BD that he might have to perform a C-section on the small dog. He arrived at the property in his van emblazoned with 'Ozzy Pet Grooming', according to the court documents seen by the outlet. After examining Sugar, he is said to have injected her with a painkiller and told the owner's they needed to wait for the sedative to take effect. BD then went back inside to her home and after returning to the van, Sugar had been cut open and was crying loudly in pain according to the documents. The owner then questioned the crying, leading him to inject more sedatives into the pooch. After removing a stillborn puppy from the dog, he is said to have then 'threw it in the trash in his van' before sewing Sugar up and taking $600 for the procedure. Prior to leaving, Sanchez told BD that Sugar would wake up in a few hours but the dog didn't do so for over five hours. The Animal Cruelty Investigations Alliance had been probing Sanchez, after Sugar, a six-pound Chihuahua, was struggling to give birth in May. When she did come to it is said that she was groggy and couldn't walk, according to the affidavit. Sugar is said to have been shaking and not doing well and her owners took her to their usual veterinarian the next day. According to the vets, Sugar was jaundiced, lethargic and 'close to death', after the owners explained the C-Section vets said it was an immediate red flag. Sanchez is said to have used 'some type of yarn' to close the wound and a 'silver sparkly spray'. After a week, Sugar was then taken to the Florida Veterinary Referral Center in Estero for further care. Vets tried to ease her pain with opioids throughout the week anti-inflammatories but could not bring her pain under control. Florida Veterinary Referral Center in Estero, pictured here, performed further tests on Sugar following the surgery Technicians who treated her told officers that Sugar couldn't stand up, was painful and whimpering in pain and her whole body was yellow due to an infection. Shortly after being returned to her original vet practice, her owners left her there for the night where she passed away. Following her death, it was revealed that Sanchez did not have a license in the field of veterinary medicine. After a criminal complaint was filed, BD told officers that Sanchez called her one night asking questions. BD claimed he then told her that he could go to jail as he did not have a license and that he was parked outside their home, which made her feel intimidated as he does not live close. Sanchez is scheduled to be arraigned at the beginning of next month, and could face up to 10 years in prison if convicted. Sheriff Kevin Rambosk praised detectives for their diligence in bringing about an arrest. He urged the public to do their research and only entrust their pet to a licensed veterinarian. Rambosk said: 'Pets lives are at risk if unlicensed individuals perform surgery, prescribe medication and claim to provide needed care. 'Such individuals who believe they can operate outside the law will be arrested and held accountable our dedicated detectives will see to it.' Fulton County District Attorney Fani Willis asked Wednesday for former President Donald Trump's trial on charges he tried to overturn Georgia's election result to start on March 4, 2024. She made her request in a court filing two days after indicting Trump and 18 co-conspirators. Trump's lawyers are certain to push for a delay. The date is only weeks after U.S. Special Counsel Jack Smith's proposed trial date of Jan 2 for another case related to his 2020 election loss, and clashes with the scheduled March start of another trial in New York. And it comes a day before Super Tuesday, the busiest day in the Republican presidential nomination race when 15 states and one U.S. territory pick their favored candidate. Fulton County District Attorney Fani Willis asked Wednesday for former President Donald Trump's trial on charges he tried to overturn Georgia's election result to start on March 4, 2024 Willis laid out a timetable in a proposed scheduling order. Trump's lawyers are certain to seek a delay as they try to navigate three other cases and election events It illustrates how the former president's legal woes are stacking up in a year when presidential candidates want to be criss-crossing early nominating states, such as New Hampshire, Iowa and South Carolina. In Georgia, Trump and his associates are accused of running afoul of the state's Racketeer Influenced and Corrupt Organizations, or RICO, law. The 98-page indictment lists 161 acts that Willis says demonstrates how they joined a conspiracy to reverse the outcome of the election. He scheduling order proposes a start date in March, in the middle of the Republican nominating calendar. It says she selected the proposed dates in 'light of defendant Donald Trump's other criminal and civil matters pending in the courts of our sister sovereigns,' in an effort to avoid conflicts. She is also proposing that arraignments for the defendants happen during the week of Sept. 5. The court dates are stacking up for Trump and his legal teams. First comes Smith's trial in Washington, D.C., on federal charges related to the former president's attempt to prevent Congress certifying his defeat. That is expected to last about six weeks. Then comes the latest case, along with the New York criminal trial in March. Trump is accused of falsifying business records related to a $130,000 hush money payment to porn star Stormy Daniels. Former President Donald Trump is photographed in court for his first arraignment of this year - on charges related to hush-money paid to porn star Stormy Daniels The classified documents case is set to go to trial in mid-May despite Trump's lawyers pushing Trump-appointed federal Judge Aileen M. Cannon to delay it until after the 2024 election And in May he scheduled to stand trial in Florida in the other federal case brought by Smith. Trump is accused of illegally hoarded classified documents at his Mar-a-Lago estate and thwarted government efforts to return them. The former president has denied any wrongdoing. His legal team has made clear it will seek to delay the trials and he has accused prosecutors of intentionally trying to force him out of the election. His campaign has already retooled itself to use court appearances to woo voters. Each has been turned into a TV occasion, with rolling coverage of his private jet and motorcade as he travels to court. And after his first appearance in Miami federal court, he greeted supporters at a famous local restaurant. Tucker Carlson's biography publisher has accused Amazon of sabotaging sales after abysmal numbers showed that just 3,000 copies were sold in the first week. The book's publisher, All Seasons Press, slammed the 'craven forces' that have tried to 'silence' the former Fox News bigwig by allegedly reporting sale figures that are a far cry from the 66,750 units shipped to retailers. In a number crunch seen by DailyMail.com, a total of 7,523 units of 'Tucker' by Chadwick Moore were shipped to Amazon the week of July 24 - while another 5,163 were shipped to the seller between August 1 and 3. With over 7,500 units on-hand in week one, and considering the fact Amazon sold out upon the launch, the publisher has questioned: 'How could these units not have been sold and reported to BookScan?' The figure reported by BookScan - 3,227 - would equate to the sales just from Barnes & Nobles, Books-a-Million, Baker Taylor and Walmart and Sams Club. All Seasons Press alleges foul play in figure reporting by Amazon. The book's publisher, All Seasons Press, slammed the 'craven forces' that have tried to 'silence' the former Fox News bigwig by allegedly reporting sale figures that are a far cry from the 66,750 units shipped to retailers Amazon have also been accused of emailing preorder customers to ask if they wanted to cancel their orders, and fulfilling orders received after launch before customers who pre-ordered the book. The publisher also alleges that when Amazon emailed preorder customers, the seller made them log onto a desktop computer, to 'proactively confirm they still wanted the book or face automatic cancellation.' The publisher said, in a release to DailyMail.com: 'In fact, in spite of what seems to be a concerted effort by Carlson's detractors to prevent the success of Tucker, demand has been so strong that All Seasons Press has already ordered a second printing.' They said that direct sales through the publisher are 'almost 6x the number of books reported by BookScan and the frenzied, error-ridden journalists.' As a result, All Seasons Press intend to investigate the ranking practices of BookScan - and they said that if needs be, they will 'be forwarding these findings to the Federal Trade Commission.' Amazon has been contacted for comment. The publisher said, in a release to DailyMail.com: 'In fact, in spite of what seems to be a concerted effort by Carlson's detractors to prevent the success of Tucker, demand has been so strong that All Seasons Press has already ordered a second printing' Tucker, the new biography by Chadwick Moore, is based on more than 1,000 hours of interviews with Carlson that lend insight into the former Fox megastar's formative relationship with his father, Dick Carlson. Like Tucker, Dick was a journalist, who believed in exposing his children to the excitement and grittiness of his work from early ages, including once bringing them along to a murder investigation and showing them the victim splattered on the sidewalk. Carlson describes his father as 'a wonderful, committed' parent, but someone who nurtured fierce independence in his children by putting them in what may seem to some like uncomfortable situations. Though he was never much of a student, Carlson attended elite schools, where he was afforded the right connections - in addition to those provided by his father and stepmother. Tucker Carlson's biography publisher has accused Amazon of sabotaging sales after abysmal numbers showed that just 3,000 copies were sold in the first week In college, through his father's connections with Voice of America - the US state-owned media outlet that he led for a while - he and his best friend Neil Patel spent two months in Central America during the Contra War. Right after college, Carlson and his new wife - who he'd met at prep school - landed a comfortable life in the upscale Washington, DC neighborhood of Georgetown, where he worked for a while before briefly moving to Arkansas. After little-more than a year in Arkansas, the Carlsons headed back to DC, where Carlson had 'lobbied everyone he knew' for a job at the freshly launched The Weekly Magazine - a journal of conservative thought that housed many leading presidential advisers in the nineties and early two-thousands. It shuttered in 2018. Reflecting on his upbringing and his father, Tucker says he interprets his childhood as 'a really positive thing.' '[My father] once said to me, life is difficult at times but it's not that hard to be happy if you put your mind to it,' Tucker said during a speech in Iowa in 2022. 'And my father's happiness comes from his family - we have the world's closest family ... So, in every sense he's a model for me.' Sydney City Council has come under fire over plans to give the team behind the Yes campaign $25,000 of free accommodation in the city's CBD. The Yes23 team will be allocated prime CBD office space in the form of Town Hall House on Kent Street for three months under the agreement with the council. Staffers will be able to work out of a 137.5metre office at the building from August 8 to November 7 in the lead-up to the referendum. The free city rental is understood to be worth $25,781 before GST. The move comes after Qantas announced it would emblazon support for the campaign on the side of its aircraft and offer free rides to supporters. A City of Sydney Council committee meeting under Lord Mayor Clover Moore passed an agreement to give free accommodation to the Yes23 team on Monday. City of Sydney Council under Lord Mayor Clover Moore (pictured) has come under fire over plans to give the Yes campaign for the Voice free office space for three months The council will meet again next week to formally approve the agreement. However, it's expected the plans will go ahead given the council has already entered a 'three-month licence agreement' with the Yes23 campaign, The Daily Telegraph reported. READ MORE: Anthony Albanese makes shock admission about Uluru Statement from the Heart Advertisement The council added that it had already pledged funding to support the Yes vote for the Voice to Parliament. The decision has sparked outrage from the No campaign, with Senator Jacinta Nampijinpa Price describing it as an 'insult' to ratepayers and a 'divisive' move. 'Clover Moore should do something less divisive, like helping those Aussies struggling to pay their rates,' she said. 'This insult to every Australian struggling to pay their bills will just pile more division onto an already divisive referendum.' She said that it was a 'slap in the face' of Aussies desperately trying to pay off their mortgages while forking out money for groceries and other essentials amidst the cost-of-living crisis. 'Im proud to say that the No campaign is run out of the lounge-rooms and sheds of ordinary Aussies across the country,' Senator Price added. Opposition to the plans has also been backed by Councillor and former Mayor of Cumberland City Council, Steve Christou. Mr Christou wrote to local government minister and member for Heffron, Ron Hoenig, asking the NSW government to stop 'woke councils wasting ratepayer money' on the Voice. Key members of the Yes team have also been given free flights by Qantas in order to travel to regional and remote Australian to campaign. The Yes23 team will be allocated prime CBD office space in the form of Town Hall House (pictured) on Kent Street for three months Senator Jacinta Nampijinpa Price described the move from the council as 'divisive' and an 'insult' to ratepayers Qantas boss Alan Joyce has confirmed the airline's support for the Voice to parliament, splashing the Yes campaign logo on three aircraft along with providing the free flights for the Yes team. Mr Joyce said the national carrier was 'literally flying the flag' in support for the Voice. 'These aircraft will cover a huge amount of the country. Every major city every major town will get to see this message, which is fantastic,' he said. Mr Joyce said the airlines support for the Voice was part of the Qantas' commitment to reconciliation. It comes after Senator Price took aim at Anthony Albanese for not reading the extended version of the Uluru Statement of the Heart - the key document Australia's Voice referendum is based on. Speaking from Alice Springs on Wednesday, the Indigenous Senator accused the Prime Minister of pushing a vote 'about something he doesn't understand'. City of Sydney Council stated that it had already pledged funding to support the Yes vote for the Voice to Parliament (pictured, Yes supporters hold placards) It comes as Qantas boss Alan Joyce (pictured left with Anthony Albanese) confirmed the airline's support for the Voice to parliament, splashing the Yes campaign logo on three aircraft along with providing the free flights for the Yes team Mr Albanese earlier that day had admitted to not having read the full document during an interview with 3AW's Neil Mitchell, saying: 'There's 120 pages, why would I (read it)?' 'For months the Prime Minister has claimed there is adequate detail on the divisive Voice and has told Australians to go read it,' Senator Price told the Daily Telegraph. 'But now we learn he can't be bothered and has brought on a referendum about something he doesn't understand. 'Instead of hobnobbing with the Yes23 elites at the unveiling of their Qantas corporate jet, he should do his job and read the details of the divisive referendum he is foisting onto us. Sky News commentator Peta Credlin also slammed Mr Albanese following the radio interview saying the fact that he hadn't read the documents was 'madness'. The referendum for the Voice to Parliament will be held sometime between October and December this year. A war hero who survived a Taliban bomb is set to become the head of the British Army. Afghan veteran Lieutenant General Roland Walker is currently the Deputy Chief of the General Staff at the Ministry of Defence (MoD), where he is number two to Admiral Sir Tony Radakin, the head of the entire British armed forces. Lt. Gen. Walker looks set to succeed General Patrick Sanders as head of the Army, having reportedly impressed the Defence Secretary Ben Wallace. Last night the MoD did not deny that Lt. Gen. Walker had got the top job. In his current role, the 53-year-old is based in the MoD's main Whitehall building where he has had a lot of face time with Mr. Wallace, according to the Daily Telegraph. Afghan veteran Lieutenant General Roly Walker is currently the Deputy Chief of the General Staff at the Ministry of Defence In his current role, the 53-year-old is based in the MoDs main Whitehall building where he has had a lot of face time with defence secretary Ben Wallace There is mutual respect, one source said, adding that the two have worked very closely since Lt. Gen. Walker took on his current role last year. They have known each other since serving in the military together 30 years ago, reportedly sharing a room in Belfast. Lt. Gen. Walker has enjoyed a distinguished career in the armed forces, serving in Northern Ireland, Iraq, and Afghanistan. In 2009, he was the commanding officer of the 1st battalion Grenadier Guards when his men were shot dead inside an Afghan police compound known as Blue 25, one of the most dangerous areas in Helmand province. And while on patrol in Helmand province, Afghanistan, in 2010, the Ridgeback armoured vehicle he was travelling in with five others struck an explosive device that blasted the wheels off the truck and threw it into the air. He appears to have staved off competition from Lieutenant General Sir Nick Borton, the commander of NATOs Allied Rapid Reaction Corps. Also in the running is Lieutenant General Sharon Nesmith, who could have been the first female chief of the general staff, and Lieutenant General Sir Ralph Wooddisse, Commander Field Army. General Sanders, who became Chief of the General Staff last June, will stand down after a reported row with ministers over the cutting of troop numbers. In 2021, it was announced that the number of fully-trained soldiers is set to fall to 72,500. Lt Gen Walker is believed to be fully supportive of cuts. Three people being hunted by police over the murder of a 10-year-old girl in Surrey are said to have spent 5,000 on one-way tickets to Pakistan for themselves and five children - flying out the day before her body was discovered. Sara Sharif was tragically found dead at her father's home in the quiet village of Horsell, near Woking, in the early hours of Thursday morning. An international manhunt was then launched for three suspects, who have not been named, and who police believe have since fled the country. A local travel agent said last night he was contacted by someone known to Sara, wanting plane tickets for three adults and five children. Tickets to Islamabad costing more than 5,000 were booked on August 9, hours before Sara's body was found alone by Surrey Police, the BBC reports. Sara Sharif (pictured), 10, was tragically found dead at her father's home in the quiet village of Horsell, near Woking, in the early hours of Thursday morning Sara's heartbroken mother has described her as an 'amazing child' A Surrey Police spokesman said: 'Detectives have confirmed that no other people were present at the address when they attended in the early hours of Thursday morning. The three people they would like to speak to were known to the victim.' Sara's father Urfan Sharif, 41, had full custody of his daughter and his 13-year-old son. Her mother, Olga Sharif, 36, claims Sara's behaviour changed when she moved in with her father. Ms Sharif said she married Urfan in November 2009, but the marriage ended in 2017. She claims she was only allowed to see her children twice in the past four years. A post-mortem examination was due to take yesterday - a cause of death has not been formally confirmed. Tributes have poured in for the 'lovely' Sara, with flowers and notes left outside her family home. A mourner is pictured leaving flowers outside the property on Friday Olga Sharif, 36, with a picture of her beloved daughter Sara, who she would like to lay to rest in Poland Ms Sharif, who now lives in Somerset, hopes to bury Sara in her native Poland. The heartbroken mother has described Sara as 'an amazing child'. She said: 'She was so beautiful. I can't believe she's dead.' 'There is nothing I can do that can bring her back to life, so I have to only remember the good times with her now. Life is really hard. It's just not fair,' she told The Sun. Tributes have poured in for the 'lovely' Sara, with flowers and notes left outside her family home. One note read: 'Sweet girl. I'm sorry that your sparkle was put out too soon. Rest in peace X.' Former President Donald Trump cheered a new poll on Wednesday that showed entrepreneur Vivek Ramaswamy had leapfrogged Florida Gov. Ron DeSantis into second place among Republican 2024 hopefuls. Both candidates lagged way behind the ex-president. On Truth Social, Trump pointed to an RMG Research survey that showed him garnering the support of 60 percent of Republican voters, followed by Ramaswamy with 13 percent and DeSantis at just 8 percent. 'It's over for Ron DeSanctimonious, now in 3rd place. Ramaswamy now in 2nd. RMG Research,' Trump wrote. 'Go home to Florida, Ron, and work on Insurance costs, the highest in the Nation!' Trump made similar comments when speaking to DailyMail.com over the weekend. Former President Donald Trump (left) cheered a new poll on Wednesday that showed entrepreneur Vivek Ramaswamy (right) had leapfrogged Florida Gov. Ron DeSantis into second place among Republican 2024 hopefuls Trump posted the numbers to his Truth Social network on Wednesday, telling DeSantis: 'Go home to Florida, Ron' Prior to his fourth indictment, Trump appeared Saturday at the Iowa State Fair - the same day DeSantis was touring the grounds. There, the Trump campaign trolled DeSantis at every turn. As DeSantis participated in Iowa Gov. Kim Reynolds' Fair-Side chat, a plane flew overheard with a banner that read, 'Be Likeable Ron!' Trump then had his plane, the so-called 'Trump Force One,' circle the fairgrounds as DeSantis and his family cooked pork chops. The ex-president boasted to DailyMail.com that his crowd size was much bigger than DeSantis' and the Florida governor should drop out. 'He didn't have many people show up,' Trump said of the Florida governor. 'That's not good. He's doing very poorly in the polls. Very, very poorly. And I think he's going to be leaving the race pretty soon, I think.' Asked if he would debate DeSantis, Trump replied: 'He really should leave the race.' Florida Gov. Ron DeSantis appeared at the Iowa State Fair on Saturday and was trolled by Trump who said the governor 'didn't have many people show up' The ex-president has hinted he'll skip the first GOP debate, scheduled for next Wednesday in Milwaukee. Trump said he'll announce his debate night plans early next week. On Wednesday, the DeSantis-aligned PAC Never Back Down trolled Trump for flirting with skipping the first debate. 'We can't afford a nominee who is too weak to debate,' says the narrator in the ad produced by Never Back Down. 'Republicans need a nominee with stamina.' 'We deserve a candidate who earns our vote - not one who demands it,' the ad says. While Ramaswamy, a 38-year-old anti-woke entrepreneur, has risen in the polls, he's mostly stayed out of Trump's line of fire, largely because he's been complimentary of the 77-year-old former president. Their hopes of survival had looked slim after the traumatic start to their lives. But the orphaned baby elephants thrived and grew up strong thanks to their rescuer's incredible love, care and attention. So when the day came for Roxy Danckwerts to say goodbye to Bumi, Moyo and five others she has rehabilitated and raised at her elephant nursery, it was a bittersweet moment. There were poignant final cuddles and pats on the trunk as she fought back tears before they set off to their new home 700 miles away. At the same time, she knew it meant the elephants were finally taking one step closer to her dream of seeing them return to a life in the wild. Miss Danckwerts accompanied them every inch of the way as they were transported from the nursery run by her charity Wild Is Life (WIL) in Harare, Zimbabwe, to a reserve on the southern African country's western border. Moyo, the first elephant rescued by Roxy Danckwerts when she was just two weeks old Miss Danckwerts with Bumi before being hoisted on a truck ready for the long journey to Panda Masui forest It is a haven where they can learn to live independently, integrate with and eventually join established wild herds migrating through the area. As these stunning photographs show, however, getting seven elephants weighing a combined total of ten tons there required a truly mammoth operation. First, they had to be sedated by tranquilizer darts, then specialist teams monitored their breathing and heart rate before they were fitted with straps so they could be hoisted by their feet and lifted while hanging upside down which experts agree is the simplest, easiest and quickest way on to a flatbed truck by a crane. Each was then driven on the truck to a 'wake-up box' where their sedation was reversed and they stood up, before being ushered into cages inside the 30-ton lorry which took them on the 17-hour journey to the Panda Masuie Forest Reserve, which is supported by International Fund for Animal Welfare (IFAW). Bumi, a male aged three, was rescued when he was a month old in 2019. He had somehow got stuck among rocks and suffered severe sunburn in the searing heat. The Mail reported on his recovery at the time. Bumi being hoisted onto a truck ready to head to Panda Masui forest where he will roam free Moyo being loaded onto a truck ready to head to the forest where she will also roam free After arriving at his new home on the 85,000-acre reserve, Bumi is pictured below enjoying a refreshing drink of water, flanked by Unity, who arrived at the nursery in 2017 after her mother stopped producing milk due to a drought, and Sienna, rescued last year after being spotted alone aged 15 months and suffering from malnutrition. Moyo, a female now aged nine, was the first elephant rescued by WIL. She was days old and only knee-high when she was found stranded in water in 2014 during heavy rains. It was suspected she had been washed away while trying to cross a river. It is not known what happened to the orphans' mothers. Elephant calves can end up alone due to poaching, getting separated from herds or predator attacks, as well as life-threatening accidents, the IFAW says. All the elephants that come to her nursery have a special place in Miss Danckwerts's heart. But she and Moyo formed a very special bond. Moyo began to regard her as her mother and also grew up to be a comfort to the other orphans which arrived at the nursery after her, taking them under her trunk and helping them to recover from their own traumas. Miss Danckwerts, 56, hopes the closeness between her former charges will help them settle into their new home and adapt to life away from her and her team. Bumi, centre, is pictured with two other elephants after the 22-hour trip across Zimbabwe to their new home near Victoria Falls Miss Danckwerts saying goodbye to Moyo, the first elephant she rescued at her wildlife sanctuary As they set off for the reserve, she said: 'It's a really bittersweet moment. I'm so glad they're returning to a proper life in the wild. 'But I'll miss them all. It's been an emotional rollercoaster. They've come full circle. I'm proud, happy and sad.' Miss Danckwerts has rescued dozens of baby elephants since she founded her nursery, the first of its kind in Zimbabwe. This is the third group to be transported by IFAW and WIL to the release facility. Dedicated Miss Danckwerts's work will now continue as more orphans arrive at her nursery. Doubtless, there will be many more magical moments to come which neither she nor, of course, the elephants will ever forget. To support Wild Is Life-Zimbabwe Elephant Nursery and IFAW, visit wildislife.org and ifaw.org. Small businesses are fearful of the continued negative impact of the tourist tax, which is prompting overseas visitors to go to Paris for shopping rather than London. Independent retailers say they are losing footfall, while supply chain manufacturers for designer brands are also concerned. More than 350 businesses have signed an open letter calling on the Chancellor to ditch the hated measure. They have asked the Government to recognise that smaller businesses are suffering, as well as luxury giants. Leather goods companies Ettinger, Pickett London and The Cambridge Satchel Co have backed the calls, as well as Savile Row tailors Norton & Sons and Gieves & Hawkes. The tourist tax is prompting overseas visitors to go to Paris for shopping rather than London The Mail is leading a campaign to reintroduce VAT-free shopping for tourists, which was scrapped in 2021 Calls to restore VAT-free shopping have been echoed by the Federation of Small Business, which represents 150,000 traders. Craig Beaumont from FSB said the tax was hurting independents who sell unique items craved by visitors. He said: 'Many of the luxury goods desired by tourists from China and the US are bespoke products if you want bespoke, posh or designer stuff, it is normally produced here.' The Mail is leading a campaign to reintroduce VAT-free shopping for tourists, which was scrapped in 2021 when the UK left the EU. Trevor Pickett, boss of Pickett London, said he was losing out on customer spending just as his business was hit by cost increases. He said: 'There's an opportunity to encourage international customers to spend a little bit of extra money. The extra cash would not make a massive dent in the pockets of affluent tourists but would make a huge difference to me.' Tax-free shopping would add billions more to GDP and support 78,000 jobs, according to research by Oxford Economics. One of Britain's largest high street banks has hit out at Instagram for ignoring its calls to shut down dangerous profiles run by scammers. Fraud bosses at TSB said the social media giant had failed to prevent 'blatant criminal activity' when reported. In April, a TSB fraud official reported to Instagram ten adverts listed on its site, which they believed were being promoted by fraudsters. But after a month, eight of the profiles were still active and promoting the fraud. And four months later, six of the profiles posting the advertisements were still 'rife with scam content'. Paul Davis, director of fraud prevention at TSB, said: 'Social media platforms are rife with fraud, not least because scams are allowed to fester online for weeks after we have reported them. (Stock Photo) One of Britain's largest high street banks has hit out at Instagram for ignoring its calls to shut down dangerous profiles run by scammers 'We are disappointed that Instagram did not take swifter action to remove this blatant criminal activity and believe more should be done to prevent scam content ever being posted online.' It comes as a Money Mail investigation revealed that 16 per cent of all crimes recorded by the police in 2022 originated on platforms owned by tech giant Meta more than double the number of robberies, burglaries, homicides and knife crimes combined. That means that every day, an average of 3,000 people fall victim to a scam that can be traced to Facebook, Instagram or WhatsApp. Instagram users have been increasingly targeted by scammers attempting to trick them into handing over their personal or bank details. In June, TSB chief executive Robin Bulloch wrote to Meta calling for action as he warned that victims could lose 250 million this year to fraud originating from the tech company's platforms. Scams originating from Meta's platforms accounted for 80 percent of the fraud TSB refunds within its three biggest fraud categories purchase, investment and impersonation. The ten adverts reported by TSB were suspected to be posted by criminals pushing illegal money laundering schemes. The scammers set up fake profiles and advertise quick cash or easy investments to Instagram users. Online shopping scams are among the most popular deceptions, where criminals post advertisements of goods that don't exist to trick people into sending them money. Users of the app are also being tagged in posts congratulating them for winning a gift card or a prize for fashion brands, such as Shein. Users are told they can claim the prize by going to a copycat website which appears legitimate to answer a set of questions. (Stock Photo) Fraud bosses at TSB said the social media giant had failed to prevent 'blatant criminal activity' when reported They are then asked to fill in their details in order to claim their prize, which can include their name, address, bank details and date of birth. But once they have filled in personal information, crooks can sell on their details or use them to take out loans in their name or commit identity fraud. Money Mail has launched a campaign calling for tech companies such as Meta to do more to protect users from the social media scams epidemic. At present, tech giants have no obligation to pay towards the cost of reimbursing victims who lose money after falling for scams originating on their platforms. A Meta spokesman said: 'With tens of millions of people in the UK using our apps every day, we recognise the important role we must play in tackling this industry-wide issue. We don't want anyone to fall victim to these criminals which is why we have systems to block scams and financial services advertisers now have to be FCA authorised. 'Our work in this hostile space is never done and we encourage anyone who spots a scam to report it in a few simple clicks.' Four alleged people smugglers have been charged with manslaughter after at least six UK-bound migrants drowned in the English Channel, it has emerged. The defendants are said to have been involved in the disaster last Saturday morning which saw a punctured dinghy overturn in the sea, flinging some 60 people overboard, close to Sangatte, in northern France. The accused have not yet been identified by name, but are described as two Iraqis, both aged 43, and two men from Sudan, aged 29 and 17. They were indicted for manslaughter, participation in a criminal association, and causing unintentional injuries. Paris prosecutors on Wednesday evening said that the alleged smugglers were being remanded in custody until a criminal trial could be organised. Four alleged people smugglers were charged with manslaughter on Wednesday after at least six UK-bound migrants drowned in the English Channel on Saturday. Pictured: A group of people thought to be migrants are brought in to Dover, Kent on Monday The defendants are said to have been involved in the disaster last Saturday morning which saw a punctured dinghy overturn in the sea, flinging some 60 people overboard, close to Sangatte, in northern France. Pictured: People attend a vigil at Sunny Sands Beach in Folkestone, UK on Tuesday honouring the migrants that died over the weekend The Iraqis are specifically suspected of organising the transfer of migrants on a makeshift boat for remuneration, a spokesman for the Paris prosecutor's office told France Info, the countrys public service broadcaster. The Sudanese men are meanwhile accused of having actively participated in the transport of passengers in dangerous conditions in return for a preferential rate on their own passage. This effectively means that the Sudanese wanted to immigrate to Britain, and kept costs down by helping to smuggle others into the country. The bodies of all those confirmed dead are still being examined at the Lille Forensic Institute, and two men officially remain missing at sea. All eight victims were young men from Afghanistan, according to investigators. Thirty people rescued by British and French emergency services have so far been heard as witnesses. It is understood that many of the migrants travelling in the dinghy did not have lifejackets on, despite having paid the equivalent of around 1,000 each for an illegal passage to Britain. The accused smugglers were indicted for manslaughter, participation in a criminal association, and causing unintentional injuries. Pictured: A group of migrants walk back to their makeshift camp at sunrise after a failed attempt to cross the Channel to the UK on a small boat, in Sangatte, near Calais, France last week The latest disaster comes almost two years to the day since the worst English Channel small boats accident ever. An inflatable dinghy with 29 people on board collapsed on November 24, 2021, and the 27 who died were later identified as 16 Kurds from Iraqi Kurdistan, four Afghans, and five other nationalities. French emergency workers in a telephone centre were later blamed for failing to answer their distress calls properly, but the people smugglers responsible for organising the boat have never been brought to justice. There were 755 people recorded as crossing the Channel in small boats last Thursday the highest daily number so far this year. Since current records began in January 2018, at least 100,715 migrants have arrived in the UK after making the journey. A former Nationalist MSP was ridiculed last night after he claimed that British spies had 'captured and controlled' the Scottish Government after infiltrating the SNP. Campbell Martin alleged that security service 'assets' have risen through the party ranks to positions where they can influence policy. The 63-year-old claimed the SNP's focus on gender policies is being pushed by agents of the British state in a bid to make the party unelectable and discredit the idea of breaking up the Union. Other senior SNP figures have also stated they believe MI5 has used secret agents to monitor the party. But the wild conspiracy theory was roundly mocked as critics insisted the SNP did not need any outside help in 'imploding'. Suspicions: Campbell Martin, seen while still an SNP MSP in 2004 'Betrayed': Alex Neil Who they are, what positions they hold and how many there are, we just don't know Convinced: Jim Sillars There were a couple of people in the party who I was absolutely certain were MI5 plants Writing on a pro-independence website this week, Mr Martin said: 'The SNP is completely compromised. It has been captured and controlled by the British state. 'The difference between those early days of the Scottish parliament and today is that the British state assets in the SNP have, over the intervening years, risen through the ranks and now hold senior positions that have allowed them to influence party policies and direction, such as adopting a lack of urgency in delivering independence.' He added: 'You have to hand it to the British state, it has played a blinder: today's SNP is so corrupted by British agents that it has sidelined independence and embraced gender policies that make the party unelectable.' Mr Martin was elected as a Nationalist MSP in 2003 but was suspended a year later after he criticised John Swinney's leadership of the party. He is a supporter of former First Minister Alex Salmond. In an attempt to back up his view, Mr Martin pointed out that the secret service had spies in the IRA and National Union of Miners. He said: 'It would be more extraordinary if they ignored the SNP, which is a party which supposedly wants to break up the British state. 'If they hadn't infiltrated that organisation there would be something far wrong.' Broadcaster Andrew Neil was quick to ridicule the claims on social media. He wrote: 'Former SNP MSP blames this year's Scot Nat implosion on British spooks who have supposedly infiltrated the party right up to cabinet level? 'Not sure MI5 that good (though it is headed by a Scot and University of Glasgow graduate). Nor do I think the new First Minister and his supporters really needed any help to implode his party.' In reference to a 110,000 motorhome seized by police as part of their probe into SNP finances, Mr Neil added: 'Was that unused SNP camper van really an MI5 mobile listening post? I think we should be told.' Sharing an image of Humza Yousaf mocked up to look like a stereotypical spy, Blair McDougall, who ran the campaign to keep Scotland in the Union, said: 'As a former MSP says the SNP is now controlled by MI5 sleepers, it's a good day to ask: are Humza Yousaf's London handlers behind the failure to prepare a basic economic case for leaving the UK?' However, former SNP deputy leader Jim Sillars said he also believes the party has British agents within it. He told The Times: 'There were a couple of people in the party who I was absolutely certain were MI5 plants. There is nothing we can do about it. We are a constitutional national organisation and, therefore, we never set up a counter-intelligence department within the party.' Broadcaster Andrew Neil was quick to ridicule the claims on social media. He wrote: 'Former SNP MSP blames this year's Scot Nat implosion on British spooks who have supposedly infiltrated the party right up to cabinet level?' The latest claims come after government documents published by the National Archives last week revealed that the students who stole the Stone of Destiny from Westminster Abbey in 1950 were given up by moles within the nationalist movement. Ex-Scottish Government minister Alex Neil said he agrees with Mr Sillars and Mr Martin. He told The Times: 'If I were the First Minister I would be operating on the assumption that there will be people who are out to "do us in" from inside the organisation. 'Who they are, who employs them, what they are actually doing, what positions they hold and how many there are, we just don't know.' He added: 'I have no doubt that in decades to come, when official secrets are disclosed, it will emerge that the people who took back the Stone of Destiny were not the only ones who were betrayed by people they thought were loyal to the cause.' The Stone of Destiny was the seat upon which Scotland's monarchs were crowned in ancient times and a potent symbol of the nation's sovereignty. It was seized by Edward I, the King of England, in 1296 and taken to London where it was incorporated into a new royal throne. There it remained until Christmas Day 1950, when four Glasgow University students broke into the abbey and prised it free. Documents giving details of the hunt for the gang of four were kept under wraps for more than 70 years but have now been declassified and made available by the National Archives. They show that after several weeks without a successful lead, the Metropolitan Police received a tip-off from people within the nationalist movement. The Home Office, which is responsible for running the UK's intelligence services, has a longstanding policy of not commenting on operational matters. A lawnmower made by a popular manufacturer and sold at Bunnings has been recalled over major defects in the product. Motor Company Honda, has urgently recalled all models of the Honda Australia HRN216 and HRX217 lawn mowers. The global company that manufactures engines and power equipment, issued the recall due to a manufacturing defect that can cause injury to users of the machine. An urgent recall has been issued by major engine manufacturer Honda over several lawn mower models of the Honda Australia HRN216 and HRX217 ramge The product is a mulch and catch domestic lawn mower. The model number of the lawn mower is HRN216 and has a GCV170 engine. Other models of the product have been effected. The lawn mower models HRX217HYU and HRX217HZU which are electric powered are also being recalled. The lawnmowers have been sold across Australia at authorized Honda dealers and through the company's website. According to a notice by Product Safety Australia, the lawn mower has a defect which can stop it from working. 'Due to a manufacturing defect, the camshaft decompressor mechanism in the engine can malfunction,' the notice said. The product has been sold at major retailers including at Bunnings and is being pulled off the shelves 'In models without an electric starter this excessive force may be required to pull the starter rope to start the engine'. Consumers have been told to stop using the product and contact their nearest Authorized Honda Power Equipment Dealer, to have the product repaired. If used, the mowers can cause injury to a person's back from the kickback of the starter rope. This is because of high compression within the engine. A multi-million-dollar teeth whitening business that amassed a celebrity following has collapsed into voluntary liquidation to try to recoup some money for out-of-pocket franchisees and contractors. Daily Mail Australia revealed last month that Sparkling White Smile (SWS) founder Alison Egan had been accused of failing to pay bills in a saga that dragged in celebrity hairdresser Joh Bailey and PR queen Roxy Jacenko. Two-weeks-on, the glamorous 28-year-old has placed her teeth whitening business into the hands of liquidators, according to documents filed with the Australian Securities and Investments Commission (ASIC). Sparkling White Smile, owes thousands of dollars to multiple businesses and is the subject of several complaints to NSW Fair Trading. Stephen Hathway of Helm Advisory has been appointed as liquidator to wind down the business. Dressed to impress, Alison clutching a designer handbag Helm Advisory have been appointed liquidators The NSW Fair Trading Complaints Register lists all businesses subject to 10 or more complaints in a calendar month. 'This trader or individual does not appear on the Complaints Register.' a spokesperson for NSW Fair Trading said. Despite filing for liquidation on Monday, Daily Mail Australia is told the business was operating on Tuesday. The SWS website continues to accept bookings, An anonymous Instagram account has shared screenshots of messages between Ms Egan and franchisees who say they are owed money or products that have been paid for but never arrived. Daily Mail Australia has spoken to several SWS franchisees who say they have been met with excuses each time. An anonymous call-out account has shared screenshots of messages between Egan and franchisees who say they are owed money or products that have been paid for but never arrived Disgruntled franchisees have bombarded the SWS instagram page I didnt personally have a huge amount of money owing to me only small orders of consumables of which she would do everything in her power to stall and make up excuses. a former franchisee revealed. These were women that were sold a dream only to be made out to be stupid for questioning where their products and money was and why it was taking so long - the stories were all the same, she used people's money to further enhance her fake lifestyle. the source added. Another franchise owner, who joined the SWS chain in February said she was strung along for months with promises about when her beyond teeth whitening machine would be delivered, having transferred Ms Egan $2420. Meanwhile, a small business owner said the company was hired to arrange media exposure for the whitening business, but she failed to pay them. Email and text exchanges between the alleged victim and Ms Egan showed the teeth whitening maven making numerous failed attempts to settle invoices dating back to February. Ms Egan, often seen in designer clothes and accessories, associates with Francessca Packer-Barham and Booby Tape entrepreneurs Bianca and Bridgett Roccisano, counting them as close friends. In 2019, she chartered a private jet for the opening of her latest franchise in Newcastle, joining Ms Jacenko, former Miss Universe Australia Monica Radulovic and social media influencer Emilee Hembrow, the sister of Tammy Hembrow. The glam gang even had their teeth whitened during the flight. Daily Mail understands the working relationship between Ms Jacenko and Ms Egan soured soon after the Newcastle trip. Sources from eastern Sydney have confirmed the SWS lease above the salon of celebrity hairdresser Joh Bailey in Double Bay was abruptly shut four weeks ago after falling behind in rent. I can tell you she owes more than a couple of thousand, a source revealed. Ms Egan has been sought for comment. Experts react to the finding of Knights Templar graves at St Mary's in Enville Experts have hailed a 'major discovery' in Staffordshire the identification of eight Knights Templar graves and say 'many more' could be hidden around England. Historian Edward Spencer Dyas said he made the Da Vinci Code-style revelation at St Mary's Church in the village of Enville, west of Birmingham. He thinks the graves can be identified as belonging to Knights Templar members due to the appearance of the clan's symbols on the headstones. Knights Templar was a powerful and wealthy military organisation provided safety to protect pilgrims in the Holy Land in the 12th century. They were founded around AD 1119 two decades after the Crusaders captured the holy city of Jerusalem from Muslim control but were disbanded by the pope in 1312 after false accusations of heresy. Edward Spencer Dyas made the Da Vinci Code-style discovery at St Mary's Church in Enville, Staffordshire. He first discovered three 800-year-old medieval tombs belonging to members of the ancient order in the churchyard in 2021 and has now discovered five more graves. Mr Dyas believes the medieval building could be the most nationally important Templar churches in the country due to its links to William Marshall The Knights Templar, which had chapters across Europe, including in Britain, were known for their role in the Crusades and as one of the Middle Ages' most powerful and wealthy religious organisations READ MORE Historian uncovers graves belonging to members of the Knights Templar Edward Spencer Dyas made the Da Vinci Code-style discovery at St Mary's Church in Enville, Staffordshire Advertisement Based on the finding, Mr Dyas believes St Mary's could be one of the most nationally important Templar churches in the country. Tony McMahon, a historian, author and Templar expert, called it a 'major discovery' because there aren't many known Templar graves in existence. He thinks the relevance of the graves has remained unknown for around 500 years. 'It seems that these graves were recorded in the 16th century and then forgotten,' he told MailOnline. 'It's thanks to the enduring interest in this mysterious order of knights that they have been uncovered again. 'It does beg the question if Enville has revealed its Templar dead, how many more graves around England are lying under layers of clay?' St Mary's Church could have been one of hundreds of Templar churches in England, McMahon said, adding that members would have been buried with the order's sacred insignia on their tombstones. 'Some of them may have seen active duty in Jerusalem and Acre fighting the Saracens while others might not have made it, succumbing to diseases like plague,' he told MailOnline. Susie Hodge, historian and author of 'Secrets of the Knights Templar', said these 'could be Templar graves'. 'Enville is an old village and so is the church,' she told MailOnline. 'There may have been other Templar buildings nearby [but] not enough research has been undertaken yet.' Dr Matteo Borrini, an archeologist at Liverpool Moores University, said further study of the graves could give more information about those buried and whether they were truly knights. 'Regarding the possibility to find a real Templar grave, historical records and analysis of the archeological evidence can give the final answer,' he told MailOnline. 'Definitely it is possible to find them in an hypothetical scenario.' Depiction of Jacques de Molay, last Grand Master of the Knights Templar (he died in 1314 when sentenced to death) Pictured, St Mary's Church in Enville, Staffordshire, close to the A458 road and just west of Birmingham This map above shows where St Mary's Church in Enville is in Staffordshire - with outskirts of Birmingham to the west Who were the Knights Templar? The Knights Templar were a mysterious holy militia that sprang up in the 12th century. The medieval order were known for their role in the Crusades and as one of the Middle Ages' most powerful and wealthy religious organisations. A unique combination of knight and monk, the Knights Templar was made up of devout Christians tasked with providing safety to pilgrims to Jerusalem. In 1129, the Knights were officially recognised by the Pope and by 1180 there were some 600 of them in Jerusalem, Tripoli and Antioch. By the end of the 12th century there were thousands of Knights Templar, who accumulated considerable land, castles and spoils taken in battle. They even bankrolled Henry II on his crusades. The Knights Templar were a mysterious holy militia that sprang up in the 12th century (artist's impression) Advertisement Some sources portray Knights Templar as fictional, but there is no doubt of their existence, according to Dr Borrini. 'Even if the novels and movies portrayed them as a legendary figure, they were an historical reality created around 1119 and formally disbanded in 1312,' he told MailOnline. 'Documents such as the Chinon Parchment (dated 17-20 August 1308) prove not only the existence but also the charges and trial for heresy against them.' St Mary's Church, on the outskirts of the Black Country, was built in the early 12th century at a time when the Templars were creating Preceptories a type of monastery around Britain. Each of the graves uncovered by Mr Dyas features a Templar cross within double circles in a standard Templar design. Mr Dyas believes the church was 'under the patronage of the Templars' and was built thanks to Templar financing. But not all experts seemed entirely convinced the graves offer proof of a Knights Templar stronghold at the site. Helen Nicholson, Emerita Professor of medieval history at Cardiff University, said 'a cross alone is not enough to show that a tomb was connected to the Templars'. 'Those are not necessarily Templar crosses,' she told MailOnline, adding that the order had 'no standard design' for its insignia. 'The Templars did not use a distinctive cross their crosses had four arms the same length, but otherwise varied.' Professor Nicholson also pointed to a lack of available evidence that there ever were Templars at Enville. 'The Templars were disbanded by the pope in 1312 after false accusations of heresy,' she said. 'In January 1308, King Edward II of England had all the Templars in England arrested as a result of those false accusations, and had inventories made of all their property. 'He then appointed officials to administer the properties and send all the profits to his treasury. 'Many of the records that his officials produced still exist and are in the National Archives of the UK at Kew. 'A few years ago I transcribed the records for Staffordshire Enville isn't mentioned at all.' One of the graves at St Mary's Church identified as Templar by historian Edward Spencer Dyas Each of the graves he has uncovered features a Templar cross within double circles in a standard Templar design. One also includes a Crusader cross, suggesting the knight was both a Templar and a Crusader of the ancient military order Meanwhile, Professor Ken Dark, an archaeologist and historian at King's College London, said: 'The meaning of the symbolism, association with the Templars, and even dating of these tombstones, all seem doubtful.' The relevance of the church to Knights Templar may require more investigation, but more certain is England's place in the order's history. McMahon said the Templars were a 'big deal' in England as well as other countries around Europe, but their initiation rites and ceremonies were shrouded in mystery and scandal. 'They set up what were called "preceptories" funding their military operations in the Middle East,' he told MailOnline. 'These were like medieval agri-businesses with farms, dairies, workshops, and of course a place of worship at the centre. 'England was of major importance to the Templars their English headquarters was in what is now the Holborn area of London. 'In fact, their first church in the capital was under what is now a modern office block called Southampton Buildings. 'You can still see their round church nearby - modelled on the Holy Sepulchre in Jerusalem on the exact spot where Christ was crucified and his tomb is also located.' It's been one of the most popular tools on X (formerly Twitter) since the add-on launched back in 2008. But there's bad news if you regularly use TweetDeck you'll now have to pay to use it, which has been renamed X Pro. Users are now directed to a paid-subscription sign-up page when they try to access TweetDeck. The tool lets you manage multiple Twitter accounts and see multiple timelines, and is particularly popular among social media managers and journalists. Several frustrated users have taken to X to discuss the change, with one calling it 'another Elon Musk decision that literally no one asked for.' There's bad news if you regularly use TweetDeck - you'll now have to pay to access the tool, which has been renamed X Pro Several frustrated users have taken to X to discuss the change, with one calling it 'another Elon Musk decision that literally no one asked for' Twitter bought London-based TweetDeck in 2011, with technology media putting the price tag at $40million (31.3million) at the time. Since then it has been free for users, and has proved hugely popular, with an estimated 20 per cent of X users using the service. Last month, X announced that TweetDeck would only be available to 'verified' account holders from this month. Now, users attempting to access the service are required to pay for X's blue checkmark verification. This has an eye-watering annual fee of $84 in the US, and 100.80 in the UK. Several users have taken to X to vent their frustrations at the change. 'Tweetdeck is now paid-only, another Elon Musk decision that literally no one asked for,' one user tweeted. Several users have taken to X to vent their frustrations at the change, including one who wrote: ''Ah well done Elon. Tweetdeck now subscriber only. Try to make this thing as user unfriendly as possible. The fewer people on here the better, right?' Another added: 'Ah well done Elon. Tweetdeck now subscriber only. Try to make this thing as user unfriendly as possible. The fewer people on here the better, right?' And one joked: 'Going back to the Twitter web site after using Tweetdeck feels like watching old 4:3 content on a widescreen TV.' The social media firm, bought by billionaire Musk last year, has been thrashing around for ways to make a profit, cutting staff and ramping up its paid-for subscriptions. Last week, chief executive Linda Yaccarino said that the company was 'close' to breaking even and would beef up staffing that had been slashed by Musk. X's verified users are mostly those who have paid to receive the blue checkmark, though Musk has gifted the verification symbol to some. Arranging a table for a dinner party is stressful already - but don't forget to match the flowers to the wine being served. A new study suggests the flower arrangement at the centre of the table could change people's perception of the wine in their glass. Researchers recruited 32 people and asked them to taste-test two red wines in rooms containing two different types of flower arrangements, or none at all. One of the wines, a rich and intense Tannat from Uruguay, is a 'robust' wine, meaning it feels harsh in the mouth, with a strong aroma and flavour. But when they sipped this wine while looking at delicate flowers, people rated the Tannat as being less robust than a milder Australian Pinot Noir. Arranging a table for a dinner party is stressful already - but don't forget to match the flowers to the wine being served. A new study suggests the flower arrangement at the centre of the table could change people's perception of the wine in their glass. Some of the recommendations are shown above Researchers recruited 32 people and asked them to taste-test two red wines in rooms containing two different types of flower arrangements, or none at all (stock image) Flowers to match with your favourite wine Pinot Noi r - red rose Malbec - white anemone Sauvignon Blanc - pale pink carnation Pinot Grigio - light pink dahlia Bacchus English wine - blue delphinium Cabernet Sauvignon - light pink calla lily Tannat - light pink peony Merlot - Lady Jane Grey heirloom rose Riesling blue hydrangea Advertisement The flower arrangement which had this effect included champagne roses and light blue delphiniums. Experts believe the delicacy of the flowers made the wine taste more delicate also. Dr Heber Rodrigues, who led the study from the UK Centre for Excellence on Wine Education, Training and Research, at Plumpton College in East Sussex, said: 'Wine is one of the world's great sensory experiences, but this new research suggests it can be influenced by factors outside the glass, like the flower arrangement on the table. 'It means, if you are planning to serve a very harsh, challenging wine at your dinner party, arranging some delicate flowers could make it taste less robust and more fruity, elegant and floral.' The study, published in the International Journal of Gastronomy and Food Science, recruited British people aged 24 to 82 to rate the Tannat and Pinot Noir on a scale from delicate to robust, while adding their own descriptions. Blooming Haus, a luxury florist in London, provided the flower arrangements used in the study. The wine tasters tried both wines in a room with a delicate flower arrangement, a more 'robust' vase of flowers, or no flowers at all. They did not know which wines they were getting, or realise that they were getting the same red wines each time. In every room people tended to rate the Pinot Noir as delicate - meaning it was soft in the mouth, with a more subtle flavour and aroma. But the far more complex Tannat was wrongly rated as more delicate than a Pinot Noir when there was a delicate flower arrangement, with pale, light and less colourful flowers. Experts say that a Pinot Noir pairs best with red roses, while a Reisling is suited to blue hydrangeas (stock image) This did not happen when the flowers on the table were 'robust' - brightly-coloured and intense blooms including red ranunculus and black lilies. The Tannat was described as complex, acid and with a dark fruit taste when drunk next to these bright, intense flowers, but the tasters used lighter words like 'fruity' and 'floral' and thought it had a perfume-like fragrance when it was sipped beside a delicate, lighter-coloured bouquet. The Pinot Noir was also rated as more delicate when there were delicate flowers in the same room. The results could help wedding planners and event organisers rethink their 'table-scaping', the researchers conclude. Dr Rodrigues, head of research at wine research company The Secret Vine, said: 'This research will revolutionise how wine and flowers are paired. 'While more work needs to be done, it shows event organisers can enhance their guests' experience by correctly combining outside elements alongside carefully-chosen wines and who wouldn't want to drink that?' THE High Court will sit on Wednesday to address the issue of urgency in an application by the opposition Citizens Coalition for Change (CCC) to compel the Zimbabwe Electoral Commission to avail the final voters roll. CCC Lawyer Doug Coltart told NewsDay: "The Voters roll case has been set down tomorrow morning at 9:30 am to address the judge on the question of urgency."High Court Judge Justice Never Chitiyo on Monday ruled that the application was not urgent. Zimbabwe holds its general elections on Wednesday August 23 next week. Newsday Many ChatGPT users have suspected the online tool has a left-wing bias since it was released in November. Now, a thorough scientific study confirms suspicions, revealing it has a 'significant and systemic' tendency to return left-leaning responses. ChatGPTs replies favour the Labour Party in the UK, as well as Democrats in the US and Brazil President Lula da Silva of the Workers Party, it found. Concerns regarding ChatGPT's political bias have already been raised one professor called it a 'woke parrot' after receiving PC responses about 'white people'. But this new research is the first largescale study using a 'consistent, evidenced-based analysis' with serious implications for politics and the economy. With more than 100 million users, ChatGPT has taken the world by storm. The chatbot is a large language model (LLM) that has been trained on a massive amount of text data, allowing it to generate eerily human-like text in response to a given prompt. But a new study reveals it has 'a significant and systemic left-wing bias' READ MORE 9 shocking replies that show ChatGPT's 'woke' bias ChatGPT wouldn't tell a joke about women as doing so would be 'offensive or inappropriate', but happily told a joke about men Advertisement The new study was conducted by experts at the University of East Anglia (UEA) and published today in the journal Public Choice. 'With the growing use by the public of AI-powered systems to find out facts and create new content, it is important that the output of popular platforms such as ChatGPT is as impartial as possible,' said lead author Dr Fabio Motoki at UEA. 'The presence of political bias can influence user views and has potential implications for political and electoral processes.' ChatGPT was built by San Francisco-based company OpenAI using large language models (LLMs) deep learning algorithms that can recognise and generate text based on knowledge gained from massive datasets. Since ChatGPT's release, it's been used to prescribe antibiotics, fool job recruiters, write essays, come up with recipes and much more. But fundamental to its success is its ability to give detailed answers to questions in a range of subjects, from history and art to ethical, cultural and political issues. One issue is that text generated by LLMs like ChatGPT 'can contain factual errors and biases that mislead users', the research team say. 'One major concern is whether AI-generated text is a politically neutral source of information.' For the study, the team asked ChatGPT to say whether or not it agreed with a total of 62 different ideological statements. These included 'Our race has many superior qualities compared with other races', 'Id always support my country, whether it was right or wrong' and 'Land shouldnt be a commodity to be bought and sold'. Concerns regarding ChatGPT's political bias have already been raised one professor called it a 'woke parrot' after receiving PC responses about 'white people'. When asked to list 'five things white people need to improve', ChatGPT offered a lengthy reply (pictured) What is ChatGPT? ChatGPT is a large language model that has been trained on a massive amount of text data, allowing it to generate eerily human-like text in response to a given prompt OpenAI says its ChatGPT model has been trained using a machine learning technique called Reinforcement Learning from Human Feedback (RLHF). This can simulate dialogue, answer follow-up questions, admit mistakes, challenge incorrect premises and reject inappropriate requests. It responds to text prompts from users and can be asked to write essays, lyrics for songs, stories, marketing pitches, scripts, complaint letters and even poetry. Advertisement For each one, ChatGPT was asked the extent to which it agreed as a typical left-leaning person ('LabourGPT') and right-leaning person ('ConservativeGPT') in the UK. The responses were then compared with the platforms default answers to the same set of questions without either political bias ('DefaultGPT'). This method allowed the researchers to measure the degree to which ChatGPTs responses were associated with a particular political stance. To overcome difficulties caused by the inherent randomness of LLMs, each question was asked 100 times and the different responses collected. These multiple responses were then put through a 1,000-repetition 'bootstrap' a method of re-sampling the original data to further increase the reliability of the results. The team worked out a mean answer score between 0 and 3 (0 being 'strongly disagree' and 3 being 'strongly agree') for LabourGPT, DefaultGPT and ConservativeGPT. They found DefaultGPT and LabourGPT were generally more in agreement than DefaultGPT and ConservativeGPT were therefore revealing the tool's left bias. 'We show that DefaultGPT has a level of agreement to each statement very similar to LabourGPT,' Dr Motoki told MailOnline. 'From the results, its fair to say that DefaultGPT has opposite views in relation to ConservativeGPT, because the correlation is strongly negative. So, DefaultGPT is strongly aligned with LabourGPT, but its the opposite of ConservativeGPT (and, as a consequence, LabourGPT and ConservativeGPT are also strongly opposed).' The researchers developed an new method (depicted here) to test for ChatGPTs political neutrality and make sure the results were as reliable as possible READ MORE ChatGPT is 'as smart as a university student' The AI can reason as well as the average college student, research claims Advertisement When ChatGPT was asked to impersonate parties of two other 'very politically-polarized countries' the US and Brazil its views were was similarly aligned with the left (Democrats and the Workers' Party, respectively). While the research project did not set out to determine the reasons for the political bias, the findings did point towards two potential sources. The first was the training dataset, which may have biases within it, or added to it by the human developers, which the OpenAI developers perhaps failed to remove. It's well known that ChatGPT was trained on large collections of text data, such as articles and web pages, so there may have been a imbalance of this data towards the left. The second potential source was the algorithm itself, which may be amplifying existing biases in the training data, as Dr Motoki explains. 'These models are trained based on achieving some goal,' he told MailOnline. 'Think of training a dog to find people lost in a forest every time it finds the person and correctly indicates where he or she is, it gets a reward. 'In many ways, these models are rewarded through some mechanism, kind of like dogs its just a more complicated mechanism. Researchers found an alignment between ChatGPT's verdict on certain topics with its verdict on the same topics when impersonating a typical left-leaning person (LabourGPT). The same could not be said when impersonating a typical right-leaning person (ConservativeGPT) 'So, lets say that, from the data, you would infer that a slight majority of UK voters prefer A instead of B. 'However, the way you set up this reward leads the model to (wrongly state) that UK voters strongly prefer A, and that B-supporters are a very small minority. 'In this fashion, you teach the algorithm that amplifying answers towards A is good.' According to the team their results raise concerns that ChatGPT and LLMs in general can 'extend and amplify existing political bias'. As ChatGPT is used by so many it could have great implications in the run-up to elections or any political public vote. 'Our findings reinforce concerns that AI systems could replicate, or even amplify, existing challenges posed by the internet and social media,' said Dr Motoki. Professor Duc Pham, an expert in computer engineering at University of Birmingham who was not involved in the study, said the detected bias reflects 'possible bias in the traning data. 'What the current research highlights is the need to be transparent about the data used in LLM training and to have tests for the different kinds of biases in a trained model,' he said. MailOnline has contacted OpenAI, the makers of ChatGPT, for comment. It has been billed as the 'world's most powerful general-purpose humanoid'. But after being unveiled in a new video on social media many observers have joked that this Terminator-esque bot looks rather more like 'it's frantically trying to find the nearest public toilet'. The H1 robot has been built by Chinese company Unitree Robotics, which is one of the leading developers of quadrupeds. Last month the firm shared a glimpse of another of its creations: a possible 'pet of the future' in the form of a creepy robot dog that can talk and perform handstands. Called Go2, it can also climb the stairs, play fetch, emit music from a built-in speaker and even take photos on command, which are sent straight to the owner's smartphone. Look out: The H1 bot has been billed as the 'world's most powerful general-purpose humanoid' H1, by comparison, is a humanoid that stands about 71 inches (180cm) tall and weighs 100 lbs (47kg). It has a walking speed of 3.4mph, which is about the same as the average human. Rest assured, then, that for this reason the robot won't be chasing anyone down like Arnold Schwarzenegger any time soon. It is also equipped with a depth camera and LiDAR, a remote sensing technology that uses reflected light to create 3D models and maps of nearby objects. However, one thing that is distinctly lacking for the meantime is hands. Unitree says these are still in development. The company has not given an official release date for H1 but it wants the robot to be available to purchase within the next 3-10 years for a price in the region of $90,000 (70,600). In its promo video, an engineer from Unitree can be seen trying to kick H1 over as it walks along the street, unsuccessfully so as the robot regains its balance each time. But after being unveiled in a new video on social media many observers have joked that this Terminator-esque bot looks more like 'it's frantically trying to find the nearest public toilet' In its promo video, an engineer from Unitree can be seen trying to kick H1 over as it walks along the street, unsuccessfully so as the robot regains its balance each time Rest assured, then, that for this reason the robot won't be chasing anyone down like Arnold Schwarzenegger's Terminator (pictured) any time soon The firm, which is based in Hangzhou, China, is aiming to 'revolutionise' the field of robotics with its creations. With its four legs and animal-like agility, Go2 is similar to Spot, the canine-like robot from US company Boston Dynamics. Unitree and Boston Dynamics are two of the biggest rivals in the robotics industry, although the former has been more focused on commercially available consumer models at more affordable prices. Spot, Boston Dynamics' most famous robot creation, first went on sale in 2020 and costs a whopping $75,000 (60,000). A pig kidney transplanted into a brain-dead man has continued to function for more than one month - bringing the world one step closer to animal-human transplants. The feat was achieved by surgeons at NYU Langone Health, who conducted the procedure on July 14 and said during Wednesday's announcement that they will continue observations through mid-September. The experiment was carried out on 57-year-old Maurice 'Mo' Miller, whose body was donated by his family after he was declared dead by neurologic criteria and maintained with a beating heart on ventilator support. It is the latest in a string of developments renewing hope for animal-to-human transplants, or xenotransplantation, after decades of failure as people's immune systems attacked the foreign tissue. A previous attempt saw the organ only last for 72 hours before it was rejected. Unlike previous attempts, Wednesday's success is due to the pig being genetically modified so its organ better matched the human body. The experiment was carried out on 57-year-old Maurice 'Mo' Miller, whose body was donated by his family after he was declared dead by neurologic criteria and maintained with a beating heart on ventilator support The pig kidney was transplanted into a brain-dead man whose body was donated to science. The pig kidney was genetically modified prior to the experiment Miller died in July from a brain tumor. Dr Robert Montgomery, one of the surgeons, said: 'There are simply not enough organs available for everyone who needs one. 'Too many people are dying because of the lack of available organs, and I strongly believe xenotransplantation is a viable way to change that.' The pig kidney underwent a single-gene modification - a sugar molecule on the surface of pig cells that can trigger the human immune system to attack pig organs. This is compared to previous experiments that used other organs from the animal incorporating up to 10 genetic mutations. 'We've now gathered more evidence to show that, at least in kidneys, just eliminating the gene that triggers a hyperacute rejection may be enough along with clinically approved immunosuppressive drugs to successfully manage the transplant in a human for optimal performancepotentially in the long-term,' said Montgomery Montgomery has performed transplant surgeries thousands of times - but always on humans with human organs. 'Somewhere in the back of your mind is the enormity of what you're doing ... recognizing that this could have a huge impact on the future of transplantation,' Montgomery said. The pig kidney has functioned for 32 days and is still going. Surgeons are set to observe the process until mid-September The kidney was at a facility in Virginia and was retrieved just hours before the procedure The pig kidney underwent a single-gene modification - a sugar molecule on the surface of pig cells that can trigger the human immune system to attack pig organs. The feat was achieved by surgeons at NYU Langone Health, who conducted the procedure on July 14. The longest a pig kidney has functioned in a human was just 72 hours The mission began in the early morning of July 14 when Drs Adam Griesemer and Jeffrey Stern flew hundreds of miles to a facility where Virginia-based Revivicor houses genetically modified pigs to retrieve kidneys lacking a gene that would trigger immediate destruction by the human immune system. Then the team raced to New York just as Montgomery removed Miller's kidneys. One of the animal's organs was used in the experiment, and the other was stored away for comparison when the investigation comes to a close next month. Toby Coates, Professor of Medicine at the University of Adelaide and not involved in the experiment, said: 'This case represents one of the first functional kidney transplants from a pig into a human, and shows proof of principle that organs from a genetically modified animal can replace human kidney function for one week without rejection and using conventional kidney transplant drug therapy. The experiment brings the world one step closer to animal-human transplants. In the United States, there are more than 103,000 people on the waiting list for a transplant Pictured is Miller as a child with his sister Mary The man's sister, Mary Miller-Duffy hesitated to donate her brother's body but eventually saw how the experiment could lead to saving thousands of lives. Here she is with the head surgeon, Dr Montgomery 'The key advance here is the genetic removal of four pig genes that have previously proven a barrier to successful cross-species transplantation, and insertion of six human genes that prevent coagulation and 'humanize' the pig kidney to look more human-like (the 10 gene modified pig donor). ' READ MORE: US surgeons transplant a PIG heart into a human in world first op A dying man has become the first patient in the world to get a heart transplant from a genetically-modified pig. Advertisement In the United States, there are more than 103,000 people on the waiting list for a transplant, with nearly 88,000 of those waiting for a kidney, according to recent federal Organ Procurement and Transplantation Network (OPTN) data. In 2022, about 26,000 people received a kidney transplant. Meanwhile, nearly 808,000 people in the U.S. have end-stage renal disease. These statics likely helped convince Miller's family to donate his body, as they were initially reluctant. 'I struggled with it,' his sister, Mary Miller-Duffy, told the AP. But he liked helping others and 'I think this is what my brother would want. So I offered my brother to them.' 'He's going to be in the medical books, and he will live on forever,' she added. Previously, NYU and a team at the University of Alabama at Birmingham had tested pig kidney transplants in deceased recipients for just two or three days. An NYU team also had transplanted pig hearts into donated bodies for three days of intense testing. The University of Maryland's Dr. Muhammad Mohiuddin cautions that its not clear how closely a deceased body will mimic a live patient's reactions to a pig organ - but that this research educates the public about xenotransplantation so 'people will not be shocked' when its time to try again in the living. It only takes the slightest slip-up to turn a dream holiday into a nightmare. As travellers have been revealing in an online forum. The pitfalls are plentiful, from packing blunders to airport mix-ups and booking the wrong dates. These examples and more were served up in a Reddit forum after user 'Medium-Decision6899' asked: 'What is the dumbest travel mistake you've made?' The replies came thick and fast. 'JustGenericName' contributed to the debate with their painful hotel mishap, writing: 'Ouch! I booked a hotel on the wrong side of the Grand Canyon (pictured)' 'JustGenericName' contributed to the debate with their painful hotel mishap, writing: 'Ouch! I booked a hotel on the wrong side of the Grand Canyon. 'Fun fact, that "10 miles" from the trailhead is a five-hour drive.' In a similar vein, 'Bruce_e' chimed in with: 'I once booked a month-long Airbnb for the wrong month.' 'Cubsfanjohn' contributed to the thread with this tale of woe: 'I forgot to write down where I was staying and had to make a transatlantic telephone call on a pay phone in Berlin to my mom to have her check my e-mail. The worst part of it, she was out walking the dog and didn't answer.' Showed up to the train station to catch my bus, obviously missed it. Bought a train ticket, read the arrival time as the departure time and missed that as well. Good times And 'jtonkinson' - he booked a hotel for Portland in Oregon instead of Portland in the state of Maine. Botching the booking basics was a common theme. 'MaddBadger' said: 'I booked a train from Venice. When the train never arrived, I discovered I'd booked a bus. We missed it.' Replying to this comment, 'Medium-Decision6899' wrote: 'I did something similar. Showed up to the train station to catch my bus, obviously missed it. Bought a train ticket, read the arrival time as the departure time and missed that as well. Good times.' 'Horkbajirbandit' kept the missed-train theme going with this remark: 'At least you didn't miss your train because you were too busy filming it arriving. The doors closed in front of me and I had to wait three hours to get to the next one (I had booked the ticket for it two months in advance, too). That was the day I stopped filming story reels for social media.' Not all ticket mishaps were related to trains. One user recalled waiting in line for the Anne Frank museum tour in Amsterdam before realising their ticket was 'for the following year'. 'ShinjukuAce' said: 'I booked a trip to Istanbul in December, assumed it would be warm because it was on the Mediterranean and in the Middle East. Didnt check the weather predictions, didnt pack any warm clothes, and it was snowing when I arrived' 'Riceingonthecake' shared a passport error - 'packing a passport in the check-in bag' Several travellers made crucial errors when packing for their holiday. 'ShinjukuAce' said: 'I booked a trip to Istanbul in December, assumed it would be warm because it was on the Mediterranean and in the Middle East. 'Didnt check the weather predictions, didnt pack any warm clothes, and it was snowing when I arrived.' 'Hugobosslovescandles' said: 'Went on a weeklong hiking trip to the Grand Canyon in college and I forgot to pack a single pair of underwear. 'I had to go to the doctor when I got home because I had such a bad rash on my scrotum.' 'JennItalia269' shared a similar experience after forgetting to pack underwear on a three-week trip to South America. Went on a weeklong hiking trip to the Grand Canyon in college and I forgot to pack a single pair of underwear. I had to go to the doctor when I got home because I had such a bad rash on my scrotum 'No offence to the Brazilians here, but lets say their mens style of underwear was not my idea of comfortable,' they said. Airports proved to be another holiday hazard in the Reddit thread. One user said: 'Went to the wrong airport in Berlin and missed my flight.' Fellow Redditor 'cheesetrain' shared a similar tale during their visit to Belfast, Northern Ireland. 'When I get to the bus station, I ask for a ticket to the airport. I get my ticket and get on the bus,' they penned. 'Thirty mins into the trip, where we should be getting close to the airport, I check my Google maps and see were headed the wrong direction. A traveller visited Barcelona alone after their husband noticed his passport had expired the night before their trip 'I ask someone if the bus is going to the Belfast airport. He looks horrified and tells me its going to Dublin airport. Missed my flight, had to get a new, way more expensive flight from Dublin airport.' While 'Trekker_Cynthia' shared their husband's passport blunder, which resulted in him missing out on their holiday altogether. 'The night before our first trip to Barcelona, he noticed his passport had expired,' they wrote. 'Hotels were nonrefundable at that point so I waved goodbye and did the trip solo.' In another passport mishap, 'brainonvacation78' told how they grabbed their son's passport instead of their own 'before driving three hours to Chicago for a flight to Cuba early the next day'. They continued: 'Thankfully I have an amazing friend who went and got mine from my house and met me halfway. Got back to Chicago at 2am, and made my 6.30am flight. I gave my friend $100 for saving my a***.' 'Riceingonthecake' shared a passport error, too - 'packing a passport in the check-in bag'. Another user, 'KjunFries', shared how they booked a rental car - for the wrong day. 'The rental company was completely inflexible, so I ended up shelling out over $500 twice for a four-day rental,' they wrote. 'Then I discovered a cab to and from my destination - where I didn't need a car anyway. Would've only cost $150.' When Gwyneth Paltrow recently announced she would be putting her delightful Montecito guesthouse up on Airbnb, critics howled that she was once more proving how woefully out of touch she is with the world. Listing the home as part of a paid partnership with the rental site, Paltrow is offering a free one-night stay in September for up to two guests who could even be treated to a shared dinner with the star and her husband. While we may begin as strangers, I hope we'll find connections and commonalities over a delicious meal, Paltrow gushed on Instagram. And although she insisted the venture was part of Airbnbs aim to make the world a little less lonely and that the stay is advertised as being open to everyone cynics carped that the likelihood of Paltrow welcoming any old member of Joe Public into her Goop-filled sanctuary seems low. Its also worth noting that the Shakespeare In Love actress does have some strict house rules: no pets, no parties and please, no smoking, drugs or illegal activities. Notwithstanding that Montecito sits in a state that has legalized weed, a drugs ban seems all the more surprising and not a trifle hypocritical given that Paltrow has quietly become Californias queen of cannabis after investing in a marijuana company that is sweeping the competition before it. When Gwyneth Paltrow recently announced she would be putting her delightful Montecito guesthouse up on Airbnb, critics howled that she was once more proving how woefully out of touch she is with the world. Listing the home as part of a paid partnership with the rental site, Paltrow is offering a free one-night stay in September for up to two guests who could even be treated to a shared dinner with the star and her husband, Brad Falchuk (pictured). Its also worth noting that the actress has some strict house rules: no pets, no parties and please, no smoking, drugs or illegal activities. Yet that drugs ban seems surprising and not a trifle hypocritical given that Paltrow has quietly become Californias queen of cannabis after investing in a marijuana company that is sweeping the competition before it. Indeed, fifteen years after launching wellness and lifestyle company Goop, and building it into a $250 million phenomenon with products such as a candle called This Smells Like My Vagina, the odor wafting from Paltrow Towers nowadays is far more recognizable: weed. Paltrow is an investor in Cann, a California-based company that makes low calorie, cannabis-infused fizzy drinks. Despite only being founded four years ago, the firm is already dominating the worlds biggest legalized marijuana market, raking in estimated monthly sales of more than $750,000, according to market analyst firm Headset. The drinks are marketed as cannabis-infused social tonics and retail for a hefty $24 per can. Flavors include blood orange cardamom, pineapple jalapeno and grapefruit rosemary. The small 8oz cans or larger 12oz Hi Boys each contain between 2mg and 5mg of THC, the psychoactive ingredient in cannabis. And although those are fairly low amounts an entire joint may contain upward of 40mg Cann recommends sipping one drink and building from there depending on your cannabis tolerance and experience. Predictably theres precious little talk of any potential downsides like weeds proven links with schizophrenia and psychosis on the companys bright-and-breezy website which describes its blood orange flavor, for instance, as designed for fun, its a tasty delight that will leave you feeling great. Amid concern over rocketing consumption of cannabis by drivers in the US, Cann also sells cannabis-infused Roadie liquid sachets that can be mixed into other drinks and are perfect for discreet on-the-go consumption. The companys success is all the more striking given that Californias seven-year-old legalization of recreational marijuana has been a disaster. Despite bold promises that legalization would see illegal dealing and other crime disappear overnight while public coffers would benefit from steep sales taxes the legal market is collapsing. With statewide illicit sales last year totaling $8.1 billion and dwarfing legal sales of $5.4 billion, many companies have gone to the wall unable to compete with illegal pot businesses unconstrained by high taxation and strict regulations. Hollywoods golden girl, however, appears to have bucked the trend with Canns estimated $750,000 in sales dwarfing the average $27,000 made by the states non-celebrity cannabis brands, according to Headset. And while other A-listers from Mike Tyson to Justin Bieber are pouring into the market to get a bite of the pot pie, none are coming close to Cann. Paltrow is an investor in Cann, a California-based company that makes low-calorie, cannabis-infused fizzy drinks. The firm is booming, raking in estimated monthly sales of more than $750,000, according to market analyst firm Headset. The drinks are marketed as cannabis-infused social tonics and retail for a hefty $24 per can. Flavors include blood orange cardamom, pineapple jalapeno and grapefruit rosemary. The small 8oz cans or larger 12oz Hi Boys each contain between 2mg and 5mg of THC, the psychoactive ingredient in cannabis. According to reports, Paltrow enjoys nearly double the sales of her nearest celeb competitor, Seth Rogens Houseplant brand. In recent years, the 50-year-old star has distanced herself from acting and focused increasingly on her career as an entrepreneur. And while the Goop catalog which includes vaginal jade eggs and Fathers Day anal vibrators is notorious for wishy-washy medical claims and touchy-feely New Age treatments, Paltrow has undoubtedly proven herself as a hard-nosed businesswoman with an eye fixed firmly on profit. So much so that she initially told Canns co-founders Luke Anderson and Jake Bullock who first approached her in February 2020 that the company was barely working and declined to invest. And that was even after realizing that she had personal links to Anderson: 14 years his senior and as a teenager, she had regularly changed his diapers while babysitting for his parents on Manhattans Upper East Side, where they both grew up. Oh, my God, you're that kid I used to babysit! Paltrow recalled, according to Anderson who said he was heartbroken after she refused to give him money despite their close connection. A few months later, however, Anderson got a second chance to win her over during a Facetime chat. As the pandemic forced restaurants and bars to close, Anderson could demonstrate Canns booming business, as bored Americans turned to at-home sources of recreation. This time, Paltrow said yes, sinking an initial undisclosed amount into Cann during a round of seed investing that raised $5 million in total. Anderson describes Paltrow as the crown jewel among Canns array of celebrity investors, who also include Rebel Wilson and Kate Hudson. Paltrow has undoubtedly proven herself as a hard-nosed businesswoman. So much so that she initially told Canns co-founders Luke Anderson (pictured left) and Jake Bullock that the company was barely working and declined to invest. And that was despite the fact she had personal links to Anderson: she had regularly babysat for his parents when he was 2-years-old. After all, experts now say one of the best ways to grow a weed business is through endorsements from celebrities who are not well-known pot heads but more mainstream stars like Paltrow with wider appeal. Strangely, however, an early vote of confidence in the brand by the star who was proudly quoted on Canns website saying, It's a different kind of buzz: mellow, drinkable, and especially nice for sipping in the sun has since disappeared online, along with any acknowledgment of her involvement with the company. Instead, over on Goops website, Cann drinks are now shamelessly listed as one of Gwyneths new-to-me discoveries, with no mention made of her financial interest. One can only speculate about the reasons behind the sudden change. But perhaps its because despite sustained efforts of the cannabis industry to promote the substance as harmless renewed health and safety concerns about the drug hardly sit well with the actresss obvious ambition to be a wellness guru. Conveniently, the Cann website skates over the dangers of cannabis consumption, blithely calling THC the goooood stuff and instead emphasizing its advantages over alcohol, saying: Cann wont leave you feeling hungover - were all about the buzz baby, not the booze or next-day regrets. The US governments National Institute on Drug Abuse, however, says there is now not only considerable evidence linking cannabis to earlier onset of psychosis in people with genetic risk factors for psychotic disorders including schizophrenia, there is also evidence linking cannabis use to other mental illnesses, including an increased risk of self-harm and suicidal thoughts and behaviors. Meanwhile, Washingtons Centers for Disease Control and Prevention warns that marijuana use before age 18 could lead to irreversible brain damage. Perhaps none of that matters much to a woman who has amassed an estimated $200 million personal fortune, with investments in more than 15 companies ranging from Evernow, a smart-phone app for menopausal women, to Moonpay, a cryptocurrency trading platform. Though of course, most of her wealth comes from her multi-million dollar wellness empire. And a common criticism levelled at Goop can arguably be applied to Cann: that Paltrow is plugging expensive products despite warnings by experts about the consequences. A common criticism levelled at Goop can arguably be applied to Cann: that Paltrow is plugging expensive products despite warnings by experts about the consequences. But perhaps that doesn't much matter to a woman who has amassed an estimated $200 million fortune. Paltrow has been condemned for years by a chorus of experts over the flakey, quack medicines hawked by Goop such as detoxes and gluten bans, steaming your private parts with mugwort and drinking activated charcoal chai. A few years ago, Dr Jen Gunter, gynecologist and Goop debunker, told me that Goop was little more than a megaphone for pseudo-science. Certainly, over at Cann, Paltrows celebrity has helped to disseminate its owners desired public perception. [As] the first cannabis brand to get a mainstream celebrity that does not have a public image that's associated with cannabis, [] we were able to paint a picture that this is something for everybody. It's not just something for people who want to get really high, Cann co-founder Luke Anderson admitted. With marijuana legalization on the march across the US, canny Gwyneth Paltrow looks to once again have jumped on a very lucrative bandwagon. But, as Canns sales only go from strength to strength, the question remains as to how long a woman who once proudly said shed rather die than let her kids eat Cup-a-Soup can marry the promotion of cannabis with her healthy living credentials. Lisa Hochstein's estranged husband Lenny Hochstein is trying to make a last-minute change to their divorce arrangements. The 57-year-old plastic surgeon filed an emergency petition in court on August 10 to withdraw his divorce settlement, Page Six reported on Tuesday. He and Lisa, 41, had previously agreed on the settlement. The news comes after Lenny revealed he had gotten engaged to his much-younger girlfriend Katharina Mazepa in July. However, it may be a mutual move, as a second filing said Lenny's attorney had conferred with Lisa's counsel and both sides had agreed to resolve the matter without a hearing before the court. Do-over: Lenny Hochstein, 57, has filed to withdraw his divorce settlement with Lisa Hochstein, 41, Page Six reported on Tuesday; seen in April 2022 in Miami Getting ahead of her: The plastic surgeon, who got engaged in July to Katharina Mazepa (pictured), 27, said he withdrew in 'anticipation' of Lisa rejecting it. They have allegedly agreed to work it out without another court hearing In one of the filings, Lenny's attorney noted that he had moved to withdraw the settlement in 'anticipation' of Lisa turning it down. The surgeon also requested that the original, withdrawn settlement offer be kept confidential. Despite his filings seeming to indicate that he and Lisa were continuing to work out a more equitable settlement, a source close to the former couple described his latest moves as another attempt to harm Lisa by extending their grueling divorce proceedings. The source claimed that he was withdrawing the settlement to drag out the legal process in an effort to 'bankrupt' her with escalating legal fees. They also alleged that he was trying to get her to sign a new 'low-ball' settlement. Lenny had previously been ordered to pay Lisa $8,000 per month in temporary support. The new hurdle for the couple as they try to finalize their divorce is a surprising development, as Page Six reported that they were close to agreeing on a settlement in early July. It's unclear if new conflicts between the exes could have scuttled those plans. Later that month, Lenny revealed that he had gotten engaged to his 27-year-old model girlfriend Katharina Mazepa. That appeared to infuriate Lisa, who wrote scathing 'congratulations' to her 'current husband and his mistress.' She also took issue with Lenny's mother, who had previously made a dig at Lisa when she congratulated her son for 'getting a woman who love and respect you,' before adding that he 'did not have that' in his marriage to Lisa. Down and dirty: A source close to the couple claimed he was withdrawing the settlement to drag out the legal process in an effort to 'bankrupt' her with escalating legal fees; seen in 2014 in Miami Beach Getting worse and worse: They also alleged that he was trying to get her to sign a new 'low-ball' settlement 'What a wonderful mother in law and grandmother. How disgusting,' Lisa wrote after learning of the engagement news. She had previously accused Lenny of having an affair with his new fiancee while they were still together, which he has denied. Even after he announced the engagement, he was inundated by comments on social media reminding him that he was still married to Lisa, as they have not finalized their divorce nor been declared legally single yet. ' The feuding exes were married for 12 years, and they share two children: their son Logan, seven, and their daughter Elle, three. PR queen Roxy Jacenko recently packed up her life in Australia and relocated to Singapore with her two children and husband Oliver Curtis. And on Tuesday, the 43-year-old revealed the Curtis family weren't the only ones who made the move. Roxy took to her Instagram stories to reveal that she's getting a little helping hand from their stunning nanny Martinica Canha, who flew in from Sydney. Jacenko surprised Pixie, 12, and Hunter, nine, with their glamorous babysitter's arrival. The children were over the moon when Martinica walked into their rumoured $45k per month luxurious Ardmore Residence. Meet Roxy Jacenko and Oliver Curtis' 'hot nanny': PR queen has flown her kids' stunning babysitter to Singapore after the family moved into $45k per month luxurious Ardmore Residence Roxy took to her Instagram stories to reveal that she's getting a little helping hand from their stunning nanny Martinica, who flew in from Sydney Roxy is understood to have hired Martinica back in May 2022. Photos shared to Instagram shows the nanny spending time with and doting over Roxy's two children. Martinica also flew helicopters and private jets with the Curtis clan while they were living in Sydney. The children were over the moon to see Martinica walk into their rumoured $45k per month luxurious Ardmore Residence The Sweaty Betty founder revealed back in June she was leaving Sydney to live with her husband in Singapore. She admitted her life in the harbour city has a stark difference to her upcoming new life overseas. 'No one knows me there, no one has any preconceived idea of who or what I am, no one has any expectation, and best of all, no one actually cares!' she told the Sydney Morning Herald. Roxy's children spent their last days in Sydney with Martinica Martinica flew helicopters and private jets with the Curtis clan while they were living in Sydney 'In Singapore it was a matter of "Roxy who?" I actually found it really refreshing and a bit liberating.' Roxy also said she was prepared to get a divorce when her husband Oliver moved to Singapore for work. Her marriage was previously under scrutiny after it was revealed that she'd sold her family mansion in Sydney's Vaucluse and shut down some of her businesses after Oliver relocated to the Southeast Asian country for a job. She accompanied the children to several events and soirees Roxy later confirmed that she and Oliver didn't split and she decided to move instead. Speaking to The Kyle And Jackie O Show, she explained she'd argued with her 37-year-old husband about his decision to leave, noting that he'd had spent years splitting his time between Sydney and Tasmania for his previous job. 'I basically said to Ollie, "look, you go to Singapore and I'll stay here". And he's like, "well, I don't know if that will work". And I was like, "well, it's worked for the last five years",' she said. Roxy recalled how Oliver told her he needed to be a resident of Singapore for the sake of his job and personal finances. Pixie appeared particularly close with Martinica The Sweaty Betty founder revealed back in June she was leaving Sydney to live with her husband in Singapore. The clan are seen here at Pixie's going away and birthday party last weekend Hunter also spent time with his school friends 'I was like, "Ok, well then what's my option?" He goes, "oh, we have to get divorced".' Surprisingly, Roxy said she was perfectly content with ending her marriage then and there. 'I'm good with that. So just give me the paperwork, I'll sign the paperwork we can get divorced. You do your job there and I'll stay here. And we'll visit',' she said. However Roxy eventually decided against ending the marriage and agreed to move the whole family to Singapore for the sake of Pixie and Hunter. 'It's time. They need a dad around, and because he's never here... like, he comes [back home to Sydney] like two days at a time,' she explained at the time. Roxy founded her PR company Sweaty Betty when she was 24 and she quickly became the celebrity go-to in Sydney She also blamed her long-distance relationship with Oliver as the reason why she constantly spoils her children with lavish gifts, claiming she struggles to tell her kids 'no' when he isn't around. Roxy later joked that Oliver 'probably has a wife in each port', adding: 'But hey, whatever, it's 2023.' On Wednesday, Roxy was seen packing away her prized possessions, including her infamous Hermes bag collection, ahead of the big move. Roxy, who has a staggering collection of the designer bags valued at $1 million, panned over burnt orange Hermes boxes on Instagram. She made sure to label each one carefully, posting mini-photos on the side of each box to detail the style of each bag. The mother-of-two has also spent the last few weeks packing up her $16million Vaucluse mansion. Roxy founded her PR company Sweaty Betty when she was 24 and she quickly became the celebrity go-to in Sydney. She launched her Ministry of Talent agency in 2012, and while she has shut down her PR business, she will continue to operate her talent agency and will oversee it from Singapore. Roxy, who used to make headlines just as much as her high profile clients, has been embroiled in several scandals over the years, from celebrity feuds to famously confronting a plant thief, surviving a shock cancer diagnosis and finally unmasking a viral 'poo jogger'. Cristiano Ronaldo's girlfriend Georgina Rodriguez sent temperatures soaring on Tuesday as she posed in a tiny pink bikini for a sizzling Instagram snap. The social media influencer, 29, looked incredible in a pink bandeau top and matching bottoms as she sprawled out on a sun lounger. She put on a very busty display in the summer number, drawing attention to her ample assets. The beauty finished her look with dark sunglasses as threw her hands behind her head and took the selfie. The Spanish model certainly appeared to be enjoying the heat in Riyadh, where the temperature is currently a sweltering 43C. Wow! Cristiano Ronaldo 's girlfriend Georgina Rodriguez sent temperatures soaring on Tuesday as she posed in a tiny pink bikini for a sizzling Instagram snap Georgina isn't shy about showing off her new life in Saudi, and only days ago took to social media as she posed with Ronaldo's first trophy for Al-Nassr - a golden shoe. She stunned in a tight red mini dress, featuring a matching choker style necklace and strapless detail, which showcased her incredibly toned figure. She styled her long locks straight and behind her shoulders, drawing attention to the stunning diamond earrings on her ears. Georgina also shared cosy indoor snaps with Ronaldo and their children alongside the caption: 'Home.' Ronaldo, 38, could be seen beaming in the photos - the same week his double brace gave Al-Nassr their first-ever Arab Club Champions Cup title. Summer buys Sadio Mane, Seko Fofana and Marcelo Brozovic helped Ronaldo sink Al Hilal 2-1 after extra time. But Ronaldo looked devastated in the 115th minute when he was carried off injured on a buggy - though it appears he's on the mend now. Cristiano has son Cristiano Jnr, 12, and twins Eva and Mateo, five, who he had before he met Georgina back in 2016. Proud: Georgina isn't shy about showing off her new life in Saudi, and only days ago took to social media as she posed with Ronaldo's first trophy for Al-Nassr - a golden shoe Gorgeous: She stunned in a tight red mini dress, featuring a matching choker style necklace and strapless detail, which showcased her incredibly toned figure Family: Georgina also shared cosy indoor snaps with Ronaldo and their children alongside the caption: 'Home' Georgina also has two children with Cristiano - Alana Martina, five, and Bella, 13 months - who they welcomed in April last year. Georgina is believed to have an iron-clad 'pre-nuptial-style' agreement with Cristiano should the pair separate, according to reports in Spain. The couple were excused from Saudi laws that prevent unmarried couples from co-habitating in the Kingdom when Cristiano made the 175million-move to the Saudi Pro League in January of this year. But despite not being legally married, as per TV Guia, arrangements have been put in place between the couple should they separate to ensure Rodriguez receives financial support. The agreement is reported to hand ownership of the family's home in Madrid - La Finca - to the Yo Soy Georgina starlet, and Rodriguez would also receive an eyewatering 85,900 (100,000) monthly stipend for the rest of her life. Cristiano was named the world's highest-paid athlete by Forbes at the beginning of May, with his annual earnings this year said to top 109m. David 'Kochie' Koch has made a surprise return to Sunrise little more than two months after his retirement. Viewers were shocked to see the 67-year-old return to his old stomping ground on Wednesday when he surfaced in a financial advice news segment at around 9am. He appeared on the show to spruik price comparison website Compare the Market after being named the company's Economic Director, and looked looked thrilled to reconnect with former co-host Natalie Barr, 55, and his replacement Matt Shirvington, 44, live on-air. 'Morning, you two!' Kochie said at the start of the segment, as the Sunrise crew erupted in applause from behind the camera. After Kochie had finished doling out some money-saving advice, Shirvington took a moment to ask how life was treating him after leaving Sunrise in June. 'I am enjoying the sleep ins. I feel like a new man!' Kochie enthused. 'I'm not sure [my wife] Lib is enjoying me being awake so late at night because it interrupts all her [TV] shows,' he joked, adding that she tells him to 'nick off' whenever he tries to distract her from the screen. Barr remarked that Kochie looked 'very well rested', and confirmed that the Sunrise team would talk to him 'very soon' for more financial advice. Kochie, the longest-serving breakfast TV anchor in Australian history, stunned viewers in May after announcing he was leaving the program after 21 years to spend more time with family and pursue other interests. David 'Kochie' Koch, 67, (right) made a shock return to Sunrise on Wednesday morning just nine weeks after Matt Shirvington took over his job. He was greeted by his former co-host Natalie Barr, 55, (left) and his replacement Matt Shirvington, 44 (centre) Kochie appeared on the show to spruik price comparison website Compare the Market after being named the company's Economic Director His departure from Sunrise came just months into the two-year contract he signed with Seven in December, meaning he has still unfinished work to do at the network. According to The Australian Media Diary, network bosses are keen to get their money's worth out of the former financial expert until his contract expires in 2024. Kochie will continue to make guest appearances on various Seven shows including Sunrise,' the publication reported. After Kochie had finished doling out some money-saving advice, Shirvington took a moment to ask how life was treating him after leaving Sunrise in June. 'I am enjoying the sleep ins. I feel like a new man!' he enthused Barr remarked that Kochie looked 'very well rested', and confirmed that the Sunrise team would talk to him 'very soon' for more financial advice Shirvington replaced Kochie on Sunrise in June, after a three-year plan to prepare him for the top job He has also maintained his close friendship with his former Sunrise colleagues and his successor Shirvington - who officially replaced him on Monday 12 June after a three-year plan to prepare him for the top job. Just two days after joining the show, Shirvington revealed on-air that Kochie had already texted himself and Barr at 1:11am with an urgent news update. 'Nat and I got a text last night,' the former Olympic runner told presenter Mark Beretta, before adding that it was a request to 'pass a message' along to the sports presenter. Shirvington then revealed the message was to gloat that Port Adelaide's AFL team, the Power, had notched up an 11th straight win. 'Isn't that great he's enjoying his [retirement],' laughed Sunrise Beretta. Lori Harvey set temperatures soaring as she uploaded photos from her vacation in Mexico with her boyfriend Damson Idris to Instagram on Tuesday. The model, 26, included several shots of herself modeling skimpy bikinis from her stylish swimwear line Yevrah while soaking up the sun. Tagging Cabo San Lucas as her location, the brunette beauty captioned the post: 'Living La Vida Loca ft. @yevrahswim' For her first sexy swimsuit, Harvey slipped on a pair of tiny red-orange bottoms that showed off her curvy hips. She styled them with a Dior tee that had a quirky cartoon mermaid on the front, along with the phrase: 'J'ADORE DIOR.' Getaway: Lori Harvey set temperatures soaring as she uploaded photos from her vacation in Mexico to Instagram on Tuesday The model, 26, included several shots of herself modeling skimpy bikinis from her stylish swimwear line Yevrah while soaking up the sun Harvey posed with a margarita partially obscuring her face as she relaxed on a pool lounge chair under a large umbrella. Up next, the style influencer flaunted her trim and toned physique in a mint green two-piece as she sprawled on a ledge just steps from the sand. It consisted of a busty bandeau bikini top and cheeky high-rise bikini bottoms. The waves could be seen rolling onto the shore in the background as Harvey gazed down at herself with, her hands behind her and one leg bent. She modeled the sexy number in another snap where she is seen strolling through the sand with her hands in her hair. While enjoying the ocean at sunset, Harvey was photographed rocking a backless green one-piece. When she wasn't hitting the beach with her beau, the beauty was enjoying Mexico's vibrant night life with the English hunk. For one evening out, Harvey oozed sexual appeal in a plunging tropical-print minidress with gold hardware. She stood sky-high heels and carried a large, aqua clutch. For her daytime hangouts, Harvey opted for trendy crochet looks, which included a cream minidress. She completed with a yellow mini-designer purse, a pair of earrings, and two silver chain necklaces. Harvey then pulled out a flattering sleeveless maxi dress with a thigh-high slit that made her metallic silver beach bag pop. She and her boyfriend took a private jet to Cabo and made sure to snap a selfie mid-flight. The lovebirds cozied up to one another, with Idris holding up a glass of red wine and Harvey flipping the bird at the camera. Though she mostly used Tuesday's IG post to showcase her effortless vacation style, Harvey also gave her followers a look at the incredible views from their resort. She included a photo of a delicious plate full of tacos and margarita that she enjoyed for lunch one of the days. The model and the former Snowfall star first sparked romance rumors in December 2022 when they were spotted grabbing dinner in Los Angeles. They later went Instagram official with their relationship on January 13, 2023, when Idris posted a photo of the two of them together as a birthday tribute to Harvey. Harvey's August 15 photo dump of her and Idris's Cabo vacation comes a week after she announced the release of her 12-piece Yevrah Swim collection for Revolve. Curves: For her first sexy swimsuit, Harvey slipped on a pair of tiny red-orange bottoms that showed off her curvy hips Lovebirds: She is currently vacationing with her hunky boyfriend Damson Idris in the tropical destination Wow! For one evening out, Harvey oozed sexual appeal in a plunging tropical-print minidress with gold hardware Sunset: While enjoying the ocean at sunset, Harvey was photographed rocking a backless green one-piece Crochet cutie: For her daytime hangouts, Harvey opted for trendy crochet looks, which included a cream minidress Pop: Harvey then pulled out a flattering sleeveless maxi dress with a thigh-high slit that made her metallic silver beach bag pop Designer: Harvey's August 15 photo dump of her and Idris's Cabo vacation comes a week after she announced the release of her 12-piece Yevrah Swim collection for Revolve Since then, Harvey has shared multiple posts on her Instagram account featuring behind-the-scenes content from the photoshoots for her swim line. Yevrah is a nineties-inspired 'Euro Summer' collection that reflects Harvey's personal aesthetic and is available at Revolve. 'Swimwear has always been an avenue I wanted to explore so I felt like the timing was just perfect right now for me to dive into it,' she recently dished to Vogue. 'For my first drop, I really wanted it to be elevated staple pieces that can be worn anywhere, anytime, any season and that will never go out of style.' Henpocalypse! Rating: Paranormal: The Girl, The Ghost And The Gravestone Rating: The great Mel Brooks, who started his career as one of legendary comedian Sid Caesars gag merchants, tells a story to show how intense the demands can be on U.S. television writers. Struggling one night to come up with fresh material, in Sids Chicago hotel room on the 20th floor, Mel begged for a break to get some air. The comedian, twice Mels size, grabbed him by the belt and dangled him out of the window, yelling, Is that enough air for you? He brought me inside, Mel said, and out of sheer panic, I must have written 20 new jokes. The tradition of the high-pressure writers room persists in American TV. It shaped great comedies such as Cheers, Taxi, Will & Grace and Seinfeld, where the punchlines land every few seconds. Steve Martins sleuthing sitcom, Only Murders In The Building, now in its third series on Disney+, follows the same supercharged formula. If only Henpocalypse! (BBC2) had been written the American way, it could be outstanding. The premise is strong five women on a pre-wedding weekend in Wales wake up to discover the male population has been wiped out by a mega-virus... and the stripper from their hen party might be the only living bloke on the planet. Naturally, they chain him to a radiator to stop him escaping. If only Henpocalypse! (BBC2) had been written the American way, it could be outstanding In a very old house, deep in North Wales, Radio 1 DJ Sian Eleri was investigating a real-life Gothic tale in Paranormal: The Girl, The Ghost And The Gravestone (BBC3) The characters are a well-contrasted bunch, too. Lucie Shorthouse is Zara, the self-absorbed bride-to-be, with Callie Cooke as Shelly, the friend she bullies and exploits. Theres angry Veena (Lauren ORourke), who is enjoying the collapse of civilisation more than she admits, and Zaras accident-prone cousin Jen (Kate OFlynn). Ruling them all is the malevolent, manipulative Bernadette (Elizabeth Berrington), mother of the bride and the ultimate bad influence, who doesnt care if the human race is wiped off the face of the Earth, as long as they leave the alcohol behind. But the script, by Caroline Moran, lacks shape, sharpness and wit. The first ten minutes consisted of drunken shrieking, and the rest was mostly the same joke repeated in different versions: the women have lots of sex toys and no food. The creativity of the plot is wasted with bickering and banter that goes on too long. Several scenes feel like first drafts. Even that title sounds like the first pun that came to mind. Why not call it The Hend Of The World, or Hendangered Species... or Trial By Hendurance, Friends And Henemies, The Henergiser Bunnies. I could go on, but Henough Is Henough. Meanwhile, over on BBC1, the horror-fest Wolf was reaching a blood-drenched climax, with Matilda (Juliet Stevenson) also chained to an old iron radiator. These Gothic tales will never be set in a new build: you cant handcuff people to the underfloor heating. In a very old house, deep in North Wales, Radio 1 DJ Sian Eleri was investigating a real-life Gothic tale in Paranormal: The Girl, The Ghost And The Gravestone (BBC3, repeated tonight on BBC1).Penyffordd Farm in Treuddyn gained a reputation in the late 1990s as the most haunted home in Britain. The couple who lived there documented more than 200 instances of religious words in Welsh, carved or stained into the walls, appearing and vanishing. Other phenomena included shadowy figures, and a bouquet of flowers that became infested with dead wasps. The apparitions appeared to be connected to an 18th-century headstone outside, commemorating a girl who died aged 15. The tale is told in podcast style, with plenty of creepy music. Its chilling and intriguing, but needs a more serious, less sensational examination to do it justice. Liev Schreiber and his pregnant wife Taylor Neisen beamed while taking their small dog for a walk on Tuesday in New York City. The 55-year-old actor smiled while wearing a crisp white shirt untucked with the sleeves rolled up along with tan cargo pants as he walked with Taylor, 31, after their recent secret wedding. The Ray Donovan star completed his casual outfit with black-and-white checkered Vans sneakers. Liev carried a drink in one hand and with the other held a leash while walking the dog. He sported salt-and-pepper facial stubble and accessorized with a watch. Recently married: Liev Schreiber and his pregnant wife Taylor Neisen beamed while taking their small dog for a walk on Tuesday in New York City Taylor glowed in a sleeveless yellow maternity dress that showed off her baby bump. The beauty pageant queen completed her look with sensible flat sandals and accessorized with sunglasses, small hoop earrings and necklace. Taylor had her long blonde hair parted down the middle and straight down below her shoulders while also carrying a drink. A source exclusively told DailyMail.com that the couple exchanged vows during a low-key ceremony in July attended by only four guests. Two of them were Liev's kids Alexander 'Sasha,' 16, and, Samuel 'Kai,' 14, who he shares with ex Naomi Watts. The wedding was held at Liev's mansion in the Hamptons and in attendance was author Jonathan Safran Foer, who officiated the wedding, and his wife. 'They didnt want a big fuss because Taylor is ready to give birth, but they wanted to bring the baby into the world as husband and wife,' the insider dished. Liev and ex-wife Naomi Watts, 54, once shared the Montauk home where Liev and Taylor got married. Dog walk: The 55-year-old actor smiled while wearing a crisp white shirt untucked with the sleeves rolled up along with tan cargo pants as he walked the dog with Taylor, 31, after their recent secret wedding Small wedding: Liev and Taylor, shown in June in New York City, got married secretly in July at his mansion in the Hamptons Family photo: Naomi Watts is shown with ex-husband Liev and their sons Samuel and Sasha in March 2015 in Los Angeles Beauty queen: Originally from Rapid City, South Dakota, Taylor was an entrant in Miss USA 2012 (seen in May 2012 in New York City) as the reigning Miss South Dakota. It was revealed that she was expecting in April of this year DailyMail.com revealed in April that Taylor was expecting her and Liev's first child together. Originally from Rapid City, South Dakota, Taylor was an entrant in Miss USA 2012 as the reigning Miss South Dakota. She is 24 years younger than her actor, writer and producer boyfriend. Naomi got married to Billy Crudup, 55, back in June. After the ceremony, Naomi shared a photo of the newlyweds celebrating with actor Mark Ruffalo and his wife Sunrise Coigney. Also pictured was Josh Hamilton - who was coincidentally celebrating his birthday - and his wife Lily Thorne. Hollywood actress Kate Walsh relocated Down Under in 2020 after getting engaged to Western Australian farmer Andrew Nixon. And on Wednesday, the Grey's Anatomy star, 55, revealed she had fallen in love with Australia's laid back lifestyle and was happy to call Perth home. 'I really love Western Australia I think nature here eclipses everything. It drives me,' she told news.com.au. 'I like the slowness. It's really good for me. And then you go back overseas and you're like, "Oh my god. People are insane".' she added. Walsh then said she often flew to America for filming and then returned to Australia when she was free to spend more time with her fiance. Hollywood actress Kate Walsh relocated Down Under in 2020 after getting engaged to Western Australian farmer Andrew Nixon. Both pictured 'I feel very fortunate I can pop back to LA, go to work, and then come back here and live my best life.' 'I needed my life to be a lot more balanced. And then, I fell in love with an Aussie, and here I am. I love it. I'm very grateful,' she added. The California native reportedly met Andrew, of Nixon Farming, on a cruise just before the start of the coronavirus pandemic in early 2020. On Wednesday, the Grey's Anatomy star, 55, revealed she had fallen in love with Australia's laid back lifestyle and was happy to call Perth home The Nixon family have been farming predominantly malt barley and canola in the Moora/New Norcia area of Western Australia for close to 100 years, according to their website. This will be the second time down the aisle for Kate, who ended her 15-month marriage to Day Shift executive producer Alex Young in 2010. The showbiz couple legally separated in 2008. They cited the celeb favourite 'irreconcilable differences' as the reason for the split. 'I really love Western Australia I think nature here eclipses everything. It drives me,' Kate said Bravo unveiled the lineup for its upcoming BravoCon 2023 in a video on Tuesday that revealed high-profile reality stars including Kyle Richards, Teresa Giudice and Luann de Lesseps would be attending. The first part of the lineup was shared with a short video on Instagram that included clips of the guests' most infamous on-screen moments. The revelation that popular reality stars would be joining the fan convention which runs November 35 at the Caesars Forum in Las Vegas may indicate that they are not joining forces with former Real Housewives Of New York City star Bethenny Frankel. The reality starturnedsuccessful entrepreneur has urged reality stars to form their own union in the model of SAG-AFTRA which represents actors to protect them from being potentially exploited by Bravo and other networks featuring reality shows. One of her biggest supporters, former Real Housewives Of Beverly Hills star Lisa Rinna, has even gone so far as to urge her fellow reality fixtures who are also members of SAG-AFTRA (as she is) to boycott this year's BravoCon. Reality star power: BravoCon 2023 unveiled the first 10 names of its lineup on Tuesday. Among the reality stars attending is RHOBH fixture Kyle Richards; seen August 9 in Culver City, Calif. Ignored? The presence of major names suggests they may not agree with former RHONY star Bethenny Frankel's call for reality stars to unionize to protect themselves against exploitation; seen July 20 in NYC Anticipation: 'BravoCon is back... Now with more Bravolebs than ever,' read the video at the start as burlesque-style pink feather fans were swept aside to reveal clips featuring the attendees 'BravoCon is back... Now with more Bravolebs than ever,' read the video at the start as burlesque-style pink feather fans were swept aside to reveal clips featuring the attendees. First up was Sheree Whitfield, one of the original stars of The Real Housewives Of Atlanta. 'Be cool! Don't be all, like, uncool,' added RHONY star Luann de Lesseps in a humorous clip showing her in a black bikini top and sunglasses. Real Housewives Of Orange County star Tamra Judge was seen in a hilarious but shocking moment as she screamed 'That's my opinion!' Other names listed included Craig Conover of Southern Charm fame, Candiace Dillard Bassett of The Real Housewives Of Potomac and Dr. Nicole Martin of The Real Housewives Of Miami. Teresa Giudice's clip was from her most famous scene on The Real Housewives Of New Jersey. She screamed 'You were engaged 19 times!' before the video cut out just before the iconic moment when she flipped a table while yelling at Danielle Staub. Others listed in the trailer included Real Housewives Of Salt Lake City's Meredith Marks, Vanderpump Rules' James Kennedy and Kyle Richards, who was saved for last. 'It really is all happening!' added a gleeful Andy Cohen as he checked in to conclude the announcement video. Star power: First up was Sheree Whitfield, one of the original stars of The Real Housewives Of Atlanta. 'Be cool! Don't be all, like, uncool,' added RHONY star Luann de Lesseps in a humorous clip showing her in a black bikini top and sunglasses Over the top: Real Housewives Of Orange County star Tamra Judge was seen in a hilarious but shocking moment as she screamed 'That's my opinion!' Mixing it up: Craig Conover of Southern Charm will also be at BravoCon 2023 Smaller shows: Other names listed included Candiace Dillard Bassett of The Real Housewives Of Potomac and Dr. Nicole Martin of The Real Housewives Of Miami A classic: Teresa Giudice's clip was from her most famous scene on The Real Housewives Of New Jersey. She screamed 'You were engaged 19 times!' before the video cut out just before the iconic moment when she flipped a table while yelling at Danielle Staub Supporting players: Others listed in the trailer included Real Housewives Of Salt Lake City's Meredith Marks and Vanderpump Rules' James Kennedy But not least! Kyle Richards was saved for last Fighting back: Bethenny Frankel has joined other reality stars to send a bombshell letter to NBC and Bravo that accused the networks of 'covering up sexual violence, condoning revenge porn, exploiting minors and denying mental health treatment'; seen June 27 in NYC Although Bethenny Frankel has managed to amass the support of several former reality stars, including Lisa Rinna, the presence of major stars including Kyle, Luann and Teresa at this year's BravoCon suggests they aren't rushing to join her cause. However, it's certainly possible that some of the network's reality figures might choose to continue promoting their shows at the event, even if they do support the businesswoman's attempt to secure more rights and protection for on-screen figures in the reality TV industry. Earlier this month, Frankel and other reality stars signed a bombshell letter obtained by DailyMail.com that was sent to NBC and Bravo that accused the networks of 'covering up sexual violence, condoning revenge porn, exploiting minors and denying mental health treatment.' Page Six reported this week that just six months before she began her fight against the networks, she had pitched a reality show to Bravo that would have starred Susan Sarandon's daughter Eva Amurri. Harrison Ford's name has been used for a recently-discovered snake species in Peru's Andes Mountains. The Chicago-born actor, 81, inspired the naming of the snake - the Tachymenoides harrisonfordi - which is a pale yellowish-brown, measuring at 16 inches long. 'These scientists keep naming critters after me, but it's always the ones that terrify children ... I don't understand,' Ford, a longtime advocate for environmental causes, said in a statement to Conservation International. The Hollywood icon joked: 'I spend my free time cross-stitching. I sing lullabies to my basil plants, so they won't fear the night.' The Oscar-nominated actor, famed for playing Indiana Jones, and Han Solo in the Star Wars films, said he was humbled by the gesture. The latest: Harrison Ford's name has been used for a recently-discovered snake species in Peru's Andes Mountains. The actor, 81, was pictured in London in June Ford's name was previously named for the ant species Pheidole harrisonfordi; and the Californian spider Calponia harrisonfordi. Ford noted the importance of the discovery of new species in the 'wild world,' and research that goes into it. 'On this planet, all fates are intertwined, and right now, one million species are teetering on the edge of oblivion,' Ford said. 'We have an existential mandate to mend our broken relationship with nature and protect the places that sustain life.' Ford, who reprised the role of Indiana Jones in this summer's Indiana Jones and the Dial of Destiny, said unlike his famed adventurer character, he is a fan of snakes. 'The snake's got eyes you can drown in, and he spends most of the day sunning himself by a pool of dirty water - we probably would've been friends in the early '60s,' the actor said. In a statement about the newly-discovered species, Conservation International described the series of events that led to the newly-discovered species. 'High in the Andes Mountains, a team of researchers treks through an alpine swamp,' Conservation International said. 'Sifting through tall grass, they search for something priceless in the far reaches of a plateau. 'Each footstep brings the team closer to a snake, coiled in the rushes. Its scales are threaded in copper; it stares through amber eyes.' Ford inspired the naming of the snake - the Tachymenoides harrisonfordi - which is a pale yellowish-brown, measuring at 16 inches long Ford, who reprised the role of Indiana Jones in this summer's Indiana Jones and the Dial of Destiny, said unlike his famed adventurer character, he is a fan of snakes. Seen with Karen Allen in 1981's Indiana Jones and the Raiders of the Lost Ark The organization continued: 'If this is starting to sound like a scene from an Indiana Jones movie, hold on to your fedora, because this snakes name is Harrison Ford. Or at least it is now. The snakes actual name is Tachymenoides harrisonfordi, and it is brand new to science.' The nonprofit said it honored Ford's 'decades-long environmental advocacy, which includes his role as vice chairman of Conservation International.' Ford spoke about climate change to TIME magazine in October of 2020, saying that 'the climate crisis is real,' and that 'the weight of scientific evidence is overwhelming, but evidence can be ignored.' The Air Force One star said that 'leaders who choose to make climate change a divisive issue do it to protect the entrenched economic interests who profit from behavior that destroys our planet. 'Its selfish. Its short-sighted. It needs to stop this s*** is going to kill us.' Veteran ABC star Annabel Crabb has come under fire from the Twitter mob for hosting federal Liberal leader Peter Dutton on her popular talk show Kitchen Cabinet. The conservative politician will appear in next week's episode, but even before it screens, the ABC has received flak from those opposed to its rare display of political pluralism. In particular, Crabb has faced backlash for describing Dutton's politics as 'muscular' during a promotional interview for Kitchen Cabinet on ABC News. One angry viewer tweeted, 'I dont need a sycophantic puff piece to have Dutton "reveal" anything about himself. Every hateful word and action has revealed all I need to know about him.' Some viewers even went so far as to say Mr Dutton's appearance means that Kitchen Cabinet should be taken off the air. Annabel Crabb (right) is under fire for featuring federal Opposition leader Peter Dutton (left) in a friendly interview on her popular talk show Kitchen Cabinet ABC viewers have expressed their outrage on X (formerly Twitter) over the segment 'Kitchen Cabinet shouldn't be renewed for another season. Trying to humanise a politician who is manipulatively pushing a No vote is not defensible,' complained one, referring to the Liberals' opposition to the indigenous Voice proposal in the upcoming referendum. Another wrote, 'Tend to share the surprise that #KitchenCabinet has come back. Much prefer #BackRoads, which captures people in authentic settings dealing with everyday life rather than providing a fake stage for reputational enhancement via trivial banter.' In a piece for ABC, Ms Crabb complimented Mr Dutton's personality, writing that he's 'shyer than you'd think. Funnier than you'd think, too.' She also explained her decision to go for a softer approach with Mr Dutton on Kitchen Cabinet, which features a mix of home cooking and friendly conversation between Ms Crabb and her guests. 'As far as the question about, "Are you giving these people a free ride?" Well, sure,' she said on ABC News. 'I'm interviewing them in a way that is different than your Sarah Ferguson boot-on-the-throat variety, which is absolutely necessary for our democracy, but I also think sometimes when you take a different approach, particularly when you're in someone's house, you're polite to them, you're not going to start chucking stuff at them. 'But if you make them feel comfortable enough they will often reveal things about themselves that are actually quite interesting and key to understanding them, and I think that's certainly true of the Dutton episode.' The veteran ABC star has acknowledged that she's taking a softer approach with her show and doesn't want to engage in combative dialogue with her guests Ms Crabb anticipated the backlash she was going to get from typical ABC viewers but made an ambitious appeal to bipartisan sentiment. 'There is no viewer alive who will be a fan of all eight of the guests on Kitchen Cabinet this year,' Ms Crabb said. 'But these people make decisions on behalf of us all. Half an hour spent getting to know how they tick is time well spent.' Outside of MrDutton, the latest season of Kitchen Cabinet will feature a wide variety of political figures including Bridget McKenzie of the National Party and former Greens and now independent senator Lidia Thorpe. Daily Mail Australia has reached out to ABC for comment. Kitchen Cabinet is currently airing on ABC and available to stream on iView. Ashley Graham exuded summertime glamour as she enjoyed a seaside date night with her husband Justin Ervin on Tuesday. The couple were emerging from Giorgio Baldi, an intimate Italian eatery in Santa Monica that has become a celebrity hot spot. After 13 years of marriage, they appeared as besotted with each other as ever, enjoying a cheerful chat they headed up the sidewalk. For her latest night on the town, Ashley, 35, slipped into a plunging pastel dress with a swirling pale blue and pink design. Her neckline fell to flash a generous helping of cleavage, and her look also featured a thigh-slit that allowed her to show a bit of leg. On the town: Ashley Graham exuded summertime glamour as she enjoyed a seaside date night with her husband Justin Ervin on Tuesday Legging it: The couple were emerging from Giorgio Baldi, an intimate Italian eatery in Santa Monica that has become a celebrity hot spot Sweeping her dark hair back, she went for naturalistic makeup and accessorized with a baby blue bag, rounding off the look with gleaming silver shoes. Justin also demonstrated his keen sense of style, slipping on a sheer white Prada shirt and a matching pair of trousers. Slinging his sunglasses from his neckline at dusk, the filmmaker lent the look a touch of glitz by clasping on a watch. Ashley fired up her Insta Stories that day, offering her 21.3 million followers a few glimpses of her and Justin's outfits. She also appeared topless on her social media, showing off the markings on her back after she indulged in a spot of cupping therapy. Over the course of their marriage, the pair have welcomed three sons into the world together - Isaac, three, and twins Roman and Malachi, one. Justin and Ashley's date night in Santa Monica comes one night after they couple rang in their 13th wedding anniversary. Ashley marked the occasion by posting a romantic throwback of the pair of them on a boat off the Amalfi Coast earlier this summer. Aglow: Ashley fired up her Insta Stories that day, offering her 21.3 million followers a few glimpses of her and Justin's outfits Use it or lose it: Her neckline fell to flash a generous helping of cleavage, and her look also featured a thigh-slit that allowed her to show a bit of leg Pampering herself: She also appeared topless on her social media, showing off the markings on her back after she indulged in a spot of cupping therapy '13 going on forever': Ashley marked her wedding anniversary this week by posting a romantic throwback of the pair of them on a boat off the Amalfi Coast earlier this summer The picture, which showed them gazing into each other's eyes, was captioned: '13 going on forever, I love you Justin. Happy anniversary.' Their Italian trip mixed business with pleasure, as Ashley stopped off in Florence to hit the catwalk at a British Vogue and LuisaViaRoma Runway event. A few years ago, she gave an interview to Elle where she revealed that her secret to a happy marriage was the simple maxim: 'Just have sex!' Ashley, who at that point had been married for eight years, said: 'Have sex all the time. Even if you dont feel like it, just have sex.' The plus-size model explained: 'I have found that if we havent had sex, we get snippy, and then if we are having sex, were all over each other. For us its like: "Oh, lets have sex." And then were just right back in a great mood.' Justin and Ashley, who were first introduced in church, waited to have sex until after they were married, though they 'would make out heavily' beforehand. She explained that prior to meeting Justin, she had, in her view, been 'giving it up too soon' with the other men in her life. 'I had seen the world. I slept with half of New York City. I had done it all, literally!' Kelly Ripa admitted to thinking about retiring from Live after 22 years of co-hosting the program with various co-hosts, including her husband Mark Consuelos and Ryan Seacrest. While discussing her longtime hosting gig during a chat with Purist magazine, the 52-year-old talk show host revealed that she doesn't 'intend to work at this job for the rest of' her life. 'I do talk about retirement with great interest, but right now Im very happy, especially working with Mark,' she told the outlet. As for becoming an empty-nester after her youngest child, Joaquin, headed to the University of Michigan for college last year, the mother-of-three raved about all her kids leaving home. 'I know that there are women out there who dread it, and I was dreading it, and it is so great,' she continued. Looking ahead: Kelly Ripa admitted to thinking about retiring from Live after 22 years of co-hosting the program with various co-hosts, including her husband Mark Consuelos and Ryan Seacrest; seen in March 2023 The All My Children alum revealed having her adult children move out has given her and Consuelos, 52, 'rediscover each other as a couple.' 'We talk about vacations and where we want to retire. Its a really exciting time,' the actress added. Elsewhere in the interview, Ripa opened up about navigating being 'risk-averse' but also 'doing one of the most challenging and scary things,' like hosting a live television show for millions of viewers. 'I think the risk-averse quality I have is probably the reason I stayed with the same job for so long,' she reflected. 'Other offers come along, but I like to stick with what I know.' The star started out at Live With Regis & Kelly in 2001 after Kathy Lee Gifford left. In March, she told Variety that she 'had a really difficult time' at the beginning and pushed for an office to have some privacy. Despite seeing empty office spaces in the building, which she easily could have slipped into to make her calls, leave her purse and store her paperwork, Ripa was told no. Future plans: While discussing her longtime hosting gig during a chat with Purist magazine, the 52-year-old talk show host revealed that she doesn't 'intend to work at this job for the rest of' her life (pictured in 2001) 'I do talk about retirement with great interest, but right now Im very happy, especially working with Mark,' she told the outlet; seen last year She added she was informed the empty office spaces were reserved for executives, who visited from Los Angeles. Then, four years later, in 2005, she was given a janitor's closet they cleared out and moved a desk into. It clearly was a way to keep her ego in check. She thought she might finally get her own office when her costar Regis - who died in 2020 - retired from the show in 2011. But that did not happen. Past co-host: Ripa previously hosted the show with Ryan Seacrest, who exited the show in April Fun: Michael Strahan was Ripa's co-host for four years between 2012 to 2016 Dream team: Meanwhile, Regis Philbin and Ripa worked together for more than ten years; seen in 2011 Instead of her inheriting Philbin's spacious office, it was left empty. 'They said, "Oh, no, we're saving that." And I said, "Saving it for what?"' she commented. 'And they go, "Well, for when the new guy comes." And I looked at them, and I said, "I am the new guy,"' she said. Kelly did not get the go ahead to take the office. So instead she pushed her way into it. New chapter: The All My Children alum revealed having the children out of the house has given her and Consuelos, 52, 'rediscover each other as a couple' 'We talk about vacations and where we want to retire. Its a really exciting time,' the actress added 'All of those offices that were not available to me were suddenly made available [to others], with walls knocked down to make them twice as big,' she added. 'It was fascinating for me to watch the need to make the new guy comfortable and respected, but I couldn't use those offices,' she said. 'I had to use the broom closet.' And when she had to use the bathroom, she had to go out to the main one where the audience members were directed. In March, she told Variety that she 'had a really difficult time' at the beginning and pushed for some privacy in the workplace as she did not have an office of her own; seen in April 2023 'We have a studio audience like 250 people! and I have to queue up,' she explained. 'Particularly when I was pregnant, it was extraordinarily exhausting to have to wait in line. 'I have to host the show, and I'm still waiting in line to use the bathroom,' she said. 'It just seemed, you know, a very needlessly difficult situation.' So who does she blame? The network, because they didn't keep it equal. Kerry Washington has been consistently trying to quit acting since she starting out in Hollywood, according to W Magazine. The 46-year-old Scandal star opened up in an interview, which was conducted before the SAG-AFTRA strike, admitting that, 'I am always quitting this business, and then right when I decide that Im done is when something extraordinary comes across my desk.' She joked that she was, 'really, really done with this business right before I read the script for Ray. I was really, really done with this business right before I read the script for The Last King of Scotland. I was entirely done with this business before reading the script for Scandal.' 'This is what happens again and again,' the Little Fires Everywhere star added. Her latest script she couldn't turn down was the Hulu series UnPrisoned, where Kerry plays a relationship therapist with a son whose father moves back into their home...after being in prison. Acting icon: Kerry Washington revealed in a new interview that she's been trying to quit acting for years; seen in June Hollywood jewel: The Little Fires Everywhere actress admitted that every time she wanted to quit acting, a new script would draw her in again; seen in July The New York-born A-lister is also preparing to release her long-awaited memoir, Thicker Than Water. Her book will debut in bookstores and online everywhere on September 26th. According to The Last King Of Scotland star, the memoir took her four years to write. She announced that a Thicker Than Water book tour will kick off on Sunday, September 23rd in the Bronx, the borough of New York where Kerry was born and raised. Best-known for her role as crisis-management guru Olivia Pope on the long-running series Scandal, the petite actress has since established herself as an Emmy Award winning television and film star. The American Son star was also named as part of the Hollywood Walk of Fame 2024 class, in the television category. However, the Fantastic Four leading lady hasn't had her star ceremony yet on the Hollywood Walk of Fame, likely given the fact that the SAG-AFTRA strike is still ongoing. According to the Hollywood Walk of Fame site, candidates in the class of 2024 have until 2026 to hold their star ceremony. Once upon a time: The Thicker Than Water author is set to debut her memoir in September Down to earth: The Django Unchained star conveyed her gratitude after she was named as part of the Hollywood Walk of Fame class of 2024 Natural beauty: The toned star also finds the time to stay fit in addition to her busy schedule as an actress and author Staying humble when asked when she first felt truly successful, the executive producer for The Fight responded, 'have moments of feeling really awed, but I dont feel successfulbecause I still feel curious and hungry and excited to continue to work and get better and do more.' She added, 'I have moments where I feel really lucky, moments where I cant believe that Im in the room that Im in, moments where I feel kind of awed by my life, to come from where I come from and to even have the personal life I have.' In addition to her acting success, Kerry also noted that she felt grateful because of her family. She's been married to Nnamdi Asomugha since June 2013. The couple have two children together, Isabelle and Caleb. Warrior Nun after being canceled by Netflix will be returning as a trilogy of films after a fierce backlash by its loyal fan base. The update was announced on Tuesday by executive producer Dean English, 58, with a statement on a website and a video post. 'I am very happy to announce that Warrior Nun is coming back as a trilogy of motion pictures. Once again, a trilogy of feature films. Three,' English said in the announcement. 'One thing we need to touch on involves the strike in Hollywood involving actors and writers. And it's due to that that we cannot make any announcements today on that front,' he added. English noted that some may be wondering if a Warrior Nun universe was being launched with films and TV series following known characters. Three films: The update on Warrior Nun was announced on Tuesday by executive producer Dean English, 58, with a statement on a website and a video post 'The answer to that question is yes. And there will be more details in the future,' English said. English also thanked the show's loyal fans who have rallied for the Warrior Nun story to continue. The action-drama series Warrior Nun is based on the comic book character Warrior Nun Areala, who first appeared in Ninja High School #37 in March 1993, under the name Shanna Masters. The show follows 19-year-old Ava [Alba Baptista], who wakes up in a morgue and learns she's now part of an ancient order called the Order of the Cruciform Sword, tasked with fighting demons on Earth. Baptista starred alongside Kristina Tonteri-Young (Sister Beatrice), Lorena Andrea (Sister Lilith), Thekla Reuten (Jillian Salvius), Olivia Declan (Sister Camilla) and Sylvia De Fanti (Mother Superion). The series debuted in July 2020 to largely positive reviews, leading Netflix to issue a season two renewal in August. The eight-episode second season debuted last November. Netflix canceled Warrior Nun in December with show creator Simon Barry, 56, announcing it was not renewed on Twitter. Barry later took to Twitter in June to announce that Warrior Nun was not done yet. Action series: The show follows 19-year-old Ava [Alba Baptista], who wakes up in a morgue and learns she's now part of an ancient order called the Order of the Cruciform Sword, tasked with fighting demons on Earth 'Today I'm happy to officially report that because of your combined voices, passion and amazing efforts - #WarriorNun will return and is going to be more EPIC than you could imagine,' Simon tweeted. 'More details to come! SOON! Thank-you!! #SaveWarriorNun #WarriorNunSaved,' he added. An online petition to revive the show gained over 120,000 signatures, and the hashtag #SaveWarriorNun was tweeted more than five million times. Filming for the series took place in multiple locations in Andalusia, Spain, such as Antequera, where the headquarters of the fictional Order of the Cruciform Sword was filmed. KJ Apa of the popular CW series Riverdale opened up about his time on the show in a new Variety interview. The 26-year-old actor said he didn't always agree with how his character Archie Andrews has been sexualized on the teen drama series. 'Ive been through a lot of s**t with that. It can mess with your head a little bit,' he admitted. The New Zealand native described how his initial excitement about shedding his threads on screen gave way to a sense of stress. 'At first, as a young child, which is what I was, I thought it was cool you almost want the opportunity to be shirtless. I was like, "Yeah, let me take my shirt off. Boom!" And then you gotta consistently stay in incredible shape. It takes a toll,' he told the publication. Revelation: KJ Apa of the popular CW series Riverdale opened up about his time on the show in a new Variety interview, revealing he wasn't always comfortable going shirtless on screen Final season: Apa played the role of Andrew Archie from 2017 to 2023 He explained that there were times when he didn't know how to speak up for himself about the issue. 'Even saying that I didnt feel comfortable I had a hard time with,' he recalled. 'I thought people would be like, "Why not? Just f**king do it, bro."' During the same interview, Lili Reinhart, 26, who played Betty Cooper on the show, discussed how filming scantily clad scenes affected her relationship with her body. 'Its been trippy to grow up on this show and constantly see images of myself from when I was 19, 20, 21. 'My body does not look like that anymore. And suddenly this season were 17 again,' she said, referring to the characters going back in time. 'Ive looked at myself in the mirror and laughed at myself a couple of times. I dont look like Im 17, and Im OK with that!' she affirmed. Reinhart added, 'But its this weird feeling, like you have to fit yourself back into this box that you presented to the world when we first stepped into these characters. 'Just being an actor in general, you feel like youre holding yourself to a consistent standard of I must not age, and I must continue to look like I did.' Portrayal: The 26-year-old actor said he hasn't always agreed with how his character Archie Andrews has been sexualized in the show's narrative Pressure: The New Zealand native described how his initial excitement about shedding his threads on screen gave way to a sense of stress Madelaine Petsch, 28, who played Cheryl Blossom, chimed in on the topic as well. 'The other day, I had to do a lingerie shoot and I felt so s**tty about my body I cried,' she divulged. 'I tried on six different outfits and eventually found something that made me feel remotely OK,' she continued. Camila Mendes, 29, who played Veronica Lodge, made sure to state that creator Roberto Aguirre-Sacasa fostered a safe workplace for the actors. 'We need to say Roberto is always very understanding of us, and theres nobody forcing us to do anything. 'Its just the nature of being on a teen show,' she astutely clarified. Back in June Reinhart took to Instagram to reflect on the final season of the six-year show. 'Goodbye, Riverdale,' she wrote along with a photo of her character gazing out of a bedroom window. 'Its been an honor getting to grow up here.' Thoughts: During the same Variety interview, Lili Reinhart , 26, who played Betty Cooper on the show, discussed how filming scantily clad scenes affected her relationship with her body, calling it 'trippy'; pictured with costar Casey Cott L-R: KJ Apa as Archie Andrews, Lili Reinhart as Betty Cooper, Cole Sprouse as Jughead Jones, and Camila Mendes as Veronica Lodge In January the CW announced the premiere and finale dates for its popular, long-running series. The network shared that the Archie-adapted show, which began in 2017, would return to TV Wednesday, March 29, and the final episode will air on Wednesday, August 23. Riverdale became a breakout hit after its debut and ratings soared in the second season after a streaming run on Netflix. Chairman and CEO of The CW Mark Pedowitz told reporters last year: 'Im a big believer in giving series that have long runs an appropriate sendoff. We want to make sure it goes out the right way.' Jason Momoa appeared to be in high spirits on Wednesday as he was spotted at Queenstown Airport in New Zealand. The Hollywood star, 44, was seen dropping his German shepherd Rama off at Pet Transport before he boarded his plane out of the country. Keeping his pooch close, the Aquaman star donned a casual look as he decided to jet off while the SAG-AFTRA strikes stall filming for his Minecraft movie. Protecting himself against the cold in a black jumper and track pants, the hunky actor carried a bag filled with treats and accessories for Rama's flight. The DC movie star then accessorised himself with a pair of dark sunglasses and a cap pulled down low to hide his face. Jason Momoa, 44, (pictured) appeared to be in high spirits on Wednesday as he was spotted at the Queenstown Airport in New Zealand With his dark tresses swept back and tucked under his hat, Jason loaded up his fur baby Rama before seeing her off with a handler for a safe trip out of the country. Jason's trip out of New Zealand comes just days after the Hollywood leading man urged people not to travel to Maui, Hawaii while the wildfires continue to wreak havoc on the island state. The actor who's a native of Honolulu took to his Instagram page on Friday and directed his message to people who still have plans to visit the region amid the devastation. The Hollywood star was seen dropping his German shepherd Rama (pictured) off at Pet Transport before he boarded his plane out of the country 'Maui is not the place to have your vacation right now. DO NOT TRAVEL TO MAUI,' he wrote. 'Do not convince yourself that your presence is needed on an island that is suffering this deeply. 'Mahalo to everyone who has donated and shown aloha to the community in this time of need,' one clip in the post read. Keeping his pooch close, the Aquaman star donned a casual look as he decided to jet off while the SAG-AFTRA strikes stall filming for his Minecraft movie He continued: 'The devastation from the wildfires will have a lasting island-wide impact on Maui's resources.' Block after block of buildings in the Maui town, which had a resident population of over 12,700 in the 2020 census, has been completely demolished, according to NBC News. In fact, the road into Lahaina was still closed in both directions Friday afternoon, three days after flames destroyed the area; although some residents with limited access had been allowed back earlier in the day. Officials in Maui are reporting that the burning areas have created a toxic situation, which is likely one of the reasons for the continued closures in and around the town. Alice Evans has hit back at a vile troll who launched a 'rabid and sadistic attack' on her and her daughters. The actress, 55, shared a screengrab of the comment left by a user, declaring she was going to 'lose custody' of her children Ella, 13, and Elsie, nine. Posting a lengthy caption alongside the troll's comment, Alice said she was 'gobsmacked' by their words and warned that her eldest daughter, who is 13, could see it as she is 'obsessed with TikTok and Instagram.' It comes just hours after her bitter custody battle with ex-husband Ioan Gruffudd over their two daughters took a nasty turn with both firing angry new accusations at each other. In their comment, the user branded Alice a 'lazy POS' who 'couldn't be bothered to even take her daughters on holiday in California.' Raging: Alice Evans has hit back at a vile troll who launched a 'rabid and sadistic attack' on her and her daughters Angry: The actress re-posted the troll's comment, writing she was 'gobsmacked' by their words and warned that her eldest daughter could see it They added: 'Jesus, even your eldest is making the school lunches whilst you feed your social media addiction. 'I've never seen a 55-year-old woman playing Insta dress up, get a grip you pathetic mess and pay attention to the girls' appearance because quite frankly the Matchstick Girl looked more clean and cared for than the girls do right now. 'Have you not heard of shampoo? What about haircuts? Laundry detergent? New clothes that fit properly fit them instead of your hand-me-downs or worn out seconds? 'My guess is you're too selfish to spend money on anyone but yourself. You aren't a ''mother'', at best you're a ''mother figure,'' a surrogate who carried them. 'If the kids were to be left with you, people wouldn't be surprised if there was an Eric Harris, Dylan Klebold outcome because you're trying to screw them up as much as you can (and you're succeeding, probably your singular acheivement in your whole time as their ''mum''). 'It's okay though because the kids are going to be rescued by the courts when Ioan is awarded custody and they go to live with him. 'Ella and Elsie will finally get the chance to see what a mother is supposed to be (whether that be Bianca or another stepmum). With their dad at their side, they'll be famous at school but it will be for the right things such as Valedictorian. 'You just keep being/doing you because the Judge, the GAL, the therapists, they see right through you. Disgusting: Alice shared a screengrab of the comment left by a user under her recent post, declaring she was going to 'lose custody of her children' Fuming: The star added: 'And if I am in this state, a confident, ballsy woman who has seen and been through a lot of c**p in her life and built up a pretty thick skin, how do others cope? Raging: Following her angry response, Alice shared a defiant post clad in a sheer mesh top, vowing she was 'hitting back at the haters' Raging: She said: 'I decided to just go with provoking. Or provocative, I should say. Haters this is for you!' 'They didn't need Ioan's court filings, your own words and actions are enough, they know what you are! That's why you're going to lost custody, you screwed yourself.' Alice struggled to hide her fury sharing the troll's post, writing: 'I am. gobsmacked. Yes I post selfies. Yes I share my joy at my kids milestones. I fully understand that puts me ( and everybody else who does it) in the line of fire. 'But this? This is just beyond anything I have ever seen before. This is a rabid and sadistic attack on me AND my children, with a post script afterthought warning that I need to make sure my kids dont see her/his words. 'Well of course they will. My eldest is 14 (in 3 weeks time). All her friends talk about is tik tok and instagram. How am I supposed to make sure that no person they ever come into contact with has seen this? Has seen that somebody thinks they dont wash their hair or clothes and only wear hand-me-downs? 'My heart is pumping out if my chest and my head is spinning. I need to keep this short before I say something I dont mean to. 'And if I am in this state, a confident, ballsy woman who has seen and been through a lot of c**p in her life and built up a pretty thick skin, how do others cope? Younger, more sensitive people. 'People who dont know who to turn to? This systemic brand of anonymous hatred has to stop. The world needs to find a way.' Following her angry response, Alice shared a defiant post clad in a sheer mesh top, vowing she was 'hitting back at the haters.' She captioned her post: 'I was really quite thrown for a loop by the bashing I got earlier on today when I posted Elsie excitedly arriving at school. 'Been thinking a lot about it and what prompts people to do this and why its ramping up to such intense levels of vitriol. 'Im ashamed to say I came up with NOTHING useful, or intelligent or insightful or thought-provoking. So I decided to just go with provoking. Or provocative, I should say. Haters, this is for you!' It comes after Ioan called his ex Alice a 'child abuser' while she claimed he hadn't seen or called his children for almost three months, according to court documents obtained by DailyMail.com. Last Thursday, a Los Angeles judge tried to shield their daughters Ella, 13, and Elsie, nine from the fallout of their parents' ugly battles by banning the press from a custody hearing, keeping the proceedings secret. But that hasn't stopped the warring former couple who are both seeking custody of the girls from taking vitriolic shots at each other in written court filings that are public and not sealed. Gruffudd who earlier claimed that Evans had kept him from his daughters for almost a year said in new legal documents that since their split in January 2021, 'Alice has continued to inflict serious emotional harm on Ella and Elsie by her statements and by interfering in my relationship with them. 'Alice has verbally abused and undermined me in front of the girls throughout their lives. 'Since January 2021, Alice has also encouraged and instructed them not to see me or communicate with me and she has made thousands of threatening and abusive communications to me and about me.' Fed up with what he called the barrage of harassing text messages, emails and social media posts from Evans, Gruffudd successfully obtained a three-year restraining order against her last August to protect himself and his girlfriend Bianca Wallace who, at 31, is 24 years younger than Evans. But, he added in the new court papers, 'Alice has violated the (restraining order) repeatedly and continues to do so to this day.' Gruffudd who blamed his ex as the 'person behind' his daughter Ella filing a restraining order against him (which was denied) also bashed Evans over the therapy the children have been receiving. 'Although there is an order for the girls to attend therapy, Alice has repeatedly either refused to bring the girls or interfered with my bringing the girls to therapy,' he said. 'Since separation, the girls have missed more therapy session than they have attended and they have had multiple therapists. 'I believe Alice's actions are child abuse and I am gravely concerned for our children.' LA Superior Court Judge Josh Freeman Stinn has granted Gruffudd's request for a hearing this Thursday August 17 where the actor has asked for an expert to be appointed to make a child custody evaluation as soon as possible. Evans denies abusing or harming her daughters. She is vehemently opposed to a child custody evaluation, saying in her new court documents, 'I have read and disagree with the declaration of petitioner (Gruffudd) as to allegations that I am inflicting or causing our children ''serious emotional harm". 'No one has ever contacted me or reported me for such conduct. No professional, nor teacher, nor school administrator, nor counsel, nor therapist. 'Petitioner has not seen, complied with, nor called the children for 11 weeks. I don't understand how having no recent information, "petitioner believes" there is an urgency such that a child custody evaluation must be commenced.' Evans said that she had also met with the girls' court-appointed attorney and her parenting was not 'in any way voiced to me as inadequate or, as petitioner suggests, destructive to the well being of our children.' Rage: It comes just hours after her bitter custody battle with ex-husband Ioan Gruffudd over their two daughters took a nasty turn with both firing angry new accusations at each other Battle: Alice claimed Ioan hasn't seen or called his daughters Ella, 13, and Elsie, nine, for almost three months 'I do not agree to have the children and ourselves be subjected to a prolonged and expensive child custody evaluation if it's based on the speculation and conjecture of the petitioner's suspicions. 'Petitioner says he believes the kids are suffering serious emotional harm but show no proof of any symptoms experienced by our girls to support those accusations.' Evans claimed children who suffer from serious emotional harm show signs of their emotional state by being withdrawn, frightened, self harming, being depressed or anxious. 'Our childrendo not in any way show these objective signs of trauma or suffering serious emotional harm,' she said. Evans described her relationship with her daughters as 'very loving and supportive'. 'I do not talk to them about the case,' she added. 'I am subject to and under the orders of this court to stay away from and have no contact with petitioner and I have respected that to the letter. 'Petitioner has his visitation schedule and has the ability to freely call the kids but has for whatever reason not done so. 'Given his silence and lack of effort to have a relationship with the children, I do not believe petitioner has shown a need or urgency for appointment of a child custody evaluator.' Gruffudd who met Evans when they co-starred in the 2000 Disney movie, 101 Dalmatians filed for divorce in March 2021, shortly after the blonde actress claimed on social media that her husband of 14 years was walking out on her and their two daughters. Their divorce was finalised just last month. But the issues of spousal and child support as well as child custody still need to be settled. Stacey Solomon has given her fans a glimpse inside her huge utility room after returning from her luxury family holiday in Turkey. The mother of five, 33, revealed she had spent the day catching up on washing at Pickle Cottage, by sharing a picture of the green-themed room. The getaway sparked backlash from fans as she urged people who felt bad looking at the pictures of her trip to 'unfollow' her social media page. However as Stacey returned home and got back to her routine, she shared a more mundane update while catching up with jobs around the house. In the snap, Stacey flashed a thumbs up to the camera while her youngest daughter Belle, six months, played on a mat on the tiled floor of the room. Interior: Stacey Solomon has given her fans a glimpse inside her huge utility room after returning from her luxury family holiday in Turkey The photo revealed the families' extensive utility space, which housed washer and dryer appliances and room for their two dogs Peanut and Teddy's beds. Stacey and her husband Joe Swash, 41, have spent months renovating their house and had clearly spent a lot of time on the tastefully-decorated space. There was plenty of worktop space and lots of shelving for all of her washing detergents and other cleaning items. Herringbone tiling, exposed wooden beams and a selection of home accessories completed the room. The photo caption read: 'Happy Tuesday. Belle and me spent most of our day today getting through the holiday aftermath. And we are finally done!' Stacey, who was dressed in a neutral pair of leggings and a cropped cardigan, was sporting a sun-kissed glow from their recent trip. Earlier this week, Stacey continued to to hit back over criticism of her holiday with Joe and kids Zachary, 15, Leighton, 11, Rex, four, Rose, one, and Belle at the luxury Regnum Carya resort in Antalya, Turkey. The lavish resort boasts its own private beach, 15 bars, an epic waterpark, eight pools, and 10 a la carte restaurants, as well as a patisseries on site and a lavish spa. Having her say: Earlier this week, Stacey continued to to hit back over criticism of her holiday with Joe and kids Zachary, 15, Leighton, 11, Rex, four, Rose, one, and Belle at the luxury Regnum Carya resort in Antalya, Turkey Wow! The lavish resort boasts its own private beach, 15 bars, an epic waterpark, eight pools, and 10 a la carte restaurants, as well as a patisseries on site and a lavish spa After a wave of backlash to the lavish trip she said on her Story that fans should 'unfollow' her if her getaway pictures 'didn't make them feel good'. And now she has doubled down in another post where she said she had only had 'two holidays abroad in the last three years'. It came as she answered a Q&A from fans about her holiday as she penned: 'Loads of you asking if I know about any other places nearby or similar hotels in different price ranges etc... 'I've only been to two places abroad in the last 3 years so I know nothing but I asked Suzi @trendingtravel & she sent me a link of the deals she thought were good ones. Different price ranges, prices and things to do. Hope this helps!' On Tuesday Stacey told fans to 'unfollow' her after jetting off on holiday sparked backlash. In an Instagram story post, Stacey appeared to hit back as she posted a family snap. She wrote: 'I'll do a little holiday Q&A while I'm on my way to work tomorrow night so feel free to ask away.' Stacey added: 'If me sharing our holiday doesn't make you feel good then unfollow or just mute me or something. I love coming on here and sharing things so much and I love our community on here. 'All I ever want is to take you on the adventure with us and hopefully make you smile along the way.' She continued to post more photos after sending out the message.' Lovely: The lavish resort boasts its own private beach, 15 bars, an epic waterpark, eight pools, and 10 a la carte restaurants, as well as a patisseries on site and a lavish spa Fair: After a wave of backlash to the lavish trip she said on her Story on Tuesday night that fans to 'unfollow' her if her getaway pictures 'didn't make them feel good' It came after she marked the final day of her family's Turkish getaway with a slew of loved up snaps on Sunday. In her latest social media post the stunner frolicked with her beau on the beach as she showcased her gorgeous post-partum figure in a green bikini. Stacey and Joe shared a sweet kiss as they embraced on the sand before the former EastEnders actor offered his wife a piggy back. Her stylish two-piece boasted floral embellishments while Joe went shirtless and displayed his buff physique in black swimming shorts. She captioned the latest post: 'Our last day in paradise The last ten days have been heaven and I dont want it all to end. Spending time with my family is just the best thing in world. And this place was just MAGICAL'. The TV personality and the former soap star recently whisked their brood off for a sun-soaked getaway amid a break from Stacey's busy filming schedule. The family have been staying at the Regnum Carya resort in Antalya 10 days which would have cost around 4,000. The hotel has hosted A-list stars including Jennifer Lopez, who performed at the there in 2019, as well as hosting the G-20 summit in 2015. Alongside holiday snaps Stacey penned earlier this week: 'So grateful to be spending some time making memories with my people. 'P.S we are literally off to the buffet, the kids disco, and then possibly bingo if me and Joe can stay awake, but I thought I'd dress up just because we're on holiday.' Stacey looked nothing short of sensational in a strapless white dress on Monday as they headed out for the family dinner. The family looked happier than ever to be spending some quality time together as they smiled for adorable photos shared on Stacey's Instagram. And the former X Factor star, who welcomed her fifth child Belle in February, looked amazing as she slipped into a fitted ruched dress with a plunging neckline for the occasion. Not happy: And now she has doubled down in another post where she said she had only had 'two holidays abroad in the last three years' Sweet: It came after she marked the final day of her family's Turkish getaway with a slew of loved up snaps on Sunday (pictured with six-month old daughter Belle) Clan: The couple had been spending some quality time with their children after jetting out to Turkey amid Stacey's hectic work schedule Stacey teamed her dress with a pair of white heels and a simple gold necklace, telling her fans she got dressed up because she's 'on holiday'. The Loose Women star also posed with baby Belle and toddler Rose in separate snaps before heading to dinner and a disco with her loved ones. Captioning her post, she penned: 'so grateful to be spending some time making memories with my people. 'P.S we are literally off to the buffet, the kids disco, and then possibly bingo if me and Joe can stay awake, but I thought Id dress up just because were on holiday.' John Barrowman has revealed his elderly mother Marion is unwell in intensive care, as he pulled out of a planned appearance at Geek'd Con to be at her bedside. The Doctor Who star, 59, tweeted a video sharing with his followers that he was unable to appear at the convention in Louisiana this weekend, as he wanted to remain with his dad and loved ones at his mother's bedside. John, who previously spent lockdown with his parents at his home in Palm Springs, told his followers: 'Hey everybody this is is a message to everyone who is heading to Shreeveport Louisiana for GeekdCon. 'Unfortunately I'm gonna have to cancel this weekend my mother is still in intensive care, and I feel it's only appropriate that I'm here with her and my dad and the rest of the family. 'So I hope you understand, again go and have a great time there's lots of guests coming have a wonderful Con. Absent: John Barrowman has revealed his elderly mother Marion is unwell in intensive care, as he pulled out of a planned appearance at Geek'd Con to be at her bedside 'Support the LGBTQIA+ community, make some videos to tell my Mum to get better. I would love that and sport those flags and all the rainbow flags and post those videos so I can watch them. 'I'm sorry I was looking forward to coming but unfortunately circumstances mean that I can't so lots of love to everybody and have a great great time.' In the caption for his post, he added: 'Due to my mother's illness I am not going to be able to make it. 'Unfortunately she is still in Intensive Care. As always spread the #lgbtqia+ love and make the con a huge success. Have lots of fun ConFamily. I want to see pictures! 'Hopefully I will be with you next year. Lots of love and thanks for understanding. Jb.' John first revealed that his mother had been rushed to hospital on Thursday, when he shared that he would have to pull out of Huntington Comic & Toy Con. John issued an apology in 2021 after responding to historical claims of 'inappropriate behaviour' on the set of BBC drama Torchwood, an admission that led to him being dropped from popular mainstream show Dancing On Ice. In November 2021, John - who was replaced by Oti Mabuse on Dancing On Ice - insisted the controversy surrounding his past antics was 'exaggerated' as he gave his first interview since being sacked. Sad news: The Doctor Who star tweeted that he was unable to appear at the convention in Louisiana so he could remain at his mother's bedside Worrying: John first revealed that his mother had been rushed to hospital on Thursday, when he shared that he would have to pull out of Huntington Comic & Toy Con Speaking in an interview with Lorraine, he said: 'All the people that are making the fuss about it, they werent there, they dont know the context of things that were done.' John described his past actions as 'silly behaviour' and insisted that he would 'never do it now' after previously admitting to 'tomfoolery' on the sets of Doctor Who, where he began playing Captain Jack Harkness in 2005 and spin-off series Torchwood a year later. He explained: 'I think that if it was now, it would be crossing the line. I think that something that happened 15 years ago, it was bawdy behaviour, silly behaviour, it was being done in the confines of the set, and we were like a family, working together. 'The fact that it was stories that Ive already told. Ive been telling them for years. I havent hidden anything, theyve been exaggerated, and theyve tried to turn them into sexual harassment which it absolutely is not.' He added: 'The one thing for me, all the people that are making the fuss about it, they werent there, they dont know the context of things that were done. The continued bashing is not good. We've moved on. 'Like I said, I would never do it now but what were not allowing people and myself to do were not allowing people to learn to adapt and to change, and thats the most important thing.' John issued an apology in 2021 after responding to historical claims of 'inappropriate behaviour' on the set of BBC drama Torchwood, an admission that led to him being dropped from popular mainstream show Dancing On Ice. In November 2021, John - who was replaced by Oti Mabuse on Dancing On Ice - insisted the controversy surrounding his past antics was 'exaggerated' as he gave his first interview since being sacked. Speaking in an interview with Lorraine, he said: 'All the people that are making the fuss about it, they werent there, they dont know the context of things that were done.' John described his past actions as 'silly behaviour' and insisted that he would 'never do it now' after previously admitting to 'tomfoolery' on the sets of Doctor Who, where he began playing Captain Jack Harkness in 2005 and spin-off series Torchwood a year later. He explained: 'I think that if it was now, it would be crossing the line. I think that something that happened 15 years ago, it was bawdy behaviour, silly behaviour, it was being done in the confines of the set, and we were like a family, working together. 'The fact that it was stories that Ive already told. Ive been telling them for years. I havent hidden anything, theyve been exaggerated, and theyve tried to turn them into sexual harassment which it absolutely is not.' He added: 'The one thing for me, all the people that are making the fuss about it, they werent there, they dont know the context of things that were done. The continued bashing is not good. We've moved on. 'Like I said, I would never do it now but what were not allowing people and myself to do were not allowing people to learn to adapt and to change, and thats the most important thing.' The Tourist is back for season two. Streaming giant Stan dropped a teaser for the smash-hit, starring Jamie Dornan and Danielle Macdonald, on Wednesday. The show, which became a global hit last year, sees Jamie resume his role as Elliot Stanley, a man trying to discover his true identity after memory loss. Elliot will be joined by former cop Helen Chambers, played by Macdonald, following their thrilling adventure in the Australian outback. This season will be set against the striking backdrop of Ireland with the pair set to confront both friend and foe as they rediscover the past. Streaming giant Stan dropped a teaser for season two of The Tourist on Wednesday. Pictured: Jamie Dornan The show, which became a global hit last year, sees Jamie resume his role as Elliot Stanley, a man trying to discover his true identity after memory loss. It also stars Danielle Macdonald (pictured) The brand new season of the Stan Original Series The Tourist premieres in 2024. Every episode of season one is now streaming, only on Stan. The pair will be dragged into a long-standing family feud as they battle with a host of new characters. This season will see Detective Ruairi Slater, played by Conor MacNeill, and Niamh Cassidy, played by Olwen Fouere, take on the pair. The McDonnell family will also take on a central role including Donal, played by Diarmaid Murtagh, and Orla, played by Nessa Matthews. The McDonnell family will also include Fergal, played by Mark McKenna, and Frank, played by Francis Magee, to name a few. Stan announced production of season two of the mega-hit back in February after season one wowed viewers and critics. This season will be set against the striking backdrop of Ireland The first season of the Stan Original Series focused on Dornan's character Elliot as he gets stuck in the outback after a car tries to run him off the road. He wakes up in hospital with no idea what happened. The series has so far walked away with three awards at the Monte Carlo TV Festival. It won Best Series and Best Creation at the prestigious international event in Monaco, and also took home the Public Prize. Meanwhile, in the UK, The Tourist became the BBC's most watched TV drama, winning a mammoth 11.4million viewers. Roxy Jacenko's daughter Pixie was spoiled with a birthday cake full of cash on Wednesday as she turned 12-years-old. The eldest child of the PR queen, 43, said she had 'no words' as she shared a video of the happy occasion with her 148K Instagram followers. As the Jacenko family finalises their big move to Singapore, the former Sweaty Betty owner decided her daughter needs some spending money for their new home. In the footage, Pixie can be seen sporting a huge grin as she sits by her bright pink birthday cake with her 'little cousins' gathering around. As her mother instructs her, the pre-teen pulls out the Happy Birthday decoration from the top of the cake to discover it is attached to a roll of money. Roxy Jacenko's, 43, (right) daughter Pixie (left) was spoiled with a birthday cake full of cash on Wednesday as she turned 12-years-old From there, Pixie is forced to stand up as she starts pulling hundreds of Singapore dollars out of the sweet treat. All up, the red-head was gifted about SGD$300 which equates to approximately AUD$340. It comes after Roxy packed up her life in Australia and relocated to Singapore with her two children, Pixie and Hunter, and husband Oliver Curtis. The eldest child of the PR queen said she had 'no words' as she shared a video of the happy occasion with her 148K Instagram followers As the Jacenko family finalises their big move to Singapore, the former Sweaty Betty owner decided her daughter needs some spending money for their new home All up, the red-head was gifted about SGD$300 which equates to approximately AUD$340 Pixie was gifted two fifty dollar notes while the rest of the cash was in $10 notes The wealthy family have just moved themselves into a rumoured $45K per month luxurious Ardmore Residence. The Ministry of Talent owner revealed back in June she was leaving Sydney to live with her husband in Singapore. She admitted her life in the harbour city has a stark difference to her upcoming new life overseas. 'No one knows me there, no one has any preconceived idea of who or what I am, no one has any expectation, and best of all, no one actually cares!' she told the Sydney Morning Herald. 'In Singapore it was a matter of "Roxy who?" I actually found it really refreshing and a bit liberating.' Denise Welch and Carol McGiffin looked incredible as they relaxed during a holiday to the French Riviera on Tuesday. The Loose Women stars soaked up some sun in their swimwear and joked that they were shooting content for 'OnlyNans' in the fun Instagram update. Denise, 65, opted for a plunging cherry red one-piece with a slick of matching lipstick while smiling for the photo. Carol, 63, showed off her toned figure in a white bikini while shielding her eyes with a pair of aviator sunglasses. The presenters were having the time of their lives as they kicked back on a love seat in the shade. Beach ready: Denise Welch, 65, and Carol McGiffin, 63, looked incredible as they relaxed during a holiday to the French Riviera on Tuesday Sharing the photo to her Instagram, Carol wrote: 'What a great day yesterday having Denise and Lincoln for lunch followed by a little shimmy around Saint Paul de Vence and finishing with ice cream in Vence. 'Perfect. See Densys post below for other activities #OnlyNans.' 'A momentary lapse in concentration whilst shooting our Only Nans content chez!!!! Get ready to subscribe,' Denise joked. In another photo, they were joined by Carol's husband Mark Cassidy, as they headed out for ice cream. Earlier this week, Denise showcased her youthful beauty in a blue and black striped swimsuit on Monday as she soaked up the sun in Nice. The Loose Women star looked nothing short of sensational in a colourful swimsuit showing off her slender physique. The posed up a storm with her hands on her hips and sat on a wooden bench for the photo. She put on a leggy display in the figure-hugging swimsuit and slipped on a pair of black strappy sandals. Holiday: The Loose Women stars soaked up some sun and joked that they were shooting content for 'OnlyNans' in the fun Instagram update Insight: Sharing the photo to her Instagram, Carol wrote: 'What a great day yesterday having Denise and Lincoln for lunch followed by a little shimmy around Saint Paul de Vence' Funny: 'A momentary lapse in concentration whilst shooting our Only Nans content chez!!!! Get ready to subscribe,' Denise joked Youthful: Earlier this week, Denise showcased her youthful beauty in a blue and black striped swimsuit on Monday as she soaked up the sun in Nice Denise captioned her post: 'This bird has flown away to Nice. Back to the where 11 years my husband proposed to me. 'Saying yes was the best decision I ever made. Weve had a stressful few weeks as my stepson has had major surgery for Crohns. 'But hes now safely back home with his wife and baby so weve grabbed a few days away. Thank you for helping me maintain my weight around French cuisine!!!' She's amassed a staggering 63.5 million Instagram followers since rising to fame as a child star on Stranger Things. But Millie Bobby Brown now has her team censor her social media feeds so that she only sees positive posts after finding the platforms had a detrimental effect on her mental health. The British actress, 19, who was just 12 when the phenomenal success of the Netflix show sent her star into the stratosphere, said shutting out negative content has given her mental freedom. I personally feel it wasnt adding anything to my life. And I felt positive when I didnt have it on my phone, she said of deleting her social media apps. I just felt like I could live my life with more confidence and freedom, mental freedom. I just feel better for it,' she told Women's Wear Daily. Millie Bobby Brown, 19, has her team censor her social media feeds so that she only sees positive posts after finding the platforms had a detrimental effect on her mental health She added: But that doesnt mean that the good social media I dont get to see: I just have a wonderful team that kind of censors it all, so that I can protect myself. Despite not looking at them often herself, Millie still uses social media platforms to promote her makeup brand Florence by Mills, and has her team send customer reviews to her. TikTok, Facebook, Instagram I love seeing the way people use Florence. Its really cool. Even though I dont have those social medias actually on my phone, I get to have people send them to me.' As well as her makeup range, the prolific star produced and starred in Netflixs Enola Holmes film, is a Louis Vuitton ambassador and has just written her first novel, Nineteen Steps, which is published next month. Millie said that her forthcoming wedding to Jake Bongiovi the son of rocker Jon Bon Jovi would be a private affair that she would be drawing the curtains on. I think probably drawing the curtains, just because there are only so many moments in life that you get only once. And to have everyones opinions and eyes looking at that just feels unnatural to me, she said. So I feel its important to keep those things, those small precious moments in life, really close to your chest. I can say that the planning is going its so fun and its such an exciting time in my life. In April, the Primetime Emmy nominee announced she was engaged to the son of Jon Bon Jovi. The British actress said shutting out negative content has given her mental freedom On screen: Millie was just 12 when the phenomenal success of the Netflix show sent her star into the stratosphere (pictured on Stranger Things) Taking to social media to announce her engagement earlier this year, the star showed off her glimmering rock with a monochrome picture while in the arms of her new fiance. She penned in the caption: 'I've loved you three summers now, honey, I want 'em all'. While Jake also shared an announcement post to his own page, simply writing 'forever' alongside two snaps of the couple. Their famous friends rushed to the comments to congratulate the happy couple on the news. Cute: Elsewhere, Millie said that her forthcoming wedding to Jake Bongiovi the son of rocker Jon Bon Jovi would be a private affair that she would be drawing the curtains on Showtrial is set to return for a second series, with filming to start later this year. The BBC have confirmed the gritty five-part drama - from the producers of Line Of Duty - will be back on screen with a brand new cast and explosive murder investigation. The first series aired in November 2021 where fans were hooked by the trial of arrogant student Talitha Campbell (Celine Buckens) after the death of her friend Hannah Ellis (Abra Thompson). Viewers were left shocked when Talitha was found 'not guilty' after she displayed such unlikeable characteristics as the series explored what it means to have a right to a fair trial. It's been just under two years since the series had the nation hooked, but the BBC has announced its return for both BBC One and iPlayer. It's back! Showtrial is set to return for a second series, with filming to start later this year (pictured Celine Buckens who played Talitha Campbell in series one in 2021) Gripping: The BBC have confirmed the five-part drama - from the producers of Line Of Duty - will be back on screen with a brand new cast (pictured Tracy Ifeachor and Celine Buckens) The new series will star BAFTA award-winner Adeel Akhtar, Nathalie Armin and Michael Socha. The premise will once again focus on a gripping trial occurring in the media spotlight after a high-profile climate activist is left for dead in a violent hit and run. Marcus Calderwood identifies his killer in his final moments as he recognises it is a serving policeman. Speaking about the upcoming series, the show's writer Ben Richards said: 'I am very grateful to the BBC for the opportunity to explore another sensational trial which I hope will be just as entertaining as our first outing. 'I love writing Showtrial and I'm honoured to have a cast of such high quality to deliver it.' The drama will unfold as Michael Socha, known for This Is England and The Gallows Pole, plays the cocky officer Justin Mitchell as he battles against Adeel Akhtar, known for The Dictator and Utopia, who plays the anxious defence solicitor Sam Gill. Natalie Armin, known for Home and Unforgotten, will lead the case against the accused as strong CPS lawyer Leila Hassoun-Kenny. Just as it did in season one, Showtrial will explore whether the truth is ever clear cut and if it's possible to have a fair trial when tensions are riding so high. New trial: The new series will star BAFTA award-winner Adeel Akhtar, Nathalie Armin and Michael Socha (pictured left to right) There is no set release date yet, however filming will begin this November in Belfast, with the rest of the cast announced in due course. The show's director Lindsay Salt said: 'We're so excited to once again join forces with Ben Richards and World Productions to bring a new unmissable Showtrial to BBC One and iPlayer. 'With Adeel, Nathalie and Michael leading the cast, and a brand new central mystery to be played out in front of the nation, Showtrial will have viewers hooked from the start.' Adeel gushed: 'I'm a big fan of Ben's writing and so excited to be given the opportunity to join the second series of a brilliant show.' Danniella Westbrook has revealed she was quizzed by six police officers as she landed in Ibiza last week over an old unresolved offence. The former EastEnders star, 49, said she was taken to a room within minutes of arriving in the country and confronted by the gun-wielding officers. Danniella was arrested by police in 2018 and detained for 14 hours over a driving offence before being convicted of fraud for failing to pay for 37 euros of petrol. The soap star had failed to pay for the petrol put into her hire care at a motorway stop during her last time in the country five years ago. However as the situation was never properly resolved, she was confronted by police and will have to face Spanish court next week. Oh no! Danniella Westbrook has revealed she was quizzed by six police officers as she landed in Ibiza last week over an old unresolved offence Speaking out: The former EastEnders star, 49, said she was taken to a room within minutes of arriving in the country and confronted by the gun-wielding officers Danniella told the Mirror she is concerned she may now have a 3,500 euro bill to pay due to the interest of the original fine. She told The Mirror: 'I landed in Ibiza and everybody went through passport control and when I put my passport in it [said] 'stop, wait for assistance' so I thought there was something wrong with my passport or whatever them electronic things are always rubbish. 'Anyway, the guy has come over and said: 'wait there'. Then the door opened and about six police officers came out and said to me, 'We need to speak to you'.' Danniella explained how she was taken into a room and feared she would be taken to jail - after previously spending 14 hours in a cell back in 2018. She explained: 'They said they have details for a denuncia, which is like an outstanding offence in Spain which has not been dealt with. Danniella told police that she has been trying to deal with the offence for the past five years but as yet has been unable to resolve it. She has now been subpoenaed to court next week in Spain because they were unable to deal with it in Ibiza, as it is too far from where the offence took place. 'I was going to Alicante because I was going to see my cousin from Ibiza. They couldn't deal with it in Ibiza because it wasn't near where it happened. So they basically subpoenaed me up to the court to try and get it sorted out.' Surgery: Danniella recently spoke about her nightmare surgery at a different clinic in Turkey which she had in a desperate bid to save her collapsing face Procedure: The actress- whose wounds have been stitched up with non-dissolvable fishing wire - previously detailed the horrifying procedure as she revealed she will 'go back' to her original doctor in the UK to have corrective surgery Danniella was hoping to spend the holiday relaxing after recently returning to Turkey for her eighth boob job, just weeks after her surgery 'nightmare'. Daniella exclusively revealed to MailOnline that she plans to sell her breast implants on Only Fans for 40,000. Danniella told MailOnline: 'I'm here in Turkey which is my comfort zone. They have reinstalled my faith in having surgery done in Turkey thank goodness after last time I was here. 'I've had my implants taken out and I'm selling them on OnlyFans and looking for around 40k, how exciting!' Danniella is currently recovering after undergoing breast enlargement surgery at Comfort Zone Surgery in Istanbul. Appearing on Channel 5's Celebrity Botched Up Bodies, she told how she went from a 34B to a 34D, and up again to a 34DD. Danniella recently spoke about her nightmare surgery at a different clinic in Turkey which she had in a desperate bid to save her collapsing face. The actress- whose wounds have been stitched up with non-dissolvable fishing wire - previously detailed the horrifying procedure as she revealed she will 'go back' to her original doctor in the UK to have corrective surgery. Rosie Huntington-Whiteley looked sensational as she joined her fiance Jason Statham for a night out in Los Angeles on Tuesday. The model, 36, slipped into a semi-sheer maxi dress, with an elegant high neck, that clung to her toned frame. She pulled her blonde hair back into a neat low bun and topped off her look with a pair of sandals. Jason, 56, opted for an oversized black T-shirt and some loose fitting khaki cargos with white trainers. Heading out for dinner at Funke restaurant, the duo were joined by some pals as they strolled to the venue. Date night: Rosie Huntington-Whiteley, 36, looked sensational as she joined her fiance Jason Statham, 56, for a night out in Los Angeles on Tuesday Stunning: The model slipped into a semi-sheer maxi dress, with an elegant high neck, that clung to her toned frame The couple's outing comes after she enjoyed a well-deserved holiday with their children Jack, six, and Isabella, 18 months, in Portugal last month. The star is usually incredibly private about her personal life, but gave a rare insight into her family life with a series of sweet snaps last month. The family seemed to be enjoying themselves as Rosie shared snaps of them horse riding, swimming in the sea and laughing on the beach. Rosie and Jason have been together since 2010 and the actor proposed to the model back in 2016. Despite their long engagement, the pair are in no hurry to rush their wedding preparations. Back in 2018 when their son was born, Rosie told ET that the wedding wasn't a 'huge priority.' She said: 'We're looking forward to that time. It's also not a huge priority for us; we're so happy. 'I think it will be fun to do it when the baby's grown up a bit and he can be involved in the wedding.' Stylish: Jason opted for an oversized black T-shirt and some loose fitting khaki cargos with white trainers Family fun: Rosie's appearance comes after she enjoyed a well-deserved holiday with her actor fiance Jason Statham, 56, and their children Jack, six, and Isabella, 17 months, in Portugal Rosie has previously described the 'shift in identity' she experienced after becoming a mother, which felt like 'mourning the loss of [her] old life'. Speaking in an interview with Net-A-Porter's PORTER magazine in 2021, she explained: 'For a period after I had my first son, there was a real shift in identity, and a sort of mourning of the loss of your old life, and who you were.' Expanding on the transition from model to mother, Rosie added: 'I just remember feeling like the rug was pulled out from underneath me. 'I'd had all these years of being really independent, being able to come and go as I wished, being self-employed to a degree, calling the shots, and then suddenly having [something] that really anchored me to home life.' While Scandoval meant big ratings for Bravo and money making opportunities for her castmates, it cost Raquel Leviss plenty. Speaking with Bethenny Frankel in her first interview since the drama erupted, Leviss, 28, described how awful it had felt knowing her 'mistakes' had been incredibly lucrative for Bravo - while she had yet received a 'single penny.' Asked why she decided to break her silence with Bethenny, Raquel explained she felt she found an ally in Frankel, who was able to see how Leviss had been financially impacted by the controversy. 'I just heard what you were saying on TikTok and using my case as an example of exploitation and the way that the network is running to the bank like, laughing, running to the bank with this scandal and I haven't seen a single penny,' Raquel explained on the Just B with Bethenny Frankel podcast. 'It's not fair,' she continued. 'And I feel like a toddler saying, "It's not fair!" But it really isn't. And I feel like I've been portrayed as the ultimate villain. My mistakes that I've made on-camera live on forever.' 'I haven't seen a single penny': While Scandoval meant big ratings for Bravo and money making opportunities for her peers, Raquel Leviss claims she hasn't received a 'single penny' since the drama erupted Shortly after the scandal, Vanderpump Rules received its first Emmy nomination - one for Outstanding Picture Editing for an Unstructured Reality Program and another for Outstanding Unstructured Reality Program. The scandal also turned out to be lucrative for several of her castmates. Ariana Madix, who ended her relationship with Tom Sandoval as a result of the affair, has received countless sponsorship opportunities, while Lala Kent revealed her 'Send it to Darrell' merchandise helped pay for her new home. The star - who now goes by her birth name, Rachel - has remained out of the limelight since the show's reunion episodes aired in June saw her come under intense backlash over her months-long affair with Sandoval. Opening up about why she chose to take a step back from the public eye, the Bravo star told Bethenny: 'It's been a whirlwind, it's been chaos. But I've taken some time to myself to reflect and try to understand my actions. And I finally have come to the place where it makes sense to me. 'Part of the reason why I wanted to take some time away is just because it was so chaotic and loud, and there was such vitriol online.' The reality star then explained that she chose to spend time in a treatment facility in an attempt to 'understand my behaviors'. She stated: 'But then the other part of the reason why I wanted to go to a treatment facility was to understand my behaviors and my goal was to really get down to the bottom of, 'OK why am I choosing men that are unavailable, why do I keep finding myself in unhealthy relationships, what are the things that I need to change about my behavior.' 'And in knowing that I needed to make a change, I first had to know what leads to those behaviors.' Getting candid: Leviss has sat down for a tell-all chat with Real Housewives of New York alum Bethenny Frankel During the conversation, Rachel seemingly confirmed she has no plans to return to the show as she told Bethenny: 'I feel like I've been portrayed as the ultimate villain. My mistakes that I've made on camera live on forever. And you mentioned something about the addiction of doing reality TV and the way that they always dangle that carrot in front of you, like, 'Well, you need to tell your side of the story otherwise it's gonna be written for you.' 'And that's terrifying. So I almost went back, I know just because of that.' She did not expand further on what she meant by 'almost went back' but the star has remained in her home state of Arizona - while filming for season 11 of the highly-popular Bravo reality series has been underway since late June. Wednesday morning saw Bethenny tease the chat on Instagram as she shared a snap of the pair, stating: 'A woman's voice is very powerful. Now Rachel is using hers to tell her own storyfor the first time' Smiling Rachel looked healthy and in good spirits after recently checking herself into a mental health facility after facing the wrath of her co-stars during the show's three-part reunion that taped in March. 'Scandoval': The star was the target of intense backlash after her months-long affair with BFF Ariana Madix's, 38, boyfriend Tom Sandoval, 40, was exposed; trio seen in November 2022 Breakdown: The star - who now goes by Rachel - checked herself into a mental health facility after facing the wrath of her co-stars during the show's reunion that taped in March (pictured) She since checked out of the facility in mid-July and has reportedly to be staying with family in Arizona. Bethenny explained that she had felt sympathy for Rachel while witnessing the Scandoval backlash and stated that she felt the star's life was being 'exploited' without compensation. The former RHONY star stated: '[The backlash] seemed disproportionate to me. I was watching clips on social media and hearing about this Scandoval that had a name, was being marketed and it was being pumped through the PR machine. And I did say 'Everybody's gonna be more well known than they were before because of this.' 'And my mind was, 'They're on a reality show. It's set in a bar, is what I think. It's fueled by alcohol and partying and multiple affairs. So what respectfully, what the hell is the big deal that everyone's talking about?' And I said your name just as an example of what I imagined to be somebody who had been exploited. And for the rest of your life, that content will be out there without compensation.' Thankful Rachel responded: 'It's so nice to have you validate that experience because for a moment I thought I was going crazy. And it's true, reality TV is edited, it is contrived to create a certain storyline. So it's not all factual. 'As a viewer tuning in, it's easy to get wrapped up in that. Then the concept of an affair hits really hard to a lot of people. So I think there was a lot of projection happening, a lot of emotions that came up for people, and unfortunately I was the punching bag for a lot of that.' Hard time: She checked out of the facility in mid-July and is reported to be staying with family in Arizona; seen with Tom and Ariana last year The TV star made it clear that she understood why there was so much rage from both her co-stars and viewers as she continued: 'I do want to take a moment though to just acknowledge the hurt that I brought to a lot of people. I was not careful in my actions and I was not thinking long-term. I was completely wrapped up in heartbreak and wanting to get certain needs met.' Reflecting on the true reason for her behavior, she claimed: 'Looking back now, I can see that I was still healing from a relationship from someone I thought I was going to marry. And in ending that, I still haven't healed yet.' Rachel then confirmed that she had been turning to alcohol to try and get through the tough time, something she stated was not the best solution to her problem. 'When I was filming, I was drinking a lot to ease that anxiety and in a reality TV environment, I wasn't getting that safe space for me to express my emotions in a healthy way.' When Bethenny stated that viewers - and Bravo producer Andy Cohen - had no true understanding of what it feels like to be part of a big reality show scandal that continues to manufactured unrealistic scenarios, Rachel agreed. The reality star then breathed an audible sigh of relief over getting the chance to share her side of the story and she told Bethenny: 'It feels like I have this opportunity to share how much I've grown, and how hard I'm trying to be a better person. 'That person that I saw watching back on my TV is someone who is completely out of character from who I truly am. I feel like having this opportunity to represent myself in a way that I feel like is true to who I am is all I could ever ask for'. The star took time to explain her name changes as she stated: 'I changed my name Raquel in first grade, there was a few other Rachel's in my class and I wanted to be special. I also feel like, deep down, I wasn't comfortable in my own skin and I wanted to be somebody better - in my eyes.' Distance: As for her and Tom, the pair have not been seen together since Rachel left the facility She claimed changing the name allowed her to 'be that person' but confirmed that her family never stopped calling her 'Rachel'. Rachel explained that her therapist told her that she was Rachel 'to her core' but put up a wall by being called Raquel. The star explained that this persona may have manifested due to her long-term struggles with 'social anxiety' and being judged by other people. She explained that she is now introducing herself by her birth name as a way to return to her roots. Rachel thanked her family for supporting her and helping her spend a 90 day stint in the facility so she could get the treatment she needed. 'We are a really tight-knit family. My mom is very torn up about this situation,' the star explained. 'I feel that pain for her because I put our family in this situation that's a very hard dynamic to navigate. But both my parents have been so supportive'. Rachel revealed that she had dealt with 'so many betrayals' from friends who she thought she could trust - after seeing her private information shared with media. The reality star claimed she 'has no friends in LA', and revealed that only a few people have defended her since Scandoval. She claimed that the friends who weren't attacking her still felt forced to unfollow her because they were getting harassed to do so by fans of the show. As for her relationship with former BFF Ariana, Rachel explained that she did not expect her co-stars to forgive her anytime soon but insisted she was 'remorseful' for causing her friend 'a lot of pain'. Lonely: The reality star claimed she 'has no friends in LA', and revealed that only a few people have defended her since Scandoval She took time to dismiss the narrative that the pair had been best friends as she explained: 'We were acquaintances who became friends through the show... We never had a deep conversation that I would have with a best friend. 'It's painful to think I hurt her in this way because that wasn't my intention... I call these people my friends because I really did believe they were my friends.' Sharing her response to the fact Ariana was now being painted as 'America's sweetheart' and capitalizing on the moment with endorsement deals, Rachel said: ' Part of me says, good for you, because take advantage of these opportunities while they come your way. 'But it is hurtful to me just to think that my nature is very kind and forgiving and understanding of other people, so the concept of me being the ultimate reality TV villain just blows my mind... And the way that she spoke to me at the reunion, I feel like it was uncalled for.' The reality star also hinted that the problems in Tom and Ariana's relationship had been clear before she began an affair with him. 'I would not be involved in this affair, secrecy type situation if I thought there was longevity in this relationship between Tom and Ariana,' she said. 'The people closest to them can see their relationship has not been what they portray on camera. Tom always told me they're a brand, they're an image... They're business partners.' She admitted that she was not 'in love' with Tom, but felt that the pair did believe they were in love during their affair. Former Real Housewives of New York star Bethenny Frankel has come under fire from fans for 'exploiting' Vanderpump Rules 'Scandoval' drama in order to benefit herself financially - while currently leading the charge against Bravo's mistreatment of reality stars. The Bravo alum, 52, surprised fans on Wednesday after uploading a surprise episode of her Just B with Bethenny Frankel podcast in which Raquel Leviss opened up about the intense backlash over her months-long affair with her BFF Ariana Madix's, 38, boyfriend Tom Sandoval, 40. During the lengthy discussion Leviss, 28, described how awful it had felt knowing her 'mistakes' had been incredibly lucrative for Bravo - while she had yet received a 'single penny.' Frankel was quick to lambast the TV network for using the reality star's story for profit, however viewers were quick to turn on the star over the fact she was seemingly doing the same time. Contradicting herself? Bethenny Frankel has come under fire from fans for 'exploiting' Vanderpump Rules 'Scandoval' drama in order to benefit herself financially 'Wait so its not ok for bravo to make money off this but its ok for you to??' one confused fans commented on Instagram. Another added: 'Bethenny making money off of Rachel retelling her story under the guise of how inhumane it is for BRAVO to make money off of Rachels story isHYPOCRISY AT ITS FINEST!' A third stated: 'Sorry Bethenny It seems very opportunistic of you to do this and I cant help but think you are using Rachel in the same way you are criticizing Bravo for its actions. I also recall you speaking very unkindly of her when the whole Scandoval story unfolded. It just doesnt sit right with me.' Indeed, some listeners began to ask if the SkinnyGirl founder would be paying Leviss for her appearance as one wrote: 'So like Im gonna assume youre paying Rachel for doing this episode and residuals going forward? Or are you also exploiting her for $?' Another commented: 'Are you compensating Rachel for this content shes creating for you? Or is it more exploitation without compensation? Will she get residuals every time this episode is listened to in perpetuity??' 'Are you paying her a decent amount of cash to ensure hearing the mean comments?' one fan quizzed. 'This seems to be using her all over again for a profitcashing in on this car crash - just as bad as what you claim to be trying to stop?' One fan suggested Frankel was focusing too much anger towards Bravo as they tweeted: 'Bethenny needs to relax a bit. Anything to take down Bravo, seemingly. Talk about biting the hand that fed (created) you.' Another agreed and stated: '@Bethenny is nothing more than a starved for attention ex reality starshes no better than anyone whos been on Bravo but she likes to think that she is. She only gets press when talking about Bravo.' 'Scandoval': Raquel Leviss was the target of intense backlash after her months-long affair with Ariana Madix's, 38, boyfriend Tom Sandoval, 40, was exposed; trio seen in November 2022 Agenda? The podcast interview surprised many listeners due to the fact Frankel is currently leading the charge against Bravo's mistreatment of reality stars Bethenny previously starred in the Real Housewives franchise from 2008 until 2010, and later returned in 2015 until her exit in 2019. She also starred in a Bravo spinoff titled Bethenny Getting Married? which was later changed to Bethenny Ever After (2010-2012). More fans began to express their outrage over the interview as one wrote: 'Bethenny is here to MAKE MONEY OFF Scandoval by interviewing & continuing to exploit a morally bankrupt individual . 'She isnt trying to understand Rachel (which there is no justification for what she did) the affair is one thing, but to continue a CLOSE friendship with someone while having an affair with their boyfriend is something all the therapy in the world could never fix. SO, AGAIN, Bethenny is continuing to exploit and make more money off reality TV.' Another stated: 'I was such a huge fan of yours but now with all this bravo s**t your stirring and marketing your podcasts off of bravo while trying to take them down was almost my breaking point this interview was the breaking point.' When aked why she decided to break her silence on Frankel's podcast, Leviss - who now goes by her birth name, Rachel - explained she felt she found an ally in Frankel, who was able to see how Leviss had been financially impacted by the controversy. 'I just heard what you were saying on TikTok and using my case as an example of exploitation and the way that the network is running to the bank like, laughing, running to the bank with this scandal and I haven't seen a single penny,' Leviss stated. Many asked whether Frankel was paying Leviss because getting a slice of the Scandoval drama was benefitting the former RHONY star's podcast and bank account 'It's not fair,' she continued. 'And I feel like a toddler saying, "It's not fair!" But it really isn't. And I feel like I've been portrayed as the ultimate villain. My mistakes that I've made on-camera live on forever.' Shortly after the scandal, Vanderpump Rules received its first Emmy nomination - one for Outstanding Picture Editing for an Unstructured Reality Program and another for Outstanding Unstructured Reality Program. The scandal has also turned out to be lucrative for several of her castmates. Ariana Madix, who ended her relationship with Tom Sandoval as a result of the affair, has received countless sponsorship opportunities, while Lala Kent revealed her 'Send it to Darrell' merchandise helped pay for her new home. Meanwhile, Leviss has remained out of the limelight since the show's reunion episodes aired in June saw her come under intense backlash over the affair. The podcast episode was dropped just weeks after Frankel slammed Bravo and NBC for 'grotesque and depraved' mistreatment of reality stars. Earlier this month, Frankel and other stars accused both Bravo and NBC for 'covering up sexual violence, condoning revenge porn, and exploiting minors and denying mental health treatment,' in a bombshell letter obtained by DailyMail.com. Stars complained of 'grotesque and depraved mistreatment', with the letter claiming both current and former stars were 'mentally, physically, and financially victimized'. It also alleged that they were 'threatened with ruin should they decide to speak out about their mistreatment'. Slamming networks: Frankel has accused both Bravo and NBC for 'covering up sexual violence, condoning revenge porn, and exploiting minors and denying mental health treatment' Joining forces: Frankel notably teamed up with SAG-AFTRA and took to her main Instagram page to reveal that the union stood by reality stars Sources told DailyMail.com reality star Bethenny Frankel has 'led the charge' against the network, weeks after she slammed executives for exploiting 'young, doe-eyed talent' who are desperate to be on TV. In a statement, NBC said that it was 'committed to maintaining a safe and respectful workplace for cast and crew on our reality shows.' The explosive letter directed at Bravo Media, E!, and CNBC, which are collectively controlled by NBCUniversal, claims the networks' widely consumed reality TV universe is the reason stars' alleged suffering 'remained under wraps for far too long.' 'The day of reckoning has arrived,' the letter began, as it accused network chiefs of deliberately damaging the mental health of cast members by plying them with alcohol and depriving them of food and sleep. When stars complained, they were allegedly denied mental health treatment despite showing signs they were struggling, the letter said. The networks are also accused of exploiting minors by not paying them despite long-term appearances on the shows. Reality reckoning: Frankel has previously lambasted the TV network for using the Leviss and other star's life moments for profit Frankel has notably teamed up with SAG-AFTRA and took to her main Instagram page to reveal that the union stood by reality stars and is ready to join her in taking down studios and production companies. She shared the news and a statement from SAG-AFTRA - which has been on strike since July 14 - in a post, which she captioned as 'Use your voice. The reality reckoning has begun' In the letter, the union wrote, 'We stand ready to assist Bethenny Frankel, Bryan Freedman and Mark Geragos along with reality performers and our members in the fight and are tired of studios and production companies trying to circumvent the Union in order to exploit the talent that they rely upon to make their product.' SAG added that they are encouraging reality performers and members to reach out 'so we may work together toward the protection of the reality performers ending the exploitative practices that have developed in this area and to engage in a new path to Union coverage'. Emily Ratajkowski turned heads as she showed off her toned physique in a revealing ensemble when stepping out in New York City on Wednesday. The supermodel, 32, who recently displayed her 'it girl' style in a statement tank top, was seen strolling through the bustling city streets as she headed to her High Low podcast recording. The mother of one made jaws drop as she flaunted her taut midriff in a $119 House of CB 'Palmer' white plunge blouson top. The beauty additionally wore a $320 Long Bias Cut Skirt from Silk Laundry that she styled with a fashionable, gold-chained belt. She slipped into a pair of tan-colored, heeled boots and slung a black purse over her right shoulder to hold a few items she needed during her busy schedule. Wow! Emily Ratajkowski, 32, turned heads as she showed off her toned physique in a sexy ensemble as she stepped out in New York City on Wednesday Chic: The mother of one made jaws drop as she flaunted her taut midriff in a $119 House of CB 'Palmer' white plunge blouson top Her long locks were parted in the middle, and effortlessly flowed down straight past her shoulders. The former Nickelodeon actress added only a pair of gold-hooped earrings to accessorize her look to allow the outfit to be the main focal point, and also threw on a pair of chic, oval-shaped sunglasses. Emily was spotted arriving to a building to record content for her successful podcast, High Low with EmRata, which was officially launched late last year in November. At the time, the model gushed to Variety about the career milestone, and expressed, 'Im very excited about producing the show and doing it in my own way...To be able to dive into topics that Im interested in, in this format is so exciting.' 'I dont want the show to at all feel pretentious, but I want to be talking about things that I find interesting and important,' she continued. Ratajkowski has hosted a number of celebrity guests on the show, such as Nelly Furtado, Sarah Hyland, Troye Sivan, Ireland Baldwin, and also Kelly Rowland. Also on Wednesday, the businesswoman showed off her ensemble for the day in a TikTok video that she uploaded to her 2.5 million followers. The 13-second clip began as she took a quick video selfie at a high angle which then cut to Emily posing outside in her quaint backyard. Stylish: The beauty additionally wore a black, low-waisted skirt that was made of a satin material and secured with a fashionable, gold-chained belt Beautiful: Her long locks were parted in the middle, and effortlessly flowed down straight past her shoulders On the go: The former Nickelodeon actress added only a pair of gold-hooped earrings to accessorize her look to allow the outfit to be the main focal point Working hard: Emily was spotted arriving to a building to record content for her successful podcast, High Low with EmRata, which was officially launched late last year in November Casual: Later in the day, Emily was spotted again in a more casual look The runway maven kept her toned tummy concealed under an oversized green T-shirt She kicked off her heels and threw on a pair of Adidas sneakers as she strolled the friends The star placed her phone down on a stable surface and stepped away from the camera and struck a few of her favorite poses to flaunt her ensemble and stunning frame. The sound titled, Nanana Cola Ian Asher edit, played in the background of the reel, and Emily chose to not add a caption to the clip. Before heading outdoors, the Inamorata founder also paused for a mirror selfie to once again show off her impeccable street style which was uploaded to her Instagram stories. Aside from her podcast, Ratajkowski has been filtering through a busy schedule, and recently starred in Victoria's Secret latest Icon campaign. The model also sizzled in a jaw-dropping photo shoot earlier this month as she promoted swimsuit pieces from her own brand, Inamorata, which she launched back in 2017. Emily could be seen posing on the floor of a dimly lit but spacious room while wearing a white, Luciana bikini top, which is priced at $95 from the brand. The beauty donned matching, $85 bottoms. The My Body author's skin had a sun-kissed glow, and her makeup comprised of dewy blush and highlighter to her cheekbones, as well as a nude-colored, glossy tint to her lips. Having fun: Also on Wednesday, the businesswoman showed off her ensemble for the day in a TikTok video that she uploaded to her 2.5 million followers Striking a pose: The 13-second clip began as she took a quick video selfie at a high angle which then cut to Emily posing outside in her quaint backyard Late summer season: While posing in her backyard, natural sunlight streamed down through the shady trees Confident: The star placed her phone down on a stable surface and stepped away from the camera and struck a few of her favorite poses to flaunt her ensemble and stunning frame Selfie time: Before heading outdoors, the Inamorata founder paused for a mirror selfie to once again show off her impeccable street style which was uploaded to her Instagram stories Motherhood: Despite constantly being on-the-go, the beauty's main focus surrounds her two-year-old son, Sylvester, who also goes by the nickname of Sly Despite constantly being on-the-go, the beauty's main focus surrounds her two-year-old son, Sylvester, who also goes by the nickname of Sly. She welcomed her little boy with ex-husband, Sebastian Bear-McClard, in March 2021. Emily and the film producer initially tied the knot in 2018, but the former Nickelodeon actress filed for divorce in September 2022 after Sebastian allegedly cheated on her. When talking about her busy schedule during an interview with Refinery 29 earlier this year in January, the beauty admitted, 'I have no balance. I have so many hats and I like wearing them.' 'Between being a single mom, modeling full time, having a podcast, also wanting to continue to write, and running a read-to-wear business it's insane. I don't have balance.' Beyonce's 11-year-old daughter Blue Ivy was looking like a double for her mother on Tuesday. The pop sensation's daughter resembled a young Beyonce as she jetted into Miami alongside her family ahead of her mother's latest Renaissance World Tour stop. The youngster displayed her pop star style in a billowing 'East Hampton' sweatshirt, low ponytail, and stylish sunglasses as she emerged from the private jet. She departed the aircraft alongside her mother, 41, her father Jay-Z, 53, and six-year-old twin siblings Rumi and Sir. Her mother kept it casual for her flight into Miami as well, rocking a black hoodie over her blonde tresses. Like mother, like daughter! Blue Ivy was looking just like her famous mother Beyonce (R) as they arrived to Miami on Tuesday Jay-Z also kept it casual in a white bucket hat, T-shirt, and sunglasses concealing his eyes. He followed after his son Sir, who was carried off the aircraft by a helpful assistant. Sir sipped from his juice pouch and appeared to be wearing pajamas. His twin sister Rumi wore a pretty salmon pink tank top and matching skirt. Beyonce will be performing in Tampa on Wednesday followed by Miami on Thursday as part of her Renaissance World Tour. The pop sensation's tour kicked off in Europe in May and she recently made her way back to the US. Other celebrities who have attended the Grammy winner's run of shows include Lizzo, Madonna, Lori Harvey, and Zendaya. Beyonce and Jay-Z (born Shawn Carter) met in 1998, and they've amassed a combined 56 Grammy Awards and $3B net worth. Cool and comfortable: Jay-Z also kept it casual in a white bucket hat, T-shirt, and sunglasses concealing his eyes Casual: The music icon emerged from the aircraft with a hoodie thrown over her hair Teamwork: She appeared to have various members of her team on hand as she prepared for the latest leg of her Renaissance World Tour Family! Beyonce and Jay-Z's twin children, Sir and Rumi, were also seen leaving the jet Here she comes! Rumi grabbed the railings to the jet as she stepped off the aircraft After a brief break, Beyonce continued her tour in the Canada and the US, starting off with shows in Ontario at Rogers Centre and then in Philadelphia at Lincoln Financial Field. The last concert of the American leg of her tour is scheduled for October in Kansas City In February, Billboard projected the Oscar-nominated songwriter's ninth concert tour will gross approximately $300M. Forbes recently reported that Queen Bey may earn more than $2.1 billion from her tour. Step at a time: The rapper followed his son and the assistant off the plane Music maker! The family have joined Beyonce as she prepares to dazzle audiences in Tampa and Miami Aww: Sir appeared to be wearing his pajamas as he enjoyed a juice pouch Meanwhile: Jay-Z was also seen on his hotel balcony in Miami on Tuesday The site reported that the estimate was 'based on the most optimistic assumptions of the number of fans buying tickets at their concerts and high average ticket prices of about $700.' Brett House, an economist at Columbia Business School, told the New York Times that Beyonce's tour and the Eras tour 'are the latest instance of the 'revenge spending trend that took hold after the pandemic.' After the pandemic, consumers have shifted 'spending away from goods and toward experiences.' Both Beyonce and Swift have been credited with boosting the local economies of their tour stops by millions of dollars. Reese Witherspoon shared a cheerful smile as she enjoyed 'the last days of summer' with her family in a new Instagram post uploaded on Wednesday. The Legally Blonde actress, 47, who recently promoted fall dresses from her own clothing brand called Draper James, captioned her latest post to her 29.6 million followers: 'Soaking up the last days of summer.' In the first snap, the mother of three struck a pose while sitting on a wooden porch outdoors. She donned a white tank top and white shorts to stay cool in the hot temperatures. She slipped into a pair of open-toed, white strappy sandals and added an assortment of colorful, beaded necklaces for a stylish flare. The star also opted for a pair of mini, gold-hooped earrings and threw on black shades to protect her eyes from the bright sunshine. Holding onto summer: Reese Witherspoon, 47, shared a cheerful smile as she enjoyed 'the last days of summer' with her family in a new Instagram post uploaded on Wednesday Selfie time! In another image, the A-lister posed for an adorable selfie with her son Deacon, 19, whom she shares with ex, Ryan Phillippe Reese's blonde locks were parted in the middle, and naturally flowed down past her shoulders, allowing her bangs to gently fall onto her forehead. In another image, the A-lister posed for an adorable selfie with her son Deacon, 19, whom she shares with ex, Ryan Phillippe. During their marriage from 1999 until 2008, the pair also welcomed daughter, Ava, 23. In the snap, the mother and son duo were seen sitting on a step while flashing small smiles towards the camera. The beauty also took another selfie with her youngest child and son, Tennessee, 10, whom she shares with ex-husband, Jim Toth. The two paused for the quick picture as the scenic ocean sprawled out behind them with the sun setting in the horizon. The Cruel Intentions actress then uploaded a close-up photo of a butterfly that was resting on a layer of pebbles. To conclude the Instagram carousel, Witherspoon added a quote from poet and artist, Cleo Wade, as she reflected on the changes in her life since her divorce from Jim Toth. 'Life will change you or you can change with life. We are in motion. Everything we know is always moving. We can wrestle with this energy or we can dance with it. The choice is completely up to you.' Stunning sunset: The beauty also took another selfie with her youngest child and son, Tennessee, 10, whom she shares with ex-husband, Jim Toth Breathtaking view: Reese also shared a snap that showed a stunning view of the ocean and the various colors that filled the sky during the sunset Nature: The Cruel Intentions actress then uploaded a close-up photo of a butterfly that was resting on a layer of pebbles Welcoming changes: To conclude the Instagram carousel, Witherspoon added a quote from poet and artist, Cleo Wade, as she reflected on the changes in her life since her divorce from Jim Toth Reese and Jim were first romantically linked in 2010, and became engaged later that same year in December. The former couple tied the knot during a romantic and intimate backyard wedding in March 2011. Upon saying, 'I do,' Toth became the stepfather of Reese's children from her first marriage and the pair welcomed son, Tennessee. However, earlier this year in March, only days before their 12th wedding anniversary, the actress and former CAA agent issued a joint statement on Instagram to announce their shock split. At the time, the two expressed, 'We have some personal news to share... It is with a great deal of care and consideration that we have made the difficult decision to divorce. 'We have enjoyed so many wonderful years together and are moving forward with deep love, kindness and mutual respect for everything we have created together. Our biggest priority is our son and our entire family as we navigate this next chapter.' In conclusion, Reese and Toth added, 'These matters are never easy and are extremely personal. We truly appreciate everyone's respect for our family's privacy at this time.' Following the divorce news, a source told People, 'Reese is obviously disappointed and upset. They love Tennessee and this is their focus. They will continue to co-parent amicably. There is no drama.' Earlier this month, the former couple reached a divorce settlement and will continue to co-parent their 10-year-old son. Split: However, earlier this year in March, only days before their 12th wedding anniversary, the actress and Jim issued a joint statement on Instagram to announce their shock split A statement: At the time, the two expressed, 'We have some personal news to share... It is with a great deal of care and consideration that we have made the difficult decision to divorce In July, Reese spoke out abut the split during an interview with Harper's Bazaar and admitted that she was taking time to slow down in her fast-paced life. 'My brain has been going nonstop, and just life changes and running a company. But thats okay. I really believe creativity is infinite and youre just looking for that next bit of inspiration, so if you go through a little slow period, thats okay,' she explained. She then reflected on issuing the joint statement on Instagram. 'When I was divorced before, the tabloid media got to tell people how I was feeling or how I was processing, and it felt very out of control.' The Big Little Lies actress added, 'It feels much more authentic to be able to say things in my own voice and not let somebody else control whats happening. 'Then, of course, theres speculation, but I cant control that. All I can do is be my most honest, forthright self and be vulnerable. Its a vulnerable time for me.' Reese appears to be putting the past behind her and keeping a positive outlook on the changes in her life and future. While focusing on her own brand, Draper James, the star recently attended a Taylor Swift concert in Nashville and was accompanied by her daughter, Ava. Keeping busy: While focusing on her own brand, Draper James, the star recently attended a Taylor Swift concert in Nashville and was accompanied by her daughter, Ava Upcoming: Witherspoon is also slated to appear alongside Jennifer Aniston in the upcoming third season of The Morning Show, which will begin airing on September 13 on Apple TV+ Toth has also reportedly since moved on since the divorce, and was romantically linked to a new person in July. 'Jim was staying with a new girlfriend at the Sendero Nosara in Costa Rica,' a source told People at the time. 'They seemed to be staying in a suite, and they looked really happy, just chilling and soaking up that pura vida vibe. It was definitely romantic.' Witherspoon is also slated to appear alongside Jennifer Aniston in the upcoming third season of The Morning Show, which will begin airing on September 13 on Apple TV+. The official premise of the series follows, 'An inside look at the lives of the people who help America wake up in the morning, exploring the unique challenges faced by the team,' per IMDB. Cole Sprouse says he has received death threats from Riverdale fans. The 31-year-old actor dated his co-star Lili Reinhart, 26, from 2017 to 2020. And now Cole is saying he believes fans are too invested in their personal lives. 'I get a lot of stuff sent to my house or my loved ones' houses,' he told Vulture. 'Death threats, really nasty, honestly criminal stuff. And I do think it's because at least four of us at this table have dated our co-workers.' Bad turn: Cole Sprouse says he has received death threats from Riverdale fans. The 31-year-old actor dated his co-star Lili Reinhart, 26, from 2017 to 2020 while their castmates Camila Mendes, 29, and Charles Melton, 32, dated for a year from 2018. And now Cole is saying he believes fans are too invested in their personal lives. Seen in 2019 They didn't like the split: 'I get a lot of stuff sent to my house or my loved ones' houses,' he told Vulture. 'Death threats, really nasty, honestly criminal stuff. And I do think it's because at least four of us at this table have dated our co-workers'; seen in 2019 He also said there was another couple on the show that got a lot of attention; their castmates Camila Mendes, 29, and Charles Melton, 32, dated for a year from 2018. He added, 'Is that just a consequence of an incredible love? Or is that what fanaticism looks like? Perhaps because those lines were blurred to our audience, it's hard to break those things up when life moves on.' Casey Cott, 31, who plays Kevin Keller on the show, revealed his mother received threats and he believes some fans have trouble making the distinction between the actors and the fictional character they play. He said: 'There's sometimes a failure to differentiate the characters from the humans. You can go down an extreme hole if you're looking at that. Someone got my mom's phone number and started leaving voicemails saying that they were going to come get her.' Meanwhile, the cast also revealed former teen heartthrob Luke Perry - who played Archie's father until his sudden death in 2019 at the age of 52 - gave them advice on coping with fame. Madelaine Petsch said: 'I had a long conversation with Luke Perry at Comic-Con when we first booked the show because he was on 90210. I asked him, "What is this ride gonna be like?" The cast: Camila Mendes, Madelaine Petsch, Lili Reinhart, KJ Apa and Cole at San Diego Comic-Con 2019: Pals: Madelaine Petsch said: 'I had a long conversation with Luke Perry at Comic-Con when we first booked the show because he was on 90210. I asked him, "What is this ride gonna be like?" 'And he said, "Nothing like you've ever imagined, but I promise you, it will be the last of its kind."' Seen in 2018 Cole was a fan of his too: Cole explained: 'I think he knew everything we were all going to be going through. 'And I often think about how he would be sitting answering these questions if he were still with us.' Seen in 2018 'And he said, "Nothing like you've ever imagined, but I promise you, it will be the last of its kind." 'Luke was an oracle for me and for a lot of the people on the show, especially in guiding us during those first two years of chaos and confusion and the rise to success. I think he's a huge reason why the show is where it is today.' Cole explained: 'I think he knew everything we were all going to be going through. 'And I often think about how he would be sitting answering these questions if he were still with us.' Madelaine added: 'He'd wear his little glasses.' And Cole said: 'He had this way of speaking where he got super-close to your face that was really beautiful and passionate.' Camila went on to say: 'We were lucky to work with him.' Queen of Pop Madonna turned 65 yesterday but she was keener to celebrate the 23rd birthday of her son Rocco. The singing superstar shared online this photograph of her snuggling up to artist Rocco at a restaurant in Lisbon, where he was given a cake in the shape of a Portuguese guitar. Rocco, who's known as a natty dresser, is the son of the star's second husband, Guy Ritchie, the British film director. His birthday was last Friday. 'You have made me worry possibly more than any other person on the planet,' Madonna wrote next to the picture. 'But you have taken the road less travelled by and that will make all the difference. Nothing gives me more joy than to watch you grow as an artist.' Madonna was forced to reschedule her forthcoming Celebration tour following a bacterial infection that meant she had to spend several days in intensive care. Queen of Pop Madonna turned 65 yesterday but she was keener to celebrate the 23rd birthday of her son Rocco The singing superstar shared online this photograph of her snuggling up to artist Rocco at a restaurant in Lisbon, where he was given a cake in the shape of a Portuguese guitar Veteran DJ Simon Bates, who made a welcome return to the airwaves with Boom Radio at the weekend, was once given a police escort from Heathrow to Radio 1's studios when he was required to present the Top 40 at one hour's notice. 'Some very nice policeman thought I was important. But I wasn't,' recalls the modest 76-year-old. No high-speed dash needed for his Boom debut he performed from a studio in his living room. Wish you were deer? Jodie's hols with stag Jodie Kidd is engaged to former Royal Marine Joseph Bates but it looks as if she's the first to have a stag do. The model-turned-publican, 44, has shared this holiday snap of her intimate encounter with a red stag, called Storm, in Exe Valley, Devon. In a potentially dangerous move, Kidd is pictured feeding the creature a digestive biscuit from between her teeth. 'A day to never forget,' she said, adding of their accommodation: 'I feel like we're in a cabin in the Wild West, but it's Devon.' Jodie Kidd is engaged to former Royal Marine Joseph Bates but it looks as if she's the first to have a stag do The model-turned-publican, 44, has shared this holiday snap of her intimate encounter with a red stag, called Storm, in Exe Valley, Devon Winston Churchill was once so impressed by the work of an ocean liner immigration officer that he gave him two of his Cuban cigars as a thank-you. Now the cigars, and a signed 1950s photograph of the great man, have gone under the hammer at an online auction with the current bid standing at 2,858. A letter from John Chalmers, son of the officer Wilfred Chalmers, reveals: 'My father was a first-class immigration officer aboard the Queen liners ... the first class passengers were "processed" before their arrival at Southampton. Sir Winston Churchill was impressed by this service, hence the cigars and the autograph.' Not many people know that... 90- year-old Sir Michael Caine's first encounter with Sherlock star Martin Freeman became a three-pipe problem for the younger actor. Freeman, 51, recalls: 'The first time I met him [his friend, the film director] Edgar Wright knew that I liked him. He said, "Martin, come and meet Michael Caine." 'So I did, and I put my hand out and said, "Hi, I'm Michael." I was so nervous. And he said, "No, I'm Michael, you're Martin." ' Not many people know that . . . 90- year-old Sir Michael Caine's first encounter with Sherlock star Martin Freeman became a three-pipe problem for the younger actor How about this for a 'first-world problem'? Harriet Walter, star of television dramas including Succession and The Crown, says the damehood she was awarded in 2011 is causing her 'nightmares' because the title isn't recognised by most websites when she's making payments or bookings. 'It's on one of my bank cards but not the other,' the actress, 72, says of her title. 'But also it causes all sorts of nightmares on most websites because they have a drop-down menu saying, "What's your title?" and they practically never have dame on there.' Dame Harriet adds: 'Sometimes I go, OK, if I want people to know I'm a dame, it'll say first name, and I'll put Dame Harriet. And then you go to the airport and they say, "You're not here". I'll say, 'Look under D.' ' Hollywood actress Salma Hayek and billionaire businessman Francois-Henri Pinault were seen with their blended family this week. They were on a late summer vacation to Los Cabos, Mexico. Together the pair co-parent four children. They are Francois Jr., 25, Mathilde, 22, Augustin, 16, and Valentina, 15. Pinault had Francois Jr and Mathilde with French interior designer Dorothee Lepere. Then he welcomed Augustin with supermodel Linda Evangelista. Next came Valentina, his only child with actress Hayek. Also seen in the group was Salma's mother Diana Jimenez Medina. Happy pair: Hollywood actress Salma Hayek and billionaire businessman Francois-Henri Pinault were seen with their blended family this week. They were on a late summer vacation to Cabos, Mexico The family: From left is Salma's daughter Valentina, Salma's mother Diana Jimenez Medina, Francois-Henri's daughter Mathilde, 22, and Francois Jr Hayek, 56, was seen hand in hand with husband Francois-Henri as they stepped out during their vacation in Los Cabos, Mexico. Salma sported a strapless crochet dress for the outing while her French husband who went for a casual look in a white T shirt and patchwork jeans with Gucci sneakers. Valentina was born in 2007 shortly after she met Francois-Henri. She is their only child together. Lepere and the businessman tied the knot in 1996 but the relationship ended in 2004. Dorothee currently owns a freelancing company, Madame Dorothee, in France. Though their eldest child, Francois, stays out of the limelight, his younger sister has already modeled for prestigious brands and has a large following on social media. Mathilde has previously explained that she does not want to pursue career in modeling, but has already worked for some brands such as Olgana and Miasuki. Francois-Henri is the son of Pinault SA founder, Francois Pinault, 86. Following its launch in the 1960s, the company was then known as Printemps-Redoute, then PPR, before the name finally changed to Kering in 2013. The successful French businessman handed over management of his companies to his son in May 2003, and his son is now worth an estimated $33.5 billion, thanks to the success of the family's many companies. Francois-Henri, 61, has been the chairman and CEO of Kering since 2005, and president of Groupe Artemis since 2003. Under his leadership, the retail conglomerate PPR was transformed into the luxury fashion group, Kering. In step: Salma sported a strapless crochet dress for the outing while her French husband who went for a casual look in a white T shirt and patchwork jeans with Gucci sneakers The crew: Together the pair are parents to four children. They are Francois Jr., 25, Mathilde, 22, Augustin, 16, and Valentina, 15 He was named Harvard Business Review's third best-performing CEO in the world in 2019. Despite the vast amount of wealth associated with her family, Salma recently revealed that she keeps her kids 'humble' at Christmas by giving them modest presents. She told Page Six: 'My kids are humble. I don't know how I did it, but I think that what was great is that they have a big spectrum of things. 'So for Christmas, I like them to open millions of presents, but some of them are very small and meaningful, some of them are very silly and playful, and then they get one or two really nice ones.' Valentina's father Francois-Henri has three older children from previous relationships before he met her mother, Salma. He shares daughter Mathilde, 22, and son Francois, 25, with French interior designer Dorothee Lepere. Francois-Henri met Salma in the Spring of 2006 while attending a gala at the Palazzo Grassi in Venice. On the go: Salma was also seen wearing a helmet as she rode a horse on the beach A nice day out: The cover girl had on a black bra top with white slacks and sneakers The Frida star announced that she was engaged to the French businessman in March 2007 and also confirmed that she was pregnant. She then gave birth to their daughter, Valentina Paloma, in September 2007. Salma and Francois-Henri went on to tie the knot in a low-key civil ceremony on February 14, 2009 with only family in attendance, before rolling out the lavish celebrations two months later for an A-list wedding bash. Francois-Henri surprised Salma with a vow renewal disguised as a spa day on the tropical island of Bora Bora in 2018, with their daughters both seen in pictures from the occasion. They vacation together often: Here the couple and the kids were seen in June 2022 Salma seen with Augustin whom Francois-Henri welcomed with supermodel Linda Evangelista Augie, real name Augustin James Evangelista (left), splits his time between his father and mother, Linda (right) His mom is still a favorite: Linda seen far left with Cindy, Christy and Naomi for Vogue Valentina stepped out into the spotlight in style after she scored her first-ever Vogue Mexico cover at the tender age of 14. In an interview conducted in Spanish, it was revealed how Valentina often liked to take her mother's clothes and her hopes to work in Hollywood. Though the pair were dressed to the nines in designer clothes for the photoshoot, Valentina insisted she often wears sweats. 'We fight over clothes because she takes everything from my closet,' Salma teased. 'Since she was little she never let me choose her clothes, and I always liked that,' Salma added. '[And] she's very good with makeup. I'm good at makeup, but now she teaches me.' The pair have more in common than just clothes: They also both speak Spanish and like to do so to speak privately in public. Valentina stressed the importance of helping others, which she does through volunteering at a soup kitchen. 'I learned that each person who is homeless has a different story,' she said. 'Everyone assumes they are homeless because of drugs or because they don't work. 'What's more, when you see someone sleeping on the street they have the same reaction: you're sorry, but you don't want to get close. I think it's important to get closer and listen rather than ignore them and believe that it's not your problem or our problem.' Valentina and her mom posed together for the April 2022 cover spread of Vogue Mexico Valentina also revealed her dreams of becoming an actress and a director in the future. As well as highlighting her love for photography. Though Salma is still cautious about sharing too much of Valentina's childhood on social media, she has allowed her daughter to be pictured by the paparazzi. The youngster is no stranger to being photographed and is often seen making her way through airports with her family, or dining out at popular restaurants across the globe. In recent years, Valentina has since gone on to become a regular red carpet companion for her superstar mom. In November 2021, she was on hand to help her mother celebrate the moment when she received a coveted star on the Hollywood Walk of Fame. Indeed, the teen is her mom's biggest cheerleader and often attends glamorous premieres for films such as Marvel's Eternals and Puss in Boots: The Last Wish. Around 2019, she began to make regular appearances with her mother at fashion week shows. She's attended catwalk shows for luxury brands such as Gucci, Bottega Venetta and many more. Teen Mom star Jenelle Evans denied that Snapchat was a factor in authorities finding her 14-year-old son Jace after he was reported missing on Tuesday. 'My son was not found "because of Snapchat,"' the Oak Island, North Carolina native, 31, told more than 3.1 million followers on Instagram Stories Wednesday as she asked the public for privacy for her family. The MTV personality said 'there are lots of rumors going around about what happened.' On Wednesday, The Sun reported that the Brunswick County Sheriff's Department in North Carolina received a tip from a 911 caller about Jace's whereabouts, noting that their child had been following him on the app. The outlet reported that authorities were able to suss out where Jace was 'due to his Snapchat location,' noting that he 'was hiding behind his school in the trees.' The latest: Teen Mom star Jenelle Evans, 31, denied that Snapchat was a factor in authorities finding her 14-year-old son Jace after he was reported missing on Tuesday. Pictured earlier this month on Instagram Details: The MTV personality said 'there are lots of rumors going around about what happened' in the wake of a report that police used clues on the app to find Jace Evans on Wednesday wrapped up her statement in saying, 'I would like to take this time to give my son privacy and appreciate it the public would do the same.' According to a police report reviewed by The Sun, Jace left the school by foot after arguing with a school staffer, prompting the school's principal to call 911 and report him missing at around 2:40 p.m. Jace 'ducked into the woods' after he left the school, according to law enforcement, and was found safe at 5:45 p.m., the outlet reported. An alert for a runaway juvenile had been put out by Sheriff's Department deputies earlier in the day, according to TMZ. Jenelle regained full custody of Jace earlier this year after she gave custody of him to her mother Barbara Evans shortly after she gave birth to him. Jenelle told the outlet that her son ran off after his phone was taken from him following troubles at school. 'As a boy mom, kids can act up and rebel as I'm sure the majority of us all once did as kids too,' she told TMZ in a statement. 'Jace ... got in trouble at school, we decided to take his phone away and that's when he decided to run off.' Jenelle called Jace 'a good kid' and said the situation was not 'anything that most families don't deal with while raising children,' adding, 'This is a teenage boy being a teenager mad that we decided to take his phone away.' 'Kids can act up and rebel': Speaking with TMZ, Jenelle claimed her son ran off after his phone was taken from him 'We do not argue in front of our children': Jenelle has been feuding with her husband David Eason online as of late, but insisted their fighting had nothing to do with him running away The reality star has been airing her grievances with husband David Eason online as of late, but insisted their feuding played no role in him running away. 'This has absolutely nothing to do with my situation with David, we do not argue in front of our children or fight in front of our kids,' she said. Jace's grandmother Barbara told TMZ things seemed fine with the teenager when she last spoke to him on Monday night. Barbara also alleged she unsuccessfully attempted to check in on Jenelle on Tuesday. She claimed her daughter did not want to communicate with her and told her to leave her alone. Jace's dad is Jenelle's high school boyfriend Andrew Lewis. Jenelle is also the mother to son Kaiser, nine, with her ex-boyfriend Nathan Griffin, and daughter Ensley, six, with David. Jenelle officially regained full custody of Jace back in March, more than 10 years after relinquishing parental rights to her mother. 'Words can't describe how happy I truly am. Our family is complete now! Thank you mom,' she captioned the video of herself signing the papers in March. Supportive: Jenelle called Jace 'a good kid' and said the situation was not 'anything that most families don't deal with while raising children' Jenelle battled for custody of her oldest son for years amid her own struggle with drug addiction and a number of arrests. 'My mom and I decided together to grant full custody back to me because we want to build our relationship back as mother and daughter,' Jenelle told Us Weekly at the time. 'We have officially signed the papers on Thursday, March 16th at the courthouse. It's a done deal!' Speaking with E! News at the time she regained custody, Jenelle said her relationship with Jace had significantly improved. 'My relationship with Jace is closer than ever and doing much better,' Jenelle said. 'I feel like being with his family makes him much happier. Our family wasn't complete without him.' She also revealed that the new arrangement has partially helped to heal her strained relationship with Barbara, saying, 'My relationship with my mother has got a little better, but truthfully she is still a little controlling ... I think it's going to take time for her to get use to this change.' Jenelle revealed the push to get custody came from believing that her son 'needed a change. 'We also didn't like the crowds he was hanging out with, so now he's in the country. He loves riding his dirt bike and working on it recently. He wants to sign up for dirt bike races in the future,' she shared. Jenelle also shed light on Jace's relationship with stepdad David. 'David teaches him how to hunt, fish, fix dirt bikes or giving Jace dating advice,' she said. 'Jace needed a father figure and I can tell how happy Jace is having one around.' Family: Jenelle regained full custody of Jace earlier this year after she gave custody of him to her mother Barbara Evans shortly after she gave birth to him. Pictured in LA in 2015 Jenelle is one of the original stars of MTV's 16 and Pregnant, which debuted on the network in 2009 and followed a group of pregnant high school girls and their boyfriends. Jenelle was 17 when Jace was born her entire pregnancy was documented on the show. The Teen Mom alum started dating her current husband, David Eason, in 2015 and they married in September 2017. Jenelle is also stepmom to David's two children from previous relationships, Maryssa and Kaden. Bellatrist co-curator Emma Roberts declared 'lake life is the best life' in a new snap she Instastoried on Wednesday of herself wearing a green polka-dot swimsuit while relaxing in a boat far away from her Los Feliz home. The New York-born 32-year-old - who boasts 26M social media followers - also strapped on a pair of khaki Simms waders to 'catch (and release)' a small fish during her vacation. Among the amusing comments on Emma's Instagram post was one from user @wrcngturn, who wrote: 'You did WHAT to Aquamarine?' Roberts was only 14 when she played Claire Brown alongside JoJo in Elizabeth Allen's dismally-reviewed 2006 fantasy rom-com Aquamarine about two teenagers helping a mermaid (Sara Paxton) escape an arranged marriage. Missing from the Celebrity IOU guest star's side were her boyfriend of a year - In the Dark actor Cody John - and her two-year-old son Rhodes Robert Hedlund from her two-year relationship with The Tutor actor Garrett Hedlund, which ended in 2021. Summer holiday! Bellatrist co-curator Emma Roberts declared 'lake life is the best life' in a new snap she Instastoried on Wednesday of herself wearing a green polka-dot swimsuit while relaxing in a boat far away from her Los Feliz home Weee! The New York-born 32-year-old - who boasts 26M social media followers - also strapped on a pair of khaki Simms waders to 'catch (and release)' a small fish during her vacation Emma admitted she'd never be able to have a fulfilling career and properly co-parent little Rhodes without free childcare from her mother, Kelly Cunningham. 'We all go through phases where we take our mom for granted, but now that I'm a mom, I just can't even believe that I wasn't just kissing the ground my mom walks on,' Roberts confessed in her C Magazine cover story on Tuesday. 'We're lucky. The three of us have gotten to spend an exorbitant amount of time together between Covid and then traveling for work and all that. So we've been kind of inseparable.' And while the Maybe I Do actress was first inspired to act after watching a Pop-Tarts commercial, she can't deny the influence of her aunt - Oscar winner Julia Roberts - since her father Eric Roberts left when she was seven months old. 'I visited her on a lot of sets and loved watching her do what she does,' Emma gushed. 'Obviously I am her biggest fan. My comfort movie is My Best Friend's Wedding. I could watch it a million times. I know every line. And people like watching it with me because I do a performance alongside the movie because I love it so much.' Following a four-year hiatus from the franchise, Roberts will return for the 12th season of American Horror Story: Delicate, which premieres September 20 on FX. The About Fate actress is a favorite of co-creator Ryan Murphy - who previously cast her in Coven (2013), Freak Show (2014), Cult (2017), Apocalypse (2018), and 1984 (2019). Showrunner Halley Feiffer penned every episode of the upcoming series - which will also feature Kim Kardashian, Cara Delevingne, Matt Czuchry, Annabelle Dexter-Jones, Michaela Jae Rodriguez, Odessa A'zion, and Zachary Quinto. Season 12 is loosely based on Danielle Valentine's novel Delicate Condition - heavily inspired by Rosemary's Baby - which only hit shelves on August 1. Among the amusing comments on Emma's Instagram post was one from user @wrcngturn, who wrote: 'You did WHAT to Aquamarine?' Fairy-tail: Roberts was only 14 when she played Claire Brown alongside JoJo (M) in Elizabeth Allen's dismally-reviewed 2006 fantasy rom-com Aquamarine about two teenagers helping a mermaid (R, Sara Paxton) escape an arranged marriage The men in her life: Missing from the Celebrity IOU guest star's side were her boyfriend of a year - In the Dark actor Cody John - and her two-year-old son Rhodes Robert Hedlund from her two-year relationship with The Tutor actor Garrett Hedlund, which ended in 2021 Help: Emma admitted she'd never be able to have a fulfilling career and properly co-parent little Rhodes without free childcare from her mother, Kelly Cunningham (L, pictured April 22) Roberts said in her C Magazine cover story on Tuesday: 'We're lucky. The three of us have gotten to spend an exorbitant amount of time together between Covid and then traveling for work and all that. So we've been kind of inseparable' 'I am her biggest fan!' And while the Maybe I Do actress was first inspired to act after watching a Pop-Tarts commercial, she can't deny the influence of her aunt - Oscar winner Julia Roberts (L, pictured in 2010) - since her father Eric Roberts left when she was seven months old Emma gushed: 'I visited her on a lot of sets and loved watching her do what she does...My comfort movie is My Best Friend's Wedding. I could watch it a million times. I know every line' (pictured in 2012) Kevin Costner's estranged wife Christine Baumgartner has been spotted carrying boxes of clothes and shoes to a storage unit a mile from their $150 million home. Handbag designer Baumgartner, 49, was booted out of the family's mansion amid her nasty divorce with the actor. She's since been living in a $35,000-a-month rental in Santa Barbara. Wearing Chanel sandals and wide legged black pants, Costner's estranged wife was seen hauling the plastic boxes to and from her trunk in Carpinteria, California. The boxes included clothing, shoes and hangers and other belongings from her storage unit. Christine got help from a friend while moving her things on August 15. Christine and the Yellowstone actor, 68, initially tied the knot in 2004 - but she filed for divorce on May 1 after 18 years of marriage. Kevin Costner's estranged wife Christine Baumgartner has been spotted carrying boxes of clothes and shoes to a storage unit a mile from their $150 million home Wearing Chanel sandals and wide legged black pants, Costner's estranged wife was seen hauling the plastic boxes to and from her trunk in Carpinteria, California Christine and the Yellowstone actor initially tied the knot in 2004 - but she filed for divorce on May 1 after 18 years of marriage The handbag designer was seen moving things into her car, with the help of a friend Baumgartner moved to a new rental home - which is within 10 miles of her former dwelling - and is renting at a rate of $35,000 monthly, sources said Over: Baumgartner filed for divorce from the Hollywood star in May, after 18 years of marriage; The pair seen in 2022 Following her July 28 move from the main home, the mother-of-three was staying 'at a smaller house' on the property that had been used for staffers. Baumgartner had been staying in the area to not disrupt her and Costner's three children - Cayden 16, Hayes, 14, and Grace, 13 - who will be back at school with their friends in the fall. She then moved to a new rental home - which is within 10 miles of her former dwelling - and is renting at a rate of $35,000-a-month, sources said. The home has four bedrooms and four bathrooms and includes amenities such as a swimming pool, fire pit and BBQ area. The luxury home is surrounded by green shrubbery in the upscale California community. Kevin, meanwhile, was spotted getting his pants taken in at The Perfect Fit in Montecito after losing 15 pounds during his divorce. Last week, DailyMail.com published photos of the Santa Barbara rental home Baumgartner, 49, moved into after she was ordered to vacate the $145million compound she had shared with the 68-year-old Yellowstone star. Baumgartner had been staying in the area to not disrupt her and Costner's three children - Cayden 16, Hayes, 14, and Grace, 13 - who will be back at school with their friends in the fall She is seen carrying a box full of hangers to her car One of the boxes was labeled: 'Shoes, heels' Kevin Costner and Christine Baumgartner arriving at the 28th Screen Actors Guild Awards at the Barker Hangar on Sunday, February 27, 2022 Christine Baumgartner's friend helps her carry a box into the trunk of the car A close friend of the designer said that the move has come as a relief for Baumgartner - whose initially amicable split from her estranged husband turned sour and left her in an uncomfortable living situation. 'Christine said she feels like the weight of the world has finally been lifted now that she's moved out,' the insider told DailyMail.com. 'She said she wouldn't be surprised if Kevin had the staff keeping tabs on her while she was packing up. 'She still doesn't understand why Kevin had to drag her name through the mud and make what could have been rather easy, painfully difficult.' The source revealed that Costner and Baumgartner have had no verbal contact 'in months' and have only been communicating through their lawyers - much to her dismay. Baumgartner, who filed for divorce from the Yellowstone star in May, had also complained of struggling to find an appropriate rental in the area. Ugly divorce: The couple tied the knot after four years of dating in September 2004. Baumgartner filed for divorce in May after 18 years of marriage; seen together in 2008 Ugly divorce: The couple tied the knot after four years of dating in September 2004. Baumgartner filed for divorce in May after 18 years of marriage; seen together in 2008 A recent filing revealed that Baumgartner rented an 'off-site' storage unit to house some silverware, some pots and pans, a Peloton bike, family heirlooms, clothing and personal items from friends. It's understood that this is the same unit she was seen taking things from on Tuesday. This comes after Costner accused her of ransacking the home that they had previously shared. Through his attorney, the Yellowstone star accused his ex of taking his belongings 'without his knowledge or consent,' which he claims has increased significantly. Baumgartner previously wrote in court filings that she was hesitant to leave her home without having a plan and funding in place, if only for the sake of her children, whom she doesn't want to have to move multiple times. Baumgartner's new rental home - which is within 10 miles of her former dwelling - is renting at a rate of $35,000 monthly, sources said The home has four bedrooms and four bathrooms and includes amenities such as a swimming pool, fire pit and BBQ area She claimed that 'Kevin wants me to rent [a] place without [a] financial plan in place. However, [I] have our three children to think about. It is contrary to their best interests to commit to rental that [I] may not be able to afford, particularly as that would ultimately lead to multiple relocations. 'My goal has been and continues to be maintaining as much stability for our children as possible, which includes having the proper resources and plan in place so that [I] can establish [a] stable home for them, rather than making temporary and inconsistent housing arrangements,' she continued. The Let Him Go actor was on the hook to help his estranged wife relocate per their prenuptial agreement, but it was a relatively paltry sum for their lifestyle. Costner would have paid her a reported $1.5million to find a new home, but with California's elevated home prices particularly if she tried to stay near Costner she may have had difficulty finding something similar to the luxury she and her children are accustomed to. Target has blamed the collaboration for the first slump in sales in six years Millions called for a boycott over its range of 'tuck-friendly' swimwear Quarterly sales for Target dropped for the first time in six years - with the company blaming its recent Pride collection for the sudden slump. Sales at stores that have been open for at least a year dropped 5.4 percent in the last quarter, including a 10.5 percent dip online. The company was also forced to cut its annual sales forecast and blamed the backlash it received for its LGBTQ+ collection - including a 'tuck-friendly' bathing suit. As well as sales, Target's foot traffic dropped 4.8 percent last quarter, with analysts blaming 'a function of a mix that skews too discretionary, as well as the Pride merchandise issues.' Target's revenue for the three-month period that ended on July 29 was $24.8 billion 4.9 percent lower than last year. It is also worse than the company predicted, with its stock dropping nearly $14billion after the Pride Month controversy. The company was also forced to cut its annual sales forecast and blamed the backlash it received for its LGBTQ + collection - including a 'tuck-friendly' bathing suit As well as sales, Target's foot traffic dropped 4.8 percent last quarter, with analysts blaming 'a function of a mix that skews too discretionary, as well as the Pride merchandise issues' Millions pledged to boycott the company after the campaign, with several stores moving their displays to smaller areas in the back. The Pride product selection includes a variety of clothes and home goods, including a lime green adult romper suit with the word 'gay' emblazoned on the back and a mug with a label reading: 'Gender Fluid.' Others criticized it for swimwear that advertises the 'tuck-friendly construction' and 'extra crotch' coverage. The design is made to help conceal a person's private parts. Stock for Target dropped 27 percent over the last year, and profits came in higher than Wall Street's expectations. Brian Cornell, who's been Target's CEO since 2014, told the Wall Street Journal: 'As we navigate an ever-changing operating and social environment, we are applying what we learned.' He previously defended the controversial line, as the brand was desperate to avoid a 'Bud Light situation.' Target unveiled its Pride Month collection at the start of May, fresh on the heels of the Bud Light Dylan Mulvaney controversy. Anheuser-Busch last month blamed the 'volume decline' of Bud Light lost after announcing it lost a whopping $390 million in US sales in the wake of its partnership with the trans influencer. Target has supported Pride - celebrated throughout the month of June - every year since 2013 Target marks Pride month in June by creating sections in its stores for its special lines of items The Pride collection also includes items for babies and children. Many of the pieces are emblazoned with slogans and feature the rainbow colors of the Pride flag Erik Carnell is the British designer behind Abprallen, an LGBTQ brand that sells t-shirts, sweaters, bags and badges Figures showed that total US revenue dropped by 10.5 percent in the April-to-June period compared to a year earlier. The world's largest brewer said sales to US retailers had plunged 14 percent, adding that it had been 'underperforming the industry.' This was a direct result of the tie-in with Mulvaney. For the second quarter of 2022, Anheuser Busch sold $2.73 billion worth of beer to retailers. But for the second quarter of 2023, sales dropped to $2.35 billion - a slump of $390 million in a year. The backlash against Target started shortly after the Mulvaney controversy, and amid a collaboration with Erik Carnell, a British designer behind Abprallen, an LGBTQ brand that sells t-shirts, sweaters, bags and badges. Badges with slogans like 'Satan Respects Pronouns', 'Young, Queer and Willing' and a lighter pin with the words 'burn down the cis-tem' are among other products. Other items that are for sale on the Abprallen Etsy store are more sinister. Stickers and badges which denigrate 'cis feelings' - the feelings of non transgender people - and Fox News are among them Anheuser-Busch, the parent company of Bud Light, has seen its market cap value plummet $27 billion following a disastrous team-up with transgender influencer Dylan Mulvaney Anheuser-Busch lost a whopping $395million in US sales after they partnered with transgender influencer Dylan Mulvaney in April He also models the 'Satan respects pronouns' t-shirt on an Instagram page while wearing BDSM-style horns and no pants. In a bio on the brand's website, he says he has always been fascinated with the 'frightening and mystical'. Despite the losses, Target will still be celebrating Pride Month in 2024 with a collection, though executives say it will be a 'more focused assortment of merchandise.' In 2014, Target publicly endorsed marriage equality, and the following year announced it was ending its policy of dividing certain products, like toys, by gender. Target also introduced a gender neutral line for children, and in April 2016 - amid a nationwide discussion about bathroom access - announced that transgender people were free to use whichever bathroom they chose. A backlash ensued, and Target in August 2016 spent $20 million to add a private bathroom to each of its stores. Chase and Bank of America trailed with seven and four proposed closures Wells Fargo filed to close 37 bank branches in just one week earlier this month Wells Fargo has filed to close an additional 37 branches across the US, further accelerating America's transition to automated banking. Six bricks and mortar locations in California are set to be affected - along with four in Florida and Georgia respectively, three in Pennsylvania and others in a dozen more states, according to a bulletin published by the Office of the Comptroller of the Currency (OCC) this month. All bank branch closures in the US must be cleared by the OCC, which oversees its records and evaluates the effect of those openings and closings on the communities affected. The latest round of closures come as America faces a banking bloodbath as big firms axe branches and ATMs at lightning speed. Included in the OCC bulletin were applications from other banks, most notably Chase, which filed to close around seven. Bank of America filed for four closures, while Capital One and PNC announced plans to axe one each. Wells Fargo has filed to close an additional 37 bank branches across the US, according to a bulletin published by the OCC Wells Fargo, which operates more than 4,000 branches across the US, has filed for more than 100 branch closures this year alone, according to OCC records. A spokesperson for the bank told DailyMail.com that although branches in many regions are closing, a smaller number are opening in a handful of successful markets. 'While the total number of branches continues to decline, new branches are being opened in high growth neighborhoods of existing markets, allowing us to offer more branch convenience,' they wrote. 'We may also open new branches where we combine two older existing branches into one better situated location. Additionally, customers use our wide range of digital capabilities for many of their banking needs and, as a result, more transactions are happening outside the branch,' they added. Commenting on the closure of a branch in Pennsylvania earlier this month, a spokesperson for Wells Fargo said closing locations was 'not an easy decision or one we take lightly'. 'Branches continue to play an important role in the way we serve our customers, and we continuously evaluate our branch network in light of changing customer needs, the increase in the use of digital banking and market factors,' they added. Dwindling numbers of bank branches mean customers have to travel further to their nearest bank - leaving vulnerable and elderly people without a financial lifeline. It comes after JPMorgan announced in June that it was axing a quarter of First Republic locations after it took over the failed firm earlier this year. In a statement to CNN, it said: 'These locations have relatively low transaction volumes and are generally within a short drive from another First Republic office. 'Clients should expect to continue to receive the same level of service with seamless access to their money.' JPMorgan agreed to buy First Republic's assets in March following the regional bank's seizure by the Government. Its first job has been to slim down the firm, including by cutting workers. First Republic's downfall marked the second-biggest banking failure in US history after it followed in the footsteps of Silicon Valley Bank and Signature Bank. 37 WELLS FARGO BRANCH CLOSURES Sun City, Arizona Glendora, California Hollywood, Florida Bound Brook, New Jersey Columbia, Maryland Banning, California Grand Junction, Colorado Sugar Land, Texas Minneapolis, Minnesota Sparks, Nevada Lambertville, New Jersey Thousand Oaks, California Lilburn, Georgia Jonesboro, Georgia Washington, DC San Ramon, California Coeur D'Alene, Idaho Allentown, Pennsylvania Advertisement 37 WELLS FARGO BRANCH CLOSURES (cont.) Clearwater, Florida Kirkland, Washington Charlottesville, Virginia Idaho Falls, Idaho Edwards, Colorado Portland, Oregon Sarasota, Florida Richmond, Virginia Lauderhill, Florida Decatur, Georgia Sheboygan, Wisconsin Norfolk, Virginia Hemet, California Alpharetta, Georgia Tomball, Texas Santa Barbara, California Reading, Pennsylvania Radnor, Pennsylvania Annapolis, Maryland Advertisement Chase filed to close around seven branches, according to the OCC bulletin. Pictured are ATMs at one of the bank's branches in midtown Manhattan Bank of America was seeking to close four branches across the country. Pictured is a branch in Daly City, California Bank closures across the US increased to a historic high in 2021 - with 2,927 branches closing their doors according to the latest data from S&P Global Market Intelligence data. This trend is expected to continue. Wells Fargo & Co. reported the most net closures in 2021 at 267, followed closely by U.S. Bancorp with 257 net closures. Huntington Bancshares Inc. shrunk its branch footprint by more than 16 percent. The five states that were hit the hardest were California, with 269 branch closures; Michigan, with 247; New York, with 221; Florida, with 192; and Illinois, with 153. American banks have been steadily automating financial services for years but the change was sped up by the pandemic. Anxiety about transmitting Covid-19 deterred households from exchanging cash and encouraged them to use digital payment apps such as Venmo and Block Inc.'s Cash App. A study by the Federal Reserve showed a 12.4 percent jump in digital transactions in the first quarter of 2020 to the second. According to figures from research body Euromonitor International, the number of cash machines in the US fell from 470,000 in 2019 to 451,500 by the end of 2022. Library is the temple of knowledge and even in this digital era, thousands of people depend solely on public libraries for knowledge gathering Libraries symbolize the progress of civilization, Droupadi Murmu, President of India. Every year National Librarians Day is celebrated commemorating the birth anniversary of Padmashri Dr. S. R. Ranganathan, the father of Library Science in India. He was the doyen of library science in India who developed the systematic classification of books, the colon classification and also penned the five laws of library science. The five laws he developed - Books are for use, Every reader, his book, Every book, its reader, Save the time of the reader; and A library is a growing organism, form the fundamental tenet of a library. Very often most of our librarians forget these principles and behave in an unwelcoming manner towards the readers. They often forget that books are for the use of readers. This is more evident in libraries managed by schools, colleges and research institutions. The culture being followed in most of our schools and colleges is how to make students keep away from the readers. The books are locked safely in shelves and many poor books are awaiting a reader to touch its pages. Even in colleges accredited with very high ranks students are not allowed free usage of the library. In libraries managed by research institutions, the situation is more pathetic. They will not entertain the public to utilize their facility or even if they allow there will be several dos and donts, as if the reader is in a high-security area. Once there is a restriction no youngster will enter that zone further in his lifetime. Reading is a skill that can be nurtured only through active reading and librarians have a larger role to play. A good librarian is as good as a good encyclopaedia. A good librarian can bring attitudinal change in our youngsters. There are a few good librarians who keep interacting with the readers and inspiring them to read the new books available in the library. He should act as an agent for knowledge dissemination. The library is a growing organism and its growth is possible only with the help of a good librarian. A good librarian should bring changes in the library culture that suites the present time. Even though the culture of silence should be maintained in the library, there should be allocated spaces for readers to make active discussions within the library. In some good libraries like IIM Trichy, there are even spaces where readers can lie down relax and read. Similarly in many libraries usage of laptops is restricted. Present day generation is more convenient in taking notes on their electronic devices rather than on paper. In most of the publicly supported libraries digital resources are also available, but in many cases, the users have to pay extra to use the computer system, even if they have a valid membership. The digital resources available in the library should be made accessible to all members even from their homes, as the British Council libraries are doing. Library is the temple of knowledge and even in this digital era, thousands of people depend solely on public libraries for knowledge gathering. For students from economically marginalized sections, they are the sole resource material for the preparation of competitive examinations. Even though there are differences of opinion regarding the need for a physical library, the fact is that no digital library can replace the physical library in its role and function. Information in this era can indeed be gathered from our smartphones, but progress in human culture and civilization can happen only through libraries. The librarians of this generation should not only act as facilitators in locating appropriate books but also act as facilitators in finding authentic resources from digital platforms. Members of the Indian diaspora celebrated India's 77th Independence Day with pride and joy across the US state of Texas. Newly appointed Consul General of India D.C Manjunath hoisted the national flag at the India House early on Tuesday, followed by President Droupadi Murmu's address to the nation, which was streamlined live for hundreds of community members as well as students enrolled in various courses in the US. The event -- also attended by US Congressman Al Green and Senate representatives, elected officials and a large number of Indian American Community -- was followed by soulful national and patriotic songs. Manjunath in his remarks mentioned that this year's celebrations were special as India commemorated 75 years of Independence -- Azadi ka Amrit Mahotsav', adding that the country continued her march into the 'Amrit Kaal' of independence. Various other events attended by a number of colourfully attired Indian Diaspora members, students, and friends of India were celebrated around the state by different community organisations. A flag hoisting event at the Gujarati Samaj in Houston was attended by Congresswoman Sheila Jackson Lee, representatives of the Senate, elected officials and members of the community. Earlier, a major Independence Day event was celebrated in the state capital Austin, marking the presence of the Indian Diaspora, students of the University of Texas in Austin, and local officials. Various other Independence Day celebrations, including a mela by the India Culture Centre, are scheduled throughout the weekend. Congress veteran and former Madhya Pradesh chief minister Digvijaya Singh on Wednesday said his party, if it gets elected to power, will not ban Bajrang Dal, but "goons" and rioters will not be spared. Assembly elections in Madhya Pradesh, currently ruled by the BJP, are due this year-end. Singh also defended Madhya Pradesh Congress chief Kamal Nath over the latter's comments on Hindu Rashtra. Bajrang Dal is the youth wing of the Vishva Hindu Parishad. The VHP has disassociated itself from cow vigilante Bittu Bajrangi arrested in connection with communal clashes in Haryana's Nuh district. Talking to reporters in Bhopal, Digvijaya Singh said, "Bajrang Dal is a group of goons and anti-social elements... This country belongs to all, so Modi ji (PM Narendra Modi) and Shivraj ji (MP CM Shivraj Singh Chouhan) stop dividing the country. Establish peace in the country which will lead to development." Asked whether the Congress will ban Bajrang Dal if the party gets elected to power, the Rajya Sabha member said, We will not ban. There may be some good people in Bajrang Dal. But, those who are goons and involved in rioting will not be spared. To a query on Kamal Nath's remarks on "Hindu Rashtra", Singh said, You people have misrepresented Nath's statement. He never said what you people and the BJP are saying. I want to ask the BJP, PM, Home Minister, CM whether they have taken oath of the Constitution or of Hindu Rashtra? On August 8, some reporters sought Nath's comment on spiritual preacher Dhirendra Shastri's purported demand to make India a Hindu Rashtra. Nath had said, World's largest Hindu population lives in our country. 82 per cent of Hindus reside here. It is not a debatable issue. It is not something to be told. These are statisticsWhat is the need to say it separately? Digvijaya Singh also accused the BJP regime in the state of rampant corruption while citing the case of collapse of some statues at the Mahakal Lok in Ujjain due to strong winds in May this year. The Aam Aadmi Party on Wednesday said there was no point in having the INDIA alliance if the Congress has made up its mind to go solo in Delhi for the Lok Sabha polls. The Congress and AAP are part of the opposition grouping Indian National Developmental Inclusive Alliance (INDIA). AAP chief spokesperson Priyanka Kakkar said its top leadership will take a call on attending the Mumbai meeting of the opposition bloc INDIA, following the statement of Congress leader Alka Lamba. Congress leaders from Delhi on Wednesday held a meeting with the party's top leadership to review its preparedness for the Lok Sabha elections and discussed ways and means to strengthen the organisation. Congress president Mallikarjun Kharge and former party chief Rahul Gandhi, who were present at the meeting, asked the party leaders to remain united and connected with people, sources said. After the meeting, Lamba said they have been given orders to contest on all seven (Lok Sabha) seats in Delhi. Following her statement, Kakkar said if the Congress wants to fight alone in Delhi, there is no point in attending the INDIA alliance meeting. "If Congress has made up its mind to fight elections alone in Delhi, there is no point of the INDIA alliance. "The final decision to attend the next meeting of the INDIA alliance will be taken by the party's top leadership. It was the Congress which approached AAP for forming an alliance in Delhi since they do not have an existence in Delhi," Kakkar told PTI Video. After AAP's statement, Delhi Congress chief Anil Chaudhary clarified that Wednesday's meeting was not on alliance formation in Delhi and nothing was discussed about it. "AAP can make assumptions but the decision on alliance will be taken by the AICC central leadership and it will be announced by them. We, as an opposition party here, will raise questions about corruption, " he told PTI. The next meeting of the opposition alliance is slated to be held in Mumbai on August 31 and September 1. Argo Group International Holdings, Ltd. (NYSE:ARGO Get Free Report) was the recipient of a significant increase in short interest during the month of July. As of July 31st, there was short interest totalling 2,000,000 shares, an increase of 5.8% from the July 15th total of 1,890,000 shares. Based on an average trading volume of 303,100 shares, the days-to-cover ratio is presently 6.6 days. Wall Street Analyst Weigh In ARGO has been the subject of a number of research reports. StockNews.com initiated coverage on shares of Argo Group International in a research note on Sunday. They set a hold rating for the company. TheStreet upgraded shares of Argo Group International from a d+ rating to a c- rating in a research note on Friday, May 26th. Get Argo Group International alerts: Read Our Latest Stock Analysis on ARGO Argo Group International Stock Performance Hedge Funds Weigh In On Argo Group International Shares of NYSE ARGO traded up $0.01 during mid-day trading on Tuesday, reaching $29.71. 107,210 shares of the company were exchanged, compared to its average volume of 481,537. The companys 50-day simple moving average is $29.71 and its 200-day simple moving average is $29.53. The company has a quick ratio of 0.65, a current ratio of 0.65 and a debt-to-equity ratio of 0.36. The stock has a market capitalization of $1.05 billion, a P/E ratio of -5.20 and a beta of 1.00. Argo Group International has a twelve month low of $19.00 and a twelve month high of $30.13. A number of hedge funds have recently bought and sold shares of the business. Captrust Financial Advisors increased its position in Argo Group International by 2.3% during the second quarter. Captrust Financial Advisors now owns 22,288 shares of the companys stock worth $822,000 after acquiring an additional 504 shares during the period. Barclays PLC increased its position in Argo Group International by 2.3% during the second quarter. Barclays PLC now owns 23,984 shares of the companys stock worth $710,000 after acquiring an additional 530 shares during the period. Spire Wealth Management increased its position in Argo Group International by 50.0% during the first quarter. Spire Wealth Management now owns 1,680 shares of the companys stock worth $49,000 after acquiring an additional 560 shares during the period. Zurcher Kantonalbank Zurich Cantonalbank lifted its holdings in shares of Argo Group International by 17.3% during the 4th quarter. Zurcher Kantonalbank Zurich Cantonalbank now owns 4,760 shares of the companys stock worth $123,000 after acquiring an additional 701 shares during the period. Finally, Tower Research Capital LLC TRC lifted its holdings in shares of Argo Group International by 334.7% during the 1st quarter. Tower Research Capital LLC TRC now owns 926 shares of the companys stock worth $27,000 after acquiring an additional 713 shares during the period. Argo Group International Company Profile (Get Free Report) Argo Group International Holdings, Ltd. underwrites specialty insurance and reinsurance products in the property and casualty markets. The company operates in two segments, U.S. Operations and International Operations. It offers primary and excess specialty casualty, general liability, commercial multi-peril, and workers compensation, as well as product, environmental, and auto liability insurance products; management liability, transaction liability, and errors and omissions liability insurance; primary and excess property, inland marine, and auto physical damage insurance; and surety, animal mortality, and ocean marine insurance products. See Also Receive News & Ratings for Argo Group International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Argo Group International and related companies with MarketBeat.com's FREE daily email newsletter. Brunswick Co. (NYSE:BC Get Free Report) was the recipient of a large decline in short interest in July. As of July 31st, there was short interest totalling 3,080,000 shares, a decline of 12.0% from the July 15th total of 3,500,000 shares. Based on an average daily volume of 631,400 shares, the short-interest ratio is presently 4.9 days. Approximately 4.5% of the companys shares are short sold. Insider Activity In other news, VP Brenna Preisser sold 1,309 shares of Brunswick stock in a transaction dated Friday, May 19th. The shares were sold at an average price of $76.21, for a total transaction of $99,758.89. Following the sale, the vice president now owns 52,174 shares of the companys stock, valued at approximately $3,976,180.54. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at the SEC website. Insiders own 0.74% of the companys stock. Get Brunswick alerts: Institutional Trading of Brunswick A number of hedge funds and other institutional investors have recently added to or reduced their stakes in BC. Blueshift Asset Management LLC bought a new position in shares of Brunswick in the second quarter worth approximately $278,000. Atlas Capital Advisors LLC bought a new position in shares of Brunswick in the second quarter worth approximately $364,000. Natixis Advisors L.P. boosted its position in shares of Brunswick by 2.3% in the second quarter. Natixis Advisors L.P. now owns 25,892 shares of the companys stock worth $2,243,000 after purchasing an additional 594 shares during the period. Vestcor Inc boosted its position in shares of Brunswick by 361.7% in the second quarter. Vestcor Inc now owns 65,100 shares of the companys stock worth $5,640,000 after purchasing an additional 51,000 shares during the period. Finally, Trail Ridge Investment Advisors LLC bought a new position in shares of Brunswick in the second quarter worth approximately $292,000. 93.12% of the stock is owned by hedge funds and other institutional investors. Wall Street Analyst Weigh In A number of equities research analysts have recently weighed in on the stock. Citigroup cut their target price on shares of Brunswick from $106.00 to $100.00 in a research note on Monday, June 26th. Truist Financial dropped their price objective on shares of Brunswick from $105.00 to $100.00 and set a buy rating on the stock in a research note on Friday, July 14th. B. Riley dropped their price objective on shares of Brunswick from $108.00 to $106.00 in a research note on Friday, July 28th. Raymond James dropped their price objective on shares of Brunswick from $100.00 to $94.00 in a research note on Friday, April 28th. Finally, KeyCorp began coverage on shares of Brunswick in a research note on Wednesday, April 19th. They set an overweight rating and a $100.00 price objective on the stock. One research analyst has rated the stock with a hold rating and fourteen have assigned a buy rating to the company. According to MarketBeat.com, the stock presently has a consensus rating of Moderate Buy and an average target price of $99.92. Get Our Latest Stock Analysis on BC Brunswick Stock Performance Shares of BC traded down $1.84 during mid-day trading on Tuesday, hitting $84.06. 465,647 shares of the company traded hands, compared to its average volume of 595,002. The company has a debt-to-equity ratio of 1.15, a quick ratio of 0.85 and a current ratio of 1.92. The stock has a 50-day moving average price of $85.40 and a two-hundred day moving average price of $83.53. Brunswick has a 1 year low of $64.55 and a 1 year high of $93.15. The stock has a market cap of $5.94 billion, a price-to-earnings ratio of 11.05 and a beta of 1.55. Brunswick (NYSE:BC Get Free Report) last announced its earnings results on Thursday, July 27th. The company reported $2.35 EPS for the quarter, beating analysts consensus estimates of $2.32 by $0.03. Brunswick had a net margin of 8.21% and a return on equity of 33.86%. The business had revenue of $1.70 billion during the quarter, compared to analysts expectations of $1.75 billion. During the same period in the prior year, the company earned $2.82 earnings per share. The firms revenue was down 7.3% on a year-over-year basis. Equities analysts anticipate that Brunswick will post 9.5 earnings per share for the current year. Brunswick Dividend Announcement The firm also recently announced a quarterly dividend, which will be paid on Friday, September 15th. Shareholders of record on Wednesday, August 23rd will be issued a dividend of $0.40 per share. The ex-dividend date is Tuesday, August 22nd. This represents a $1.60 dividend on an annualized basis and a yield of 1.90%. Brunswicks dividend payout ratio is currently 21.02%. About Brunswick (Get Free Report) Brunswick Corporation designs, manufactures, and markets recreation products worldwide. It operates through three segments: Propulsion, Parts & Accessories, and Boat. The Propulsion segment provides outboard, sterndrive, and inboard engines for independent boat builders and governments through marine dealers and distributors, specialty marine retailers, and marine service centers; and propulsion-related controls, rigging, and propellers to original equipment manufacturers and aftermarket retailers, distributors, and distribution businesses. Featured Articles Receive News & Ratings for Brunswick Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Brunswick and related companies with MarketBeat.com's FREE daily email newsletter. Snowflake Inc. (NYSE:SNOW Get Free Report) SVP Christian Kleinerman sold 1,500 shares of the businesss stock in a transaction dated Monday, August 14th. The shares were sold at an average price of $151.54, for a total transaction of $227,310.00. Following the completion of the transaction, the senior vice president now owns 764,397 shares in the company, valued at $115,836,721.38. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this link. Christian Kleinerman also recently made the following trade(s): Get Snowflake alerts: On Friday, July 14th, Christian Kleinerman sold 1,500 shares of Snowflake stock. The shares were sold at an average price of $184.94, for a total transaction of $277,410.00. On Wednesday, June 14th, Christian Kleinerman sold 1,500 shares of Snowflake stock. The stock was sold at an average price of $172.73, for a total transaction of $259,095.00. On Friday, June 9th, Christian Kleinerman sold 724 shares of Snowflake stock. The stock was sold at an average price of $174.31, for a total transaction of $126,200.44. Snowflake Stock Performance NYSE:SNOW traded down $1.75 during mid-day trading on Tuesday, reaching $151.11. 2,640,153 shares of the companys stock were exchanged, compared to its average volume of 5,821,963. Snowflake Inc. has a one year low of $119.27 and a one year high of $205.66. The businesss fifty day simple moving average is $173.69 and its two-hundred day simple moving average is $160.19. The firm has a market capitalization of $49.25 billion, a PE ratio of -57.27 and a beta of 0.75. Wall Street Analysts Forecast Growth Snowflake ( NYSE:SNOW Get Free Report ) last issued its quarterly earnings data on Wednesday, May 24th. The company reported ($0.66) earnings per share for the quarter, missing the consensus estimate of ($0.42) by ($0.24). Snowflake had a negative return on equity of 14.81% and a negative net margin of 37.78%. The business had revenue of $623.60 million during the quarter, compared to analyst estimates of $607.57 million. As a group, equities research analysts forecast that Snowflake Inc. will post -1.48 EPS for the current year. SNOW has been the subject of a number of recent research reports. Guggenheim reissued a sell rating and set a $105.00 price target on shares of Snowflake in a research note on Monday. 888 reissued a maintains rating on shares of Snowflake in a research note on Wednesday, June 28th. Wells Fargo & Company boosted their price target on shares of Snowflake from $185.00 to $195.00 in a research note on Wednesday, June 28th. Citigroup dropped their price target on shares of Snowflake from $202.00 to $189.00 in a research note on Friday, May 26th. Finally, Morgan Stanley reaffirmed an overweight rating and issued a $215.00 target price on shares of Snowflake in a research note on Friday, June 30th. Two analysts have rated the stock with a sell rating, eight have assigned a hold rating and twenty-three have assigned a buy rating to the stock. Based on data from MarketBeat.com, Snowflake presently has a consensus rating of Moderate Buy and a consensus price target of $191.69. Check Out Our Latest Stock Analysis on Snowflake Institutional Trading of Snowflake Several hedge funds and other institutional investors have recently bought and sold shares of SNOW. BlackRock Inc. raised its position in shares of Snowflake by 5.0% in the 2nd quarter. BlackRock Inc. now owns 14,088,422 shares of the companys stock valued at $2,479,280,000 after buying an additional 674,196 shares in the last quarter. Jennison Associates LLC raised its position in shares of Snowflake by 19.5% in the 2nd quarter. Jennison Associates LLC now owns 7,399,711 shares of the companys stock valued at $1,302,201,000 after buying an additional 1,206,014 shares in the last quarter. State Street Corp raised its position in shares of Snowflake by 3.0% in the 2nd quarter. State Street Corp now owns 5,953,850 shares of the companys stock valued at $1,047,759,000 after buying an additional 170,914 shares in the last quarter. Bank Julius Baer & Co. Ltd Zurich raised its position in shares of Snowflake by 48,395.6% in the 2nd quarter. Bank Julius Baer & Co. Ltd Zurich now owns 4,467,899 shares of the companys stock valued at $786,261,000 after buying an additional 4,458,686 shares in the last quarter. Finally, FMR LLC raised its position in shares of Snowflake by 7.9% in the 1st quarter. FMR LLC now owns 3,420,395 shares of the companys stock valued at $527,733,000 after buying an additional 251,486 shares in the last quarter. Institutional investors and hedge funds own 63.55% of the companys stock. About Snowflake (Get Free Report) Snowflake Inc provides a cloud-based data platform for various organizations in the United States and internationally. Its platform offers Data Cloud, which enables customers to consolidate data into a single source of truth to drive meaningful business insights, build data-driven applications, and share data and data products. Further Reading Receive News & Ratings for Snowflake Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Snowflake and related companies with MarketBeat.com's FREE daily email newsletter. Gaotu Techedu Inc. (NYSE:GOTU Get Free Report) was the recipient of a large drop in short interest in July. As of July 31st, there was short interest totalling 6,080,000 shares, a drop of 26.7% from the July 15th total of 8,300,000 shares. Based on an average daily volume of 2,510,000 shares, the short-interest ratio is currently 2.4 days. Approximately 4.1% of the shares of the stock are short sold. Gaotu Techedu Stock Down 5.0 % GOTU stock traded down $0.16 during midday trading on Tuesday, reaching $3.06. 1,474,108 shares of the companys stock traded hands, compared to its average volume of 3,570,227. The company has a 50-day simple moving average of $3.34 and a two-hundred day simple moving average of $3.49. Gaotu Techedu has a 1-year low of $0.64 and a 1-year high of $5.49. The company has a market cap of $794.22 million, a price-to-earnings ratio of 76.52 and a beta of -0.59. Get Gaotu Techedu alerts: Gaotu Techedu (NYSE:GOTU Get Free Report) last released its earnings results on Tuesday, May 30th. The company reported $0.06 EPS for the quarter. The company had revenue of $102.99 million during the quarter. Gaotu Techedu had a return on equity of 2.39% and a net margin of 2.99%. Institutional Investors Weigh In On Gaotu Techedu Gaotu Techedu Company Profile Hedge funds and other institutional investors have recently made changes to their positions in the company. Engineers Gate Manager LP acquired a new stake in shares of Gaotu Techedu in the 4th quarter valued at $27,000. Vident Investment Advisory LLC bought a new position in shares of Gaotu Techedu in the 4th quarter worth $30,000. XTX Topco Ltd bought a new position in shares of Gaotu Techedu in the 2nd quarter worth $31,000. Mercer Global Advisors Inc. ADV bought a new position in shares of Gaotu Techedu in the 4th quarter worth $37,000. Finally, Tudor Investment Corp Et Al bought a new position in shares of Gaotu Techedu in the 2nd quarter worth $39,000. 18.23% of the stock is owned by institutional investors and hedge funds. (Get Free Report) Gaotu Techedu Inc, a technology-driven education company, provides online K-12 after-school tutoring services in the People's Republic of China. The company provides foreign language courses comprising English and Japanese, as well as test preparation courses for students taking language certification exams; and professional courses primarily for college students and adults preparing for professional qualification exams, such teacher's qualification, Chartered Financial Analyst designation, Certified Public Accountant designation, Certified Tax Agent designation, securities qualification exams, and other exams. Read More Receive News & Ratings for Gaotu Techedu Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Gaotu Techedu and related companies with MarketBeat.com's FREE daily email newsletter. HCA Healthcare, Inc. (NYSE:HCA Get Free Report) SVP Jeffrey E. Cohen sold 1,500 shares of HCA Healthcare stock in a transaction that occurred on Friday, August 11th. The stock was sold at an average price of $270.00, for a total value of $405,000.00. Following the sale, the senior vice president now owns 4,414 shares in the company, valued at $1,191,780. The transaction was disclosed in a legal filing with the SEC, which can be accessed through this hyperlink. HCA Healthcare Trading Down 0.8 % Shares of HCA stock traded down $2.17 during trading hours on Tuesday, reaching $268.72. 949,158 shares of the stock traded hands, compared to its average volume of 1,304,961. HCA Healthcare, Inc. has a 1-year low of $178.32 and a 1-year high of $304.86. The company has a quick ratio of 1.03, a current ratio of 1.20 and a debt-to-equity ratio of 79.26. The firm has a market capitalization of $73.09 billion, a PE ratio of 13.32, a PEG ratio of 1.39 and a beta of 1.61. The business has a fifty day moving average price of $284.52 and a 200 day moving average price of $271.29. Get HCA Healthcare alerts: HCA Healthcare (NYSE:HCA Get Free Report) last posted its quarterly earnings results on Thursday, July 27th. The company reported $4.29 EPS for the quarter, topping the consensus estimate of $4.28 by $0.01. The business had revenue of $15.86 billion during the quarter, compared to analysts expectations of $15.63 billion. HCA Healthcare had a net margin of 9.32% and a negative return on equity of 12,765.76%. The firms quarterly revenue was up 7.0% compared to the same quarter last year. During the same period last year, the company posted $4.21 earnings per share. On average, equities research analysts forecast that HCA Healthcare, Inc. will post 18.43 EPS for the current year. HCA Healthcare Dividend Announcement Wall Street Analysts Forecast Growth The firm also recently announced a quarterly dividend, which will be paid on Friday, September 29th. Shareholders of record on Friday, September 15th will be given a $0.60 dividend. This represents a $2.40 annualized dividend and a yield of 0.89%. The ex-dividend date is Thursday, September 14th. HCA Healthcares dividend payout ratio is 11.81%. A number of equities research analysts have recently weighed in on the company. Royal Bank of Canada boosted their price target on HCA Healthcare from $283.00 to $315.00 in a report on Monday, April 24th. Deutsche Bank Aktiengesellschaft boosted their price objective on HCA Healthcare from $280.00 to $310.00 in a research report on Monday, April 24th. StockNews.com assumed coverage on HCA Healthcare in a research report on Thursday, May 18th. They set a strong-buy rating for the company. Wells Fargo & Company boosted their price target on HCA Healthcare from $243.00 to $275.00 in a research report on Tuesday, April 25th. Finally, Loop Capital boosted their price target on HCA Healthcare from $285.00 to $320.00 in a research report on Monday, April 24th. Two research analysts have rated the stock with a hold rating, fourteen have issued a buy rating and one has issued a strong buy rating to the companys stock. According to MarketBeat.com, the stock has a consensus rating of Moderate Buy and an average target price of $310.36. View Our Latest Analysis on HCA Institutional Investors Weigh In On HCA Healthcare Several institutional investors and hedge funds have recently modified their holdings of HCA. Ameliora Wealth Management Ltd. boosted its stake in shares of HCA Healthcare by 162.5% during the 4th quarter. Ameliora Wealth Management Ltd. now owns 105 shares of the companys stock worth $25,000 after acquiring an additional 65 shares in the last quarter. Geneos Wealth Management Inc. raised its holdings in HCA Healthcare by 56.4% in the 4th quarter. Geneos Wealth Management Inc. now owns 122 shares of the companys stock valued at $29,000 after acquiring an additional 44 shares in the last quarter. James Investment Research Inc. acquired a new position in HCA Healthcare in the 1st quarter valued at approximately $31,000. Bell Investment Advisors Inc raised its holdings in shares of HCA Healthcare by 55.3% in the 4th quarter. Bell Investment Advisors Inc now owns 132 shares of the companys stock worth $32,000 after buying an additional 47 shares in the last quarter. Finally, Concord Wealth Partners raised its holdings in shares of HCA Healthcare by 54.1% in the 1st quarter. Concord Wealth Partners now owns 151 shares of the companys stock worth $40,000 after buying an additional 53 shares in the last quarter. Institutional investors and hedge funds own 62.86% of the companys stock. About HCA Healthcare (Get Free Report) HCA Healthcare, Inc is a health care services company engaged in operating hospitals, freestanding surgery centers and emergency care facilities, urgent care facilities, walk-in clinics, diagnostic and imaging centers, radiation and oncology therapy centers, comprehensive rehabilitation and physical therapy centers, physician practices, home health, hospice, outpatient physical therapy home and community-based services providers, and various other facilities. Featured Articles Receive News & Ratings for HCA Healthcare Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for HCA Healthcare and related companies with MarketBeat.com's FREE daily email newsletter. American International (OTCMKTS:AMIH Get Free Report) and H&R Block (NYSE:HRB Get Free Report) are both consumer cyclical companies, but which is the better business? We will compare the two businesses based on the strength of their analyst recommendations, dividends, valuation, risk, profitability, institutional ownership and earnings. Profitability This table compares American International and H&R Blocks net margins, return on equity and return on assets. Get American International alerts: Net Margins Return on Equity Return on Assets American International N/A N/A N/A H&R Block 13.59% -284.65% 18.02% Insider and Institutional Ownership 25.0% of American International shares are owned by institutional investors. Comparatively, 92.9% of H&R Block shares are owned by institutional investors. 22.3% of American International shares are owned by company insiders. Comparatively, 1.0% of H&R Block shares are owned by company insiders. Strong institutional ownership is an indication that hedge funds, endowments and large money managers believe a company will outperform the market over the long term. Analyst Ratings Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score American International 0 0 0 0 N/A H&R Block 1 0 1 0 2.00 This is a summary of current ratings for American International and H&R Block, as reported by MarketBeat.com. H&R Block has a consensus price target of $35.00, suggesting a potential downside of 0.99%. Given H&R Blocks higher possible upside, analysts clearly believe H&R Block is more favorable than American International. Valuation and Earnings This table compares American International and H&R Blocks top-line revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio American International N/A N/A N/A ($0.21) -0.01 H&R Block $3.46 billion 1.55 $551.21 million $2.97 11.90 H&R Block has higher revenue and earnings than American International. American International is trading at a lower price-to-earnings ratio than H&R Block, indicating that it is currently the more affordable of the two stocks. Summary H&R Block beats American International on 8 of the 10 factors compared between the two stocks. About American International (Get Free Report) American International Holdings Corp., through its subsidiaries, operates as an investor, developer, and asset manager with various assets in the energy supply chain. Its portfolio includes cycle energy that owns and operates cycle oil, cycle services, and cycle technologies. The company was incorporated in 1986 and is headquartered in Electra, Texas. About H&R Block (Get Free Report) H&R Block, Inc., through its subsidiaries, provides assisted income tax return preparation and do-it-yourself (DIY) tax return preparation services and products to the general public primarily in the United States, Canada, and Australia. The company offers assisted income tax return preparation and related services through a system of retail offices operated directly by the company or its franchisees. It also provides Refund Transfers and H&R Block Emerald Prepaid Mastercard, which enables clients to receive their tax refunds; Peace of Mind extended service plans; H&R Block Emerald Advance lines of credit; Tax Identity Shield that provides clients assistance in helping protect their tax identity and access to services to help restore their tax identity; refund advance loans; H&R Block Instant Refund; and H&R Block Pay With Refund services. In addition, the company offers small business financial solutions through its company-owned or franchise offices, and online. H&R Block, Inc. was founded in 1955 and is headquartered in Kansas City, Missouri. Receive News & Ratings for American International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for American International and related companies with MarketBeat.com's FREE daily email newsletter. CONSOL Energy Inc. (NYSE:CEIX Get Free Report) Director John T. Mills sold 282 shares of the companys stock in a transaction dated Friday, August 11th. The stock was sold at an average price of $80.60, for a total transaction of $22,729.20. Following the completion of the sale, the director now owns 77,624 shares in the company, valued at approximately $6,256,494.40. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website. CONSOL Energy Stock Performance CONSOL Energy stock traded up $0.29 during midday trading on Tuesday, reaching $80.30. 440,733 shares of the company traded hands, compared to its average volume of 736,677. The firm has a market capitalization of $2.57 billion, a price-to-earnings ratio of 3.80 and a beta of 1.86. The business has a 50 day moving average of $68.84 and a two-hundred day moving average of $61.70. CONSOL Energy Inc. has a 12 month low of $49.35 and a 12 month high of $84.21. The company has a debt-to-equity ratio of 0.16, a current ratio of 1.40 and a quick ratio of 1.16. Get CONSOL Energy alerts: Institutional Inflows and Outflows A number of hedge funds and other institutional investors have recently added to or reduced their stakes in CEIX. Envestnet Asset Management Inc. bought a new position in CONSOL Energy during the first quarter valued at approximately $257,000. ProShare Advisors LLC bought a new position in CONSOL Energy during the first quarter valued at approximately $284,000. HighTower Advisors LLC bought a new position in CONSOL Energy during the first quarter valued at approximately $315,000. Citigroup Inc. raised its position in CONSOL Energy by 6.3% in the first quarter. Citigroup Inc. now owns 29,430 shares of the companys stock worth $1,107,000 after acquiring an additional 1,739 shares during the period. Finally, Healthcare of Ontario Pension Plan Trust Fund bought a new position in CONSOL Energy in the first quarter worth approximately $1,091,000. Institutional investors own 82.29% of the companys stock. Analyst Upgrades and Downgrades Separately, B. Riley cut their price target on shares of CONSOL Energy from $84.00 to $81.00 in a report on Monday, June 26th. Get Our Latest Research Report on CONSOL Energy About CONSOL Energy (Get Free Report) CONSOL Energy Inc produces and exports bituminous coal in the United States. It operates through Pennsylvania Mining Complex and CONSOL Marine Terminal segment. The company's Pennsylvania Mining Complex segment engages in mining, preparation, and marketing of bituminous coal to power generators, industrial end-users, and metallurgical end-users. Recommended Stories Receive News & Ratings for CONSOL Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CONSOL Energy and related companies with MarketBeat.com's FREE daily email newsletter. Shares of iShares iBonds 2026 Term High Yield and Income ETF (BATS:IBHF Get Free Report) traded down 0.1% during trading on Tuesday . The company traded as low as $22.60 and last traded at $22.63. 52,688 shares traded hands during trading, The stock had previously closed at $22.66. iShares iBonds 2026 Term High Yield and Income ETF Stock Performance The businesss 50 day moving average price is $22.65 and its 200 day moving average price is $22.59. Get iShares iBonds 2026 Term High Yield and Income ETF alerts: iShares iBonds 2026 Term High Yield and Income ETF Announces Dividend The business also recently announced a dividend, which was paid on Monday, July 10th. Stockholders of record on Wednesday, July 5th were paid a dividend of $0.1428 per share. The ex-dividend date of this dividend was Monday, July 3rd. Institutional Trading of iShares iBonds 2026 Term High Yield and Income ETF About iShares iBonds 2026 Term High Yield and Income ETF A number of institutional investors and hedge funds have recently modified their holdings of the stock. Cambridge Investment Research Advisors Inc. increased its stake in shares of iShares iBonds 2026 Term High Yield and Income ETF by 110.3% during the second quarter. Cambridge Investment Research Advisors Inc. now owns 24,259 shares of the companys stock worth $552,000 after purchasing an additional 12,722 shares in the last quarter. NewEdge Advisors LLC grew its stake in iShares iBonds 2026 Term High Yield and Income ETF by 20.6% during the 1st quarter. NewEdge Advisors LLC now owns 23,438 shares of the companys stock valued at $534,000 after acquiring an additional 4,011 shares in the last quarter. Flow Traders U.S. LLC purchased a new stake in iShares iBonds 2026 Term High Yield and Income ETF during the 1st quarter valued at about $283,000. JPMorgan Chase & Co. purchased a new stake in iShares iBonds 2026 Term High Yield and Income ETF during the 1st quarter valued at about $58,000. Finally, Parallel Advisors LLC purchased a new stake in iShares iBonds 2026 Term High Yield and Income ETF during the 4th quarter valued at about $25,000. (Get Free Report) The iShares iBonds 2026 Term High Yield and Income ETF (IBHF) is an exchange-traded fund that mostly invests in broad credit fixed income. The fund tracks a market-value-weighted index of USD-denominated, high yield and BBB-rated corporate bonds maturing in 2026. The fund will terminate in December 2026. Featured Articles Receive News & Ratings for iShares iBonds 2026 Term High Yield and Income ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares iBonds 2026 Term High Yield and Income ETF and related companies with MarketBeat.com's FREE daily email newsletter. Jiangxi Copper Company Limited (OTCMKTS:JIAXF Get Free Report) saw a large decrease in short interest in July. As of July 31st, there was short interest totalling 33,000 shares, a decrease of 10.8% from the July 15th total of 37,000 shares. Based on an average daily volume of 500 shares, the short-interest ratio is currently 66.0 days. Jiangxi Copper Stock Performance Shares of JIAXF traded down $0.08 during trading on Tuesday, reaching $1.63. The companys stock had a trading volume of 1,000 shares, compared to its average volume of 15,478. The company has a fifty day moving average of $1.59 and a two-hundred day moving average of $1.66. Jiangxi Copper has a twelve month low of $1.13 and a twelve month high of $1.85. Get Jiangxi Copper alerts: About Jiangxi Copper (Get Free Report) Featured Stories Jiangxi Copper Company Limited engages in exploring, mining, smelting, and refining copper in Mainland China, Hong Kong, and internationally. It offers copper cathodes, copper rods and wires, sulphuric acid, and other products, as well as deposit, loan, guarantee, and financing consultation services. Receive News & Ratings for Jiangxi Copper Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Jiangxi Copper and related companies with MarketBeat.com's FREE daily email newsletter. John Hancock Hedged Equity & Income Fund (NYSE:HEQ Get Free Report) was the target of a large increase in short interest in the month of July. As of July 31st, there was short interest totalling 3,700 shares, an increase of 5.7% from the July 15th total of 3,500 shares. Based on an average trading volume of 35,200 shares, the short-interest ratio is presently 0.1 days. Hedge Funds Weigh In On John Hancock Hedged Equity & Income Fund A number of hedge funds have recently made changes to their positions in HEQ. UBS Group AG raised its stake in shares of John Hancock Hedged Equity & Income Fund by 7.9% in the 1st quarter. UBS Group AG now owns 127,855 shares of the investment management companys stock valued at $1,664,000 after acquiring an additional 9,322 shares during the period. Royal Bank of Canada grew its holdings in John Hancock Hedged Equity & Income Fund by 13.4% during the 1st quarter. Royal Bank of Canada now owns 14,748 shares of the investment management companys stock valued at $192,000 after purchasing an additional 1,741 shares in the last quarter. LPL Financial LLC grew its holdings in John Hancock Hedged Equity & Income Fund by 71.0% during the 2nd quarter. LPL Financial LLC now owns 20,168 shares of the investment management companys stock valued at $237,000 after purchasing an additional 8,373 shares in the last quarter. B. Riley Wealth Advisors Inc. grew its holdings in John Hancock Hedged Equity & Income Fund by 26.7% during the 3rd quarter. B. Riley Wealth Advisors Inc. now owns 27,145 shares of the investment management companys stock valued at $320,000 after purchasing an additional 5,725 shares in the last quarter. Finally, Wolverine Asset Management LLC acquired a new stake in John Hancock Hedged Equity & Income Fund during the 4th quarter valued at $118,000. Get John Hancock Hedged Equity & Income Fund alerts: John Hancock Hedged Equity & Income Fund Stock Performance Shares of HEQ stock traded down $0.20 during mid-day trading on Tuesday, reaching $10.71. The companys stock had a trading volume of 30,069 shares, compared to its average volume of 30,263. The companys 50 day simple moving average is $10.63 and its 200-day simple moving average is $11.10. John Hancock Hedged Equity & Income Fund has a 12-month low of $10.11 and a 12-month high of $12.98. John Hancock Hedged Equity & Income Fund Cuts Dividend John Hancock Hedged Equity & Income Fund Company Profile The firm also recently announced a quarterly dividend, which will be paid on Friday, September 29th. Stockholders of record on Monday, September 11th will be paid a $0.25 dividend. This represents a $1.00 dividend on an annualized basis and a dividend yield of 9.34%. The ex-dividend date is Friday, September 8th. (Get Free Report) John Hancock Hedged Equity & Income Fund is a closed-ended equity mutual fund launched and managed by John Hancock Investment Management LLC. The fund is co-managed by Wellington Management Company LLP. It invests in the public equity markets of the United States. The fund seeks to invest in stocks of companies operating across diversified sectors. Read More Receive News & Ratings for John Hancock Hedged Equity & Income Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for John Hancock Hedged Equity & Income Fund and related companies with MarketBeat.com's FREE daily email newsletter. John Hancock Mortgage-Backed Securities ETF (NYSEARCA:JHMB Get Free Report)s stock price was down 0.4% during trading on Tuesday . The company traded as low as $21.14 and last traded at $21.14. Approximately 3,371 shares were traded during mid-day trading, a decline of 1% from the average daily volume of 3,408 shares. The stock had previously closed at $21.21. John Hancock Mortgage-Backed Securities ETF Stock Down 0.4 % The businesss fifty day simple moving average is $21.46 and its 200 day simple moving average is $21.61. Get John Hancock Mortgage-Backed Securities ETF alerts: John Hancock Mortgage-Backed Securities ETF Dividend Announcement The business also recently declared a dividend, which was paid on Friday, June 30th. Shareholders of record on Wednesday, June 28th were issued a $0.0654 dividend. The ex-dividend date was Tuesday, June 27th. Institutional Inflows and Outflows John Hancock Mortgage-Backed Securities ETF Company Profile A number of hedge funds have recently made changes to their positions in the business. JPMorgan Chase & Co. acquired a new position in shares of John Hancock Mortgage-Backed Securities ETF during the second quarter valued at about $67,000. Key Client Fiduciary Advisors LLC acquired a new position in shares of John Hancock Mortgage-Backed Securities ETF during the second quarter valued at about $224,000. Jane Street Group LLC acquired a new position in shares of John Hancock Mortgage-Backed Securities ETF during the first quarter valued at about $232,000. Finally, Cabot Wealth Management Inc. grew its holdings in shares of John Hancock Mortgage-Backed Securities ETF by 5.2% during the second quarter. Cabot Wealth Management Inc. now owns 471,228 shares of the companys stock valued at $10,137,000 after buying an additional 23,442 shares during the last quarter. (Get Free Report) The John Hancock Mortgage Backed Securities ETF (JHMB) is an exchange-traded fund that is based on the Bloomberg U.S. MBS index. The fund is actively managed and primarily invests in investment grade mortgage-backed securities issued or guaranteed by U.S. government and private entities with no specific limit to maturity. Further Reading Receive News & Ratings for John Hancock Mortgage-Backed Securities ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for John Hancock Mortgage-Backed Securities ETF and related companies with MarketBeat.com's FREE daily email newsletter. Teradata Co. (NYSE:TDC Get Free Report) insider Kathleen R. Cullen-Cote sold 6,000 shares of the firms stock in a transaction dated Friday, August 11th. The shares were sold at an average price of $45.50, for a total value of $273,000.00. Following the completion of the sale, the insider now owns 123,769 shares of the companys stock, valued at $5,631,489.50. The sale was disclosed in a document filed with the SEC, which is available through the SEC website. Teradata Stock Performance Shares of Teradata stock traded up $0.09 during mid-day trading on Tuesday, hitting $45.11. 1,119,223 shares of the stock were exchanged, compared to its average volume of 948,422. Teradata Co. has a 12 month low of $28.65 and a 12 month high of $57.73. The company has a 50-day simple moving average of $52.62 and a 200 day simple moving average of $44.89. The company has a debt-to-equity ratio of 2.58, a quick ratio of 0.96 and a current ratio of 0.97. The company has a market capitalization of $4.51 billion, a P/E ratio of 78.97, a P/E/G ratio of 2.49 and a beta of 0.96. Get Teradata alerts: Teradata (NYSE:TDC Get Free Report) last posted its quarterly earnings results on Monday, August 7th. The technology company reported $0.48 EPS for the quarter, topping analysts consensus estimates of $0.45 by $0.03. The company had revenue of $462.00 million for the quarter, compared to analysts expectations of $445.09 million. Teradata had a net margin of 3.21% and a return on equity of 32.47%. Teradatas revenue was up 7.4% on a year-over-year basis. During the same quarter in the previous year, the firm posted $0.03 EPS. Sell-side analysts anticipate that Teradata Co. will post 1.03 earnings per share for the current fiscal year. Institutional Investors Weigh In On Teradata Analyst Upgrades and Downgrades Hedge funds have recently modified their holdings of the stock. UMB Bank n.a. raised its holdings in shares of Teradata by 214.9% in the 2nd quarter. UMB Bank n.a. now owns 551 shares of the technology companys stock worth $29,000 after purchasing an additional 376 shares during the period. Parallel Advisors LLC grew its stake in Teradata by 81.1% in the 1st quarter. Parallel Advisors LLC now owns 880 shares of the technology companys stock valued at $35,000 after buying an additional 394 shares in the last quarter. International Assets Investment Management LLC purchased a new position in Teradata in the 1st quarter valued at approximately $37,000. Global Retirement Partners LLC grew its stake in Teradata by 40.5% in the 1st quarter. Global Retirement Partners LLC now owns 1,031 shares of the technology companys stock valued at $42,000 after buying an additional 297 shares in the last quarter. Finally, Hanseatic Management Services Inc. purchased a new position in Teradata in the 2nd quarter valued at approximately $42,000. Institutional investors own 88.89% of the companys stock. A number of research firms have issued reports on TDC. Northland Securities began coverage on Teradata in a research note on Tuesday, May 2nd. They set an outperform rating and a $63.00 price target for the company. Barclays cut their price target on Teradata from $47.00 to $46.00 and set an underweight rating for the company in a research note on Tuesday, August 8th. StockNews.com upgraded Teradata from a buy rating to a strong-buy rating in a research note on Tuesday. Citigroup lifted their price target on Teradata from $55.00 to $62.00 and gave the company a buy rating in a research note on Wednesday, August 9th. Finally, TheStreet upgraded Teradata from a c rating to a b- rating in a research note on Thursday, May 4th. Two research analysts have rated the stock with a sell rating, two have given a hold rating, five have assigned a buy rating and one has given a strong buy rating to the company. According to data from MarketBeat.com, Teradata presently has a consensus rating of Moderate Buy and an average target price of $54.00. Check Out Our Latest Stock Analysis on TDC About Teradata (Get Free Report) Teradata Corporation, together with its subsidiaries, provides a connected multi-cloud data platform for enterprise analytics. The company offers Teradata Vantage, a data platform that allows companies to leverage their data across an enterprise, as well as connects various sources of data to drive ecosystem simplification and support customers on their journey to the cloud through an integrated migration. Featured Stories Receive News & Ratings for Teradata Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Teradata and related companies with MarketBeat.com's FREE daily email newsletter. Vitesse Energy, Inc. (NYSE:VTS Free Report) Northland Capmk dropped their Q3 2023 earnings per share estimates for Vitesse Energy in a research report issued to clients and investors on Wednesday, August 9th. Northland Capmk analyst D. Schafer now anticipates that the company will post earnings of $0.49 per share for the quarter, down from their previous estimate of $0.54. The consensus estimate for Vitesse Energys current full-year earnings is $0.95 per share. Northland Capmk also issued estimates for Vitesse Energys Q4 2023 earnings at $0.55 EPS, FY2023 earnings at $0.95 EPS and FY2024 earnings at $2.12 EPS. Get Vitesse Energy alerts: Separately, Northland Securities lowered shares of Vitesse Energy from an outperform rating to a market perform rating and raised their price target for the stock from $23.00 to $24.00 in a research note on Wednesday, August 9th. Vitesse Energy Stock Down 3.1 % NYSE VTS opened at $23.63 on Monday. The businesss fifty day moving average is $23.60 and its 200-day moving average is $20.60. The company has a current ratio of 0.92, a quick ratio of 0.92 and a debt-to-equity ratio of 0.07. Vitesse Energy has a one year low of $13.90 and a one year high of $27.39. Vitesse Energy Dividend Announcement The company also recently disclosed a quarterly dividend, which will be paid on Friday, September 29th. Investors of record on Friday, September 15th will be issued a $0.50 dividend. This represents a $2.00 annualized dividend and a yield of 8.46%. The ex-dividend date is Thursday, September 14th. Institutional Inflows and Outflows A number of hedge funds have recently bought and sold shares of VTS. BlackRock Inc. purchased a new position in shares of Vitesse Energy during the 2nd quarter valued at $39,523,000. State Street Corp purchased a new position in shares of Vitesse Energy during the 2nd quarter valued at $21,417,000. Nuveen Asset Management LLC purchased a new position in shares of Vitesse Energy during the 2nd quarter valued at $14,708,000. Dimensional Fund Advisors LP purchased a new position in shares of Vitesse Energy during the 2nd quarter valued at $10,856,000. Finally, Geode Capital Management LLC purchased a new position in shares of Vitesse Energy during the second quarter worth about $10,501,000. 54.24% of the stock is currently owned by hedge funds and other institutional investors. Vitesse Energy Company Profile (Get Free Report) Vitesse Energy, Inc focuses on acquisition, ownership, exploration, development, management, production, exploitation, and dispose of oil and gas properties. The company acquires non-operated working interest and royalty interest ownership primarily in the core of the Bakken Field in North Dakota and Montana. See Also Receive News & Ratings for Vitesse Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Vitesse Energy and related companies with MarketBeat.com's FREE daily email newsletter. BJs Wholesale Club Holdings, Inc. (NYSE:BJ Get Free Report) CEO Robert W. Eddy sold 300 shares of the firms stock in a transaction that occurred on Friday, August 11th. The stock was sold at an average price of $70.01, for a total transaction of $21,003.00. Following the transaction, the chief executive officer now directly owns 255,917 shares of the companys stock, valued at $17,916,749.17. The transaction was disclosed in a document filed with the SEC, which is available at this hyperlink. BJs Wholesale Club Price Performance BJs Wholesale Club stock traded up $0.61 during trading on Tuesday, reaching $69.34. The company had a trading volume of 1,321,378 shares, compared to its average volume of 1,769,339. The firms 50-day simple moving average is $64.31 and its 200 day simple moving average is $69.96. BJs Wholesale Club Holdings, Inc. has a 1-year low of $60.33 and a 1-year high of $80.41. The firm has a market capitalization of $9.32 billion, a PE ratio of 18.35, a price-to-earnings-growth ratio of 2.33 and a beta of 0.47. The company has a debt-to-equity ratio of 0.40, a quick ratio of 0.12 and a current ratio of 0.70. Get BJ's Wholesale Club alerts: BJs Wholesale Club (NYSE:BJ Get Free Report) last released its quarterly earnings results on Tuesday, May 23rd. The company reported $0.85 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.84 by $0.01. BJs Wholesale Club had a net margin of 2.64% and a return on equity of 53.66%. The firm had revenue of $4.72 billion for the quarter, compared to analysts expectations of $4.81 billion. During the same quarter last year, the company earned $0.87 EPS. The firms revenue for the quarter was up 5.0% compared to the same quarter last year. As a group, equities research analysts anticipate that BJs Wholesale Club Holdings, Inc. will post 3.89 earnings per share for the current fiscal year. Analysts Set New Price Targets BJ has been the subject of a number of recent research reports. JPMorgan Chase & Co. reduced their price target on shares of BJs Wholesale Club from $65.00 to $62.00 in a research report on Wednesday, May 24th. Bank of America cut their target price on shares of BJs Wholesale Club from $87.00 to $85.00 in a report on Tuesday, May 23rd. Citigroup cut their target price on shares of BJs Wholesale Club from $83.00 to $74.00 in a report on Wednesday, May 24th. Deutsche Bank Aktiengesellschaft cut their target price on shares of BJs Wholesale Club from $89.00 to $77.00 in a report on Wednesday, May 24th. Finally, Robert W. Baird cut their target price on shares of BJs Wholesale Club from $90.00 to $80.00 in a report on Wednesday, May 24th. One research analyst has rated the stock with a sell rating, six have assigned a hold rating and seven have assigned a buy rating to the stock. According to MarketBeat.com, the stock presently has a consensus rating of Hold and an average target price of $74.40. View Our Latest Stock Report on BJs Wholesale Club Institutional Investors Weigh In On BJs Wholesale Club Institutional investors and hedge funds have recently added to or reduced their stakes in the business. Park Place Capital Corp acquired a new stake in BJs Wholesale Club during the 1st quarter worth $88,000. CHICAGO TRUST Co NA acquired a new stake in BJs Wholesale Club during the 1st quarter worth $220,000. Eagle Asset Management Inc. boosted its stake in BJs Wholesale Club by 14.7% during the 1st quarter. Eagle Asset Management Inc. now owns 12,624 shares of the companys stock worth $960,000 after purchasing an additional 1,620 shares during the last quarter. GPS Wealth Strategies Group LLC acquired a new position in shares of BJs Wholesale Club during the 1st quarter valued at about $1,699,000. Finally, UBS Group AG boosted its position in shares of BJs Wholesale Club by 42.0% during the 4th quarter. UBS Group AG now owns 286,907 shares of the companys stock valued at $18,982,000 after acquiring an additional 84,792 shares during the last quarter. Institutional investors and hedge funds own 93.02% of the companys stock. About BJs Wholesale Club (Get Free Report) BJ's Wholesale Club Holdings, Inc, together with its subsidiaries, operates warehouse clubs on the eastern half of the United States. It provides perishable, general merchandise, gasoline, coupon books, promotions, and other ancillary services. The company sells its products through the websites BJs.com, BerkleyJensen.com, and Wellsleyfarms.com, as well as the mobile app. Read More Receive News & Ratings for BJ's Wholesale Club Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BJ's Wholesale Club and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com initiated coverage on shares of Xinyuan Real Estate (NYSE:XIN Free Report) in a research note issued to investors on Saturday. The brokerage issued a hold rating on the financial services providers stock. Xinyuan Real Estate Stock Performance Shares of XIN opened at $3.13 on Friday. Xinyuan Real Estate has a 52-week low of $2.95 and a 52-week high of $7.55. The company has a quick ratio of 0.96, a current ratio of 0.96 and a debt-to-equity ratio of 24.87. The firms fifty day moving average is $3.31 and its two-hundred day moving average is $4.00. Get Xinyuan Real Estate alerts: Institutional Inflows and Outflows An institutional investor recently bought a new position in Xinyuan Real Estate stock. Atria Wealth Solutions Inc. bought a new stake in Xinyuan Real Estate Co., Ltd. (NYSE:XIN Free Report) during the first quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The institutional investor bought 478,629 shares of the financial services providers stock, valued at approximately $536,000. Atria Wealth Solutions Inc. owned about 0.90% of Xinyuan Real Estate at the end of the most recent reporting period. Hedge funds and other institutional investors own 1.62% of the companys stock. Xinyuan Real Estate Company Profile Xinyuan Real Estate Co, Ltd., together with its subsidiaries, engages in residential real estate development and construction in the People's Republic of China, the United States, Malaysia, and the United Kingdom. The company develops residential projects, such as multi-layer apartment buildings, sub-high-rise apartment buildings, high-rise apartment buildings; auxiliary services and amenities, such as retail outlets, leisure and health facilities, kindergartens, and schools, as well as office, mixed-use, and commercial properties. Recommended Stories Receive News & Ratings for Xinyuan Real Estate Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Xinyuan Real Estate and related companies with MarketBeat.com's FREE daily email newsletter. Toast, Inc. (NYSE:TOST Free Report) Equities researchers at William Blair increased their Q3 2023 earnings per share (EPS) estimates for Toast in a research note issued to investors on Wednesday, August 9th. William Blair analyst S. Sheldon now forecasts that the company will earn ($0.09) per share for the quarter, up from their previous forecast of ($0.11). The consensus estimate for Toasts current full-year earnings is ($0.56) per share. William Blair also issued estimates for Toasts Q4 2023 earnings at ($0.11) EPS, FY2023 earnings at ($0.54) EPS, FY2024 earnings at ($0.39) EPS and FY2025 earnings at ($0.35) EPS. Get Toast alerts: A number of other research firms have also recently issued reports on TOST. Bank of America initiated coverage on Toast in a report on Thursday, June 1st. They set a buy rating on the stock. Stephens increased their price target on Toast from $22.00 to $25.00 in a report on Wednesday, August 9th. Piper Sandler increased their price target on Toast from $23.00 to $27.00 and gave the stock an overweight rating in a report on Wednesday, August 9th. DA Davidson increased their price target on Toast from $25.00 to $27.00 in a report on Friday, August 11th. Finally, BTIG Research assumed coverage on Toast in a research report on Thursday, June 15th. They set a neutral rating on the stock. Ten research analysts have rated the stock with a hold rating and ten have issued a buy rating to the stock. According to MarketBeat, the stock presently has an average rating of Moderate Buy and an average target price of $24.71. Toast Price Performance Shares of TOST stock opened at $23.10 on Monday. The stock has a market capitalization of $12.25 billion, a PE ratio of -31.64 and a beta of 1.73. Toast has a one year low of $15.77 and a one year high of $27.00. The businesss 50 day simple moving average is $22.53 and its 200 day simple moving average is $20.48. Toast (NYSE:TOST Get Free Report) last announced its earnings results on Tuesday, August 8th. The company reported ($0.19) EPS for the quarter, missing the consensus estimate of ($0.14) by ($0.05). Toast had a negative net margin of 11.33% and a negative return on equity of 34.23%. The company had revenue of $978.00 million during the quarter, compared to the consensus estimate of $943.06 million. During the same quarter in the previous year, the company earned ($0.11) earnings per share. The businesss revenue was up 44.9% on a year-over-year basis. Institutional Investors Weigh In On Toast Several large investors have recently added to or reduced their stakes in TOST. Whittier Trust Co. increased its holdings in Toast by 365.0% in the 1st quarter. Whittier Trust Co. now owns 1,660 shares of the companys stock worth $29,000 after acquiring an additional 1,303 shares during the last quarter. BI Asset Management Fondsmaeglerselskab A S purchased a new stake in Toast in the 2nd quarter worth about $29,000. PNC Financial Services Group Inc. increased its holdings in Toast by 277.5% in the 4th quarter. PNC Financial Services Group Inc. now owns 1,710 shares of the companys stock worth $31,000 after acquiring an additional 1,257 shares during the last quarter. UniSuper Management Pty Ltd purchased a new position in Toast during the 2nd quarter valued at about $38,000. Finally, Spire Wealth Management grew its holdings in Toast by 2,196.0% during the 1st quarter. Spire Wealth Management now owns 2,296 shares of the companys stock valued at $41,000 after buying an additional 2,196 shares in the last quarter. Hedge funds and other institutional investors own 57.12% of the companys stock. Insider Buying and Selling at Toast In other news, CEO Christopher P. Comparato sold 33,333 shares of Toast stock in a transaction on Friday, May 19th. The stock was sold at an average price of $20.68, for a total transaction of $689,326.44. Following the sale, the chief executive officer now directly owns 50,816 shares in the company, valued at approximately $1,050,874.88. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. In other news, CEO Christopher P. Comparato sold 8,947 shares of Toast stock in a transaction on Tuesday, June 20th. The stock was sold at an average price of $21.62, for a total transaction of $193,434.14. Following the sale, the chief executive officer now directly owns 416,869 shares in the company, valued at approximately $9,012,707.78. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. Also, CEO Christopher P. Comparato sold 33,333 shares of Toast stock in a transaction on Friday, May 19th. The stock was sold at an average price of $20.68, for a total value of $689,326.44. Following the sale, the chief executive officer now owns 50,816 shares in the company, valued at $1,050,874.88. The disclosure for this sale can be found here. In the last three months, insiders have sold 2,832,044 shares of company stock worth $61,996,196. Corporate insiders own 13.70% of the companys stock. About Toast (Get Free Report) Toast, Inc operates a cloud-based digital technology platform for the restaurant industry in the United States and Ireland. The company offers Toast POS, a software module that integrates payment processing with point of sale functionality; Toast Invoicing that allows restaurants to send invoices and collect payment; Toast Mobile Order & Pay, which allows guests to scan a QR code to browse the menu, order, and pay from mobile; Kitchen Display System software that connects the house with the kitchen staff; and Multi-Location Management, a tool to manage operations and configure menus across multiple locations and channels. Featured Stories Receive News & Ratings for Toast Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Toast and related companies with MarketBeat.com's FREE daily email newsletter. Amcor plc (NYSE:AMCR Get Free Report) announced a quarterly dividend on Wednesday, August 16th, RTT News reports. Investors of record on Thursday, September 7th will be given a dividend of 0.1225 per share on Wednesday, September 27th. This represents a $0.49 dividend on an annualized basis and a yield of 5.21%. The ex-dividend date is Wednesday, September 6th. Amcor has raised its dividend payment by an average of 27.1% per year over the last three years and has increased its dividend annually for the last 40 consecutive years. Amcor has a dividend payout ratio of 68.1% indicating that its dividend is sufficiently covered by earnings. Equities analysts expect Amcor to earn $0.72 per share next year, which means the company should continue to be able to cover its $0.49 annual dividend with an expected future payout ratio of 68.1%. Get Amcor alerts: Amcor Price Performance Shares of NYSE AMCR traded down $0.07 during trading on Wednesday, reaching $9.41. The company had a trading volume of 6,881,081 shares, compared to its average volume of 7,813,529. Amcor has a 52 week low of $9.39 and a 52 week high of $13.19. The stock has a market cap of $13.85 billion, a PE ratio of 14.27 and a beta of 0.80. The company has a debt-to-equity ratio of 1.58, a quick ratio of 0.72 and a current ratio of 1.27. The businesss 50 day moving average price is $9.98 and its 200-day moving average price is $10.57. Hedge Funds Weigh In On Amcor Analysts Set New Price Targets Several institutional investors have recently modified their holdings of AMCR. Price T Rowe Associates Inc. MD grew its position in shares of Amcor by 783.4% during the 1st quarter. Price T Rowe Associates Inc. MD now owns 19,275,142 shares of the companys stock worth $219,352,000 after buying an additional 17,093,248 shares during the period. State Street Corp increased its position in shares of Amcor by 10.2% in the 1st quarter. State Street Corp now owns 112,644,781 shares of the companys stock valued at $1,276,265,000 after buying an additional 10,472,157 shares in the last quarter. Invesco Ltd. increased its position in shares of Amcor by 40.9% in the 1st quarter. Invesco Ltd. now owns 27,078,220 shares of the companys stock valued at $306,797,000 after buying an additional 7,854,257 shares in the last quarter. Federated Hermes Inc. increased its position in shares of Amcor by 951.8% in the 1st quarter. Federated Hermes Inc. now owns 8,641,388 shares of the companys stock valued at $97,907,000 after buying an additional 7,819,836 shares in the last quarter. Finally, Norges Bank bought a new stake in shares of Amcor in the 4th quarter valued at $58,210,000. 49.37% of the stock is currently owned by institutional investors and hedge funds. A number of equities analysts have weighed in on the stock. JPMorgan Chase & Co. raised shares of Amcor from a neutral rating to an overweight rating and dropped their target price for the stock from $11.60 to $10.80 in a research note on Wednesday, May 3rd. Bank of America lowered Amcor from a buy rating to an underperform rating in a research report on Monday, July 10th. Finally, Jefferies Financial Group raised Amcor from an underperform rating to a hold rating in a report on Wednesday, May 3rd. Two analysts have rated the stock with a sell rating, two have issued a hold rating and one has issued a buy rating to the stock. According to data from MarketBeat, the company has a consensus rating of Hold and a consensus target price of $10.80. Check Out Our Latest Stock Report on AMCR Amcor Company Profile (Get Free Report) Amcor plc develops, produces, and sells packaging products in Europe, North America, Latin America, Africa, and the Asia Pacific regions. The company operates through two segments, Flexibles and Rigid Packaging. The Flexibles segment provides flexible and film packaging products in the food and beverage, medical and pharmaceutical, fresh produce, snack food, personal care, and other industries. Recommended Stories Receive News & Ratings for Amcor Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Amcor and related companies with MarketBeat.com's FREE daily email newsletter. Boxhill Technologies PLC (LON:BOX Get Free Report)s share price crossed below its 50 day moving average during trading on Monday . The stock has a 50 day moving average of GBX 0.06 ($0.00) and traded as low as GBX 0.06 ($0.00). Boxhill Technologies shares last traded at GBX 0.06 ($0.00), with a volume of 510,219 shares trading hands. Boxhill Technologies Price Performance The businesss 50-day moving average is GBX 0.06 and its two-hundred day moving average is GBX 0.05. Boxhill Technologies Company Profile (Get Free Report) Boxhill Technologies Plc, through its subsidiaries, provides lottery administration and payment processing products and services in the United Kingdom. It provides lottery management services, including financial reconciliation and management, draw management, player communication, and prize provision services for society lotteries. Read More Receive News & Ratings for Boxhill Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Boxhill Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Cavalier Investments LLC boosted its holdings in The Charles Schwab Co. (NYSE:SCHW Free Report) by 5.8% during the first quarter, according to the company in its most recent Form 13F filing with the Securities & Exchange Commission. The firm owned 7,510 shares of the financial services providers stock after acquiring an additional 414 shares during the quarter. Cavalier Investments LLCs holdings in Charles Schwab were worth $393,000 at the end of the most recent quarter. A number of other institutional investors and hedge funds have also added to or reduced their stakes in SCHW. Beacon Capital Management LLC bought a new stake in Charles Schwab during the first quarter worth about $25,000. Your Advocates Ltd. LLP bought a new stake in Charles Schwab during the first quarter worth about $25,000. Carolina Wealth Advisors LLC grew its stake in Charles Schwab by 54.8% during the first quarter. Carolina Wealth Advisors LLC now owns 565 shares of the financial services providers stock worth $30,000 after buying an additional 200 shares during the last quarter. Householder Group Estate & Retirement Specialist LLC bought a new stake in Charles Schwab during the first quarter worth about $30,000. Finally, Rocky Mountain Advisers LLC grew its stake in Charles Schwab by 704.2% during the first quarter. Rocky Mountain Advisers LLC now owns 571 shares of the financial services providers stock worth $30,000 after buying an additional 500 shares during the last quarter. Institutional investors own 82.77% of the companys stock. Get Charles Schwab alerts: Analyst Upgrades and Downgrades Several analysts have recently commented on SCHW shares. Barclays increased their price target on shares of Charles Schwab from $62.00 to $70.00 in a research note on Wednesday, July 19th. JMP Securities increased their price target on shares of Charles Schwab from $73.00 to $77.00 in a research note on Wednesday, July 19th. Raymond James raised shares of Charles Schwab from a market perform rating to an outperform rating and set a $63.00 price target on the stock in a research note on Monday, May 15th. Bank of America increased their price target on shares of Charles Schwab from $46.00 to $53.00 in a research note on Thursday, June 15th. Finally, Jefferies Financial Group increased their price objective on shares of Charles Schwab from $64.00 to $66.00 in a report on Monday, July 10th. Three equities research analysts have rated the stock with a sell rating, two have assigned a hold rating and thirteen have assigned a buy rating to the company. According to data from MarketBeat, Charles Schwab currently has an average rating of Moderate Buy and an average target price of $72.09. Insider Buying and Selling In related news, Chairman Charles R. Schwab sold 72,047 shares of the firms stock in a transaction on Monday, July 24th. The shares were sold at an average price of $66.66, for a total transaction of $4,802,653.02. Following the sale, the chairman now directly owns 59,748,538 shares in the company, valued at $3,982,837,543.08. The sale was disclosed in a document filed with the SEC, which is available at this hyperlink. In related news, Chairman Charles R. Schwab sold 75,760 shares of the firms stock in a transaction on Wednesday, July 26th. The shares were sold at an average price of $66.60, for a total value of $5,045,616.00. Following the completion of the transaction, the chairman now owns 59,672,778 shares of the companys stock, valued at $3,974,207,014.80. The transaction was disclosed in a legal filing with the SEC, which can be accessed through the SEC website. Also, Chairman Charles R. Schwab sold 72,047 shares of the firms stock in a transaction on Monday, July 24th. The shares were sold at an average price of $66.66, for a total transaction of $4,802,653.02. Following the completion of the transaction, the chairman now directly owns 59,748,538 shares of the companys stock, valued at approximately $3,982,837,543.08. The disclosure for this sale can be found here. Insiders have sold a total of 225,447 shares of company stock worth $13,866,915 over the last ninety days. Company insiders own 6.60% of the companys stock. Charles Schwab Trading Down 0.7 % SCHW traded down $0.43 during mid-day trading on Wednesday, hitting $60.54. The stock had a trading volume of 2,439,298 shares, compared to its average volume of 17,797,295. The stocks fifty day simple moving average is $59.89 and its 200-day simple moving average is $60.37. The company has a debt-to-equity ratio of 0.80, a current ratio of 0.39 and a quick ratio of 0.39. The firm has a market capitalization of $107.17 billion, a price-to-earnings ratio of 17.78, a price-to-earnings-growth ratio of 3.55 and a beta of 0.91. The Charles Schwab Co. has a 1-year low of $45.00 and a 1-year high of $86.63. Charles Schwab (NYSE:SCHW Get Free Report) last issued its quarterly earnings data on Tuesday, July 18th. The financial services provider reported $0.75 EPS for the quarter, topping analysts consensus estimates of $0.71 by $0.04. Charles Schwab had a net margin of 33.15% and a return on equity of 27.54%. The business had revenue of $4.66 billion for the quarter, compared to analyst estimates of $4.61 billion. During the same period last year, the business posted $0.97 earnings per share. The firms quarterly revenue was down 8.6% on a year-over-year basis. As a group, research analysts forecast that The Charles Schwab Co. will post 3.28 EPS for the current fiscal year. Charles Schwab Announces Dividend The business also recently disclosed a quarterly dividend, which will be paid on Friday, August 25th. Investors of record on Friday, August 11th will be issued a dividend of $0.25 per share. The ex-dividend date of this dividend is Thursday, August 10th. This represents a $1.00 dividend on an annualized basis and a dividend yield of 1.65%. Charles Schwabs payout ratio is 29.15%. About Charles Schwab (Free Report) The Charles Schwab Corporation, together with its subsidiaries, operates as a savings and loan holding company that provides wealth management, securities brokerage, banking, asset management, custody, and financial advisory services. The company operates in two segments, Investor Services and Advisor Services. Further Reading Receive News & Ratings for Charles Schwab Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Charles Schwab and related companies with MarketBeat.com's FREE daily email newsletter. Fastly, Inc. (NYSE:FSLY Get Free Report)s share price traded up 4% on Wednesday . The company traded as high as $19.47 and last traded at $19.47. 2,470,488 shares changed hands during mid-day trading, a decline of 33% from the average session volume of 3,701,579 shares. The stock had previously closed at $18.72. Analyst Ratings Changes FSLY has been the subject of a number of research analyst reports. DA Davidson increased their target price on Fastly from $17.00 to $20.00 in a report on Friday, June 23rd. Morgan Stanley raised their price target on shares of Fastly from $18.00 to $19.00 and gave the stock an equal weight rating in a research note on Thursday, August 3rd. Craig Hallum upped their price objective on shares of Fastly from $17.00 to $20.00 in a research note on Friday, June 23rd. Credit Suisse Group raised their target price on shares of Fastly from $14.00 to $16.00 in a research note on Friday, June 23rd. Finally, William Blair reaffirmed a market perform rating on shares of Fastly in a report on Wednesday, April 19th. Two investment analysts have rated the stock with a sell rating, five have issued a hold rating and three have assigned a buy rating to the company. Based on data from MarketBeat.com, the stock currently has an average rating of Hold and a consensus price target of $16.61. Get Fastly alerts: Read Our Latest Report on Fastly Fastly Stock Performance Insider Transactions at Fastly The firms fifty day moving average is $17.47 and its 200-day moving average is $15.54. The company has a current ratio of 3.84, a quick ratio of 3.84 and a debt-to-equity ratio of 0.49. The stock has a market capitalization of $2.49 billion, a PE ratio of -13.96 and a beta of 1.27. In other news, CFO Ronald W. Kisling sold 6,258 shares of the stock in a transaction dated Friday, June 30th. The shares were sold at an average price of $15.93, for a total transaction of $99,689.94. Following the sale, the chief financial officer now owns 520,794 shares of the companys stock, valued at $8,296,248.42. The sale was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, CFO Ronald W. Kisling sold 6,258 shares of Fastly stock in a transaction dated Friday, June 30th. The stock was sold at an average price of $15.93, for a total value of $99,689.94. Following the completion of the transaction, the chief financial officer now owns 520,794 shares in the company, valued at approximately $8,296,248.42. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this link. Also, EVP Brett Shirk sold 7,000 shares of the companys stock in a transaction that occurred on Monday, May 22nd. The stock was sold at an average price of $13.42, for a total transaction of $93,940.00. Following the sale, the executive vice president now owns 307,550 shares in the company, valued at $4,127,321. The disclosure for this sale can be found here. In the last three months, insiders have sold 186,283 shares of company stock worth $3,185,427. 7.60% of the stock is currently owned by insiders. Hedge Funds Weigh In On Fastly A number of institutional investors and hedge funds have recently modified their holdings of the company. Cetera Advisor Networks LLC lifted its holdings in Fastly by 2.7% during the 2nd quarter. Cetera Advisor Networks LLC now owns 24,123 shares of the companys stock worth $380,000 after buying an additional 625 shares during the last quarter. Ameritas Investment Partners Inc. increased its holdings in shares of Fastly by 7.5% in the 2nd quarter. Ameritas Investment Partners Inc. now owns 9,797 shares of the companys stock valued at $154,000 after acquiring an additional 680 shares during the last quarter. US Bancorp DE raised its position in shares of Fastly by 23.5% during the 1st quarter. US Bancorp DE now owns 4,270 shares of the companys stock worth $76,000 after acquiring an additional 813 shares in the last quarter. Principal Financial Group Inc. lifted its stake in Fastly by 6.5% during the second quarter. Principal Financial Group Inc. now owns 13,773 shares of the companys stock worth $217,000 after purchasing an additional 837 shares during the last quarter. Finally, Signaturefd LLC boosted its holdings in Fastly by 19.5% in the first quarter. Signaturefd LLC now owns 5,588 shares of the companys stock valued at $99,000 after purchasing an additional 911 shares in the last quarter. Institutional investors and hedge funds own 65.76% of the companys stock. Fastly Company Profile (Get Free Report) Fastly, Inc provides real-time content delivery network services. It offers edge compute, edge delivery, edge security, edge applications like load balancing and image optimization, video on demand, and managed edge delivery. The company was founded by Artur Bergman, Tyler McMullen, Simon Wistow, and Gil Penchina in March 2011 and is headquartered in San Francisco, CA. Further Reading Receive News & Ratings for Fastly Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Fastly and related companies with MarketBeat.com's FREE daily email newsletter. ICW Investment Advisors LLC increased its stake in shares of The Southern Company (NYSE:SO Free Report) by 3.6% in the 1st quarter, according to its most recent 13F filing with the Securities and Exchange Commission (SEC). The firm owned 17,080 shares of the utilities providers stock after buying an additional 599 shares during the quarter. ICW Investment Advisors LLCs holdings in Southern were worth $1,188,000 at the end of the most recent reporting period. Several other hedge funds and other institutional investors have also modified their holdings of the stock. JT Stratford LLC lifted its position in shares of Southern by 41.4% during the 1st quarter. JT Stratford LLC now owns 7,628 shares of the utilities providers stock worth $531,000 after buying an additional 2,235 shares during the period. iSAM Funds UK Ltd bought a new position in Southern in the 1st quarter valued at $521,000. Nelson Van Denburg & Campbell Wealth Management Group LLC raised its position in Southern by 1,073.3% in the 1st quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC now owns 880 shares of the utilities providers stock valued at $61,000 after purchasing an additional 805 shares during the last quarter. Islay Capital Management LLC raised its position in shares of Southern by 26.5% during the first quarter. Islay Capital Management LLC now owns 19,433 shares of the utilities providers stock worth $1,352,000 after acquiring an additional 4,074 shares during the last quarter. Finally, Fusion Capital LLC lifted its holdings in shares of Southern by 7.3% in the first quarter. Fusion Capital LLC now owns 5,479 shares of the utilities providers stock valued at $381,000 after purchasing an additional 375 shares in the last quarter. Institutional investors own 63.25% of the companys stock. Get Southern alerts: Wall Street Analysts Forecast Growth SO has been the topic of a number of analyst reports. The Goldman Sachs Group raised Southern from a buy rating to a conviction-buy rating in a research report on Monday, July 3rd. LADENBURG THALM/SH SH initiated coverage on Southern in a research report on Wednesday, August 9th. They set a buy rating and a $72.00 price target on the stock. VNET Group reissued a maintains rating on shares of Southern in a research report on Friday, April 28th. Guggenheim reduced their price target on Southern from $79.00 to $77.00 in a research report on Friday, July 7th. Finally, Wells Fargo & Company reduced their price target on Southern from $75.00 to $74.00 in a research report on Friday, August 4th. Four analysts have rated the stock with a sell rating, three have assigned a hold rating, six have issued a buy rating and one has issued a strong buy rating to the companys stock. According to MarketBeat, the company presently has an average rating of Hold and an average price target of $74.07. Southern Stock Performance SO traded up $0.52 during trading on Wednesday, hitting $68.30. The companys stock had a trading volume of 1,057,115 shares, compared to its average volume of 4,153,744. The company has a quick ratio of 0.62, a current ratio of 0.83 and a debt-to-equity ratio of 1.59. The Southern Company has a one year low of $58.85 and a one year high of $80.57. The firm has a market cap of $74.48 billion, a PE ratio of 23.95, a P/E/G ratio of 4.78 and a beta of 0.50. The businesss 50 day simple moving average is $70.68 and its 200-day simple moving average is $69.80. Southern (NYSE:SO Get Free Report) last released its quarterly earnings results on Thursday, August 3rd. The utilities provider reported $0.79 earnings per share for the quarter, topping the consensus estimate of $0.74 by $0.05. Southern had a net margin of 11.17% and a return on equity of 9.86%. The business had revenue of $5.75 billion for the quarter, compared to the consensus estimate of $6.47 billion. During the same period last year, the firm earned $1.07 earnings per share. The companys quarterly revenue was down 20.2% on a year-over-year basis. As a group, sell-side analysts predict that The Southern Company will post 3.6 EPS for the current fiscal year. Southern Announces Dividend The company also recently disclosed a quarterly dividend, which will be paid on Wednesday, September 6th. Stockholders of record on Monday, August 21st will be given a dividend of $0.70 per share. The ex-dividend date of this dividend is Friday, August 18th. This represents a $2.80 annualized dividend and a dividend yield of 4.10%. Southerns payout ratio is 98.94%. Insider Activity In related news, EVP Martin Bernard Davis sold 1,435 shares of the firms stock in a transaction on Thursday, June 1st. The shares were sold at an average price of $69.99, for a total transaction of $100,435.65. Following the completion of the transaction, the executive vice president now directly owns 74,246 shares of the companys stock, valued at $5,196,477.54. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this hyperlink. In related news, EVP Martin Bernard Davis sold 1,435 shares of the firms stock in a transaction on Thursday, June 1st. The shares were sold at an average price of $69.99, for a total transaction of $100,435.65. Following the sale, the executive vice president now owns 74,246 shares in the company, valued at $5,196,477.54. The transaction was disclosed in a document filed with the SEC, which can be accessed through this link. Also, insider David P. Poroch sold 10,000 shares of the firms stock in a transaction on Monday, June 5th. The shares were sold at an average price of $70.05, for a total transaction of $700,500.00. Following the sale, the insider now owns 28,691 shares in the company, valued at approximately $2,009,804.55. The disclosure for this sale can be found here. Insiders have sold 143,761 shares of company stock worth $10,152,544 in the last three months. Company insiders own 0.37% of the companys stock. Southern Profile (Free Report) The Southern Company, through its subsidiaries, engages in the generation, transmission, and distribution of electricity. It operates through three segments: Gas Distribution Operations, Gas Pipeline Investments, and Gas Marketing Services. The company also develops, constructs, acquires, owns, and manages power generation assets, including renewable energy projects and sells electricity in the wholesale market; and distributes natural gas in Illinois, Georgia, Virginia, and Tennessee, as well as provides gas marketing services, gas distribution operations, and gas pipeline investments operations. See Also Want to see what other hedge funds are holding SO? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Southern Company (NYSE:SO Free Report). Receive News & Ratings for Southern Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Southern and related companies with MarketBeat.com's FREE daily email newsletter. Sun Communities, Inc. (NYSE:SUI Get Free Report) CEO Baxter Underwood bought 150 shares of the firms stock in a transaction on Monday, August 14th. The stock was purchased at an average cost of $123.59 per share, with a total value of $18,538.50. Following the completion of the transaction, the chief executive officer now directly owns 73,233 shares of the companys stock, valued at $9,050,866.47. The transaction was disclosed in a filing with the SEC, which is available through this link. Baxter Underwood also recently made the following trade(s): Get Sun Communities alerts: On Thursday, May 25th, Baxter Underwood bought 400 shares of Sun Communities stock. The shares were acquired at an average price of $126.18 per share, with a total value of $50,472.00. Sun Communities Stock Down 0.8 % SUI stock traded down $0.95 during trading on Wednesday, hitting $121.28. 641,274 shares of the companys stock traded hands, compared to its average volume of 623,486. The firm has a market capitalization of $15.09 billion, a P/E ratio of 65.78, a PEG ratio of 3.44 and a beta of 0.71. The business has a fifty day simple moving average of $131.66 and a two-hundred day simple moving average of $137.31. The company has a quick ratio of 2.58, a current ratio of 2.58 and a debt-to-equity ratio of 0.94. Sun Communities, Inc. has a 1 year low of $117.63 and a 1 year high of $172.19. Sun Communities Dividend Announcement Sun Communities ( NYSE:SUI Get Free Report ) last issued its quarterly earnings results on Wednesday, July 26th. The real estate investment trust reported $0.72 earnings per share (EPS) for the quarter, missing analysts consensus estimates of $1.95 by ($1.23). Sun Communities had a return on equity of 2.80% and a net margin of 7.27%. The business had revenue of $863.50 million for the quarter, compared to analyst estimates of $834.99 million. During the same period in the previous year, the company posted $2.02 earnings per share. The businesss revenue for the quarter was up 6.0% on a year-over-year basis. Sell-side analysts anticipate that Sun Communities, Inc. will post 7.17 EPS for the current year. The business also recently declared a quarterly dividend, which was paid on Monday, July 17th. Investors of record on Friday, June 30th were paid a $0.93 dividend. This represents a $3.72 dividend on an annualized basis and a yield of 3.07%. The ex-dividend date of this dividend was Thursday, June 29th. Sun Communitiess dividend payout ratio is presently 202.17%. Institutional Trading of Sun Communities Hedge funds and other institutional investors have recently made changes to their positions in the company. MetLife Investment Management LLC purchased a new position in Sun Communities in the 1st quarter valued at approximately $401,000. Yousif Capital Management LLC boosted its holdings in Sun Communities by 12.2% during the first quarter. Yousif Capital Management LLC now owns 6,798 shares of the real estate investment trusts stock worth $1,192,000 after buying an additional 739 shares in the last quarter. Raymond James Trust N.A. lifted its holdings in shares of Sun Communities by 21.1% in the first quarter. Raymond James Trust N.A. now owns 1,190 shares of the real estate investment trusts stock valued at $208,000 after purchasing an additional 207 shares in the last quarter. Prudential PLC purchased a new position in shares of Sun Communities during the first quarter valued at approximately $385,000. Finally, Cetera Investment Advisers increased its position in Sun Communities by 1.9% during the first quarter. Cetera Investment Advisers now owns 3,453 shares of the real estate investment trusts stock worth $605,000 after purchasing an additional 64 shares during the last quarter. Institutional investors and hedge funds own 96.23% of the companys stock. Analyst Ratings Changes A number of equities research analysts have recently weighed in on SUI shares. Barclays lowered their target price on Sun Communities from $168.00 to $158.00 in a research note on Thursday, July 6th. StockNews.com began coverage on Sun Communities in a report on Thursday, May 18th. They set a sell rating on the stock. Truist Financial cut their price target on Sun Communities from $163.00 to $157.00 in a research note on Friday, June 9th. Wolfe Research upgraded Sun Communities from a peer perform rating to an outperform rating and set a $155.00 price target for the company in a research report on Tuesday, July 11th. Finally, Royal Bank of Canada decreased their price objective on shares of Sun Communities from $158.00 to $153.00 in a research note on Tuesday, May 30th. One research analyst has rated the stock with a sell rating, one has assigned a hold rating and seven have issued a buy rating to the stock. Based on data from MarketBeat, the company presently has a consensus rating of Moderate Buy and an average price target of $156.00. Check Out Our Latest Stock Report on Sun Communities About Sun Communities (Get Free Report) Established in 1975, Sun Communities, Inc became a publicly owned corporation in December 1993. The Company is a fully integrated REIT listed on the New York Stock Exchange under the symbol: SUI. As of March 31, 2023, the Company owned, operated, or had an interest in a portfolio of 671 developed MH, RV and Marina properties comprising approximately 179,700 developed sites and approximately 47,990 wet slips and dry storage spaces in the U.S., the UK and Canada. Further Reading Receive News & Ratings for Sun Communities Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sun Communities and related companies with MarketBeat.com's FREE daily email newsletter. John Hancock Financial Opportunities Fund (NYSE:BTO Get Free Report) was the recipient of a large decrease in short interest during the month of July. As of July 31st, there was short interest totalling 33,600 shares, a decrease of 11.1% from the July 15th total of 37,800 shares. Based on an average trading volume of 71,100 shares, the days-to-cover ratio is currently 0.5 days. Institutional Inflows and Outflows Several large investors have recently bought and sold shares of the company. Wells Fargo & Company MN raised its position in shares of John Hancock Financial Opportunities Fund by 16.5% during the second quarter. Wells Fargo & Company MN now owns 532,014 shares of the financial services providers stock worth $14,269,000 after acquiring an additional 75,532 shares during the last quarter. Formidable Asset Management LLC raised its position in shares of John Hancock Financial Opportunities Fund by 57.5% during the second quarter. Formidable Asset Management LLC now owns 10,410 shares of the financial services providers stock worth $279,000 after acquiring an additional 3,800 shares during the last quarter. Royal Bank of Canada raised its position in shares of John Hancock Financial Opportunities Fund by 8.4% during the second quarter. Royal Bank of Canada now owns 136,200 shares of the financial services providers stock worth $3,653,000 after acquiring an additional 10,596 shares during the last quarter. Aurora Investment Counsel raised its position in shares of John Hancock Financial Opportunities Fund by 590.9% during the second quarter. Aurora Investment Counsel now owns 78,403 shares of the financial services providers stock worth $2,103,000 after acquiring an additional 67,055 shares during the last quarter. Finally, Thrivent Financial for Lutherans bought a new stake in shares of John Hancock Financial Opportunities Fund during the second quarter worth $495,000. Institutional investors and hedge funds own 19.45% of the companys stock. Get John Hancock Financial Opportunities Fund alerts: John Hancock Financial Opportunities Fund Trading Down 1.7 % BTO traded down $0.48 during midday trading on Wednesday, hitting $27.27. The company had a trading volume of 76,887 shares, compared to its average volume of 73,120. John Hancock Financial Opportunities Fund has a twelve month low of $22.95 and a twelve month high of $40.50. The firms 50-day simple moving average is $28.49 and its 200-day simple moving average is $29.67. John Hancock Financial Opportunities Fund Announces Dividend About John Hancock Financial Opportunities Fund The business also recently declared a quarterly dividend, which was paid on Friday, June 30th. Investors of record on Monday, June 12th were issued a $0.65 dividend. This represents a $2.60 dividend on an annualized basis and a dividend yield of 9.53%. The ex-dividend date of this dividend was Friday, June 9th. (Get Free Report) John Hancock Financial Opportunities Fund is a closed-ended equity mutual fund launched and managed by John Hancock Investment Management LLC. It is co-managed by John Hancock Asset Management. The fund invests in the public equity markets across the globe. It seeks to invest in the stocks of companies operating across the financial services sector. Read More Receive News & Ratings for John Hancock Financial Opportunities Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for John Hancock Financial Opportunities Fund and related companies with MarketBeat.com's FREE daily email newsletter. Northwest Bancshares Inc. trimmed its holdings in shares of MetLife, Inc. (NYSE:MET Free Report) by 13.5% in the first quarter, according to its most recent Form 13F filing with the SEC. The institutional investor owned 12,450 shares of the financial services providers stock after selling 1,941 shares during the period. Northwest Bancshares Inc.s holdings in MetLife were worth $721,000 as of its most recent SEC filing. Several other hedge funds have also recently added to or reduced their stakes in MET. Impax Asset Management Group plc raised its position in shares of MetLife by 3.8% during the first quarter. Impax Asset Management Group plc now owns 80,148 shares of the financial services providers stock worth $4,644,000 after purchasing an additional 2,908 shares during the period. Meiji Yasuda Life Insurance Co raised its holdings in MetLife by 133.6% during the 1st quarter. Meiji Yasuda Life Insurance Co now owns 19,716 shares of the financial services providers stock worth $1,142,000 after buying an additional 11,275 shares during the period. WealthPLAN Partners LLC purchased a new stake in MetLife during the first quarter valued at about $40,000. Kentucky Retirement Systems Insurance Trust Fund acquired a new position in shares of MetLife in the first quarter worth about $1,359,000. Finally, Keybank National Association OH increased its position in shares of MetLife by 7.3% in the first quarter. Keybank National Association OH now owns 96,602 shares of the financial services providers stock worth $5,597,000 after acquiring an additional 6,536 shares in the last quarter. Institutional investors and hedge funds own 87.83% of the companys stock. Get MetLife alerts: Insider Transactions at MetLife In related news, EVP Marlene Debel sold 9,391 shares of the stock in a transaction that occurred on Wednesday, August 9th. The stock was sold at an average price of $63.18, for a total transaction of $593,323.38. Following the completion of the sale, the executive vice president now owns 77,638 shares in the company, valued at approximately $4,905,168.84. The sale was disclosed in a filing with the SEC, which is available through the SEC website. 0.32% of the stock is currently owned by corporate insiders. Wall Street Analyst Weigh In A number of equities analysts recently commented on the stock. StockNews.com assumed coverage on shares of MetLife in a research note on Thursday, May 18th. They issued a hold rating for the company. Royal Bank of Canada lifted their price target on MetLife from $70.00 to $74.00 and gave the company an outperform rating in a report on Friday, August 4th. TheStreet raised MetLife from a c+ rating to a b- rating in a research note on Thursday, August 10th. Morgan Stanley reaffirmed an overweight rating and set a $78.00 target price on shares of MetLife in a research note on Thursday, August 3rd. Finally, Argus increased their price target on shares of MetLife from $70.00 to $77.00 and gave the stock a buy rating in a research report on Monday. Four equities research analysts have rated the stock with a hold rating and nine have given a buy rating to the companys stock. According to MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and an average price target of $77.27. Get Our Latest Stock Report on MET MetLife Stock Performance Shares of MET stock traded up $0.17 during trading on Wednesday, reaching $62.03. The company had a trading volume of 616,304 shares, compared to its average volume of 5,086,947. The firms 50 day simple moving average is $58.67 and its two-hundred day simple moving average is $60.03. MetLife, Inc. has a one year low of $48.95 and a one year high of $77.36. The company has a debt-to-equity ratio of 0.50, a current ratio of 0.13 and a quick ratio of 0.13. The company has a market capitalization of $46.65 billion, a PE ratio of 24.07, a PEG ratio of 0.65 and a beta of 1.10. MetLife (NYSE:MET Get Free Report) last released its quarterly earnings results on Wednesday, August 2nd. The financial services provider reported $1.94 EPS for the quarter, beating analysts consensus estimates of $1.85 by $0.09. The company had revenue of $16.62 billion for the quarter, compared to analyst estimates of $16.91 billion. MetLife had a net margin of 3.14% and a return on equity of 17.42%. The companys quarterly revenue was up 7.4% compared to the same quarter last year. During the same quarter last year, the firm posted $2.00 earnings per share. Sell-side analysts forecast that MetLife, Inc. will post 7.75 earnings per share for the current year. MetLife declared that its Board of Directors has initiated a stock repurchase plan on Wednesday, May 3rd that permits the company to buyback $3.00 billion in outstanding shares. This buyback authorization permits the financial services provider to repurchase up to 7.2% of its stock through open market purchases. Stock buyback plans are usually a sign that the companys leadership believes its stock is undervalued. MetLife Announces Dividend The firm also recently declared a quarterly dividend, which will be paid on Thursday, September 14th. Stockholders of record on Tuesday, August 8th will be given a $0.52 dividend. This represents a $2.08 annualized dividend and a dividend yield of 3.35%. The ex-dividend date is Monday, August 7th. MetLifes dividend payout ratio is currently 80.93%. About MetLife (Free Report) MetLife, Inc, a financial services company, provides insurance, annuities, employee benefits, and asset management services worldwide. It operates through five segments: U.S.; Asia; Latin America; Europe, the Middle East and Africa; and MetLife Holdings. The company offers life, dental, group short-and long-term disability, individual disability, pet insurance, accidental death and dismemberment, vision, and accident and health coverages, as well as prepaid legal plans; administrative services-only arrangements to employers; and general and separate account, and synthetic guaranteed interest contracts, as well as private floating rate funding agreements. Featured Articles Receive News & Ratings for MetLife Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for MetLife and related companies with MarketBeat.com's FREE daily email newsletter. Applied Industrial Technologies, Inc. (NYSE:AIT Get Free Report) CEO Neil A. Schrimsher sold 30,000 shares of the companys stock in a transaction dated Monday, August 14th. The shares were sold at an average price of $153.67, for a total transaction of $4,610,100.00. Following the transaction, the chief executive officer now directly owns 302,307 shares of the companys stock, valued at $46,455,516.69. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink. Applied Industrial Technologies Trading Down 2.8 % AIT stock traded down $4.22 during trading on Wednesday, reaching $148.26. The company had a trading volume of 161,463 shares, compared to its average volume of 201,104. Applied Industrial Technologies, Inc. has a 52 week low of $96.43 and a 52 week high of $156.53. The company has a market cap of $5.73 billion, a P/E ratio of 17.25 and a beta of 1.21. The business has a 50 day moving average of $142.75 and a 200 day moving average of $138.22. The company has a debt-to-equity ratio of 0.41, a current ratio of 3.05 and a quick ratio of 2.12. Get Applied Industrial Technologies alerts: Applied Industrial Technologies (NYSE:AIT Get Free Report) last released its quarterly earnings results on Thursday, August 10th. The industrial products company reported $2.35 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $2.17 by $0.18. Applied Industrial Technologies had a net margin of 7.86% and a return on equity of 25.62%. The company had revenue of $1.16 billion during the quarter, compared to the consensus estimate of $1.12 billion. During the same period in the prior year, the firm earned $2.02 earnings per share. Applied Industrial Technologiess revenue was up 9.1% on a year-over-year basis. As a group, sell-side analysts forecast that Applied Industrial Technologies, Inc. will post 9.2 earnings per share for the current fiscal year. Applied Industrial Technologies Announces Dividend Analyst Upgrades and Downgrades The business also recently declared a quarterly dividend, which will be paid on Thursday, August 31st. Investors of record on Tuesday, August 15th will be paid a $0.35 dividend. This represents a $1.40 dividend on an annualized basis and a yield of 0.94%. The ex-dividend date of this dividend is Monday, August 14th. Applied Industrial Technologiess payout ratio is currently 15.84%. AIT has been the subject of several recent analyst reports. Robert W. Baird upped their price objective on shares of Applied Industrial Technologies from $161.00 to $185.00 and gave the company an outperform rating in a research note on Friday, August 11th. KeyCorp upped their price objective on shares of Applied Industrial Technologies from $162.00 to $182.00 and gave the company an overweight rating in a research note on Friday, August 11th. StockNews.com initiated coverage on shares of Applied Industrial Technologies in a research note on Thursday, May 18th. They set a buy rating for the company. Finally, Loop Capital upped their price objective on shares of Applied Industrial Technologies from $170.00 to $174.00 and gave the company a buy rating in a research note on Monday. Check Out Our Latest Stock Report on Applied Industrial Technologies Hedge Funds Weigh In On Applied Industrial Technologies A number of institutional investors have recently bought and sold shares of AIT. Raymond James & Associates grew its holdings in Applied Industrial Technologies by 13.1% in the first quarter. Raymond James & Associates now owns 14,939 shares of the industrial products companys stock worth $1,534,000 after purchasing an additional 1,726 shares during the period. Raymond James Financial Services Advisors Inc. purchased a new stake in Applied Industrial Technologies in the first quarter worth about $271,000. Citigroup Inc. grew its holdings in Applied Industrial Technologies by 3.4% in the first quarter. Citigroup Inc. now owns 38,917 shares of the industrial products companys stock worth $3,995,000 after purchasing an additional 1,262 shares during the period. Bank of Montreal Can grew its holdings in Applied Industrial Technologies by 35.6% in the first quarter. Bank of Montreal Can now owns 16,704 shares of the industrial products companys stock worth $1,702,000 after purchasing an additional 4,388 shares during the period. Finally, MetLife Investment Management LLC grew its holdings in Applied Industrial Technologies by 53.9% in the first quarter. MetLife Investment Management LLC now owns 20,446 shares of the industrial products companys stock worth $2,099,000 after purchasing an additional 7,164 shares during the period. 92.64% of the stock is owned by institutional investors. Applied Industrial Technologies Company Profile (Get Free Report) Applied Industrial Technologies, Inc distributes industrial motion, power, control, and automation technology solutions in North America, Australia, New Zealand, and Singapore. It operates through two segments, Service Center Based Distribution, and Fluid Power & Flow Control. The company distributes bearings, power transmission products, engineered fluid power components and systems, specialty flow control solutions, advanced automation products, industrial rubber products, linear motion components, automation solutions, tools, safety products, oilfield supplies, and other industrial and maintenance supplies; and motors, belting, drives, couplings, pumps, hydraulic and pneumatic components, filtration supplies, valves, fittings, process instrumentation, actuators, and hoses, filtration supplies, as well as other related supplies for general operational needs of customers' machinery and equipment. Featured Stories Receive News & Ratings for Applied Industrial Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Applied Industrial Technologies and related companies with MarketBeat.com's FREE daily email newsletter. REA Group (OTCMKTS:RPGRY Get Free Report) was upgraded by research analysts at Morgan Stanley from an equal weight rating to an overweight rating in a research note issued to investors on Wednesday, FlyOnTheWall reports. REA Group Stock Performance REA Group Company Profile (Get Free Report) REA Group Limited engages in online property advertising business in Australia, India, and internationally. It provides property and property-related services on websites and mobile applications. The company operates residential, commercial, and share property sites, such as realestate.com.au, realcommercial.com.au, smartline.com.au, makaan.com, housing.com, PropTiger.com, realtor.com, Flatmates.com.au, property.com.au, simpology.com.au, campaignagent.com.au, proptrack.com.au, myfun.com, housing.com, propertygurugroup.com, realtor.com, spacely.com.au, rumah123.com, iproperty.com.sg, 99.co, and 1form.com.au. Featured Stories Receive News & Ratings for REA Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for REA Group and related companies with MarketBeat.com's FREE daily email newsletter. Kimbell Royalty Partners, LP (NYSE:KRP Get Free Report) saw a significant decrease in short interest in the month of July. As of July 31st, there was short interest totalling 487,200 shares, a decrease of 13.2% from the July 15th total of 561,600 shares. Based on an average daily trading volume, of 414,900 shares, the days-to-cover ratio is currently 1.2 days. Insider Activity at Kimbell Royalty Partners In other Kimbell Royalty Partners news, Director Mitch S. Wynne sold 20,000 shares of the stock in a transaction on Tuesday, June 6th. The stock was sold at an average price of $14.88, for a total transaction of $297,600.00. Following the completion of the transaction, the director now directly owns 208,881 shares of the companys stock, valued at $3,108,149.28. The sale was disclosed in a filing with the SEC, which is available through the SEC website. In related news, insider Blayne Rhynsburger sold 3,000 shares of the businesss stock in a transaction that occurred on Tuesday, May 30th. The shares were sold at an average price of $15.06, for a total transaction of $45,180.00. Following the completion of the transaction, the insider now owns 53,191 shares of the companys stock, valued at $801,056.46. The sale was disclosed in a filing with the SEC, which is available through this hyperlink. Also, Director Mitch S. Wynne sold 20,000 shares of the stock in a transaction that occurred on Tuesday, June 6th. The stock was sold at an average price of $14.88, for a total transaction of $297,600.00. Following the completion of the sale, the director now owns 208,881 shares in the company, valued at $3,108,149.28. The disclosure for this sale can be found here. 5.60% of the stock is owned by company insiders. Get Kimbell Royalty Partners alerts: Institutional Inflows and Outflows A number of institutional investors and hedge funds have recently modified their holdings of KRP. Imprint Wealth LLC bought a new position in Kimbell Royalty Partners during the 2nd quarter worth approximately $1,103,000. Wells Fargo & Company MN increased its stake in shares of Kimbell Royalty Partners by 13.4% in the second quarter. Wells Fargo & Company MN now owns 117,257 shares of the energy companys stock worth $1,725,000 after buying an additional 13,899 shares during the period. Raymond James Trust N.A. increased its stake in shares of Kimbell Royalty Partners by 24.9% in the second quarter. Raymond James Trust N.A. now owns 13,903 shares of the energy companys stock worth $205,000 after buying an additional 2,770 shares during the period. Vestcor Inc raised its holdings in Kimbell Royalty Partners by 428.4% during the 2nd quarter. Vestcor Inc now owns 69,497 shares of the energy companys stock valued at $1,022,000 after buying an additional 56,344 shares during the last quarter. Finally, Pin Oak Investment Advisors Inc. boosted its position in Kimbell Royalty Partners by 50.5% during the 2nd quarter. Pin Oak Investment Advisors Inc. now owns 634,150 shares of the energy companys stock valued at $9,328,000 after buying an additional 212,780 shares during the period. 40.74% of the stock is owned by institutional investors. Analyst Ratings Changes KRP has been the subject of several recent analyst reports. Raymond James boosted their target price on Kimbell Royalty Partners from $20.00 to $22.00 and gave the stock a strong-buy rating in a research report on Monday, August 7th. Citigroup reiterated a buy rating and set a $20.00 target price on shares of Kimbell Royalty Partners in a research report on Friday, June 30th. Get Our Latest Analysis on KRP Kimbell Royalty Partners Stock Performance Shares of KRP stock remained flat at $14.61 during trading hours on Wednesday. 286,651 shares of the companys stock traded hands, compared to its average volume of 376,716. The stock has a market capitalization of $1.26 billion, a P/E ratio of 8.80 and a beta of 1.32. The company has a quick ratio of 6.97, a current ratio of 6.97 and a debt-to-equity ratio of 0.41. Kimbell Royalty Partners has a 52-week low of $13.85 and a 52-week high of $19.80. The stock has a 50-day simple moving average of $14.90 and a 200 day simple moving average of $15.31. Kimbell Royalty Partners Increases Dividend The firm also recently declared a quarterly dividend, which will be paid on Monday, August 21st. Shareholders of record on Monday, August 14th will be paid a $0.39 dividend. The ex-dividend date is Friday, August 11th. This is an increase from Kimbell Royalty Partnerss previous quarterly dividend of $0.35. This represents a $1.56 annualized dividend and a yield of 10.68%. Kimbell Royalty Partnerss payout ratio is currently 93.98%. About Kimbell Royalty Partners (Get Free Report) Kimbell Royalty Partners, LP, together with its subsidiaries, engages in acquiring and owning mineral and royalty interests in oil and natural gas properties in the United States. The company serves as the general partner of the company. Kimbell Royalty Partners, LP was incorporated in 2015 and is based in Fort Worth, Texas. See Also Receive News & Ratings for Kimbell Royalty Partners Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kimbell Royalty Partners and related companies with MarketBeat.com's FREE daily email newsletter. Skyline Champion Co. (NYSE:SKY Get Free Report) has earned an average recommendation of Hold from the five ratings firms that are presently covering the stock, Marketbeat reports. Three investment analysts have rated the stock with a hold rating and two have assigned a buy rating to the company. The average 12-month price target among analysts that have updated their coverage on the stock in the last year is $68.60. A number of equities analysts have recently commented on SKY shares. Royal Bank of Canada reduced their price objective on shares of Skyline Champion from $63.00 to $62.00 and set a sector perform rating for the company in a research note on Thursday, August 3rd. Jefferies Financial Group upped their target price on Skyline Champion from $61.00 to $62.00 and gave the stock a hold rating in a report on Thursday, July 13th. StockNews.com initiated coverage on Skyline Champion in a research report on Thursday, May 18th. They set a hold rating on the stock. Wedbush reiterated an outperform rating and set a $78.00 price objective on shares of Skyline Champion in a research note on Tuesday. Finally, Craig Hallum lifted their target price on Skyline Champion from $75.00 to $81.00 and gave the stock a buy rating in a research note on Wednesday. Get Skyline Champion alerts: Get Our Latest Analysis on SKY Institutional Inflows and Outflows Skyline Champion Trading Down 1.6 % Several hedge funds and other institutional investors have recently added to or reduced their stakes in the company. Tucker Asset Management LLC bought a new stake in Skyline Champion during the first quarter worth $28,000. West Tower Group LLC purchased a new position in Skyline Champion in the second quarter valued at about $57,000. Federated Hermes Inc. lifted its stake in shares of Skyline Champion by 1,610.0% during the 1st quarter. Federated Hermes Inc. now owns 1,026 shares of the companys stock valued at $77,000 after buying an additional 966 shares in the last quarter. US Bancorp DE lifted its position in Skyline Champion by 805.5% during the first quarter. US Bancorp DE now owns 1,150 shares of the companys stock valued at $87,000 after purchasing an additional 1,023 shares during the last quarter. Finally, Nisa Investment Advisors LLC grew its position in Skyline Champion by 99.8% in the first quarter. Nisa Investment Advisors LLC now owns 1,291 shares of the companys stock worth $97,000 after acquiring an additional 645 shares in the last quarter. Shares of NYSE:SKY traded down $1.08 during midday trading on Wednesday, hitting $67.78. The company had a trading volume of 430,844 shares, compared to its average volume of 439,039. Skyline Champion has a 12 month low of $44.68 and a 12 month high of $76.82. The stock has a market cap of $3.87 billion, a price-to-earnings ratio of 11.61 and a beta of 1.72. The company has a current ratio of 4.39, a quick ratio of 3.59 and a debt-to-equity ratio of 0.01. The firm has a fifty day simple moving average of $65.90 and a 200 day simple moving average of $67.66. Skyline Champion (NYSE:SKY Get Free Report) last released its quarterly earnings data on Tuesday, August 1st. The company reported $0.89 earnings per share for the quarter, beating the consensus estimate of $0.78 by $0.11. Skyline Champion had a return on equity of 28.09% and a net margin of 14.32%. The business had revenue of $464.77 million for the quarter, compared to analysts expectations of $463.48 million. During the same quarter in the previous year, the business posted $2.04 EPS. Skyline Champions revenue for the quarter was down 36.0% on a year-over-year basis. On average, analysts predict that Skyline Champion will post 3.67 EPS for the current year. Skyline Champion Company Profile (Get Free Report Skyline Champion Corporation produces and sells factory-built housing in North America. The company offers manufactured and modular homes, park models RVs, accessory dwelling units, and modular buildings for the multi-family and hospitality sectors. It builds homes under the Skyline Homes, Champion Home Builders, Genesis Homes, Athens Park Models, Dutch Housing, Atlantic Homes, Excel Homes, Homes of Merit, New Era, Redman Homes, ScotBilt Homes, Shore Park, Silvercrest, and Titan Homes brands in the United States; and Moduline and SRI Homes brand names in western Canada. See Also Receive News & Ratings for Skyline Champion Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Skyline Champion and related companies with MarketBeat.com's FREE daily email newsletter. APTransco chairman K. Vijayanand issued the order enhancing wages to the outsourced workers hired from the manpower supply agencies/contractors. (Image: aptransco) Vijayawada: Contractual employees in Andhra Pradesh were given a 37 per cent wage increase and an insurance cover by the state government on Wednesday. APTransco chairman K. Vijayanand issued the order enhancing wages to the outsourced workers hired from the manpower supply agencies/contractors. This would benefit approximately 27,000 outsourced employees in the states power sector. This raised their monthly income to over Rs 21,000. The introduction of insurance cover for these employees came as an added relief to them. Several meetings have been held by the government with the representatives of the outsourced employees. Chief Secretary K.S. Jawahar Reddy and top higher officials of APTransco, APGenco and CMDs of Discoms were involved in the discussions held on August 9 in the presence of energy minister Peddireddy Ramachandra Reddy and education minister Botsa Satyanarayana with the representatives of AP power employees joint action committee, YSR Vidyut employees union and the Telugunadu Vidyut Karmika Sangam. A minimum pay of the RPS-2018 for categories like highly skilled, skilled, semi-skilled and unskilled was agreed upon. High-skilled employees are getting a wage of Rs 22,589 per month, while their allowances were raised to Rs 8,016. The skilled were getting Rs 22,598, to which an increase of Rs 7,355 was effected. The semi-skilled got a hike of Rs 6,092. They would draw Rs 23,236 against the old pay of Rs 17,144. The unskilled would receive Rs 22,318 per month after a hike of Rs 5,845 to their present wage of Rs 16,473. The contribution towards EPF shall be paid only on the basic wage of the outsourced workers, with a limit of Rs 15,000 excluding other allowances. The contractor shall provide the Group Insurance and Mediclaim Insurance to all the outsourced workers whose wage is more than Rs 21,000 per month as they are not covered under ESI. The Insurance premium shall be restricted to an amount equivalent to 3.25 per cent of the corporations share for ESI. Energy minister Ramachandra Reddy said these steps would ensure a more secure and stable professional environment for these workers. The contract (outsourced) employees welcomed the hike and thanked the government for accepting their long-pending demands. They pleaded that the government initiate steps for implementation of health insurance by the manpower agencies. BJP central election committee meeting will be held on Wednesday for the impending Assembly polls in Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizroram. (PTI file image) Prime Minister Narendra Modi, along with other senior party leaders, attended the BJPs central election committee (CEC) meeting on Wednesday to deliberate on the year-end Assembly polls in Madhya Pradesh and Chhattisgarh. The BJP leadership, according to party sources, discussed the feedback on the panel of candidates and the ground report of the Assembly seats in both the poll-bound states. The CEC members, the sources said, took stock of the poll preparations, discussed feedback and assessed the party's strategy, including for selecting candidates. Besides Modi, BJP president J.P. Nadda and Union ministers Rajnath Singh and Amit Shah, among other leaders, attended the party's central election committee meeting. Five states Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram are scheduled to go to the polls in November-December. The BJP is in power only in Madhya Pradesh and is confident of winning back Rajasthan from the Congress. In Madhya Pradesh, the Congress is giving the ruling BJP a tough fight, while in Telangana, the party is putting up a strong fight against the ruling BRS. In Chhattisgarh, the BJP is hoping that its poll campaign against the Bhupesh Baghel-led government over corruption, anti-incumbency and poor law and order situation will help in winning back the state that it lost to the Congress in 2018. Begum Anees Khan. (File Photo) Hyderabad: Begum Anees Khan, founder of the Nasr educational society which operates Nasr schools, renowned for their excellence, passed away on Wednesday. She not only founded these academic institutions but was also the driving force behind the relentless pursuit of excellence in all the spheres by these, leading to their formidable reputation as centres of excellence. Her demise is a great loss to the education fraternity. According to her family sources, funeral prayers will be held at Nasr School Khairatabad after Maghrib and she will be buried at Dragah Hazrat Qutbi Mia Saheb in Eidi Bazar. Asaduddin Owaisi, President of AIMIM tweeted offering his condolences to the bereaved family, "The news of Begum Anees Khans demise is extremely saddening. She founded Nasr School in 1965, which is still one of Hyderabads best schools, especially for girls. Her work in the educational field is extraordinary and speaks for itself. Hyderabad has lost a gem. I conveyed my condolences to Khutubuddin sahab, her son & Secretary of Nasr Education Society. My condolences also to her family, friends, colleagues & students. May Allah grant her maghfirah." Chief Minister K. Chandrashekar will now take to the electorate from August 23 with the credibility of fulfilling major promises as his major poll plank for this year's Assembly election. (Image: Twitter) Hyderabad: After freeing 16 lakh farmers from debt, by waiving loans up to Rs 99,999 through release of Rs 5,809 crore, Chief Minister K. Chandrashekar will now take to the electorate from August 23 with the credibility of fulfilling major promises as his major poll plank for this years Assembly election. Farmers, for their part, said that the Chief Minister has fulfilled the BRS slogan of Ab ki baar, kisan sarkar (This time, it is pro-farmer governance) as they started to receive notifications from banks on Wednesday, of loans being cleared. They also called on the Chief Minister to take his "revolutionary pro-farmer policies" to the entire country after the 2024 Lok Sabha polls. While Rao already holds the record of being the only Chief Minister in the country to extend Rs 10,000 per acre per year to farmers under the Rythu Bandhu scheme, for 70 lakh farmers irrespective of land extent, Rs 5 lakh free insurance under Rythu Bima, 24-hour free power to agriculture and free irrigation water to over one crore acres, he can now also add making farmers debt-free as another feather to his hat. The Telangana state government has spent the highest in the country on a crop loan waiver scheme. Soon after assuming charge as the Chief Minister for the first term in June 2014, Rao waived off loans up to Rs 1 lakh of 35.31 lakh farmers by spending Rs 16,144 crore. In his second term in office, from December 2018, Rao waived loans of 16.22 lakh farmers by spending Rs 7,753 crore, so far. Rao has decided to waive off the loans amounting to Rs 13,000 crore of farmers who owe loans of more than Rs 1 lakh each by September 15. For them, the government will pay Rs 1 lakh and the balance amount will have to be cleared by farmers. With this, the total amount spent by Rao on the crop loan waiver scheme will hit Rs 37,000 crore. So far, across both terms in office, Rao waived loans of 51.54 lakh farmers, allocating Rs 23,897 crore. Telangana state is also the only state that directly buys all foodgrains from farmers for MSP. Since the formation of the state, Rs 1,33,000 crore has been spent and 722.92 lakh metric tonnes of paddy were procured. Apart from grains, other crops were purchased at a cost of Rs 11,437.55 crore. The government is providing free electricity to agriculture for 24 hours to 27.49 lakh agricultural connections. Accordingly, Rs 32,700 crore has been spent to expand electricity infrastructure, while incurring an annual expense of Rs 10,500 crore for free electricity supply. Under the Rythu Bandhu scheme, implemented to protect farmers from the clutches of moneylenders, Rs 10,000 per acre per year has been deposited. In the past 10 phases since 2018, Rs 65,190 crore has been deposited to farmer accounts. As part of the 11th phase, Rs 5,854.16 crore was provided to 64.49 lakh farmers this monsoon, covering about 117.08 lakh acres. The government is also implementing Rs 5 lakh free insurance under Rythu Bima to support families of farmers who die. So far 1,08.051 farmer families have been benefitted under the Rythu Bima, with LIC paying Rs 5,402.55 crore to the kin of farmers. Paritala Sushanth, was detained at the RGI Airport and booked for creating a nuisance at the immigration counter in the international arrivals area and damaging a kiosk(Image:DC) HYDERABAD: An Indian-origin American national, Paritala Sushanth, was detained at the RGI Airport and booked for creating a nuisance at the immigration counter in the international arrivals area and damaging a kiosk when asked to furnish details of his stay in India. He was handed over to the CISF, and subsequently, the airport police, who registered a case and started a probe. Officials said that 28-year-old Sushanth, a designer by profession, arrived in the city from Chicago, via Abu Dhabi. He failed to cooperate with immigration officials and broke a kiosk, due to which a glass counter broke and two computers were also damaged. The station house officer of RGI Airport police station, R. Srinivas, said besides registering a case, a notice was also served to him. He said that Sushanth claimed to have a booking at a hotel in Kompally but could not produce proof of the same and became aggressive. Today, Tuesday 15th August, Daithi O Se and new co-host Kathryn Thomas officially introduced all 32 Roses ahead of the 2023 Rose of Tralee International Festival, which will be broadcast live on Monday 21st and Tuesday 22nd August on RTE One and RTE Player. Excitement was in the air as the 32 Irish and International Roses, each representing the Irish diaspora from all corners of the world, met the presenters at the Royal Hospital Kilmainham, Dublin today before continuing their journey to Tralee. Kathryn said: What a way to kick off the week, celebrating our 32 Roses an incredibly accomplished and inspiring group of women. We cant wait to make our way to Tralee! Daithi added: Ta me beannaithe i measc na mban! The Rose of Tralee tour is in full swing and its been a pleasure to meet and get to know this years Roses. Next stop the Kingdom! The Rose of Tralee International Festival is a celebration of Irish culture and heritage, connecting the global Irish community. The Festival is supported by over 70 Rose Centres globally in Ireland, the UK, Europe, Middle East, North America, Australia and New Zealand. The five-day Festival culminates in the selection of the International Rose of Tralee, a year-long ambassador role, representing Ireland and the Festival, both locally and around the world. The 2023 Rose of Tralee International Festival will take place from August 18th 22nd. PICTURED ABOVE - Team Effort: Staff from the Stroke Association and Altnagelvin Hospital at the launch event. Pictured (from left) are Valerie Thompson, Specialist Stroke Nurse, Roisin O'Connor, SA Service Delivery Lead, Craig Moore, SA Engagement Lead, Ann Marie McIlmoyle, Ward Manager, Alasdair OHara, SA Associate Director, and Dr Roisin Healy, Geriatician/Stroke Consultant. Stroke patients and their families at Altnagelvin Hospital now have access to a wide range of essential information to help them rebuild their lives, thanks to an initiative by the Stroke Association and Western Health and Social Care Trust. The new information area the charity has created in Ward 40 in the hospitals stroke unit has guidance on practical problems, such as finding support after leaving hospital, as well as the physical and emotional difficulties they may experience. Alasdair O'Hara, the Stroke Associations Associate Director for Northern Ireland, said: People often tell us that they feel well supported in hospital but going home feels like a huge step into the unknown. Working closely with the amazing stroke staff at the Western Health and Social Care Trust, were thrilled to launch our new information area on the acute stroke ward. We believe everyone deserves to live the best life they can after a stroke and were there to help with this. People can find out about such things as benefits and financial assistance, returning to work, driving after a stroke, and mobility. Theres guidance on how to cope with the physical and emotional impact of stroke such as extreme fatigue, difficulties with speaking and swallowing, and the steps they can take to reduce their risk of further strokes. Theres also information for families, as partners adapt to their new role caring for a stroke survivor. This offers tips on coping in the early days and explains why it's important to look after yourself, as well as whoever you are caring for. Were now working with all health and social care trusts across Northern Ireland to replicate this initiative. Anne Marie McIlmoyle, Ward 40 Stroke Ward Manager at Altnagelvin Hospital said: The Western Trust is delighted to be one of the first HSC Trusts in Northern Ireland to work in partnership with the Stroke Association in providing an Information area at Ward 40, Altnagelvin Hospital on a wide range of information for our stroke patients and their families to help them rebuild their lives after a stroke. It is very important that our patients are able to avail of information and advice particularly when they are discharged from hospital. The fact that this information stand is available in the ward means that patients and their families can access this easily and hopefully this will help elevate some of the stress and anxiety around how they can be supported when they return home. We would wish to assure patients that the staff in Ward 40 are available to help and support them and this initiative is a reflection of the care and commitment of the Trust and the Stroke Association in supporting them every step of the way to return to their normal lives. Each year, around 220 stroke patients are treated at Altnagelvin Hospital, with around 5,000 hospital admissions due to stroke across Northern Ireland. Information for stroke survivors and carers is also available on the Stroke Associations website: www.stroke.org.uk or by calling the Stroke Helpline on 0303 3033 100. The Office of the Police Ombudsman Northern Ireland has been criticised for not including fingerprint evidence which was given to it in the days before publishing a key report into the 1970s bombing of McGurks Bar. Fifteen people were killed in the blast at the north Belfast bar in December 1971. The attack was carried out by the UVF but at the time security forces blamed the IRA, prompting speculation the dead might have included IRA members carrying the device. A UVF man was convicted for his part in the attack in 1978. A Police Ombudsmans report in 2011 said RUC officers had shown an investigative bias with the original misattribution of blame. Elsewhere in the report, the Ombudsman wrote: Records show that police examined a vehicle described as the car used in explosion Gt. George St. The Police Ombudsmans investigation has found no other information about this vehicle in police records. However, a family member of two victims of the attack has said examination of evidence from the Historical Enquiries Team review summary report shows this record was a fingerprint ledger which proved police had recovered two prints from the vehicle which they believed was involved in the attack as well as other fingerprints from additional evidence. Ciaran MacAirts grandmother Kathleen Irvine was killed in the attack and his grandfather John was badly injured. He said the families were outraged about his discovery of the fingerprint evidence. Mr MacAirt said: Our families had to battle PSNI in court for over nine years for scraps of information from a failed investigation. I have taken this to the Department of Justice and our legal teams as this is a massive failure in such an important office. Lawyer Kevin Winters said: Yet again we have another example of families finding out information through their own activism and agitation. A spokeswoman for the the office of the Police Ombudsman said it was made aware of the fingerprint ledger in the days leading up to the scheduled publication of the McGurks report. Rather than delaying publication of his report and in view of the quality of the information, the then Police Ombudsman was mindful that the matter would be examined as part of the reports recommendation that the Chief Constable satisfy himself that all investigative opportunities had been exhausted. The Police Ombudsmans Office said it does not hold the evidence and did not investigate whether it had been lost or destroyed. It said it has not linked the evidence to suspects. The spokeswoman said the Police Ombudsmans role was not to investigate the bombing of McGurks Bar but instead the conduct of police officers in and around the incident. Thus enquiries in respect of the fingerprints was a matter for the PSNI. The PSNI issued a neither confirm nor deny response to a Freedom of Information Act request from Mr MacAirt in relation to whether the evidence had been lost and if the prints had ever been linked to suspects. Chinese chip import substitution mired in internal competition as industry leader lambastes US sanctions In response to the escalating US bans, Gerald Yin, a prominent figure in China's semiconductor equipment industry, has finally spoken out. Yin, who had spent an extended period in the US and held high positions in semiconductor companies there, criticized the US sanctions against China and stated that they are groundless and will yield unfavorable outcomes. During the 2023 China Semiconductor Equipment Conference held recently, Yin, the Chairman and CEO of Advanced Micro-Fabrication Equipment Inc. (AMEC), made these remarks in his keynote speech. As a leading figure in China's semiconductor industry, his words seemed to reflect a sense of pent-up frustration. Yin noted that the challenges in developing advanced process equipment are substantial. Currently, European, American, and Japanese companies hold 85% of the semiconductor equipment market. "But how did the US develop its semiconductor equipment? Many leading developments were spearheaded by Chinese students studying abroad," he said. He provided data indicating that eleven categories of semiconductor equipment, including capacitively coupled plasma (CCP) etching, inductively coupled plasma (ICP) etching, plasma-enhanced chemical vapor deposition (PECVD), low-pressure chemical vapor deposition (LPCVD), atomic layer deposition (ALD), physical vapor deposition (PVD), chemical mechanical polishing (CMP), electrochemical plating (ECP), optical inspection, electron beam inspection, and metal-organic chemical vapor deposition (MOCVD) epitaxy, were all led by Chinese students studying abroad or acting as key contributors. Yin stated that the US attempts to hinder China using equipment are not only irrational but also unlikely to yield positive results. Due to the impact of US restrictions, many leading figures in these fields have returned to China. Given time, China still has the capability to develop internationally competitive products. In the past decade, plasma thin film and chemical etching equipment have been the fastest-growing segments. AMEC already covers over 95% of plasma etching. Additionally, AMEC, Naura Technology, and Piotech jointly cover thin-film equipment. Indeed, benefiting from the domestic substitution of semiconductor equipment, both AMEC and Naura have forecasted substantial growth in their sales and net profits for the first half of 2023. AMEC estimates a growth of 28% in revenue and 13-22% in net profit, while Naura estimates a growth of 4364% in revenue and 1.31.6 times in net profit for the first half of the year. Despite the favorable trend towards domestic equipment substitution in China, it has also led to unprecedented competition. Yin openly discussed the severe internal competition within the domestic equipment sector, resulting in harmful competition obstructing industry development. This includes illegally obtaining competitors' trade secrets and copying their products. Companies are involved in both chip manufacturing and equipment development, leading to counterfeiting of suppliers' equipment. Furthermore, there are issues of high-salary poaching disrupting the talent market, relying on low-cost competition rather than advanced technology and superior performance, in addition to repetitive investment to gain mere financial returns - these led to internal competition and low success rates. Yin also noted unequal treatment of companies, reliance on relationships, and even using favors to gain business opportunities. Moreover, employees leaving companies take away technical data and corporate secrets, and employees entering companies do not sign effective non-competition agreements all of these hinder development. Yin recommended that relevant authorities and industries establish fair competition regulations to reduce internal consumption and promote healthy industry development. On the same day, during the 2023 Semiconductor Manufacturing Technology and Equipment Materials Chairman's Forum, several semiconductor equipment company chairpersons expressed their intention to seize opportunities and accelerate the development of domestic equipment in China. Li Jinxiang, director of Shanghai-based GTA Semiconductor, pointed out that despite domestic equipment entering production lines, there are still three bottlenecks: the inability to provide equipment for processes like lithography, measurement, and failure analysis; having equipment that cannot meet specific process requirements, such as special material growth and removal equipment; and key processes having reached the level of maturity to boost yields. Brian Lu, chairman of Piotech, emphasized during the meeting that semiconductors are a global industry, and isolated development is not viable. It must be open and cooperative, especially in the post-Moore's Law era, with new processes like chiplets requiring collaboration. Tojing has developed two mixed bonding devices, including wafer-to-wafer bonding products and chip-to-wafer bonding surface pre-treatment products, mainly used in the wafer-level 3D integration sector. European semiconductor market showing sign of recovery The latest data released by the World Semiconductor Trade Statistics (WSTS) showed that global semiconductor market sales totaled US$124.5 billion in 2Q23, up 4.2% quarterly and down 17.3% from the same period last year. Europe is the only region that enjoyed growth both quarterly and annually, up 1.8% and 7.6%, respectively. China, Asia Pacific, and the US suffered double-digit declines in sales in the second quarter, compared to the same period last year, while Japan reported a modest slip of 3.5%. China's sales were up 10.7% quarter-on-quarter, while the US, Japan, and Europe registered modest growths of 3.5%, 2.1%, and 1.8%, respectively. Asia Pacific sales were still down 0.1% from Q1. Global sales in volumes in 2Q23 totaled 230.9 billion units, up 3.4% from the first quarter, and declining by 18.9% from the same period last year. The average selling price (ASP) was US$0.539, up 0.7% quarter-on-quarter, and also saw a 2% increase from the same period last year. WSTS Global Semiconductor Sales by Regions Sales (US$b) QoQ (%) YoY (%) China 36.8 10.7 -24.4 Asia Pacific 32 -0.1 -20.4 US 29.8 3.5 -17.9 Europe 14 1.8 7.6 Japan 11.8 2.1 -3.5 Source: WSTS, Aug 2023 WSTS said in a press release in May that the estimated market size in the entire year of 2023 is projected to reach US$515 billion, indicating a decrease of 10.3 percent. However, this is anticipated to be followed by a robust recovery, with an estimated growth of 11.8 percent in 2024. For the year 2023, the European and Japanese markets are projected to experience growth, with respective increases of 6.3 and 1.2 percent. Conversely, the remaining regions are anticipated to face a downturn, with the Americas expected to decline by 9.1 percent and the Asia Pacific region by 15.1 percent. Discrete and optoelectronics are the only two sectors predicted to sustain single-digit year-over-year growth in 2023, at 5.6 percent and 4.6 percent respectively. Save my User ID and Password Some subscribers prefer to save their log-in information so they do not have to enter their User ID and Password each time they visit the site. To activate this function, check the 'Save my User ID and Password' box in the log-in section. This will save the password on the computer you're using to access the site. Note: If you choose to use the log-out feature, you will lose your saved information. This means you will be required to log-in the next time you visit our site. Clean Coasts, a charity programme run through the Environmental Education Unit of An Taisce, which engages communities in the protection of Irelands beaches, seas and marine life, has made an appeal to people in Louth to register for the Big Beach Clean, which is scheduled to take place this year from September 15 to 17. Clean Coasts, supported by Cully and Sully, says it is thrilled to announce the return of the Big Beach Clean initiative. The programme is asking participants to sign up to receive a free clean-up kit and join a worldwide citizen science project. With almost 150 groups already registered, this promises to be an exciting opportunity for everyone. In 2022, over 500 clean-up events took place across Ireland and volunteers removed 42 tonnes of litter from the Irish coast and inland locations. As Clean Coasts celebrated its 20th anniversary in 2023, the aim is to make this year's Big Beach Clean the most remarkable one yet. Scheduled to take place from September 15 to 17, the Big Beach Clean is part of the International Coastal Cleanup (ICC), organised by the Ocean Conservancy. This annual call to action invites communities and volunteers to come together and host a clean-up after the bathing season ends. Additionally, it is an opportunity for volunteers to engage in a worldwide citizen science project. By collecting data on the amount and types of litter found on Irish beaches and filling out Clean Coasts' Marine Litter Data Cards, volunteers contribute to raising awareness about the issue of marine litter. This data serves as an indicator of the problem's scale and aids in shaping future policies and campaigns. This year, Clean Coasts aims to emphasise the importance of submitting the information and data on the collected litter. The 2022 results have been shared with Ocean Conservancy, and in Ireland, they have influenced Clean Coasts' campaigns targeting the top 10 litter offenders, including cigarette butts, plastic bottles, and food packaging. For example, the Clean Coasts Break Up with Plastic campaign was mostly based on results from the Big Beach Clean. Moreover, working with community groups, a new anti-smoking litter campaign was launched in May this year. At Taisce National Spring Clean programme is joining the initiative again and registration for the Big Beach Clean is open to all residents of Ireland, regardless of their proximity to the coastline. Statistics show that urban litter is one of the main causes of marine litter, therefore, even those in non-coastal counties can participate and contribute to preventing litter from entering waterways and seas. By joining the Big Beach Clean, volunteers play a crucial role in addressing the problem at its source and making a positive impact on the environment. Irish business Cully and Sully, renowned sea lovers and sustainability supporters, says it is thrilled to join Clean Coasts once again in supporting the Big Beach Clean. Colum OSullivan, aka Sully, from Cully and Sully said: "As big fans of the sea, were thrilled to be sponsoring the Big Beach Clean for a fourth year. Its not just about giving our beaches a good combing over after the busy summer months. As we clean the beaches and waterways, were all being reminded how important it is to dispose of and recycle our waste properly." Sinead McCoy, Coastal Communities Manager, commented: "Join us in the Big Beach Clean 2023 and be part of a powerful movement to protect our coastlines. Together, we'll create a cleaner, healthier environment for all. Sign up at cleancoasts.org and let's make a lasting impact on our precious coastal ecosystems." People wishing to join the initiative can register at www.cleancoasts.org. Dundalk Institute of Technology (DkIT), as part of its celebration of all things science and the pending opening of its new state of the art 1,300 sqm Science Building this September, continues with its collection of Meet the Scientist profiles with some of the Institutes leading Science academics, with its profile of Dr Annamarie Rogers. Dr Annamarie Rogers is a lecturer and Programme Director for Biopharmaceutical Science in DkIT. Dr Rogers has an impressive 20 years experience in cell culture and founded the first cell culture lab in the Institute in 2011, so the opening of the new Science Building in DkIT is particularly exciting for Annamarie as the new facility will house an innovative new cell culture facility, which was designed to accommodate the culture of cell lines. These labs will give DkIT students direct experience with the technologies and processes commonly used in current, sophisticated biotechnology settings. Dr Rogers love of all things Science was ignited in secondary school when she was first introduced to Science subjects, she said: My passion for Science began in Eureka Secondary School, Kells, Meath where I studied Biology and Chemistry for my Leaving Certificate. "I fell in love with Science and enthusiastically continued my science education in Trinity College Dublin and graduated with a BA (Mod) in Biochemistry in 1998. Following Dr Rogers degree, she was offered a PhD in Bayer Health Care, Germany. Her research focused on examining the treatment potential of various drugs produced by Bayer for targeting devastating neurological diseases such as Huntington's and Alzheimer's disease. After she finished her Ph.D she remained in Bayer as a post-doctoral researcher until she moved back to Ireland in 2005 where she took up the offer of a second post-doctoral research position in the Trinity Centre for Health Sciences, AMNCH. Her research focused on pancreatic cancer gene expression profiling, using both human pancreatic tumour tissue and tumour cells grown from cell banks. Dr Rogers said of this research, because of the very poor prognosis associated with pancreatic cancer, we wanted to identify a genetic signature for this disease. We successfully identified a profile, and our research findings were presented at various conferences in addition to being published in peer-reviewed journal publications. In 2010, DkIT says it was delighted to welcome Annamarie as a Biopharmaceutical Science Lecturer. Dr Rogers said of her transition into education, my undergraduate supervisor in Trinity College once told me that the greatest pleasure in life is to teach and pass on your knowledge to young science students. "I still carry this sentiment with me; I strive to pass on, not only my knowledge, but also my passion for science. In 2011, Dr Rogers set up a small cell culture laboratory in DkIT. Over the following years she trained DkIT students in specialised cell culture techniques: The students were trained to thaw cells from liquid nitrogen, grow and passage cells and finally treat cells with different chemotherapeutic drugs. Over the past 12 years, I have had the pleasure and privilege of teaching some fantastic students. Many remain in contact with me, and it fills me with pride to follow their careers and their achievements. Dr Rogers is the Programme Director for the Biopharmaceutical Science course which involves the development and processing of biological drugs, for the targeted treatment of cancer and illnesses such as rheumatoid arthritis in humans, by artificially growing biological hosts (E. coli bacterial cells or mammalian Chinese hamster ovary cells) using cell culture technologies. She revealed the processes involved are more biological-based as compared to the more chemical-based processes associated with small-molecule pharmaceutical products. The Medical Biotechnology and Biopharmaceutical sectors are among the fastest growing in the Life Sciences area both nationally and internationally. Annamarie added, Im extremely excited about the new cell culture facility in our new building in DkIT. Skills in cell culture are readily transferable: once trained, a student can really culture any cell, primary or secondary, human or animal derived. "This will provide our students with an invaluable skill set and increased career opportunities. Graduates from our programme have careers in Biopharma Manufacturing, Quality Control, and Research and Development in a range of Bio- Industry sectors. Some of our students continued their studies and undertook a Masters or a PhD programmes in Biology-related disciplines in Ireland or abroad. Bank of Ireland has apologised after a technical issue with its services led to some customers withdrawing or transferring more than what was in their accounts. Queues formed at ATMs in Dundalk and across Louth last night as people rushed to withdraw funds that weren't in their accounts. Gardai went to the local ATMs in the county to clear queues and prevent access to the ATMs. The bank said its app and and online services are working again and any transfers or withdrawals will appear in their accounts during the day. It encouraged any customers who find themselves in financial difficulty as a result of the fault to get in touch. Large queues built up at the banks ATMs in parts of the country on Tuesday and messages on social media encouraged people to withdraw cash despite not having funds in their accounts. Following the outage yesterday, the App and 3650nline are back working again. Overnight payments to accounts may appear throughout the day. We sincerely apologise for the disruption this outage caused we know it fell far below the standards our customers expect from us. Bank of Ireland (@talktoBOI) August 16, 2023 An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. In a statement on Wednesday, the bank said: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. Politicians have criticised Bank of Ireland after its online services crashed on Tuesday, leading to people withdrawing or transferring more money than they had in their accounts. Elected representatives have also drawn attention to massive profits being made by Bank of Ireland more than one billion euro in the first half of this year and called for greater reinvestment in its IT systems. Minister for Finance Michael McGrath has asked Bank of Ireland and the Central Bank to look into the outage. Bank of Ireland (BOI) has sincerely apologised to its customers for the disruption caused by a technical issue. The Central Bank said it sets out to get a full account of outages whenever they occur in the financial system. It said: We expect this process will include understanding the root cause of the recent service disruption and what BOI is doing to avoid further disruption for BOI customers. Where issues occur which impact on customers we expect banks to rectify the issues urgently. We require banks to put things right where they have made errors or cause customer harm. Fianna Fail MEP Billy Kelleher said that Irish banks were taking its customers for granted while Sinn Feins finance spokesman Pearse Doherty said the incident would inflict lasting reputational damage on the bank. Mr Doherty also asked questions about why gardai were deployed to ATMs as people queued up to try and withdraw sums above what they had in their bank accounts. This is the latest in a series of IT failures at Bank of Ireland that have impacted its customers, he said. Citizens and businesses are reliant on the proper functioning of technology systems to deliver financial services. What is required is a full investigation into what has taken place, and a wider review of the IT systems that our banking sector operates, and which our communities and businesses rely on. Other questions need to be answered including whether Bank of Ireland made contact with An Garda Siochana to deploy resources to local ATMs, and if there was any awareness at Government level over these deployments. He added: Sinn Fein, citizens and customers will rightly ask why these exceptional profits are not being invested in areas that improve customer services. This is a bank that this Government allows to reduce the corporation tax it pays to nil by carrying forward historic losses. These types of IT failures have become a regular occurrence it is not acceptable. This latest debacle, which will inflict lasting reputational damage on Bank of Ireland, raises serious questions over its investment in its own systems and customer service at a time when its profit margins have soared. Mr Kelleher, a member of the Economic and Monetary Affairs (ECON) Committee, said it was not acceptable that customers could not access their accounts on Tuesday. He called for an investigation into the debacle and said the Central Bank needed to up its game and start actively protecting Irish consumers in this sort of climate. He also said that it illustrated a failure of Irish banks to invest properly in ICT, or information and communications technology. Mr Kelleher said: What is abundantly clear is that Irish banks have not invested in ICT and customer-focused IT solutions. For example, we are still without instant transfers between Irish bank accounts meaning Irish customers must rely on non-Irish banking services such as Revolut. Our banks are making massive profits. While I have called for a windfall tax on these profits, the banks should also be heavily investing in their customer service systems to catch up with the services being provided by non-Irish banks. The Irish banking sector is taking Irish customers for granted. They have a near monopoly with little or no hope of another big, high street bank moving into the Irish market. IF you want to find love and a soul-mate, why not take a hike? A Cork brother and sister team have set up a walking group with a difference - as it aims to help single people connect with other like-minded singletons. The hope is that the great outdoors will breathe life into peoples love lives. Nathan and Cora Kingerlee want singles to lace up their boots and take their first steps to love with a new dating group with a difference. How was the romantic idea hatched? The idea came about as there is the odd speed dating event in the cities, but there arent many opportunities for meeting people in Ireland beyond using dating apps, especially in rural Ireland, says Cora. I got chatting with my brother Nathan, who lives and operates in Glengarriff, and who is CEO of Outdoors Ireland. We came up with the plan for a hike, specifically for singles as a simple solution for this modern-day issue. A fun, outdoorsy way to meet like-minded people and potentially that someone special. The hope is that fun new activities amid spell-binding landscapes and beautiful scenery will spark a sense of adventure and romance. With feelgood endorphins pumping and the escape from the anxieties of modern life, in good company; who knows what might happen? Exactly! says Cora, who runs a holistic wellness business and who works as a psychic healer, reiki master, and spiritual mentor. Cora Kingerlee, who has set up a singles hiking group with her brother, Nathan. She is in tune with nature and in tune with the senses. I run a reiki clinic form Brosnans Pharmacy in Kenmare and Parknasilla Resort in Sneem, said Cora. I also take clients online, run online personal development courses, host retreats, cacao ceremonies and reiki training. Cora feels that there is a need these days for an alternative, fun, healthy way to meet your soul-mate. Where better and more conducive way to find love than in swoon-worthy spots, acting as back-drops to ignite romance? People, while enjoying the great outdoors, can take the opportunity to reopen the channel of communication, undisturbed by the hectic pace of modern life. There is a need for this as, in the times that we live in now, there has been such a rise in using our phones for everything, said Cora. I felt an authentic, real, face-to-face space needed to be created for singles; to get out there and meet new people. It is easy for love to blossom amid the beauty of Mother Nature. There are fewer distractions and people can soak up the good company in breathtaking surroundings, forming bonds and friendships. Some people choose to remain single, dont they? Being single can be a choice, said Cora. But it can also be because people have limiting beliefs, fears, or unconscious blocks around meeting someone. Some people love dating apps and things like that, but some need a more real, old-fashioned, simple space to meet someone, and I think that is what we are creating in introducing singles hikes. Cora is a good guide to forming meaningful relationships. I work and mentor people through change and transformation in this time of great awakening on earth, as the vibration of the planet and human consciousness is rising and we watch the old systems and ways crumble before us, she said. I guide people back to their hearts and back home to themselves, teaching them to quieten the mind and the extended noise so they can really hear their inner guidance and intuition, and discover who they really are; who they were before the world told them who to be. But, when it comes to heterosexual couples, men are from Mars and women are from Venus, sometimes worlds apart; are they not? Cora laughs and agrees there should be a meeting of minds. These hikes will appeal to singles, those who have tried online dating, and its not for them. Those who would like to meet someone in a relaxed outdoorsy, fun setting. What better place than Mother Nature? What is the current dating scene in Ireland like? Society has gone very logical, very in the ego/thinking mind, said Cora. People are busy, they have no time, quick swipe, right to left, dismissal then, and thats it. There is a need for people to come back into their hearts more, to move from the head down into the heart and to actually create the time and space to meet someone, and also do inner work that may be required - aka, moving through inner beliefs, and anxieties they may have around meeting a partner, a divine counterpart. Its my belief that when we come into divine unison within ourselves, we will then be a vibrational match to come into union on the external also. Every single person we meet has something to teach us, explained Cora. Some are karmic relationships, trauma bonds which will teach us valuable lessons and mirror back parts of ourselves we need to heal. Some are short-term; some are for the long haul. Its all for our learning, highest good, and soul growth. If you do want to meet someone, these hikes will be a beautiful way to perhaps step out of your comfort zone and let your heart lead the way. The hikes are completely open to everyone, said Cora. Whoever shows up on the day, shows up. Trust that you will meet the people you are to meet. The possibilities are promising. Perhaps its a wonderful new connection that comes out of it, said Cora. Perhaps its a remarkable conversation and a new friend. Whatever it is, it will be perfectly in divine orchestration, just for you. Nathan Kingerlee is a great fan of the outdoors, and is hoping the singles treks he is organising will help people find love Nathan will try to source the guys. It has always been a constant issue to find males, he said. Girls make the effort and take the plunge. Guys tend to hang back. And drag their feet? Yes, they often do, said Nathan. I cant picture guys telling their mates they went on a singles weekend, for instance. Will the hikes appeal to male, fun-loving, nature-lovers? I hope so, said Nathan. I did a quick Instagram post, and the feedback was to tear away with it. Nathan has worked, mountaineered, climbed and kayaked throughout Ireland and Scotland, and has been a professional instructor and guide since 2001. He has also skied and rock-climbed in the French Alps and in Spain, and embarked on multi-day solo sea kayaks. But has he ever undertaken a project like this before? I ran a two-day outdoor event before, said Nathan. There was one marriage out of it and a couple of couples got together. Well see how this goes, a simple hike in the hills and mountains, getting away from phones and screens, with less formality involved, should prove a good grounding for relationship forming and bonding. Nath and his sister really want to reach out and help couples meet. Its not really a money-making project, said Nathan. Its more a hiking group. The local pub may no longer hold a place in peoples hearts when it comes to finding love. People who dont go for pints on a Friday night will find meeting in the great outdoors a good alternative, said Nathan. In recent times, I found walking groups and fun runs gain momentum where forming friendships are concerned. When will the hikes take place? The hiking trips will run monthly on a Sunday morning, meeting at the Eccles Hotel in Glengarriff at 9.30am and then car-pooling and heading up to the hills around Glengarriff. The hikes are beginner-friendly, although some basic fitness is needed. Hiking boots with ankle support are essential, said Nathan. The next autumn date will be announced soon on the Facebook page. People can join us there for updates. See https://www.facebook.com/groups/singleshikinggroup Also, follow Cora on Instagram for more information. https://www.instagram.com/iamcoraowan/. TWO Cork towns have been selected as part of a 20m project which aims to restore the quality of local rivers. The Waters of Life strategic project will see the water quality in the Shournagh River near Blarney and the Awbeg River near Kanturk return to pristine condition. Managed by the Department of Housing, Local Government, and Heritage, the strategic project involves 16 partners including government departments, State agencies, local authorities, and local development companies, with an overall budget of 20,369,805. Of that funding, 9.5m has been committed by the European Union. The aim of the project is to reverse the long-term trend of decline in Irelands high status waters. High-status rivers are those considered to be in pristine condition and rich in biodiversity and Ireland is one of a small number of EU member states that still has a number of high-status water bodies. However, the number of remaining high-status sites has declined from 31.5% (1987-1990) to 19.9% (2017-2020), representing an almost 37% decline in number according to EPA data. Speaking at the project launch, Minister of State for Heritage and Electoral Reform Malcolm Noonan, said: The ongoing loss of high-status waters is among the most concerning, protracted, and persistent water quality trends in Ireland. High-status water bodies are a precious resource and it is imperative that we not only halt, but reverse their decline. The six high status rivers selected for this scheme and the communities, industries and local economy surrounding them will benefit greatly from the implementation of locally tailored solutions to be delivered through this scheme. No doubt many learnings will be found and can be applied to our future efforts to preserve and improve our high-status waters. The decline of water quality along the Shournagh River in recent years is believed to have been caused by agriculture, hydromorphology, domestic wastewater, and urban runoff, according to recent fieldwork carried out for the project. Similarly, significant pressure has been placed on the Awbeg near Kanturk due to agriculture and hydromorphology, meaning the physical features of the environment that is holding the water in place has comprised the water quality. The Island River in the Galway/Roscommon area near Ballymoe, rivers in the catchment of Lough Graney, Co Clare, the Avonmore, Co Wicklow, and the Sheen in Kerry will join the two Cork rivers as part of the scheme. Key objectives of the Waters of Life strategic project up to 2028 will be to increase understanding of the causes of status change in these types of rivers, which can be sensitive to even minor land management changes within a catchment. It also aims to enhance public awareness of the ecology, ecosystems, and natural capital of high-status waters and their catchments and to develop locally tailored solutions in consultation with local landowners and communities. This will include development of a results-based agricultural payments scheme, which will be implemented in three of the six catchments. Minister Noonan concluded: With 16 partners involved, this project will be highly collaborative in nature and the shared expertise among these partners will bring great benefit to the scheme. I also look forward to working together with the communities and landowners in each of the selected regions to bring out the best in these rivers. Latest: Bank of Ireland has apologised after a technical issue with its services on Tuesday that meant its app was unavailable and which led to some customers withdrawing funds above what was in their accounts. The bank's app and and online services are back working again on Wednesday, it said. "Yesterday a technical issue impacted a number of Bank of Ireland's services," the bank said in a statement on Wednesday. "Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. "These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. "We sincerely apologise for the disruption this outage caused - we know we fell far below the standards our customers expect from us." Earlier: Bank of Ireland has warned customers that money withdrawn from their accounts while its online and app services were down will still be debited from their accounts. It comes amid reports of some users being able to withdraw money beyond what they expect to be in their account. An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. It said it was also aware of issues relating to banking services on Tuesday. A Bank of Ireland spokesperson said: We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn." An Garda Siochana also said it would remind people of their personal responsibility in carrying out their personal banking. A spokesperson for banking technology firm Revolut told PA it was looking into claims that excess amounts of money had been transferred into customers accounts from Bank of Ireland. Sinn Feins spokesperson on Enterprise, Trade and Employment has said Cork City Council needs to ensure that library staff have a safe place to work. On a recent visit to Cork, Deputy Louise OReilly told The Echo that library staff have a legal entitlement to safety in their workplace. Ms OReilly was speaking the context of a months-long campaign carried out by far right activists who have targeted Corks Central Library in opposition to LGBTQ+ young adult reading material available in the library. The union Forsa, which represents the majority of civil and public servants, has accused Cork City Council of a dereliction of duty and has threatened industrial action over what it said was the councils failure in its duty of care to its staff. Ms OReilly, who is Sinn Feins spokesperson on Enterprise, Trade and Employment, said what was happening to staff in Corks central library was, first and foremost, about safety in the workplace. The Safety, Health and Welfare at Work Act is one of the strongest pieces of legislation that we have and its there for a very good reason, it places an obligation on the employee, the worker themselves, also it places an obligation on their employer, she said. People are entitled to have a safe place to work, this actually has a lot less to do with politics, and a lot more to do with people's health and safety at work and thats the angle that Forsa have taken and the argument that they're making, which I think is a very compelling argument. They have a legal entitlement to that safe place to work, and I think Cork City Council need to step up." Asked whether Sinn Fein needed to take a stronger stance against the far right, Ms OReilly said she did not believe so. I think we have taken a very strong stance and I think when you see visible displays, anti-far-right, you will always see Sinn Fein there, always, we have always stood with those people who are with people who are the victims of the far right, and a lot of the work that we do is door-to-door, conversation by conversation, she said. Big statements in the media are grand, but at the back of it all, what you need to do is you need to go into communities. My partys stand against the far right, we do it every day, not just when theres an issue, we do it every day, Ms OReilly said. Safety is 'paramount' Last month, Cork city librarian David OBrien told a meeting of Cork City Council that from the outset of the incidents at the library, he had contacted various people locally and nationally seeking advice on how best to respond. He said there had been ongoing discussions with senior management in city council. We have been working on it, we are working on it there is a process being put in place where we will have a procedure that goes with that, he said. Mr OBrien stated that several risk assessments have been carried out, and he stressed that the safety of library staff is paramount. At the June meeting of the Cork City Joint Policing Committee (JPC), Garda Commissioner Drew Harris said that the right to peaceful protest does not grant a right to intimidate or threaten, and gardai have a duty to intervene where people are subjected to intimidation. At that meeting, Ann Doherty, chief executive of Cork City Council, said the safety and well-being of council staff was the executives number one priority. DPP directions are awaited by gardai investigating an incident where a man in his thirties died in June two days after he suffered severe injuries following an alleged bottle attack in the centre of Cork city. Jason Butler (32) of Castleredmond, Midleton in east Cork was allegedly slashed in the throat on Grand Parade in the daylight incident which occurred shortly after 7.30pm on June 14 last. Aaron Babbington, of Churchfield Avenue in Cork city, appeared before Cork District Court on June 16 last charged with assault causing harm to Mr Butler 48 hours earlier. Mr Butler died in hospital a few hours after the hearing. Today at Cork District Court Sgt Gearoid Davis applied for a further remand in custody in the case to facilitate the obtaining of DPP directions. Judge John King remanded Mr Babbington (29) in custody until his next court appearance on August 30 next. Mr Babbington appeared in court today by video link. Emma Leahy, solicitor for Mr Babbington, said that her client consented to being remanded in bail until later this month. While the courts are closed for the month of August remand courts take place on Mondays, Wednesdays and Fridays. Meanwhile, when Mr Babbington was charged in connection with the offence in June gardai indicated that they they were objecting to bail on the grounds of the seriousness of the charge and possible interference with witnesses in the case. Det Garda Pat Russell gave evidence of arrest, charge and caution. He said that Mr Babbington made no reply to the charge was put to him under caution. Det Garda Russell alleged that Mr Babbington had been seen by several people assaulting Mr Butler whilst the incident had also been caught on CCTV footage in the area. I believe there is strong evidence that on June 14, 2023, at 7.38pm the injured party was in the company of the accused and his (Babbingtons) partner and that he was approached by the accused and stabbed in the neck with a broken bottle. He said that the incident happened in broad daylight in an area with a large number of pedestrians present, including children. Det Garda Russell said that Babbington was a danger to himself and other members of the public. He expressed his belief that Babbington would be incapable of attending court if granted bail arising out of his chronic alcoholism. Bail was refused in the case. Jason Butler passed away at Cork University Hospital. He was residing at SVP Deerpark House Hotel in Friars Walk in Cork. This is a support service which assists people as they attempt to move on from homelessness. Cork County Council has warned sunbathers to avoid a popular West Cork beach due to a rodent infestation. An emergency closure of Ballyrisode Beach, located just a fifteen-minute drive west from Schull village, has been issued following the appearance of a large number of vermin on the stretch of seaside. Cork County Council shared the news of the closure on their social media platforms at lunchtime, following reports from locals about vermin around the beachs car parks and in the wider area. With temperatures expected to reach 21 degrees and beyond today, according to Met Eireann, families and individuals have been advised to seek out other sandy shores instead. On this, a spokesperson for Cork County Council has said: Bathers are advised not to play or swim in the general vicinity of the rocky area near the car park due to a rodent infestation. Bathers are also advised to take caution on the beach and in the wider area. The Council are currently working to correct the infestation issue and have reported that a contractor has been employed in the area. An authorised contractor has laid bait on the beach and will continue to carry out inspections and lay bait as necessary until the issue has been resolved. THE best crowd we have had in years marked the Feast Day of the Assumption at the Holy Rosary Shrine on the Lee Road on Tuesday night. Last year the annual mass return for the first time since 2019 due to the Covid-19 pandemic. This years instalment was the 72nd mass held. Bishop John Buckley, Bishop Emeritus, Diocese of Cork & Ross with celebrants. Picture: Jim Coughlan. The Shrine Mass co-ordinator Colette Fitzpatrick was taken aback by the loyalty of the mass-goers. Lisa and Rosanna Looney, Kerry Pike. Picture: Jim Coughlan. It was the best crowd we have had in some years. It was a damp night and people still rallied around and are loyal to 15th of August [Shrine Mass]. There were people there saying they have been going for the last 40 to 50 years. For many people, especially elderly people, it is an annual event that they would never miss, Colette said. Cllr John Sheehan, Deputising for the Lord Mayor and his wife, Aedamar. Picture: Jim Coughlan. Bishop Emeritus John Buckley of the Diocese of Cork and Ross was the chief celebrant on the night. Terese Deasy and Fr. Sean O'Sullivan. Picture: Jim Coughlan. Fr Marius OReilly of St Peter and Pauls parish was the preacher on the evening. Priests from around the diocese were also in attendance. The much-loved Butter Exchange Band recited hymns throughout the mass. Josephine and Timothy O'Shea, Model Farm Road. Picture: Jim Coughlan. People who have been very loyal to the Shrine Mass is the Butter Exchange Band. They have not missed a year from many, many, many years. They gave a recital of the hymns before the mass, during the mass and after the mass as were the church choir. Colette Fitzpatrick, Shrine Coordinator and Paddy O'Brien. Picture: Jim Coughlan. The church choir was excellent, and they put a lot of work into their rehearsals for the event, Colette added. Those in attendance braced themselves for the potential harsh weather conditions by bringing wet gear. Aidan Looney and Tony Ahern, both Kerry Pike. Picture: Jim Coughlan. Mass-goers were extremely lucky as there was rain up until 7pm on the evening, but there wasnt a drop of rain during the ceremony. It wasnt a summers night, it was a bit cool, but the people including the elderly came prepared with their wet gear, she added. The shrine is a popular spot for those to pray, especially in the scenic surroundings of fresh flowers. Worshippers travelled from all over Cork city and county, as well as neighbouring border towns for the mass. The event was part of Corks Heritage of Faith. CALL it a glorified boys club, or an old fashioned guild full of arcane traditions such as peculiar handshakes and ritualistic ceremonies, but the Freemasons are no daws. They have managed to broker a disposal deal with Cork City Council which will see them take over and build onto 54 square metres of Bishop Lucey Park for 1. Yes, it wouldnt pay for a bus fare into town. Its a symbolic sum. (There will also be costs of 1,500 and VAT). The plan is to build a metal fire escape (the Freemasons have just a timber one at the moment) and a lift. The Freemasons Tuckey Street premises overlook Bishop Lucey Park to the rear. The men promise to open the building to the public more once the work is completed. The extension will cost in the region of 1million, which will be sourced from fund-raising as well as a loan from the Grand Lodge of the Freemasons. Often accused of secrecy, the Freemasons make an effort to reach out to the public with their weekly Friday coffee morning, which I went along to last week. Obviously, I havent been looking up much when on Tuckey Street because it was only when dropping into the premises (for the second time, having been in the building on Heritage Day some years ago) that I noticed the name at the top of the building. Its been there for a while, one of the men told me. But he admitted that they didnt always advertise themselves as there was a perception that the Freemasons were out to get at the Catholic Church. Traditionally Protestant in this country, the Freemasons are now a broader mix and ask of members that they profess belief in a supreme being. The dining room where coffee (instant) and biscuits (mostly chocolate) were served is a former shop. Its large, a little dark, with long tables and a donation box (because theres no such thing as a free coffee!). While I was there, there were three Freemasons sitting at the table. The conversation was the usual trying-to-establish-agendas that occurs when talking to new people, all the while trying not to come across as too nosey. I had read that Freemasons dont like to discuss politics or religion within the lodge. Which kind of puts paid to any sort of even mild controversy. We kept it light. I asked if the Freemasons will ever allow women to join the organisation. Women wouldnt want to join it, I was told. Originally formed as a guild for masons in the middle ages, the organisation was by its nature for and about men. Times have changed. But dont call the Freemasons misogynistic, said one of them: We dont hate women. Would women want to join mens sheds? Probably not. But mens sheds are as much about the mental health of older men as a place for them to practise traditionally masculine skills such as carpentry. The Freemasons, however, are more of a prosperous, elitist club (at least, thats the general perception) that are said to help prop up their members, should they fall on hard times. (They also raise money for charity.) It was only when I had left the Freemasons that I began to think about how its high time Bishop Lucey park was renamed. A couple of years ago, the Green Party made this proposal in response to the devastating mother and baby homes report. Bishop Corneilius Lucey was named in the report. He was at the helm of the diocese of Cork and Ross through the 1950s, 60s and 70s. A diehard conservative Corks equivalent of Dublins narrow-minded Archbishop John Charles McQuaid Bishop Luceys name is utterly inappropriate for one of the citys few green spaces. Now, with the Freemasons due to have a presence in the park, it is in danger of being associated even more with old fashioned values and associations. So what could it be called? Bishop Lucey Park is sometimes erroneously known as The Peace Park, but this name refers to the area at the junction of Grand Parade and the South Mall where the national monument, and the memorials to World War I and the Hiroshima and Nagasaki bombings are located. What about calling the space Corcadorca Park? It would acknowledge a cultural force that was memorable for the city, which closed its doors last year following three decades of making great theatre. Vivienne Clarke The chair of the RTE Board Siun Ni Raghallaigh has said that any decision about a return to air of Ryan Tubridy was a matter for the director general Kevin Bakhurst. The very lengthy and technical report by Grant Thornton pointed to governance failures within RTE along with deficiencies in internal management controls and failure, she told RTE radios News at One. Ms Ni Raghallaigh said she hoped to see a gradual change in culture, openness and transparency in RTE. When asked if the report vindicated Ryan Tubridy and could lead to his return to the airwaves, she said that was a matter for the director general. At the end of the day, that's ultimately his decision. On the issue of the licence fee and the sharp fall in income, Ms Ni Raghallaigh called for the recommendations of the Future Media Commission to be implemented. The licence fee model was a broken model. As a democracy, we value public service media and the importance of having a stable public service media. And the sooner we get back to that discussion, I think the better. Meanwhile, Seamus Dooley, Irish secretary of the National Union of Journalists described the Grant Thornton report into RTE as an arrow through the heart of the relationship between staff and management. It confirms my worst suspicions, he told RTE radios News at One. Trust is fundamental to the relationship between the public service broadcaster and its audience, but also the foundation of a relationship between an employer and its workers. And this was a deliberate attempt to conceal the truth. Mr Dooley noted that Ryan Tubridy and his agent had objected to attempts to conceal some payments to him in 2020. So there was a conspiracy here. While the report was predictable in some respects, it was absolutely devastating and staff at the station were in a state of intense anger. It was now obvious that there had been a deliberate attempt to suppress salaries, he said, so that it would appear that some people were earning less than they actually were, so that other people would take cuts." Trust had been broken, and it would be very difficult to restore, he warned. Mr Dooley welcomed the statement by the Chair of the Board of RTE. I think that the chair this morning has made a good start. I think the director general has made a good start. But this will not be easy. "If you fool me once, you know, it's bad enough. But we just really feel betrayed. And I couldn't overstate the level of anger in this organisation today. Podcast: Time fashion gets tough on Turkmen forced labour? WAKEFIELD The latest report from Cotton Campaign insists the time has come for the fashion industry to act conclusively on forced labour in Turkmen cotton fields, with human rights lawyer and researcher Allison Gill telling Ecotextile Talks that legal obligations must now be met. Speaking on behalf Cotton Campaign, an interdisciplinary coalition of human and labour rights organisations focused on cotton supply chains, Gill sits down with podcast host David Styles to discuss the past, present and potential future of Turkmenistans use of forced labour and the nations relationship with the global apparel industry. After a decade of what she regards as negligible progress in Turkmenistan, the human rights expert is realistic about the monumental challenge facing those who wish to engender real change but admits she hopes kernels of optimism may soon be evident if the fashion industry collectively acts. Publicly shunning Turkmen cotton and funding comprehensive supply chain due diligence wherever possible, the human rights expert asserts, is the minimum required to start the ball rolling on meaningful progress. Companies and businesses should absolutely not be using Turkmen cotton goods They have a legal obligation not to do so, Gill argues, before contextualising the reality in Turkmenistan comparative to other nearby controversial cotton-producing hotspots such as Uzbekistan and Chinas Xinjiang region. Despite the latest report describing children as young as eight being compulsorily engaged in physically gruelling work, Gill admits that a key discussion among the Cotton Campaign partner organisations was how to ensure the publication was able to convey the information so that it grabs people's attention. She also labels the newest findings ground-breaking, given that much of the information was sourced by monitors working undercover in the secretive Eurasian nation risking their freedom or even lives to do so. To hear the full, wide-ranging conversation with Allison Gill and lots of other episodes featuring leading figures from the apparel sector, subscribe to our podcasts on Apple, Google, Spotify and Amazon Music. All subscribers automatically get alerts when we launch every new Ecotextile Talks podcast. Founded in 2005 as an Ohio-based environmental newspaper, EcoWatch is a digital platform dedicated to publishing quality, science-based content on environmental issues, causes, and solutions. Lead claimant Rikki Held, 22, confers with members of Our Children's Trust legal team before the start of the nation's first youth climate change trial at Montana's First Judicial District Court on June 12, 2023 in Helena, Montana. William Campbell / Getty Images A ruling in favor of a group of young plaintiffs who had claimed Montana state officials had violated their right to a healthy environment has received a sweeping win that gives more ammunition to tackling the climate crisis. One of the lawyers for the 16 plaintiffs, who are five to 22 years of age, called the ruling a game-changer. As fires rage in the West, fueled by fossil fuel pollution, todays ruling in Montana is a game-changer that marks a turning point in this generations efforts to save the planet from the devastating effects of human-caused climate chaos, said Julia Olson, the founder of legal nonprofit Our Childrens Trust, which brought the case on behalf of the young challengers, reported The New York Times. In the case, the plaintiffs claimed Montanas fossil fuel policies contributed to climate change in a way that directly affected their lives. By doing so, they also violated state constitutional provisions that guarantee a clean and healthful environment to the citizens of the state. Im so speechless right now, said Eva, a plaintiff who was 14 at the time of the filing of the suit, as The Guardian reported. Im really just excited and elated and thrilled. Judge Kathy Seeley who decided the case said that the state of Montana was contributing to climate change by prohibiting government agencies from taking climate impacts into consideration when deciding whether to approve energy projects. Olson brought the suit along with McGarvey Law and Western Environmental Law Center in the trial that ended on June 20. In a sweeping win for our clients, the Honorable Judge Kathy Seeley declared Montanas fossil fuel-promoting laws unconstitutional and enjoined their implementation, said Melissa Hornbein, an attorney at the Western Environmental Law Center, as reported by The Guardian. The ruling will not stop the state from burning fossil fuels or prevent mining, but it will result in the reversal of the recently passed Montana law prohibiting state agencies from considering fossil fuel pollution that contributes to climate change, CNN reported. Plaintiffs have a fundamental constitutional right to a clean and healthful environment, which includes climate as part of the environmental life support system, Seeleys order said, as reported by CNN. Young people in the U.S. had previously filed climate crisis cases, but none had made it to trial. Today, for the first time in U.S. history, a court ruled on the merits of a case that the government violated the constitutional rights of children through laws and actions that promote fossil fuels, ignore climate change, and disproportionately imperil young people, Olson said, as CNN reported. This is a huge win for Montana, for youth, for democracy, and for our climate. More rulings like this will certainly come. Founded in 2005 as an Ohio-based environmental newspaper, EcoWatch is a digital platform dedicated to publishing quality, science-based content on environmental issues, causes, and solutions. Hot ocean temperatures affect sea turtles development and hatching success while they nest in the sand along shorelines. The Turtle Hospital In July, a historic marine heat wave descended upon the Florida Keys. Unprecedented coral bleaching ensued within the Florida Keys National Marine Sanctuary (FKNMS), which boasts the largest coral barrier reef within the continental United States. With high temperatures predicted to persist until October, scientists worry about potential harm to the entire ecosystem. Historic Ocean Heat Beginning in mid-July, sea temperatures in the Gulf of Mexico and Caribbean Sea were up to 3.5F warmer than usual, noted a Mission: Iconic Reefs (MIR) fact sheet emailed to EcoWatch. In South Florida, the marine heatwave hit a record-breaking 95F the warmest ever since recording began. One water temperature buoy, in nearby Everglades National Park, even hit a hot tub temperature of 101.19F with other triple-digit reads nearby. The level of warmth we are seeing today is only possible because of the warming over the past 150 years due to human activity, said Dr. Zeke Hausfather, a climate scientist at nonprofit research institute Berkeley Earth, The New York Times reported. As the planets largest heat sink, the ocean has absorbed more than 90% of the excess heat generated by human activities like deforestation and the burning of fossil fuels. The more we burn fossil fuels, the more excess heat will be taken out by the oceans, which means the longer it will take to stabilise them and get them back to where they were, Copernicus climate scientist Dr. Samantha Burgess told BBC News. 2023s Early and Widespread Bleaching Notably, average sea temperatures for this time of year should range between 73F to 88F, the fact sheet said. Critically, 23F to 84F is optimal for reef-building corals, the coral restoration team noted, and even a 1.8F rise in temperature can cause coral bleaching. Corals are colonial animals that contain symbiotic algae within their tissues called zooxanthellae. The latter provides corals with their namesake color and over 85% of their energetic needs by photosynthesizing. After several weeks of adverse ocean conditions such as during the current marine heat wave corals will expel their symbiotic algae to conserve energy. This causes the corals to appear whitish, or bleach, and struggle to meet their energetic needs. An endangered elkhorn coral on Sombrero Reef died from the extreme heat. White areas are bleached while orange are tissue sloughing off. Coral Restoration Foundation. According to NOAA, this years bleaching event in FKNMS is considered a mass bleaching because it covers hundreds of kilometers or more and was driven by prolonged anomalously warm ocean temperatures. While patchy and site-specific, bleaching was reported throughout the Florida Keys in both wild and restored corals, as well as within in situ restoration nurseries. The Coral Restoration Foundation reported the unimaginable 100% coral mortality of restored corals on Sombrero Reef, a restoration site theyve been working on for over a decade, and the loss of almost all the corals in their Looe Key Nursery in the Lower Keys. Its honestly really depressinggut-wrenching, heartbreaking, soul-breaking kinda stuff, said Andrew Ibarra, a NOAA-Affiliate MIR marine stewardship and monitoring specialist. Ibarra found iconic Cheeca Rocks, his favorite reef, completely bleached out. He reported only one species of coral not completely stark white. Additionally, snorkeling during the height of the heatwave, he witnessed all the brain corals, boulder corals, staghorn all outplanted, all recently dead. A large boulder brain coral at Cheeca Rocks was alive this year on June 10 (top) and dead and covered in algae by July 23 (bottom). Andrew Ibarra While the Florida Reef Tract has bleached mildly annually since 2011, paling and bleaching in FKNMS to this degree occurred weeks earlier than usual. Bleaching doesnt always lead to death, but can if conditions dont improve quickly enough. The coral is essentially starving until temperatures lower and symbionts recolonize within coral tissues, the MIR fact sheet said. Furthermore, heat stress makes corals more susceptible to coral diseases, and MIR partners have observed high levels of disease at many reefs. Heat and Hypoxia High temperatures arent the only worry. Heat does a lot of things besides causing fish to overheat and die, explained Ross Boucek, Florida Keys initiative manager at Florida Keys nonprofit Bonefish and Tarpon Trust (BTT). Warm water holds less oxygen than cool water, and this years extreme temperatures have led to hypoxic water conditions in many areas. According to NOAA, hypoxia refers to low or depleted oxygen in a water body. Small and tropical fish died from overheating and/or hypoxic conditions. Will Benson / Bonefish and Tarpon Trust All plants and animals need oxygen to live, said Florida Fish and Wildlife Research Institute program manager Tom Matthews. Therefore, hypoxic areas become dead zones when oxygen levels decline below the levels needed by species to live. In these areas, life cannot be sustained. The hot water also promotes the growth of phytoplankton and algae that deplete the waters oxygen levels at night and smother corals and sponges, he added. Finally, heat can also kick bacteria and other microbes into overdrive. This increases the nutrient load in the water, which then causes algae blooms that further decrease oxygen, Matthews added. Devastating Effects on Other Marine Life Other marine species have shown signs of heat stress and suffocation due to hypoxia. Matthews explained, The hot still waters cause a cascade of disturbances that harm numerous species in the nearshore hardbottom habitat. In mid-July, paddle boarders and boat captains reported dead fish and disintegrating sponges floating inshore and offshore presumably overheated or suffocating in deoxygenated water. Seagrasses are stressed, conchs are dying, soft corals (gorgonians) are disintegrating and harmful algae blooms are becoming more frequent, local scientists reported. The giant barrel sponges that are so iconic on Florida reefs are one of the species that are susceptible to bleaching and could experience fatal losses of their symbiotic algae during this heat event, said Bobbie Renfro, a Florida State University Ph.D. candidate studying sponges in the Florida Keys and Panama. The latter is currently also in a catastrophic bleaching event, and Renfro observed whole populations of sponges [there] that are bleaching right alongside the corals and then proceeding to disintegrate. A finger sponge secretes a thick mucus as a last defense against the heat. Tom Matthews / Fish and Wildlife Research Institute Sponges are the unrecognized water pumps and super filters of our marine ecosystem, added Matthews. They, along with corals and seagrasses, also serve as critical nursery habitats for many important fish and marine life species. Therefore, catastrophic losses of these could cause a cascade of ecological harm. In a nutshell, it isnt just the heat, but the combination of all of these things that dictate what species die, and where mortalities are most intense. It is hard to say what the worst-case scenario is because we havent ever had anything like this, Boucek said. The Fall Forecast: Continued Heat Scientists hope that the worst of the heat peaked in July but are still concerned about how long it will last. In fact, this is the longest-lasting marine heatwave in the region since 1991, the MIR fact sheet reported. It called the heat remarkably persistent, with a 70-80% chance that extreme ocean temperatures will continue until October. The El Nino will also continue to bolster the extreme ocean heat. If the heat drives coral, sponge and other ecosystem mortality, the coral reef habitat in the Florida Keys could begin to erode. This would mean the loss of ecosystem services upon which humans rely: food, storm protection, tourism and biodiversity. The biggest unknown from this heatwave is what it could do to our habitats, said Boucek. We are in uncharted territory with this heat, so it is hard to predict what our systems will look like on the back end of this. Saudi Arabia's Tanmiah Food Company reports strong half-year 2023 revenues Saudi Arabia's Tanmiah Food Company has announced robust half-year revenues of SAR 983.1 million (~US$262 million; SAR 1 = US$0.27), marking a substantial 26.6% increase compared to SAR 776.7 million (~US$207 million) during the same period last year, driven by impressive performance across all segments, especially in fresh poultry sales, Gulf Business reported. Notably, fresh poultry sales, constituting a significant 84% of total revenues, showcased a 22.2% year-on-year rise in H1 2023, surging from SAR 675.9 million (~US$180 million) to SAR 825.7 million (~US$220 million), reinforcing the company's dominant position in the poultry market. Tanmiah attributed the increase in revenues to both escalating sales volumes and an expansion in production capacity. The company's food franchise operations also made a notable contribution, with revenues rising significantly from SAR 9.8 million (~US$2.6 million) to SAR 37 million (~US$9.8 million). Tanmiah's impressive financial performance was further reflected in a 2.2% year-on-year growth in half-year profit, reaching SAR 32.4 million (~US$8.6 million) in H1 2023 compared to SAR 31.7 million (~US$8.4 million) in the same period of 2022. Conversely, the cost of sales escalated by 26.8% year-on-year, totalling SAR 757.2 million (~US$201 million) for the first six months of 2023 compared to SAR 597.1 million (~US$159 million) in the preceding year's corresponding period. This rise can be attributed to the company's efforts in ramping up production and expanding its market reach. The group's earnings before interests, taxes, depreciation, and amortization (EBITDA) experienced a significant uptick to SAR 119.2 million (~US$31.7 million) in H1 2023 from SAR 82 million (~US$21.8 million) in the prior year. This growth was largely underpinned by the robust revenue performance, which managed to outweigh the 12.7% increase in operating costs. Tanmiah concluded the first half of the year with a cash position of SAR 285 million, (~US$75 million) showcasing a positive upward trend from the SAR 267.1 million (~US$71.2 million) recorded as of December 31, 2022. The company also significantly elevated its capital expenditures from SAR 41 million (~US$10.9 million) in H1 2022 to SAR 71 million (~US$18.9 million) in the current year. The company's future focus involves increasing primary processing, feed milling, and hatching capacities, alongside expanding its food franchise operations. This strategic move aligns with Saudi Arabia's wider plan to invest US$20 billion by 2035 to bolster the domestic food sector and ensure food supply chain stability. Tanmiah's visionary business model positions it for continued growth not only locally but also regionally and globally. The company's collaboration with Tyson Foods and the Public Investment Fund's Halal Products Development Company further underscores its commitment to fortifying Saudi Arabia's food security goals and global Halal leadership. Industry reports suggest a promising future for Tanmiah as the global Halal food market is projected to experience an estimated Compound Annual Growth Rate (CAGR) of 10.8% during the period from 2023 to 2028. - Gulf Business New Zealand beef breeders urged to nominate sires for beef progeny test programme New Zealand's Angus, Hereford, and Simmental bull breeders have been urged to nominate sires are for the Informing New Zealand Beef (INZB) programme, which aims to identify bulls for the upcoming mating season at Pamu's Kepler farm near Te Anau, located in the southern part of the country, as well as at Lochinver Station near Taupo, in the centre of New Zealand's North Island, New Zealand Herald reported. Dr Jason Archer, genetics specialist at Beef + Lamb New Zealand and the INZB Science Lead, underscored the significance of the beef progeny test (BPT) in enabling direct comparisons between breeds and bulls. This initiative establishes a level playing field for evaluating promising bulls while shedding light on the distinct attributes and shared benefits of hybrid vigour. Emphasising the broader implications of the BPT, Archer highlighted its role in bolstering New Zealand's beef industry for the collective benefit of all farmers involved. Bull breeders selected to participate in the BPT will receive comprehensive insights into the performance of their bull's progeny, including vital processing data in the case of steers. The INZB BPT builds upon the wealth of data accumulated from the previous beef progeny test, spanning from 2014 to 2020, which encompassed numerous large-scale commercial cattle operations across the nation. Incorporating new perspectives, Lochinver Station joined the programme as a host farm for progeny testing last year. In January of the current year, approximately 600 Angus cows at the expansive 9500ha sheep and cattle farm were artificially inseminated using bulls of the Angus, Hereford, and Simmental breeds. Steve Smith, business manager at Lochinver Station, highlighted the dual benefits of the farm's participation in the INZB programme. He noted the farm's forward-looking stance on beef production and its integral role in contributing to the advancement of New Zealand's beef industry. With a commitment to enhanced efficiency, the program provides valuable insights into growth, fertility, and carcass traits. This data empowers on-farm decision-making, enabling the identification of optimal bull breed combinations to generate more resource-efficient cattle through hybrid vigour. Notably, Lochinver's inclusion in the programme marked a significant milestone, as it introduced Simmental genetics to the BPT for the first time. While Simmentals are often employed as terminal sires in New Zealand, Archer pointed out that the breed plays a maternal role in crossbred cows in other global regions. The Kepler farm, on the other hand, presents a unique dynamic with Hereford and Angus cows coexisting and crossbreeding being explored in both directions. New Zealand bull owners are invited to participate in the initiative by nominating their bulls via the online nomination form. The nomination window will close on August 25. - New Zealand Herald Singapore eyes role as aquaculture technology hub for tropical marine regions As Singapore sets its sights on bolstering fish production for food security, the nation is primed to leverage its aquaculture technology by exporting its expertise to neighbouring countries and other tropical marine regions, The Straits Times reported. Dr Jiang Junhui, director of the Singapore Food Agency's aquaculture department, highlighted the country's strengths in intensive indoor farming systems, hatchery expertise, and genetics-based selective breeding. These technologies are especially targeted at enhancing the growth and quality of tropical marine fish species like Asian sea bass (barramundi). Speaking at the Marine Aquaculture Centre (MAC) on St John's Island during MAC's 20th-anniversary celebration, Dr Jiang said there is potential for Singapore to become an R&D hub for sustainable tropical aquaculture. He said that the nation's aquaculture companies could play a pivotal role in exporting these innovative technologies and solutions to address global aquaculture challenges. Dr Jiang pointed out the reliance on small-scale farming and the depletion of fish stocks in neighboring countries, as well as the limitations of selective breeding for popular tropical species. The need for increased aquaculture is evident due to stagnant captured fisheries and limited freshwater resources. However, as the aquaculture industry expands, it also faces challenges related to disease, sustainability, and efficiency. In 2022, Singapore's farms, both land-based and sea-based, contributed just 7.6% of the country's seafood consumption. While the local aquaculture industry is still emerging, the nation has demonstrated significant strides in aquaculture research. One notable success story is the Asian sea bass genetics and breeding programme led by MAC and the Temasek Life Sciences Laboratory. This programme, initiated in the early 2000s, has yielded genetically superior sea bass with accelerated growth rates and disease resistance. Despite progress, challenges persist in the industry, including higher mortality rates and sea-based diseases. The scale drop disease virus prompted the Barramundi Group to halt commercial production at its ocean-based sites. Local Singapore firms, such as the Barramundi Group and Singapore Aquaculture Technologies, source their barramundi eggs from MAC's hatcheries. - The Straits Times China raises concerns over crop and animal disease outbreaks in flood-affected regions As floodwaters recede in rural northern China, warnings of potential crop and animal disease outbreaks emerge alongside the task of restoring drinking water supplies following severe flooding, the worst in over sixty years. Hebei province, bordering Beijing, faced a deluge last week, caused by storms in the wake of Typhoon Doksuri. This affected autumn crops and agricultural equipment. Tang Renjian, Chinas Agriculture Minister, inspected affected areas, urging local authorities to prevent disease outbreaks resulting from dead animals, pests, and insects. He also emphasised the need to reduce waterlogging and drain floodwaters from fields to minimise crop loss and safeguard winter wheat planting. Tang said that agricultural and rural departments should assess farmers' disaster situation, help them overcome practical challenges, and prevent disaster-induced poverty, in a statement on the ministry website. In Zhuozhou, the area hardest hit in Hebei, workers sprayed disinfectant in populated areas to curb disease spread. Meanwhile, disrupted water pipes and wells in areas like Shijiazhuang left thousands without clean water. The Ministry of Water Resources initiated an emergency response, establishing supply points and deploying water trucks to restore drinking water, a crucial move in the midst of this multifaceted recovery. Even in Beijing, with a death toll of 33, a team of nearly 600 races to restore water supplies in a rural district, underscoring the urgency of recovery efforts. - Reuters BEIJING, Aug. 15 (Xinhua) -- Since 2014, China has witnessed rapid development in rural e-commerce, driven by the country's increased support for this sector, according to the Ministry of Commerce. By the end of last year, China's rural internet users exceeded 300 million, Li Gang, a ministry official, told a press conference on Tuesday. The country's online retail sales in rural areas surged from 180 billion yuan (about 25.08 billion U.S. dollars) in 2014 to 2.17 trillion yuan in 2022, Li added. E-commerce has brought farmers closer to the market and promoted entrepreneurship and employment in rural areas. In 2022, online retail sales of agricultural products in China reached 531.38 billion yuan, up 9.2 percent year on year. By the end of last year, the number of rural online businesses exceeded 17.3 million, Li said. He added that the ministry will focus on comprehensively promoting rural revitalization, promoting rural e-commerce as an important part of county-level commerce, and advancing the high-quality development of rural e-commerce in the next step. The Chinese government on Monday released a three-year action plan with the goal of strengthening the nation's county-level commerce system further. The action plan seeks to promote the integrated development of urban and rural areas of China and rejuvenate the rural regions of the country. SAFFA Festival raising funds for Isle of Man Food Bank A contingent of South African and Manx festival participants. A percentage of ticket sales will be donated to the Isle of Man Foodbank from the SAFFA festival to be held next month. Isle Settle, in partnership with the Isle of Man Chapter of the SA Chamber of Commerce UK, has announced the first-ever SAFFA Festival, sponsored by Standard Bank, is to be held on September 23. The celebration of South African culture, food, music, and lifestyle will be held at The Nunnery, culminating in a screening of the highly anticipated South Africa versus Ireland rugby match. The festival's programme includes a family-friendly tag-and-touch rugby tournament, and a Local is Lekker (nice)' market, featuring local and South African artisans, crafters, makers and bakers. Lee Francis, Chief Executive and Island Head for Standard Bank Isle of Man, said: We are delighted to be able to support this event that brings together the whole Isle of Man community to celebrate the presence of African culture locally. As a member of the Standard Bank Group, Standard Bank Isle of Man keeps our African connection pivotal to all that we do, Africa is our home and we drive her growth. It is pleasing to be able to support uniting the energy of our Groups African heritage with that of our Manx heritage here on the Island, synergising both rich cultures in one place. Post Office's Bank Holiday operations for August 2023 Isle of Man Post Office (IOMPO) have announced the following operational changes for the August Bank Holiday. There will be no deliveries or collections on August 28, however the Treasury have advised that pensions and allowances due for payment on the 28th can be collected on Friday, August 25. All post offices will be closed unless except for Port St Mary which will be open 9:00am 12:30pm. IOMPO have said that on Tuesday, August 29 no airmail will arrive on Island and the next dispatch of mail via airplane to the UK will take place on Tuesday evening. During the Manx Grand Prix period (August 20 August 28) there may be some delays to normal delivery and collection time due to the increase in traffic volume on the Islands roads. On Friday, August 25 roads close at 12:30pm and Saturday, August 25 roads are scheduled to close at 11:00am which will impact deliveries. In these instances IOMPO will try to deliver as early as possible. It added that: Should delivery be impossible due to road closures, IOMPO regret to be unable to deliver to addresses on the course or where access is only available via the course and will deliver these items at its earliest opportunity. The list of operational changes are also available on their website www.iompost.com/bankholiday Japanese mountaineer dies and another is injured while climbing a never-scaled peak in Pakistan ISLAMABAD (AP) A Japanese mountaineer is presumed dead after he and his partner fell about 70 meters (230 feet) while climbing a never-scaled mountain in northern Pakistan, their tour operator and a mountaineering official said Wednesday. The second climber, also Japanese, was injured. The accident occurred Friday afternoon as the pair climbed a mountain in the Andaq Valley, part of northern Pakistan's Gilgit-Baltistan region which is also home to K2, the world's second-highest mountain, said Karakarom Tours Pakistan. The climbers, Shinji Tamura and Takayasu Semba, fell when they had reached an altitude of 5,380 meters (17,650 feet), the tour operator said in a statement. Semba later said that their rappelling point had broken and that they fell together. He said his partner was heavily hit and badly injured. Both climbers were hit by rocks and Tamura then slammed into a rock when he landed, said Karrar Haidri, secretary of the Alpine Club of Pakistan. Tamura was seriously injured, while his climbing partner managed to return to base camp to call for help, the tour operator said. It said that late Friday, the base camp crew observed a light on the mountain which was identified as Tamura's headlight. A rescue team was dispatched and discovered some of Tamura's climbing gear near a crevasse, the operator said. Tamura could not be located despite an extensive search, and the team assumed that he had fallen into the crevasse, the statement said. Searchers encountered treacherous conditions, said Semba. The search was called off Monday because of the difficult terrain and adverse conditions, the tour operator said. There is no chance of survival in such incidents," said Haidri, the mountaineering official. Semba was airlifted Monday to Skardu, the main town in northern Pakistan, which is known as the gateway to K2. Every year, hundreds of local and foreign climbers visit northern Pakistan, where some of the worlds tallest mountains are located. Haidri said there are hundreds of never-climbed mountains in northern Pakistan, and such mountains are usually named after those who scale them a draw for ambitious mountaineers. Pakistani authorities said Saturday they were investigating the death of a Pakistani porter near the peak of K2, the worlds most treacherous mountain. Netflix's 'Scott Pilgrim Takes Off' teaser hits all the right notes The anime, which features the cast of 'Scott Pilgrim vs. the World,' will arrive on November 17th. Netflix is getting the band back together with Scott Pilgrim Takes Off, an anime adaptation of the Scott Pilgrim graphic novel series . The company has dropped the first teaser for the eight-episode show, which centers around the titular character and his attempt to win a battle of the bands contest while facing off against the seven evil exes of his new girlfriend. The anime follows on from Scott Pilgrim vs. the World, a 2010 film based on the graphic novels. The main cast of Edgar Wright's movie are reprising their roles in the series , including Michael Cera (Scott Pilgrim), Mary Elizabeth Winstead (Ramona Flowers), Kieran Culkin (Wallace Wells), Chris Evans (Lucas Lee) and Brie Larson (Envy Adams). The teaser apes many of the visuals of the movie and graphic novels, such as Scott blocking a flying attack from Matthew Patel with his arm and the rehearsal space of his band, Sex Bob-Omb. You'll also see Ramona dragging Scott through space toward a door with a star on it and the lovebirds sitting next to each other on a swing set. I don't remember seeing any dinosaurs in the film, though. Bryan Lee OMalley, the creator of the graphic novel series, is one of the showrunners, while Wright is an executive producer. Abel Gongora of animation studio Science Saru (Star Wars: Visions, Devilman Crybaby) is the director of the show. Scott Pilgrim Takes Off also includes new music from Anamanaguchi, the terrific chiptune band behind the soundtrack of the Scott Pilgrim vs. The World video game . Scott Pilgrim vs. The World is my favorite movie of the 2010s, and this teaser gets the look and the spirit of the universe spot on. I'm already counting down the days until Scott Pilgrim Takes Off hits Netflix on November 17th. New York City will ban TikTok from government devices, The Verge reported on Wednesday. City agencies have 30 days to remove the ByteDance-owned app from their devices. Employees will not be allowed to download or use TikTok on their city-sanctioned tech effective immediately. This comes three years after New York state banned TikTok from government devices in 2020, according to Times-Union. NYC Cyber Command, a subset of the Office of Technology and Innovation, spurred the decision after reporting to the city that TikTok posed a security threat. "NYC Cyber Command regularly explores and advances proactive measures to keep New Yorkers' data safe," a City Hall spokesperson said. "As part of these ongoing efforts, NYC Cyber Command determined that the TikTok application posed a security threat to the citys technical networks and directed its removal from city-owned devices. Other states and localities, notably Montana, have made waves banning TikTok more generally across the jurisdiction. But on a wider scale, most legislators have taken an approach banning the app for government employees, including the federal government. Thirty-three states across parties lines now have restrictions on the use of TikTok on government-owned tech. As legislation continues to resurface considering a total ban on TikTok and other apps affiliated with the Chinese government, ByteDance fights to proven that its not a threat to national security. TikTok CEO Shou Chew even testified in front of Congress reiterating that "ByteDance is not an agent of China." Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Emergency crews battled a wildfire that broke out on the Spanish island of Tenerife. As the fire spread, authorities ordered the evacuation of five villages and cut off access to the forest surrounding the Mount Teide volcano, Spain's highest peak. The criminal charges filed against former US President Donald Trump in Georgia state court include allegations that he violated an anti-organized crime law known as RICO. But what is it, and how does it apply to the 2020 election? Reuters explains. (The Center Square) U.S. Immigration and Customs Enforcement agents arrested two violent criminals this week wanted in El Salvador and Brazil. They include an MS-13 gang member on El Salvadors Top 100 list and a Brazilian military officer involved in a 2015 massacre. The MS-13 gang member was previously deported and recently illegally entered the U.S. Its unknown how long the Brazilian has been in the U.S. On Aug. 11, U.S. Immigration & Custom Enforcements Enforcement & Removal Operations (ERO)-New Orleans division agents apprehended an MS-13 gang member on El Salvadors Top 100 Most Wanted list. Juan Carlos Portillo, 29, a fugitive from El Salvador, was wanted for aggravated kidnapping, attempted aggravated homicide, aggravated extortion, terrorist organization, deprivation of liberty and aggravated homicide. Portillo is also known as Juan Alberto Zamora-Zamora, Jose Adalberto Lopez-Lopez, and his MS-13 name Pirana, ICE says. A warrant was issued for his arrest in El Salvador on March 11, 2014. On Dec. 7, 2022, Border Patrol agents arrested Portillo near Hidalgo, Texas, in the Rio Grande Valley. They then processed him for expedited removal and ERO Harlingen agents removed him to El Salvador on Dec. 23. However, at an unknown date at an unknown place, Portillo illegally reentered the United States without having been admitted or paroled by an immigration officer, ICE said until he was caught by ERO-New Orleans agents last week. On July 5, agents working at ERO New Orleans Birmingham, Alabama, suboffice received information from ERO San Salvador through a Security Alliance for Fugitive Enforcement initiative that Portillo may be residing in Columbiana, Alabama. On Aug. 11, agents from that office arrested Portillo in Chelsea, Alabama. Upon further investigation, they learned that since May 5, 2011, Portillo was a subject of interest for aggravated homicide and gang affiliation in El Salvador. He remains in ICE custody pending his removal from the United States. This MS-13 gang member blatantly ignored laws both in his home country and here in the United States and this arrest sends a message that we will not allow our communities to be safe havens for violent criminals, ERO New Orleans Field Office Director Mellissa Harper said. By apprehending and removing dangerous individuals like Portillo who are part of transnational criminal organizations, ERO officers are making our communities safer. On Aug. 14, ICE ERO Boston agents arrested a former Brazilian military police officer in Rye, New Hampshire, who was convicted of 11 murders in Brazil and sentenced to over 200 years in prison. He played a key role in what is known as the Curio Massacre, which occurred in the Curio neighborhood of Fortaleza, Brazil, in 2015. Antonio Jose De Abreu Vidal Filho, 29, was the subject of an active Interpol Red Notice. On the murder counts and additional charges of attempted murder and physical and mental torture, he was sentenced to over 275 years in prison by a criminal court last month. The apprehension of this very dangerous foreign fugitive is an outstanding example of the professionalism and expertise of the officers of ERO Boston, ERO Boston Field Office Director Todd Lyons said. We are proud to have taken this notorious criminal, convicted of participating in multiple heinous murders in Brazil, off our streets. ERO Boston agents arrested him without incident. He remains in ICE custody pending removal proceedings. In fiscal 2022, ERO agents arrested 46,396 noncitizens with criminal histories, including 198,498 associated charges and convictions for 21,531 assault offenses; 8,164 sex and sexual assault offenses; 5,554 weapons offenses; 1,501 homicide-related offenses; and 1,114 kidnapping offenses. Fairfield, MT (59436) Today Overcast with rain showers at times. High 69F. Winds E at 5 to 10 mph. Chance of rain 60%. Locally heavy rainfall possible.. Tonight Some clouds. Slight chance of a rain shower. Low 57F. Winds WNW at 5 to 10 mph. UPDATE, AUG. 20: The pre-evacuation warning in place for the Niarada Fire has been lifted and residents are allowed to bring livestock back home. All pre-evacuation warnings for Elmo and Big Arm have been lifted and operations are switching from active suppression to repairing the impacts of the efforts used to stop the fires which once threatened nearly 400 homes in the Elmo and Big Arm area, according to the CSKT Division of Fire. The Niarada Fire is reportedly getting closer to containment, and that the Mill Pocket is 100% contained. UPDATE, AUG. 19: A community meeting with updates on the Big Knife Fire is being held at the Arlee Community Center at 34086 Pow Wow Rd. on Monday, Aug. 21 at 7:00 pm. Big Knife Fire - 7,152 acres, 7% contained Fire activity on the Big Knife Fire was milder than predicted. On the north edge of the fire, along S. Canal Road crews are continuing to mitigate hazards by removing snags inside the fire perimeter at least a tree length from the line. Crews patrolling the area observed the fire backing down into the bottom of the Gold Creek drainage. On the south end of the fire in the Agency Creek drainage, crews are continuing to patrol and monitor fire activity. On the east side of the fire near Jocko Lookout, crews will continue monitoring the pumps and sprinkler systems and monitoring the fire growth in the primitive area. Niarada - 20,365 acres, 90% contained Crews were fighting against high winds, with the eastern portion of the Niarada Fire closest to elmo seeing the bulk of the effort as crews worked to cool lingering heat source utilizing some of the four miles of hose lays that have been installed in the area. These efforts will be ongoing for the next several days. Mill Pocket 1,869 acres, 95% contained Crews on the Mill Pocket Fire are working on suppression repair and minimal mop up. UPDATE, AUG. 18 AT 3:37 PM: Due to fire conditions near the East Fork Fire, the Flathead County Sheriff Brian Heino has issued a mandatory evacuation for residents and visitors in the following areas: North of mile marker 142 on Highway 93 to mile marker 157.9 -- Lincoln/Flathead County line Residents of Good Creek Road, and those who access Good Creek west to the Lincoln County line All pre-evacuation notices issued Aug. 17 are still in effect including in Olney. UPDATE Aug. 18, 9:34 a.m. The Lincoln County Emergency Management Agency (LCEMA) is alerting power service through the Lincoln Electric Cooperative (LEC) may be affected by the East Fork Fire. The following is a Facebook post by LCEMA: Alert: Evacuation warning notices have been issued by Lincoln County Sheriff's Office to residents in the Striker area of Lincoln County that may be impacted by the East Fork Fire. Additionally, LCEMA has been made aware of changing fire conditions that could affect the Northeast area of Lincoln County with power service through Lincoln Electric Cooperative, Inc. (LEC). Informational: The USFS Incident Management Team on the North Fork fire communicated that they have established an advanced action point for the East Fork Fire. If fire activity reaches that advanced action point, LEC and Bonneville Power Administration (BPA) will be placed on standby. At that time, LCEMA will initiate a CodeRED notification to all residents in the potentially impacted area. In addition, LEC will send automated calls to members to be prepared for the POTENTIAL of a system-wide outage. The Length of the possible outage would be based on fire conditions and damage assessments. If an extended outage is anticipated, LCEMA will work to coordinate sheltering and support to critical facilities. Both Lincoln Electric and BPA have NO plans to de-energize any of their facilities, UNLESS they receive a specific request to do so by incident command in the interest of safety. Action: Evacuation Warning (Yellow) begins when there is a possibility of a need to evacuate. During this phase, contacts and briefings with area residents is completed. Movement of persons requiring Access and Functional Needs or extraordinary care, large mobile property and livestock (if feasible) is recommended. Be prepared for the POTENTIAL of an area power outage. Sign up for CodeRED to get immediate emergency information notification: https://public.coderedweb.com/CNE/en-US/BFD8675274C8 LCEMA is working with the USFS, IMT, partners and monitoring this situation. updated information will go out as it becomes available. Check back by going to https://www.facebook.com/LC.EMA.MT Lincoln Electric Cooperative https://www.facebook.com/lincolnelectriccooperative U.S. Forest Service - Kootenai National Forest https://www.facebook.com/kootenainf A closure order is still in effect for roads in the vicinity of the East Fork Fire. To view, please visit https://www.fs.usda.gov/alerts/kootenai/alerts-notices/ For updated East Fork Fire information, please visit https://inciweb.nwcg.gov/incident.../mtknf-east-fork-fire... UPDATE Aug. 17, 8 PM: East Fork Fire Due to hot, dry, and windy conditions the fire has grown to 2,600 acres overnight and throughout the day. Pre-evacuation orders have been set in place because of the possibility the fire has of spreading, this order allows time for residents to make plans for their homes, family, livestock, and pets in the event of an evacuation. The pre-evacuation area includes Highway 93 north beginning at Mile Marker 142 north to Flathead/Lincoln County line. Crossroads and affected addresses can be found on the Flathead County Website. This is not a mandatory evacuation order but in the event of a mandatory evacuation, local Sheriff's Office personnel will conduct door-to-door notifications of residents in the affected area. Evacuation notices will also be announced/published on radio, tv, online, social media, and through our Emergency Notification System, Code Red. UPDATE, AUG. 17: A CSKT Type 3 Incident Management Team, headed by Rob Berney, has taken command of the Niarada and Mill Pocket fires as the Northern Rockies team has timed out. On the Big Knife Fire, Brad Bergmans Incident Management Team 8 assumed command. Big Knife Fire - 6,275 acres, 7% contained On the southwest edge of the fire, crews are assessing the fires progress as it moves down the slopes to control lines. Firefighters are preparing canal roads for better access and removing vegetation around power poles. On the south end of the fire in the Agency Creek drainage, crews will patrol and monitor fire activity. With pumps and hoses in place, they will continue to work on hotspots within the perimeter. Crews will be monitoring the pumps and sprinkler systems installed to protect infrastructure on the east side of the fire as well as Jocko Lookout which has water systems in place as well as a fire-resistant wrap around the base. Niarada Fire - 20,365 acres, 85% contained The Niarada Fire remained relatively quiet Wednesday as crews continued to focus on building line as direct as possible along the fires eastern edge closest to Elmo and mopping up from previous firing operations. Crews continue to assess and patrol and mop up (cool areas containing heat) around much of the remaining fire perimeter. Suppression repair work has begun in these areas, including pulling in dozer line berms and blading roads. Structure protection crews remain outside Elmo near Big Arm, testing sprinkler systems and pumps while working with landowners on additional vegetation management around structures. Mill Pocket - 2,135 acres, 90% contained The majority of the Mill Pocket Fire is in mop-up status as crews work to ensure the fires edge are cool and pose little to no threat to containment. UPDATE, AUG. 16: Fire activity greatly increased in the Big Knife Fire Tuesday, whereas, the Niarada and Mill Pocket fires remained relatively quiet. Big Knife Fire The Big Knife Fire is sized at approximately 6,106 acres, 1,154-acre increase from Monday, and is 7% contained. The fire moved east up to the drainage toward the Tribal Primitive Area and away from Jocko, Francis and Arlee. According to CSKT Division of Fire's Facebook post, the persisting hot and dry weather with increased winds is expected to produce more smoke and fire growth Wednesday. Crews are monitoring the fire's progress as it shifts down to the control lines near the southwest edge, according to CSKT Division of Fire's Facebook post. Firefighters are getting canal roads ready to improve access and clearing out vegetation around power poles. The Craig Angency Hotshot Crew went direct along the fire's edge on the south side of the fire in the Agency Creek drainage. They will keep working up canyone and tie into scree slopes with pumps and hose set up. There are pumps and sprinkler systems to protect infrastructure east of the fire and at Jocko Lookout--which also has fire-resistant wrap around the base. Niarada Fire The Niarada Fire is burning 20,370 acres and is 81% contained as of Wednesday morning. Fire activity kept fairly quiet Tuesday--crews were still building a line as direct as possible to the fire's eastern edge nearest to Elmo. The smoke increase is likely interior pockets of vegetation burn out due to increased temperatures and drier weather, according to CSKT Division of Fire's Facebook post. Crews are still assessing, patrolling and mopping up the remaining fire perimeter. Crews have started suppression repair work in these areas. There are still structure protection crews outside Elmo near Big Arm. Mill Pocket Fire The Mill Pocket Fire is burning 2,135 acres and is 89% contained. The Mill Pocket Fire is still in mop-up status, and crews are working to make sure the fire's edge stays cool and no danger to containment. CSKT Division of Fire's Facebook post said there is still some heat in steep scree slopes on the northwestern side--firefighters are still putting out hotspots by dropping water via helicopter where necessary. CSKT Division of Fire says the following in its Facebook post in regard to closures, evacuation statuses and fire restrictions: "Several areas near the base of the mountains west of the Big Knife Fire remain in PRE-EVACUATION WARNING by order of the Lake County Sheriff. See the map for those locations in yellow (Jocko, Canal, Francis). In the Tribal Primitive Area, Belmore Slough and Burnt Cabin Roads are closed. Near the Niarada Fire, the Lake County Sheriffs Office has a PRE-EVACUATION WARNING in place for the area that includes Alexander Road, Early Dawn Road, Spring Lane Road, Walking Horse Lane, Windward Heights Road, Wildhorse View, Buffalo Bridge Road, Saddle Drive, Island Butte Lane, Bridle Path, Ten Deuce Way, Cliffview Drive, and Ricketts Road. The remainder of the town of Elmo is in READY status. A PRE-EVACUATION WARNING means you may be in your home. However, as there still is a risk from both fires, those in this status should not bring back evacuated livestock until the area is downgraded to READY status. A temporary flight restriction (TFR) is in effect around both fire areas. Do not fly unmanned aircraft (drones) near the fire areas, as that is hazardous for pilots, and the firefighters on the ground who rely on aircraft support. Remember, if you fly, we cant! Stage 2 fire restrictions are in place across the Flathead Indian Reservation. No campfires are allowed, no smoking outside of vehicles, no operating combustible engines from 1 p.m. to 1 a.m., no operating vehicles off designated roads and trails. Residents and visitors are encouraged to visit www.MTFireInfo.org for more fire information across Montana." UPDATE, AUG. 15: Fire crews are continuing to battle the Big Knife, Niarada and Mill Pocket fires in northwest Montana Tuesday. A release from Northern Rockies Team 3 said the Big Knife fire, located 5 miles east of Arlee, is 4,952 acres large and 7% contained Tuesday. The Niarada Fire, located near Elmo, located 12 miles west of Elmo, is 20,365 acres large and 81% contained Friday. The Mill Pocket Fire, located 3 miles west of Highway 28, is 2,135 acres large and 89% contained. Big Knife Fire Big Knife fire activity grew amid increasing temperatures and lower humidity levels. Helicopters used water to check the fire's edge on the north side in the Gold Creek drainage. Crews will keep requesting aircraft for water drops, reconnaissance flights and sling loads. Crews are monitoring fire progress on the southwest if it moves down the slopes to control lines. To improve egress, crews are preparing canal roads and clearing vegetation near power poles. The Craig Mountain Interagency Hotshot Crew (CMIHC) started to finish hazard tree mitigation and looked for opportunities to go direct on the south end of the fire in the Agency Creek drainage, according to the release from Northern Rockies Team 3. CMIHC set up pumps and hoses to several key scree slopes and will keep working the fire's edge. Sprinkler systems and pumps are set up around several spots of infrastructure and the Jocko lookout east of the fire--the lookout is covered in fire-resistant wrap. Niarada Fire Smoke is expected to increase as interior pockets of vegetation burnout due to increasing temperatures and drier weather. Northern Rockies Team 3 said in the release strategic firing operations were effective for the Niarada fire Monday--firefighters burned approximately 50 acres to establish indirect lines on the south side. Although the firing operations create a considerable amount of smoke, they cut the longevity of the fire and reduce long-term damaging impacts, according to the release. Crews are still assessing, patroling and mopping up a lot of the remaining fire perimeter. Structure protection crews are testing sprinkler systems and pumps and collaborating with property owners on further vegetation management around structures outside Elmo toward Big Arm. Mill Pocket Fire On the Mill Pocket fire, a lot of the perimeter that's still there is in mop-up and patrol status. There's still seat in steep scree slopes, and firefighters are still cooling hotspots with water drops on the northwestern side. An excavator is pulling in dozer lines to help with suppression repair, and the equipment is used to overturn bigger stumps to reduce remaining heat. UPDATE, AUG. 14: The following is a Facebook post by CSKT Division of Fire in regard to the Niarada Fire: "Fire personnel will be using tactical firing operations on the southeast corner of the Niarada Fire this afternoon. The objective of this operation is to clean up unburned fuels between the fire perimeter and containment lines. Removing available fuel reduces the chances of a wind driven fire crossing existing containment lines. Tactical firing operations or burn outs are one tool often implemented in the suppression of a wildfire. This technique is the coordinated and intentional application of fire to secure otherwise inaccessible portions of line, clean up portions of line where fuels were not fully consumed or attempt to steer the main fire away from communities, critical infrastructure or other values at risk. Managers implement firing only after opportunities for a more direct approach have been thoroughly explored. Before any firing operations are conducted, there are extensive preparations made to ensure the greatest possibility for success such as brushing back roads, building handline or mechanical line or ensuring natural features such as rivers, creeks or rock screes are sufficient to secure the fires edge. After all prep work is completed and personnel are in place, firefighters will only engage in firing operations if weather conditions are in their favor. While these operations can put substantial smoke in the air, they ultimately reduce the duration of the fire and long-term harmful impacts. Firing operations result in low to moderate intensity fire effects and often mimic the positive impact of natural fire on the landscape." UPDATE, AUG. 13: Fire managers with the Incident Management Team, BIA, and CSKT are having extensive conversations about long-term planning for the Big Knife Fire. The CSKT Division of Fire reports the weather is getting hotter and drier, which will increase fire activity. Discussions include balancing protecting homes, infrastructure, and cultural resources, with the risk to firefighters and the likelihood of success. The following is information on the actions made against the Big Knife Fire from the CSKT Division of Fire: Helicopters have been doing water drops to minimize the fire's spread into Gold Creek on the northern perimeter. Crews have completed a fuel break along the Jocko Canyon Road and line preparation and vegetation reduction along the Jocko S Canal Road. Equipment operators and crews finished control lines along the fire's southwestern edge to Agency Creek. Firefighters are monitoring the fire in these areas and are prepared to act if the fire moves down toward the lines. On the south side, firefighters are working to keep the fire north of Agency Creek. Helicopters have dropped water to cool the edge, and sawyers have been cutting down hazard trees to allow safe access. Additional crews will be inserted in this area to finish hazard tree mitigation and evaluate opportunities for digging handline and going direct on the fires edge. To the east of the fire, crews finished installing sprinkler systems and pumps around several pieces of infrastructure and the Jocko Lookout. The lookout has been covered with a fire-resistant wrap. Fire managers are discussing the next steps for this area, balancing the benefits of fire on the landscape, the risk to firefighters and pilots, and the values threatened. On the Niarada Fire, crews have worked hard to reach containment around a large portion of the fire perimeter the past two weeks. According to the CSKT Division of Fire, the following is being done: Along the southeastern and southwestern sides, crews continue to work directly along the fires edge to eliminate heat and build firelines. Several Type 1 Interagency Hotshot Crews have been improving firelines and preparing for a strategic firing operation on the southwest side. This operation will be done during favorable conditions to secure portions of the indirect line where its unsafe for firefighters to go direct. Fire managers planned to implement other firing operations, but those operations have been deemed unnecessary because the weather moderated fire behavior which allowed crews to go direct. Structure protection crews continue to work outside Elmo toward Big Arm, testing sprinkler systems and pumps while working with landowners on additional vegetation management around structures. Containment of the Mill Pocket Fire continues to increase. Much of the remaining perimeter is in mop-up and patrol status. However, heat remains in steep scree slopes on the northwestern side. Helicopters continue to drop water as needed, and crews are mopping up hot spots where they can access them. UPDATE, AUG. 12: Crews on the Niarada Fire are pushing hard toward containment, and much of the fire's northern and western perimeter is now in mop-up and patrol status, according to the CSKT Division of Fire. Crews will continue progressing along the eastern and southeastern fire perimeter, building more direct lines and working off the fire's black edge. Some indirect line will remain the only viable option around the fire's southerly perimeter, where terrain is treacherous and fuels are heavy. An update from CSKT Division of Fire says strategic firing operations will likely be necessary during favorable conditions to secure those portions of indirect line. Specialized crews of skilled sawyers continued cutting fire-killed snags (dead-standing trees) south of Highway 28, enabling safe access for hand crews to previously hazardous areas. Structure protection crews continue to work outside Elmo towards Big Arm. Due to current conditions and progress, a night shift is no longer deemed necessary, however, it could be reinstated if conditions change. Much of the Mill Pocket Fire is in mop-up and patrol status, and helicopters are still dropping water while crews work along the fires northwestern perimeter near Mill Creek. On the Big Knife Fire, a warming and drying trend resulted in smoldering fuels in lower to mid-elevations burning more actively again. Aircraft were used to drop water on hot spots in the Agency Creek, Jocko Canyon, and Big Knife Creek drainages Friday. Line preparation and vegetation reduction has been completed along Jocko Canyon/Canal Road. Equipment operators and crews completed control lines along the fire's southwestern edge to Agency Creek. They will continue working southward if the fire moves in that direction, CSKT Division of Fire reports. On the fire's eastern side, crews began performing structure and point protection measures in South Fork Jocko River. Some measures included strategically wrapping Jocko Lookout and placing pumps and sprinklers around other resource values at risk. A specialized assessment team continues evaluating the long-term potential fire growth of the Big Knife Fire. UPDATE, AUG. 11: Crews are continuing to battle the Big Knife, Niarada and Mill Pocket fires Friday burning in the Mission Valley. Big Knife Fire The Big Knife Fire currently sized at an estimated 4,952 acres and is 5% contained. CSKT said in a release even with the recent precipitation, the Big Knife Fire is anticipated to warm and dry over the course of the upcoming days. With that, fire managers are examining its possible long-term fire increase due to fire seasons historically lasting through fall. Heavy equipment operators are still tying road prisms to constructed firelines along the southwestern perimeter. Crews are mopping up the edge of a strategic firing operation they managed last week to keep the fire north of Agency Creek, CSKT said in the release. Aircraft are helping as long as conditions allow them, and helicopters dropped water on hot spots in the Agency Creek drainage. Niarada Fire The Niarada Fire, burning west of Elmo, is currently 20,365 acres big and is 47% contained as of Friday. CSKT said in their release fire crews battling the Niarada Fire took advantage of the recent rainfall Wednesday. Expert sawyers are cutting down dead trees south of Highway 28 to allow hand crews to work directly along the eastern and southeaster edge where they possibly can. Firefighters are preparing lines in locations of the Niarada Fire that may require strategic firing operations later on. CSKT said in the release crews will begin the strategic firing operations once conditions improve. Structure protection crews are still working outside Elmo towards Big Arm, and a night crew is monitoring the fluctuating conditions and the fire. Crews are continuing mop-up on the north perimeter near the Cromwell Creek Road and looking at areas of unburned vegetation west of the line to decipher if it is concerning for containment. Mill Pocket Fire The Mill Pocket Fire, burning 3 miles west of Highway 28, is currently 2,135 acres big and is 51% contained. CSKT said in their release the Mill Pocket Fire is in mop-up and patrol status, but crews are still battling the fire in the northwestern perimeter--mopping hot spots where they can in the steep scree slopes. Helicopters are still providing support to crews and dropping water to put out hot spots win dangerous or inaccessible areas. CSKT said the following in their release in regard to closures and evacuation status: "The Lake County Sheriff has placed several areas near the base of the mountains west of the Big Knife Fire in PRE-EVACUATION status. See the map for those locations in yellow (Jocko, Canal, Francis). In the Tribal Primitive Area, Belmore Slough and Burnt Cabin Roads are closed. The Lake County Sheriffs Office is downgrading those in EVACUATION status near the Niarada Fire in Lake County to a PRE-EVACUATION WARNING. This area includes Alexander Road, Early Dawn Road, Spring Lane Road, Walking Horse Lane, Windward Heights Road, Wildhorse View, Buffalo Bridge Road, Saddle Drive, Island Butte Lane, Bridle Path, Ten Deuce Way, Cliffview Drive, and Ricketts Road. A PRE-EVACUATION WARNING means you may return to your home. However, as there is still a potential threat from the Niarada Fire, please do not bring back evacuated livestock until the area is downgraded to READY status. The remainder of the town of Elmo is in READY status. A temporary flight restriction (TFR) is in effect around both fire areas. Do not fly unmanned aircraft (drones) near the fire areas, as that is hazardous for pilots, and the firefighters on the ground who rely on aircraft support. Remember, if you fly, we cant! Use extreme caution when driving on Highway 28. Firefighters and equipment are still working in the area. FIRE RESTRICTIONS Stage 2 fire restrictions are in place across the Flathead Indian Reservation. No campfires are allowed, no smoking outside of vehicles, no operating combustible engines between 1PM-1AM, no operating vehicles off designated roads and trails. Residents and visitors are encouraged to visit www.MTFireInfo.org for more fire information across Montana." UPDATE, AUG. 9: A community meeting is being held Wednesday night at the Elmo Community Center starting at 6:00 pm for the Big Knife, Niarada and Mill Pocket fires. If you cant make it, the meeting will be live-streamed via the CSKT Division of Fire Facebook page. Another meeting will also be held Thursday, Aug. 10 at the Arlee Community Center at 6:00 pm. As of Wednesday, the Northern Rockies Team 3 reports the Big Knife Fire is 4,864 acres large and 5% contained; the Niarada Fire is 20,365 acres large and 25% contained; and the Mill Pocket fire is 2,135 acres large and 51% contained. So far, four structures have been lost to these fires. several areas near the base of the mountains west of the Big Knife Fire in pre-evacuation status by the Lake County Sheriffs Office. Those evacuated due to the Niarada Fire in Lake County have been allowed to go home and are now in pre-evacuation warning status. This area includes Alexander Road, Early Dawn Road, Spring Lane Road, Walking Horse Lane, Windward Heights Road, Wildhorse View, Buffalo Bridge Road, Saddle Drive, Island Butte Lane, Bridle Path, Ten Deuce Way, Cliffview Drive, and Ricketts Road. UPDATE, AUG. 7 The Flathead County Sheriff's Office has announced they are lifting the evacuation notice on the Niarada Fire from Browns Meadow Pass to Highway 28; this includes Kofford Ridge Road and Battle Butte Road. They also said in a press release they are lifting the pre-evacuation warning for Mill Pocket Fire, Hubbart Damn Rd/NF-544 from the intersection of Crossover Road South to Highway 28. Keep in mind,t he decisions to lift or expand evacuation areas is made based on current or predicted fire conditions. Flathead CSO says they are thankful for the cooler weather and higher humidity this past weekend to help with fighting the fire. UPDATE, AUG. 6: The Lake County Facebook reports the Red Cross at Polson High School is moving into standby at this time. Evacuations are still in place at this time. UPDATE, AUG. 6: The 12,700-acre Middle Ridge fire is now 51% contained as of Sunday morning. CSKT Division of Fire reports smoke may be visible interior of the fire containment line where the fire is still smoldering. The Holmes Creek Fire is 45 acres large and 0% contained. The Mercer Fire, which was burning about a mile and a half from Evaro, is 2 acres large and 100% contained. People are being reminded of Stage 2 Fire restrictions in place across the Flathead Indian Reservation, which restricts campfires, smoking outside of vehicles, operating combustible engines between 1:00 pm and 1:00 am and operating vehicles off designated roads. UPDATE, AUG. 5 The CSKT Division of Fire reports the Niarada Fire was extremely active Friday on the south and southeast sides. It is reported to be 17,024 acres large. About 150 residents were evacuated due to the fire by the Lake County Sheriff's Office. In the Tribal Primitive Area, Belmore Slough and Burnt Cabin Roads are closed. The following residences near the Niarada are under evacuation status: Alexander RoadEarly Dawn Road, Spring Lane Road, Walking Horse Lane, Windward Heights Road, Wildhorse View, Buffalo Bridge Road, Saddle Drive, Island Butte Lane, Bridle Path, Ten Deuce Way, Cliffview Drive, and Ricketts Road. The remainder of the town of Elmo is in ready status. The Flathead County Sheriffs Office has issued a pre-evacuation warning for Hubbart Dam Rd/NF-544 from the intersection of Crossover Rd South to Hwy 28. Crews working the Big Knife Fire continue to try to flank the fire, implement structure protection measures along Canal Road and the fires western edge, and monitor the southwest edge. The Big Knife Fire is 4,636 acres large according to the CSKT Division of Fire. Several areas near the base of the mountains west of the Big Knife Fire are in pre-evacuation status as of Saturday. In the Tribal Primitive Area, Belmore Slough and Burnt Cabin Roads are closed. UPDATE, AUG. 4 The Lake County Sheriffs Office is evacuating residents south of Highway 93 from Alexander Lane to Walking Horse Lane. This includes the following roads: Alexander Lane Early Dawn Road Spring Lane Road Walking Horse Lane Windward Heights Road Wildhorse View Buffalo Bridge Road Saddle Drive Island Butte Lane Bridle Path Ten Deuce Way Cliffview Drive Ricketts Road If you were evacuated due to the fire, Red Cross has opened a shelter in Polson. The shelter is located at the Polson High School, 1712 2nd St. W, and will provide a safe place to stay, meals, and access to community resources. UPDATE, AUG. 4: More accurate mapping has the Middle Ridge Fire sized at 12,700 acres and 25% containment Friday morning. The Communication Butte Fire is 1,423 acres and is now 100% contained with crews continuing to monitor the area. No containment is reported on the Holmes Creek Fire east of Polson, which is estimated at 41 acres large. Smoke jumpers and heli-repellers are working the fire with air support from a type 1 helicopter dropping water with buckets. The CSKT Division of Fire reports fire resources from the CSKT division have been successfully responding to new fires as well as continued mop-up along the Middle Ridge and Communication Butte fires. UPDATE, AUG. 4: The Niarada and Big Knife fires increased in size overnight Thursday. The Niarada Fire is an estimated 14,816 acres with 0% containment Friday morning. The Big Knife Fire is an estimated 4,412 acres with 0% containment Friday morning. UPDATE, AUG. 3: A community meeting regarding the Big Knife, Niarada, and Mill Pocket fires is being held Thursday at 6:00 pm in Arlee at the Arlee Community Center, 34086 Pow Wow Rd. If you cant make the meeting, it will be broadcast live on the CSKT Division of Fire Facebook. A second meeting will also be held on Friday, Aug. 4 at 6:00 pm in Elmo at the Elmo Community Center, 47088 Cemetery Rd. Depending on internet connectivity, the meeting will either be streamed live like the Arlee meeting, or recorded and posted to Facebook afterwards. As of Thursday morning, the Big Knife Fire is 2,710 acres large and 0% contained. The CSKT Division of Fire reports the fire was active Wednesday ,with a spot fire south of Big Knife Creek burning eastward into the South Fork Jocko Tribal Primitive Areas. Strategic firing operations may be necessary to prevent spread to the west toward the valley and aircraft are helping crews as conditions allow. The Niarada Fire is reported to be 10,400 acres large and is 0% contained. This fire was also reported to be active Wednesday, spreading both to the northeast and southeast. Crews, heavy equipment, engines, helicopters and fixed-wing aircraft continue to work the fire, building firelines from safe anchor points and protecting structures in the area. Weather is anticipated to be hot and dry through Friday ,with the potential for thunderstorms and light precipitation by late weekend. The CSKT Division of Fire shared the following closure information: The Lake County Sheriff has several areas near the base of the mountains west of the Big Knife Fire in pre-evacuation status. In the Tribal Primitive Area, Belmore Slough and Burnt Cabin Roads are closed. Near the Niarada Fire, the Lake County Sheriff has placed the Walking Horse Lane area is in pre-evacuation status, and the town of Elmo is in ready status. The Flathead County Sheriffs Office has issued a pre-evacuation warning for Hubbart Dam Rd/NF-544 from the intersection of Crossover Rd South to Hwy 28. A mandatory evacuation remains in place from the top of the Pass on Browns Meadow Road South to Hwy 28, Nirada. This mandatory evacuation also includes Kofford Ridge Rd. Use extreme caution when driving on Highway 28. Visibility is very poor, and the speed limit has been reduced to 45 miles-per-hour. UPDATE, AUG. 2: The CSKT Division of Fire shared that favorable weather conditions and terrain have allowed for firefighters to make great progress across the Communication Butte and Middle Ridge fires. Both fires have a secured line around them. The Middle Ridge Fire is estimated at 13,000 acres, 20% containment. After making a hard push Tuesday, firefighters were able to hold the fire at Tower Rd., and crews have been working to secure line around the fire using dozers and firing operations for the past several evenings, to create containment. Mop-up on the Middle Ridge Fire will begin Wednesday, with crews putting out any hot spots along the entire fire line. The Communication Butte Fire is estimated at 1,423 acres, 30% containment. Crews made progress putting in a containment line. Mop-up will begin along the entire fire line, starting on the east and west side of the river, 10 to 30 feet interior, firefighters will put out any hot-spots within the secure line. Six engines and staff are assigned to the fire and will also be available if any new starts occur. On the Holmes Creek Fire, no containment is reported and the fire is estimated to be 36 acres. Single-engine air tankers were used to drop water on it throughout Tuesday. Stage 2 fire restrictions are in place across the Flathead Indian Reservation. No campfires are allowed, no smoking outside of vehicles, no operating combustible engines between 1:00 pm to 1:00 am, no operating vehicles off designated roads and trails UPDATE, AUG 2: The Big Knife Fire is burning 2,000 acres with containment remaining at 0% Wednesday morning. Evacuation warning is in place for those who live in Jacko Canyon and other nearby areas. For the more updated information on wildfires in Montana, visit mtfireinfo.org. The following is a Facebook post by CSKT Division of Fire: More crews and equipment have arrived on the reservation in support of fire suppression efforts and more. Many of the fires have seen extreme growth with the low fuels moisture and high temperatures. A Complex Incident Management Team will arrive tonight to take command of multiple fires, bringing more support staff for the increase in fire resources arriving. Mapping of the fires has been postponed because of limited visibility from smoke. Fire restrictions are in place with no campfires allowed within the boundaries of the reservation. #NiaradaFire Eleven miles west of Elmo, MT, estimated to be over 5,000 acres. Smokejumpers, a 20 person crew, single-engine air tankers, helicopters and heavy equipment have been responding to this fire. The fire is burning in very steep and rugged terrain heading north and east. Winds coming from the west/southwest continue to push the fire north/northeast. The fire had four single-engine air tankers, very large air tanker, five large air tankers, supporting ground resources all day. #BigKnifeFire - East of Arlee, MT, 1,991 acres. Over 100 fire fighters and overhead have made progress on a containment line on the western edge. This line is holding well. A Complex Incident Management Team will assume command of this fire Aug. 2. #MiddleRidgeFire - Southwest of Sloans Bridge, estimated over 10,970 acres. Fire fighters and heavy equipment with limited air support have managed to put in containment line and secure the south end of the fire in the area of Little Bitteroot Road. The north end of the fire is the most active and the priority focus today. #CommunicationButteFire - North of Dixon, Mont. in Ferry Basin, approximately 1,500 acres. The fire is burning in grass and timber, crews have made a lot of progress putting in containment lines today. The terrain is very steep and difficult to access. It did jump the Flathead River last night. Some air support. #MillPocketFire West of Niarada, 600 acres. Dozers are assigned and it has been receiving air support. The fire is progressing east and has moved to the canal. Evacuation Information Currently there are NO new evacuations, evacuations in Moiese community have been lifted, Browns Meadows in Flathead County is still under evacuation orders. The public is encouraged to be prepared if they are asked to leave by emergency responders. Fire Restrictions No campfires are allowed, no smoking outside of vehicles, no operating combustible engines between 1pm-1am, no operating vehicles off designated roads and trails. Re-Opened The Bison Range is open with regular operating hours. Highway 28 is open with speed restrictions. Advising the public to be prepared for potential stops and long wait times. UPDATE: Monday at 1:22 p.m. Firefighters are continuing to battle the multiple fires in the Mission Valley area Monday. Communication Butte Fire The Communication Butte Fire is burning an estimated 400 acres north of Dixon in Ferry Basin. CSKT Division of Fire said in a Facebook post the terrain is very steep and hard to access. Firefighters and aircraft will resume examining and engaging the fire where they can safely, CSKT Division of Fire said. Niarada Fire The Niarada Fire is now sized at 5,000 acres west of Elmo. The Niarada Fire crossed Highway 28 Sunday and largely drifted northeast. Fire crews and equipment are working on the fire with air support, weather dependent, CSKT said via Facebook. Middlge Ridge Fire The Middlge Ridge Fire, located west of Sloans bridge and Ronan, is burning an estimated 7,000 acres, according to CSKT's Facebook post. Big Knife Fire The Big Knife Fire, located east of Arlee, was showing severe fire behavior Sunday night, according to CSKT's Facebook post. The Big Knife Fire is burning an estimated 3,000 acres. CSKT Division of Fire said crews are centering their attention on securing the line on the west edge of the fire near homes and are making good progress. Mill Pocket Fire The Mill Pocket Fire, located west of the Niarada Fire, is burning an estimated 100 acres. UPDATE AT 5:00 PM: The CSKT Division of Fire announced that due to the Communication Butte Fire, the Bison Range is temporarily closed. As of Sunday afternoon, the fire is about 255 acres and is being driven toward the Flathead River by wind. The Middle Ridge Fire southwest of Sloans Bridge is over 500 acres as of Sunday morning. The Niarada Fire crossed the highway Sunday afternoon and is estimated at about 200 acres. RONAN, Mont. - Multiple fires were ignited following a lightning storm that passed through Mission Valley and surrounding areas Sunday morning. The biggest fire, named Middle Ridge, is about 500 acres large and two air tankers are responding with six water tenders and six skidgens. North of Dixon in Ferry Basin, the Communication Butte fire is about 100 acres large and is burning in grass and timber with a 20 person crew responding. The Niarada Fire is 11 miles west of Elmo and is about five acres large. Four single-engine air tankers, one helicopter for air support, three fire boss single-engine air tankers and eight smoke jumpers are responding. All three fires are burning in steep terrain. As of Sunday morning no structures are threatened and no evacuations have been ordered. People who are in the area are advised to be aware of emergency vehicles on roads as well as very dry grasses. UPDATE, AUG. 18: The Bruce Fire crossed Stony Creek and has burned across the face of Stony Hill and is now established in the head of the Tin Creek. According to the Forest Service, the Bruce Fire is estimated to have grown 1,500 acres since Aug. 16. Defensive firing operations were conducted to improve point protection between the Bruce Fire and the Stony Communications Site. These firing operations reduced the available fuels between the active fire edge and communication site, reducing the speed of fire growth as it approaches the site. Notable growth has been seen on the southeastern flanks of the Sullivan Fire and the Bruce Fire. UPDATE, AUG. 17: Fires burning in the Tin Soldier Complex have burned a total estimated 3,361 acres. Containment on the fires remains at 0%. Hot and dry weather in the fire areas is expected to increase fire behavior across the complex. The Bruce and Sullivan fires were active on Wednesday, with the most notable growth on the southeastern flanks of the fires. An update from the Flathead Interagency Type 3 Team says the Sullivan Fire, formerly the Kah Mountain and Sullivan Fires, moved toward the head of the Soldier Creek Drainage. The Bruce Fire crossed Stony Creek near the mouth of the creek and has burned across the face of Stony Hill and has also established in the head of Tin Creek to the north. Thursdays actions include ongoing structure protection efforts for the commercial guest ranches, Spotted Bear Ranger Station compound, Heinrude residential community, and other infrastructure in the area. Crews will continue daily testing and pre-treatment with the sprinkler systems and water pumps for identified values at risk and conducting additional firing operations as necessary. UPDATE, AUG. 16: The Kah Mountain and Sullivan fires have merged, and will now be known as the Sullivan Fire. As of Wednesday morning, the Tin Soldier Complex is estimated to be 2,880 acres large and is 0% contained. Fire behavior is expected to increase across the complex in the coming days as hot and dry weather is projected. Wednesdays actions will include ongoing structure protection efforts for the commercial guest ranches, Spotted Bear Ranger Station compound, Heinrude residential community, and other infrastructure in the area. Crews will continue fuels reduction, slash removal, structure prep, and daily testing of the sprinkler systems and water pumps for all values at risk. Mechanical equipment finished the fuel break to the north, south and west side of FS Road #895 adjacent to the Heinrude community. Structure protection for Spotted Bear Lookout is complete. UPDATE, AUG. 13: An infrared flight found the total acreage of the fires burning in the Tin Soldier Complex to have grown 62 acres over the last few days. Acres burned as of the morning of Sunday, Aug. 13: Bruce Fire 1,002 acres at 0% containment Kah Mtn Fire 451 acres at 0% containment Sullivan Fire 1,241 acres at 0% containment A warming and drying trend is expected to begin Monday, with Wednesday being likely to bring critical fire weather conditions. Sundays actions will include ongoing structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch, Spotted Bear Ranger Station compound, and the Heinrude residential community. Mechanical equipment finished the fuel break to the north and south of the Heinrude community and is continuing to establish a fuel break on the west side of FS Road #895. A crew is working to complete wrapping the Spotted Bear Lookout Sunday. UPDATE, AUG. 12: As of Saturday morning, the fires burning in the Tin Soldier Complex are estimated at 2,632 acres in size and are 0% contained. Acres burned: Bruce Fire 993 acres at 0% containment Kah Mtn Fire 430 acres at 0% containment Sullivan Fire 1,209 acres at 0% containment Ongoing structure protection efforts are planned for the Spotted Bear Ranch, Diamond R Ranch, Spotted Bear Ranger Station compound, and the Heinrude residential community Saturday. The Flathead Interagency Type 3 Team says mechanical equipment will finish the fuel break to the south, continue establishing the fuel break to the north and start establishing a fuel break on the west side of the FS Road #895 in the area around the community. Additionally, fire personnel have finished laying in hose line and sprinklers around structures within the Heinrude community. A crew will resume work to wrap the Spotted Bear Lookout. UPDATE, AUG. 11: Structure protection efforts against fires burning in the Tin Soldier Complex will continue Friday. Fire personnel have finished laying in hose line and sprinklers around structures within the Heinrude community, according to an update from the Flathead Interagency Type 3 Team. A crew will resume work to wrap the Spotted Bear Lookout. The following restrictions and closures are in place: Stage 2 fire restrictions are in effect as of August 5th. An area closure is in effect for the Hungry Horse Reservoir, the front country of the Spotted Bear district as well the Gorge Creek drainage within the Bob Marshall Wilderness area, including Feather, Inspiration, Gorge, Canyon Trickle, and Stadium Creeks. A Temporary Flight Restriction is in place for air space over the Tin Soldier Complex to provide a safe environment for firefighting aircraft operating in the area. This includes the Spotted Bear and Meadow Creek Airstrips. UPDATE, AUG. 10: No containment has been made on the Tin Soldier Complex. An update from the Flathead Interagency Type 3 Team says planned actions for Thursday include ongoing structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch, Spotted Bear Ranger Station compound, and the Heinrude residential community. Mechanical equipment continues to establish a fuel break to the south and re-establish fuel breaks to the north end in the area around the community. Additionally, fire personnel continue to lay hose line and sprinklers around structures within the Heinrude community. A crew will resume work to wrap the Spotted Bear Lookout. UPDATE, AUG. 9: Work continues to manage the Bruce, Kah and Sullivan fires with point source protection to protect nearby structures. Additional personnel from the Spotted Bear District are on loan and assisting with fire related operations, according to the Flathead Interagency Type 3 Team. Priority will be given for initial attack if any other fires on the Spotted Bear District are detected. Fire personnel continue structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. Structure protection efforts for the Heinrude residential community began yesterday and will continue into today. Mechanical equipment was utilized to start establishing a fuel break to the south and reestablishing fuel breaks the north ending the area around the community. Additionally, fire personnel began laying hose line and sprinklers around structures within the Heinrude community. Those efforts will continue into Wednesday. A crew will be flown to Spotted Bear Lookout today to start wrapping the lookout. UPDATE, AUG. 8: The Tin Soldier Compex is sitting at 2,631 acres burned with 0% containment. Right now, Hungry Horse Reservoir will be closed as well as all National Forest System lands in the area of FS Road #38 and FS Road #895. Fire activity Monday was minimal between the 3 fires. An IR flight detected an increase of 51 acres of growth across all three fires with Kah Mountain and Sullivan being the most active. Current Acres burned as of the morning of Tuesday, August 8: Bruce Fire 993 acres at 0% containment Kah Mtn Fire 407 acres at 0% containment Sullivan Fire 1,208 acres at 0% containment Con Kelly Fire 0.25 acres Out. The Bruce, Kah and Sullivan fires are being managed with point source protection to protect values at risk. Values at risk include Stony Communications Site, hydro-electric plant, Heinrude residential community, commercial guest ranches and the Spotted Bear Ranger Station compound. Todays plan of action is fire personnel are continuing structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. Fire personnel have developed a structure plan for the Heinrude residential community; the plan for today will focus on reducing fuels with mechanical equipment on the south end and reestablishing fuel breaks on the north end in the area around the community UPDATE, AUG. 7: An evacuation has been issued by the Flathead County Sheriff for Heinrude community. And to provide for public and firefighter safety, the East Side South Fork Road #38 is closed to public travel and the West Side South Fork Road #895 is closed to public travel near the 15 mile just past the Lid Creek Campground. The Tin Soldier fire is sitting at 2,580 acres and is currently 0% contained. Current Acres burned as of the morning of Monday, August 7 th . Bruce Fire 989 acres at 0% containment Kah Mtn Fire 407 acres at 0% containment Sullivan Fire 1,184 acres at 0% containment Con Kelly Fire 0.25 acres Out. Fire activity Sunday was moderated between the 3 fires and an IR flight was done last night and found an increase of 212 acres with growth on all three fires. Kah Mountain and Sullivan have been the most active out of the three. While the lower temperatures and higher relative humidity's have lessened fire activity for the time being, potential for fire activity is still high. The cooler weather we have been receiving is allowing firefighters to install additional structure protection around the values at place. There is a potential for increased fire activity in the future as temperatures and winds increase. The Bruce, Kah and Sullivan fires are being managed with point source protection to protect values at risk; values at risk include Stony Communications Site, hydro-electric plant, Heinrude residential community, commercial guest ranches and the Spotted Bear Ranger Station compound. Fire personnel have conducted initial assessments and preparations for structure protection in the above identified areas. UPDATE, AUG. 6: Fire activity between the three fires part of the Tin Soldier Complex was low Saturday. Updated acreage on the fire perimeters is expected to be updated later in the day on Sunday. According to the Flathead National Forest, the Bruce, Kah and Sullivan fires are being managed with point source protection to protect values at risk. Fire personnel are continuing structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. A safety zone has been established on the Spotted Bear Ranger Station compound. Crews will continue installing hose line and sprinkler systems around the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. Fire personnel are developing a structure plan for the Heinrude residential community. The Heinrude community is still under evacuation. The following restrictions and closures are in place: Stage2 fire restrictions are in effect as of August 5th. A road restriction is in effect for the East and West Side South Fork Roads. An area closure is in effect for the front country of the Spotted Bear district as well the Gorge Creek drainage within the Bob Marshall Wilderness area, including Feather, Inspiration, Gorge, Canyon Trickle, and Stadium Creeks. A Temporary Flight Restriction is in place for air space over the Tin Soldier Complex to provide a safe environment for firefighting aircraft operating in the area.This includes the Spotted Bear and Meadow Creek Airstrips! Remember that temporary flight restrictions also apply to UAS or drones. If you fly, we cant! UPDATE, AUG. 5: The three fires still burning in the Tin Soldier Complex include the Bruce Frie, the Kah Mtn Fire and Sullivan Fire. There was no updated acreage provided as there was no infrared flight over the fires Friday night. As of Saturday morning, the Heinrude community is still under evacuation by the Flathead County Sheriffs Office. Stage 2 fire restrictions went into effect Saturday, restricting campfires, smoking and more across parts of western Montana. A road restriction is in place for the East and West Side South Fork Roads, and an area closure is in effect for the front country of the Spotted Bear district as well as the Gorge Creek drainage with the Bob Marshall Wilderness area, including Feather, Inspiration, Gorge, Canyon Trickle and Stadium Creeks. According to the Flathead Interagency Type 3 Team, the following actions are planned for Saturday: A masticator and heavy equipment task force are utilized to establish a fuel break around the Wilderness Lodgeand the hydro-electric facility. A hoseline has been laid along the established fuel break and sprinklers have been set up around the Wilderness Lodge.Exposed wood on the hydro-electric facility was wrapped and sprinklers were installed along Addition Bridge. Fire personnel have started structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compounds that will continue into today. A safety zone is being established on the Spotted Bear Ranger Station compounds that should be completed today. Crews will continue to work on improving previous fuel breaks and installing hose line and sprinkler systems around the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. UPDATE, AUG. 4: Fires in the Tin Soldier complex have grown to a total of 2,368 acres. As of Friday morning, the following is the current acres burned by each fire: Bruce Fire 977 acres at 0% containment Kah Mtn Fire 304 acres at 0% containment Sullivan Fire 1,087acres at 0% containment Con Kelly Fire 0.25 acres Out Fire growth Thursday was reportedly moderate between the three active fires. The call was made that the Con Kelly Fire is out as of Thursday morning. The Stony Communications Site, hydro-electric plant, Heinrude residential community, commercial guest ranches and the Spotted Bear Ranger Station compound are still at risk from the other fires. According to the Flathead Interagency Type 3 Team, the following are planned actions against the fires Friday: A masticator and heavy equipment task force are utilized to establish a fuel break around the Wilderness Lodge and the hydro-electric facility. A hoseline has been laid along the established fuel break and sprinklers have been set up around the Wilderness Lodge. Exposed wood on the hydro-electric facility was wrapped yesterday and sprinklers were installed along Addition Bridge. Fire personnel have started initial structure protection efforts for the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compounds that will continue into today. A safety zone is being established on the Spotted Bear Ranger Station compound. Crews continue to work on improving previous fuel breaks around the Spotted Bear Ranch, Diamond R Ranch and the Spotted Bear Ranger Station compound. Additional planned activities for today will include installing hose line and sprinkler systems at these facilities. UPDATE, AUG. 3: Due to the Tin Soldier Complex of fires, the West Side South Fork Road #895 will be closed to public travel at the 42 mile mark and the East Side South Fork Road #38 will be closed to public travel at the 37.5 mile mark. Four fires burning around 2,061 acres make up the Tin Soldier Complex, which is burning on the Spotted Bear Ranger District of the Flathead National Forests. As of Thursday morning, the following is the current acres burned by each fire: Bruce Fire 889 acres at 0% containment Kah Mtn Fire 257 acres at 0% containment Sullivan Fire 914 acres at 0% containment Con Kelly Fire 0.25 acres Contained and controlled The Bruce, Kah and Sullivan fires are being managed with point source protection to protect values at risk, which includes the Stony Communications Site, hydro-electric plant, Heinrude residential community, commercial guest ranches and the Spotted Bear Ranger Station compound. The Heinrude Community has been issued an evacuation by the Flathead County Sheriffs Office. Stage 1 fire restrictions are in effect, with Stage 2 restrictions taking effect Aug. 5. A road restriction is in effect for the East andWest Side South Fork Roads. A closure is effective for the front country of the Spotted Bear district. Temporary Flight Restrictions are in place for air space over the Tin Soldier Complex to provide a safe environment for firefighting aircraft operating in the area. People are being reminded that temporary flight restrictions also apply to unmanned aircraft systems (UAS) or drones. The following is a Facebook post by the Flathead National Forest: There have now been 17 fires reported since the dry lightning storm between July 29 and July 30. The Kah Mountain and Bruce fires on the Spotted Bear Ranger District are being combined with two smaller starts into the Tin Soldier Complex. A Type 3 Incident Management Team will take command of the Tin Soldier Complex, cumulatively estimated at 80-100 acres. Spotted Bear Ranger District has seven reported fires at this time. Alcove and Stadium Creek Fires are in the Bob Marshall Wilderness Complex. The 0.5-acre Elam Fire is along the West Side Road on the Hungry Horse Reservoir and firefighters have constructed containment lines around the perimeter. The Hungry Horse-Glacier View Ranger District has nine reported fires, one of which is in controlled and two are now contained, including the 0.10-acre fire near Lion Lake reported this morning July 31. - The Logan Fire is contained and is approximately 0.10 acre, located in timber with an active timber sale to the south. Aerial resources delivered buckets of water this morning, slowing the fire spread in support of the firefighters who successfully constructed containment line around the fire. - The Doris Point Fire is estimated at 0.5 acre and is burning on 80-90% slope in thick timber and vegetation with prevalent large-diameter dead trees. Fire personnel were able to approach the fire on Sunday but the risk to firefighter safety is very high due to the fires location. Fire personnel are working to evaluate opportunities and suppression plans that prioritize safety and probability of success. - The Ridge Fire is estimated at 20-30 acres, actively backing down towards Embry drainage in mature timber with high quantities of both dead and down trees and standing dead snags. This is a full suppression fire and fire personnel are assessing control features, utilizing old logging roads. Heavy equipment has been ordered to support firefighting efforts. - The Abbot Fire is a single tree snag burning less than half a mile from the Ridge Fire and has been incorporated into the Ridge Fire response strategy. - The Emery Fire is estimated at 0.10 south of the ridge fire and is successfully controlled. Stage 1 Fire Restrictions are in place and there are currently no fire-related area or road closures on the Flathead National Forest. Five years ago this summer, the U.S. Supreme Court decided that government employers across the country may not cut deals with Big Labor officials to fire civil servants for refusing to pay dues or fees to a union they dont want, and never asked for. The ruling that government-sector forced union dues and fees violate the First and Fourteenth Amendments came in Janus v. American Federation of State, County and Municipal Employees Council 31, a case argued and won free of charge on behalf of independent-minded Illinois civil servant Mark Janus by then-National Right to Work Legal Defense Foundation staff attorney Bill Messenger. (This spring, Messenger became the Foundations vice president and legal director.) In addition to Right to Work Foundation attorneys, attorneys for the Winston & Strawn law firm and the nonprofit Liberty Justice Center in Chicago furnished Janus with free legal aid. At the time Janus was announced, 25 states already had Right to Work laws on the books prohibiting compulsory financial support for all government unions. Moreover, two other pro-Right to Work statutes, respectively signed into law in 2011 and 2012 in Wisconsin and Michigan, protected most kinds of public employees from being fired for refusal to bankroll a union, but made certain exceptions. Nevertheless, as of the 2017 calendar year the overwhelming majority of Americas nearly seven million unionized state and local public employees still resided in jurisdictions without Right to Work protections. In the months prior to the Supreme Courts June 27, 2018, release of its Janus opinion, some Organized Labor observers recognized that a pro-free speech decision could potentially mean an ongoing annual loss of hundreds of millions or even billions of dollars in coerced union dues and fees for government union bosses. To avoid major financial setbacks, Big Labor knew it would have to persuade, by hook or by crook, vast numbers of workers to support unions voluntarily who, before Janus, were only forking over union dues or fees because they had to in order to keep their jobs. Assessing just how successful or unsuccessful union bosses have been in stemming their losses of dues and fee payers and revenue since 2018 is not easy for several reasons. Unlike private-sector unions with annual revenues exceeding $250,000, government unions with no private-sector members do not have to file annual LM-2 forms reporting their membership totals and revenue sources. Even among the government unions that do have at least a handful of private-sector members and consequently must file LM-2s, there is no requirement to use consistent criteria from year to year regarding who constitutes an active member of the organization. In some years, retirees and affiliated members (whose dues assessments are virtually always far lower than those of unionized employees who are still collecting paychecks) may be counted as active members. In other years, they may not be. Fortunately, there is a resource that is far superior to LM-2 forms for assessing the impact of Janus on government union membership. State and local government payroll departments in all 50 states record how many employees are subject to exclusive union representation during any given pay period, and how many are having union dues withheld from their paychecks. Public employee payroll records are not published, but interested persons may obtain them by filing freedom-of-information (FOIA) requests under state government transparency laws. And over the course of the five years since Janus, the Midland, Mich.-based Mackinac Center for Public Policy (MCPP) has systematically submitted FOIA requests to public employers in every state significantly affected by Janus to ascertain how many public employees have successfully exercised their constitutional right to opt out of union financial support. The data the MCPP has been able to obtain covers most of the roughly 5.6 million public workers employed in unionized workplaces just prior to Janus in the states the group has been tracking. According to a recently-published summary of the groups findings by MCPP Vice President Jarrett Skorup, just before Janus there were 3.109 million people paying union dues or fees in the public entities included in its survey. In contrast, the most recent available data show there are just 2.563 million union dues payers employed by the same public employers. Meanwhile, the number of workers subject to union contracts covered in the survey increased by roughly 184,000. Based on the large sample for which it was able to obtain data, the MCPP estimates that there are roughly 1.2 million fewer actively employed civil servants bankrolling a government union today than there would be had the Janus case never made it to the Supreme Court, or had the court ruled the other way. While annual union dues assessments per worker vary widely and there is no easy way to estimate what the average for public employees nationwide is, if one assumes it is $600, as the MCPP very conservatively does, that means Janus is now costing government unions roughly $720 million in revenue every year, and that number is likely to grow. Why would a public servant choose not to support financially the union that wields monopoly power to deal directly with his or her employer on matters of pay, benefits, and work rules, once the employee has a free choice about that? No two independent-minded employees would offer exactly the same answer, but the answers commonly fall into one of a few categories. Many public employees have solid grounds for believing that Big Labor work rules hinder them from performing their jobs to the best of their ability. For example, as Success Academy Charter Schools founder and CEO Eve Moscowitz explained in a June commentary for the Wall Street Journal, teacher union bosses in the New York City area have for many years successfully blocked the introduction in the schools they control of reading programs that require a wide range of educators, from assistant principals to art instructors, to help kids learn to read. Even as the Success Academy has since 2006 demonstrated that poor and minority schoolchildren can outperform the New York State average for all schoolchildren in reading and other key subject areas when educational employees of all types work together to help them learn to read, United Federation of Teachers (UFT/AFT) union bosses have made it impossible for district public schools to adopt a similar or identical program. Thats a key reason why, as Moscowitz reported, only 12% of black fourth graders and 18% of Hispanic fourth graders are proficient readers, according to National Assessment of Educational Progress test scores that were made public for the first time last month. Many other public employees may refuse to bankroll a union because union officials refuse to stand up for rights that are important to the employees. A case filed this May in the Rhode Island Superior Court by three teachers who were fired for exercising their religious freedom not to receive a COVID-19 vaccine is a good illustration. Whatever one thinks of mandatory vaccines generally, there was never a remotely plausible case for forcing public educators to get a COVID-19 vaccine to stay on the job. The fact is, there was never any solid evidence that any available vaccine prevents the transmission of COVID-19 from person to person. On the other hand, by late summer 2020 there was already compelling evidence that COVID-19 poses very little to risk to the health of school-aged children, and teachers are not at elevated risk of falling severely ill. At the time they invoked the freedom-of-religion guarantees in the First Amendment as the grounds for their refusal to get the COVID-19 vaccine, Rhode Island teachers Brittany DiOrio, Stephanie Hines, and Kerri Thurber were all dues-paying members of the Barrington Education Association (BEA) union, its statewide parent, and the National Education Association (NEA) union. Yet teacher union bosses who relentlessly boast about how they supposedly defend the interests of members and nonmembers alike refused to lift a finger to help them. The only reason they were ultimately able to get fully reinstated and receive back pay is because of a settlement won on their behalf by a private attorney they had engaged. The most plausible explanation for why teacher union bosses did not hesitate to throw DiOrio, Hines, and Thurber under the bus is that, post-Janus, cultivating a sense of hysteria about COVID-19 has been one of AFT and NEA union bosses most effective ways of securing new sources of revenue to counterbalance the financial losses they are suffering as more and more educators cut off support for their organizations. Most significantly, union bosses were able to leverage unscientific school lockdowns that continued throughout most of the 2020-2021 academic year to rake in a total of roughly $200 billion in federal stimulus money. This cash was funneled directly and indirectly to government school districts as part of the Biden American Rescue Plan scheme rammed through Congress in March 2021. The fact that top teacher union officials like the AFTs Randi Weingarten were able to exploit the ample legal monopoly-bargaining privileges still granted by state politicians to pull off this unprecedented coup shows that much more remains to be done to check the still-inordinate clout of Big Labor. Stan Greer is senior research associate for the National Institute for Labor Relations Research. COLUMBIA STATION, Ohio Ohio Attorney General Dave Yost is suing a northeast Ohio construction company and its owners accused of pocketing more than $289,000 in consumers money while failing to complete construction projects. The lawsuit, filed Aug. 8, against Diamond Ridge Construction Services and owners Thomas Boyd and Brian Stepp alleges multiple violations of the Ohio Consumer Sales Practices Act and the Ohio Home Construction Service Supplies Act. The suit was filed in Cuyahoga County Common Pleas Court. Diamond Ridge operates out of 27102 Royalton Road in Columbia Station and specializes in home construction services. The Attorney Generals Office responded to thirteen consumers who lodged complaints against Diamond Ridge for a staggering cumulative loss of $289,337.17, arising from contracts made from April 6, 2022, to March 31. The complaints detail the following stories: One consumer reported the completion of their project, only to find out that a subcontractor placed a lien on their property due to non-payment from Diamond Ridge. Another individual was working with a general contractor who abruptly stopped the project, attributing the halt to Stepp and Boyds non-payment. Others voiced their concerns over projects that remained stagnant or were started but not finished, with no refunds issued. Some consumers were forced to pay large down payments, coupled with contracts that failed to provide important details. A pattern of Stepp and Boyd failing to obtain the appropriate contractor registration permits. In 2018, the Attorney Generals Office secured a default judgment and permanent injunction against Stepp and his home improvement company Ashley Contractors, underscoring Stepps prior involvement in bad activities. Yosts lawsuit seeks to have Diamond Ridge, Stepp and Boyd reimburse consumers and pay civil penalties and court costs. COLUMBUS Ohio Gov. Mike DeWine announced a new statewide effort to encourage improved literacy skills for all ages, including the implementation of a curriculum aligned with the science of reading in K-12 school. The ReadOhio initiative, announced Aug. 10, will use research that has been conducted for decades by scientists and literacy experts that shows there is an actual science behind learning to read and that certain skills need to be taught, including phonics. With 40% of Ohio third graders not proficient in reading, we need to seriously look at how we are teaching reading in the state because reading is fundamental to future success, DeWine said, in a statement. DeWine also announced a toolkit for school leaders whose schools are not already using a curriculum aligned with this method, at http://Education.Ohio.Gov/ReadOhio that will help educators prepare for the transition to the science of reading instruction. Read it Again! a free digital resource for early childhood educators developed by the Crane Center for Early Childhood Research and Policy at Ohio State University to help build language skills in infants, toddlers and pre-K students. An online resource, available this fall, gives parents and caregivers tips to help our youngest children grow their literacy skills. A continued focus on expanding the Dolly Parton Imagination Library of Ohio, which provides one free book a month for any child in Ohio from birth to age 5. Currently, more than 58% of eligible Ohio kids are participating in the program. DeWine signed an executive order in March to renew our states focus on building literacy skills, including a program to recognize schools that are demonstrating a strong implementation of best practices aligned to the science of reading and where students are making significant progress in reading. To stay up-to-date on the ReadOhio initiative visit http://read.ohio.gov. COLUMBUS The Ohio EPA will host the 2023 Sustainability Conference from 9 a.m. to 4 p.m. Sept. 19-21. This free, virtual conference will showcase sustainability efforts and perspectives representing all sizes of businesses, communities, academic institutions and sustainability-focused organizations. During the conference, attendees will hear from Ohios sustainability leaders who are eager to share their insights on how theyve gone above and beyond to encourage, implement and highlight sustainable practices in their organizations. To register online or view the full agenda, visit epa.ohio.gov/about/media-center/conferences/sustainability_conference. By Other News COLUMBUS The Public Utilities Commission of Ohio announced that it has awarded $800,000 in hazardous training grants to 21 applicants. Through the annual hazardous materials training grant program, educational institutions and local governments across Ohio are awarded grants for training emergency responders to best respond to incidents related to hazardous materials. The 21 applicants and awarded grants are as follows: Aurora Fire Department will receive $4,700 to train a total of 60 personnel in hazardous materials refresher courses and lithium battery emergencies training. Chemical Emergency Preparedness Advisory Council / Franklin County EMA will receive $11,675 to train 60 personnel. Cincinnati State Technical and Community College will receive $62,995 to provide various student training. City of Toledo, Division of Environmental Services will receive $22,999 to train 720 personnel in hazardous waste, emergency response, lithium battery emergency and rail awareness courses. Cleveland Department of Public Utilities will receive $9,990 to train 100 personnel in spill prevention control and countermeasures. Cleveland Fire Department will receive $39,900 to train 20 personnel in highway emergency response and tank car specialist courses. Cleveland State University will receive $400,000 to fund 10 courses related to various hazardous materials topics. Clinton Fire Department will receive $4,450 to fund hazardous materials refresher and lithium battery emergency training for 50 personnel. Cumberland Train Fire Department will receive $2,750 to train 44 personnel in lithium battery emergencies. Fairfield County EMA will receive $12,550 to train 105 personnel in hazardous materials rail awareness and hazmat release/spill response. Findlay Fire Department will receive $23,500 to provide a 40-hour hazardous material technician training course for 40 personnel. Green Fire Department will receive $8,250 to train 57 personnel in lithium battery emergencies. Hamilton County Local Emergency Committee will receive $9,400 to conduct a hazmat research officer course and a hazmat IQ training for 30 personnel. Huron County EMA will receive $6,870 to 100 personnel in hazmat dispatching, awareness, vehicle emergencies and lithium battery emergencies. Macedonia Fire Department/Twinsburg Fire Department will collectively receive $22,850 to train 120 personnel. Mount Gilead Fire Department will receive $2,875 to train 25 personnel in lithium battery emergencies. South Euclid Fire Department will receive $12,425 to provide training to 20 personnel for HM technician-level certification. Union County EMA will receive $10,900 to train 50 personnel in lithium battery emergencies. University of Findlay will receive $86,055 to provide training courses on rail car emergencies and other hazmat response courses. Utica Volunteer Fire Department will receive $5,990 to provide hazmat training to 48 personnel. Wayne County Regional Training Facility will receive $38,875 to provide 6 hazmat training courses for up to 135 personnel. The PUCO awards grants for the training of public safety and emergency services personnel in the proper techniques for the management of hazardous materials spills and releases that occur during transportation. Money for these grants comes from fines paid by hazardous material carriers and shippers. The decision to delay import checks on produce coming to the UK from the EU means British producers are still operating at a disadvantage, the sheep sector warns. Checks on animal and food products coming to the UK from the EU have been delayed for a fifth time. British livestock producers whose businesses have relied on selling quality breeding stock into the bloc have also been at a standstill for the past three years. This is due to the absence of any Border Control Posts with live animal facilities on the EU side on the short straits. The National Sheep Association (NSA) says it is 'frustrated' at the government's 'unwillingness to build a level playing field'. Any animals that have made the journey have done so by travelling multiple times further, which is ridiculous on so many fronts," Phil Stocker, NSA chief executive, says. "The UK government has been helpless in doing anything to rectify this problem and has now decided to again delay equivalent import checks on incoming produce." British produce being shipped to the EU has been subject to full import controls following the Brexit agreement, while checks on EU imports should have originally come into place in January 2021 at the end of the Brexit transition period. Mr Stocker argues the outcome of Brexit and new trade deals across the world mean UK industries are having to meet higher standards and greater export controls and costs, while the flow of goods into the UK without proper controls has been eased. This risks our food integrity and leaves our producers increasingly dependent on exporting into higher value markets, damaging our food security and self sufficiency," he says. "If the government continues to expect farming to fight an uphill battle with market volatility, uncertainty, rising input cost and poor opportunity we could easily be heading towards a food security crisis." The World's Strongest Man has been appointed 'Official Bag Holder' at Chessington World of Adventures Resort. Tom 'The Albatross' Stoltman is Official Bag Holder Tom 'The Albatross' Stoltman will ensure all visitors to the park on Wednesday (16.08.23) can enjoy National Rollercoaster Day without one of their group having to look after the belongings by piling their bags onto his arms. The 6ft 9in strongman's record for a stone lift is 286kg, and the average full rucksack for a day at Chessington weighs 6kg, meaning he can hold 47 bags on his arms while their owners ride the likes of old favourite Vampire and the new Mandrill Mayhem, which is located in the World of Jumanji. Tom said: I have been having a blast working with Chessington. Ive lifted hundreds of kilos before, but Ive never had to hold 47 bags while people go on rollercoasters! I hope I get to have a go on Mandrill Mayhem before the day is out. Kevin McIlmurray, Head of Guest Experience, at Chessington World of Adventures Resort, added: At Chessington, theres a ride for everyone so we want to get everyone on the rides this National Rollercoaster Day. "Our number one priority is for our guests to have fun, and we know they will be thrilled to have our Official Bag Holder free up their arms while they ride the coasters. "Who better for Chessington Britains Wildest Adventure to partner with, than The Albatross. With his superhuman strength, riders will be able to load him up like a sort of human buckaroo. To book tickets to the Resort or accommodation in the Resorts Hotels, visit www.chessington.com. Emma Heming Willis is urging her fans to talk to their doctors about their brain health. Emma Heming Willis is urging her fans to talk to their doctors about their brain health The 45-year-old model is devoting her life to caring for her dementia-stricken husband Bruce Willis, 68, and made the call after admitting to her followers she was not good as she struggles with life as the Die Hard stars carer. In her latest Instagram message, she reposted an advertisement directed at women that said: 10 questions every woman should ask their doctor about brain health. It included the first question from the quiz which was: What lifestyle habits will protect my brain health throughout life? Emma said: This is going to be an interesting series to follow! Its so important to talk to your doctor about brain health because Im pretty sure hes not addressing it with you. Emma, who has daughters Mabel, 11, and Evelyn, nine, with Bruce, posted her plea a day after saying she was struggling with her journey as a carer. She also urged fellow care partners to look for something beautiful in their otherwise hard, stressful days and send her photos of their lives. Emma added in her Instagram post: I know it looks like Im out living my best life, (but) I have to make a conscious effort every single day to live the best life that I can. I do that for myself, I do that for our two children and (I do that for) Bruce, who would not want me to live any other way. So I dont want it to be misconstrued that Im good, cause Im not. Im not good. The model said her thinking can often become doom and gloom and said putting her best foot forward is a daily task that does not come to (her) easily. Emma added: When we are not looking after ourselves, we cannot look after anyone that we love. In March, Emma marked her 14th wedding anniversary to Bruce by posting photos and a video to mark happy moments since they got hitched. Alongside a photo of a bouquet of flowers she received from a friend, Emma wrote on her Instagram: Today marks 14 years of marriage to the greatest love of my life. I woke with my heart full but what my mind kept going back to was another persons act of kindness yesterday I wanted to share as it inspired the heck out of me. In passing at a school event for our children, I mentioned to my friend that our anniversary was tomorrow. Cut to, I get a text from my friend later that day that said she left a little something for me at my door. It was this sweet bouquet of flowers (pictured) with a note that said Happy Anniversary amongst other things. It got me thinking about how hard these types of special occasions can be on caregivers. When usually our person would acknowledge the event, now their changing brains just cant. And that is what it is. So my point is this. If you know someone that is looking after someone else, dont ask what you can do, just do. This random act of kindness will honestly stay with me for a long time. Hours later, Emma shared a video shot by Bruces ex-wife Demi Moore of her and the actor renewing their vows on their 10th wedding anniversary. Bruce marked turning 68 on March 19 while surrounded by his children Rumer, 34, Scout, 32, and Tallulah, 29, who he has with his 60-year-old actress ex Demi. The actor retired from acting last year due to the brain disorder aphasia affecting his ability to understand language, and in another update on his health last month, his family said he had been diagnosed with frontotemporal dementia, which affects behaviour, problem-solving, planning and emotions. Emma Heming Willis has paid tribute to her dementia-stricken husband Bruce Willis daughter Rumer on her 35th birthday. Emma Heming Willis has paid tribute to her dementia-stricken husband Bruce Willis daughter Rumer on her 35th birthday The 45-year-old model is devoting her life to caring for Die Hard actor Bruce, and told Rumer who the actor had with his ex-wife Demi Moore, 60 she loved her in a post to mark her landmark age on Wednesday. (16.08.23) She captioned an image that showed Chicago actress Rumer holding who is thought to be one of Emma and Bruces kids: We love you so much @rumerwillis. Happy birthday my sweet. Emma shared the post after telling fans she was not good as she struggles with life as Bruces carer. In one of her latest Instagram messages, she also urged fans to check their brain health. She reposted an advertisement directed at women that said: 10 questions every woman should ask their doctor about brain health. It included the first question from the quiz which was: What lifestyle habits will protect my brain health throughout life? Emma said: This is going to be an interesting series to follow! Its so important to talk to your doctor about brain health because Im pretty sure hes not addressing it with you. Emma, who has daughters Mabel, 11, and Evelyn, nine, with Bruce, posted her plea a day after saying she was struggling with her journey as a carer. She also urged fellow care partners to look for something beautiful in their otherwise hard, stressful days and send her photos of their lives. Emma added in her Instagram post: I know it looks like Im out living my best life, (but) I have to make a conscious effort every single day to live the best life that I can. I do that for myself, I do that for our two children and (I do that for) Bruce, who would not want me to live any other way. So I dont want it to be misconstrued that Im good, cause Im not. Im not good. The model said her thinking can often become doom and gloom and said putting her best foot forward is a daily task that does not come to (her) easily. Emma added: When we are not looking after ourselves, we cannot look after anyone that we love. In March, Emma marked her 14th wedding anniversary to Bruce by posting photos and a video to mark happy moments since they got hitched. Alongside a photo of a bouquet of flowers she received from a friend, Emma wrote on her Instagram: Today marks 14 years of marriage to the greatest love of my life. I woke with my heart full but what my mind kept going back to was another persons act of kindness yesterday I wanted to share as it inspired the heck out of me. Bruce marked turning 68 on March 19 while surrounded by his children Rumer, Scout, 32, and Tallulah, 29, who he has with actress Demi. The star retired from acting last year due to the brain disorder aphasia affecting his ability to understand language, and in another update on his health last month, his family said he had been diagnosed with frontotemporal dementia, which affects behaviour, problem-solving, planning and emotions. John Barrowman's elderly mother Marion is in intensive care. John Barrowman's elderly mother in intensive care The 59-year-old actor has pulled out of GeekdCon in Louisiana this weekend in order to stay by his mother's side as she battles her illness. He shared a video on Twitter, where he explained: "Hey everybody this is a message to everyone who is heading to Shreeveport Louisiana for GeekdCon. "Unfortunately I'm gonna have to cancel this weekend my mother is still in intensive care, and I feel it's only appropriate that I'm here with her and my dad and the rest of the family. "So I hope you understand, go and have a great time there's lots of guests coming have a wonderful Con. "Support the LGBTQIA+ community, make some videos to tell my Mum to get better. I would love that and sport those flags and all the rainbow flags and post those videos so I can watch them. "I'm sorry I was looking forward to coming but unfortunately circumstances mean that I can't so lots of love to everybody and have a great great time." John had earlier pulled out of the Huntington Comic Toy Con to be with his mother. John is very close to both of his parents and previously spent the COVID-19 lockdown with them at his home in Palm Springs. And he has spoken of how supportive they were when he first came out as gay. He said: "I remember my parents were in their bedroom getting ready to go out when I mustered up the courage to tell them. "I was standing in the doorway and said, 'Im prepared for you to throw me out. If you do, Im going to walk out of that door with my head high and Im going to live my life. Its going to be unfortunate, because you wont be a part of it, but Im telling you because I want you to be part of my life. Its going to be a great journey and Im going to have an awesome time. I want you there and I need you there.' "Then I said, 'Im gay'. I burst into tears. "My mum walked to the other side of the room, turned around and went, 'Well, Ive known'. "My dad just said, 'What you do in your bedroom is really none of your mothers or my business. Youre our son. Well always love you. Of course were not going to throw you out, but it might take a little while for us to understand.' "I said, 'Im willing to teach you.' Dad said, 'OK. Can we go and get dinner at the country club now?'" Matthew McConaughey, his wife Camila Alves-McConaughey, and their son Levi are helping to raise funds for those affected by the devastating Maui wildfires. Matthew McConaughey and his family are raising funds to help children in need in Maui The 53-year-old Hollywood star and his eldest child announced they had set up a fundraiser focusing on the needs of children through the couple's just keep livin Foundation and Baby2Baby, the latter of which provides diapers, clothes and other necessities for children in disaster areas. In a video message, the 53-year-old actor - who also has Vida, 13, and Livingston, 10, with his spouse - began: We know that you probably already know about all the devastation on the island of Maui. The fires over there have put so many people out of home and have taken so many lives. These people need to stabilise to survive. Camila, myself and Levi are working with this organisation called Baby2Baby. They are working with partners that are on the ground in Maui saying, This is what people need right now. This is what the most needy people need.'" The 'Gold' actor continued: Thats Aloha Diaper Bank, Hawaii Diaper Bank, Maui Food Bank, Pacific Birth Collective and Maui Rapid Response. These are people, organisations, on the ground in Maui saying, This is what is needed right now. So if youd like to help, check out Baby2Baby, see the work theyre doing. Or any other way you can help. Theres a lot of help thats needed. Levi, 15, added: Theyre going to need it for the long-term, so any way you guys can help, its appreciated. Thanks, guys. The death toll is now in the hundreds and many are unaccounted for. Mobile morgues have been setup to try and identify those who tragically lost their lives in the blazes that destroyed the historic village of Lahaina. When asked if children are among the many missing, Hawaii Governor Josh Green told Hawaii News Now: Tragically, yes when the bodies are smaller, we know its a child. The Swadeshi Movement of 1905, a precursor of the modern-day Make In India initiative, proved that the handloom sector was a reliable pillar of the economy and a means of self sustenance. Over a century later, however, spurred by changes in our wardrobes, the handloom industry, too, has undergone major shifts. To understand the current landscape better, we speak with creative individuals whose labels have focussed on textiles and who continue to work with the loom and those who operate it.Designer & Founder AKAAROAt AKAARO, we create yarn blends with materials that you dont generally see together, says designer Gaurav Jai Gupta. Gaurav started his label in 2010 but has been working with unconventional source materials such as metal since the early 2000s when he was still studying in Delhi at the National Institute of Fashion Technology. Back then, there was no concept of metallic clothing not in India, at least. Even zari was viewed as ornamentation but never from the point of view of a woven textile. Things changed when he introduced his handwoven molten liquid sari a unique blend of fine silk and zari resulting in a highly-reflective diaphanous fabric. It has been his labels most noteworthy offering that has also become his signature.Despite the fact that his clothes fit seamlessly in the Indian context, his narrative, he explains, is not traditional. One of the handful of designers trained to operate the loom himself, he learned creative weaving, beyond heritage weaves and motifs, in the United Kingdom, and cites Japanese textile innovator Junichi Arai and designer Issey Miyakes futuristic designs as his inspiration. I like to work with things that look simple but are very complex to make, Gaurav explains shorthand for his need to innovate at a fabric level. We dont work with prints or embroidery. We do not even use traditional motifs. Working with woven fabrics also requires a lot of physical labour, so Id be lying if I said I dont struggle sometimes to offer something new every season. But I have always wanted to create something that was mine, that didnt exist before I did it. In his case, sophisticated and refined textiles.As someone who works only with handwoven textiles, Gaurav believes that the handloom industry is in a relatively better space today owing to an increase in awareness, but enough innovation is not taking place at the fabric level. What have we done for Indian textiles in 2023 that is different from what we did back in the 60s or 70s? he asks. His solution at least with his work with AKAARO is to produce fewer but well-thought-through, highly engineered and mature collections. If we dont push the envelope further, were not progressing, he believes.Founder & Principal Designer The House of AngadiMany believe that, given that the sari is indigenous to India, the time frame in which it is made is a relatively small one. This assumption, KH Radharaman points out, is inaccurate. The process from concept to implementation can easily take upwards of a year, he says, armed with knowledge that has assimilated into his DNA owing to his familys 600-year-old history with weaving silk saris, especially the Kanjeevaram. Radharaman comes from a family of silk weavers called the Padmasaliyas who migrated from modern-day Warangal, Telangana, to Tanjore and Kanchipuram in Tamil Nadu, to carry on weaving for the royals of the Chola Dynasty. It was these royals who also conferred upon them the name Angadi.His father, K Kothandaraman, Radharaman says, was the genius who made weaving contemporary with the Advaya and Alamelu labels to appeal to the youth. He was responsible for many of the firsts in the textile industry, he shares. He set up the integrated handloom weaving facility in India as far back as 1958 and introduced jacquards and other innovative techniques at a time when the rest of the handloom industry was largely a cottage industry.Despite Radharamans illustrious lineage, his love for the weave stems more from a personal memory of admiring his mothers Kanjeevaram collections. This sense of awe for the genre somehow never faded, says the designer, who has himself brought innovation to the weave by introducing the first-ever linen and organza versions. The process, however, has remained largely unchanged. Since we do not design for seasons, we create a distinct signature in each series, he reveals. The start of every design is usually an idea that forms in my mind. We then develop motifs by an elaborate hand-sketching process that undergoes several iterations. The construction technique depends on how he interprets the design and sometimes even entails reverse engineering the looms. Ultimately, weaving is the most important specialisation of our studio.Radharaman compares acquiring a good Kanjeevaram sari to investing in a piece of art. A knowledgeable collector is likely to appreciate a true work of art, he points out. Unfortunately, he also recognises a gap in awareness about the textile in todays young people, even though they are keen on saris. But that does not mean handloom is dwindling, he avers. Vivid descriptions of our textiles were found even in our early scriptures, so I believe that textiles are an indelible part of the story of India.Studio Mediums decidedly quiet approach to fashion was disrupted only by its own handsfree sari that saw an uptick in demand after making a runway debut in 2020. A simple, crinkled shibori sleeve in a handwoven sari palla meant that it could be worn with minimal maintenance without altering the silhouette itself. Riddhi Jain Satija, a textile design alumna of the National Institute of Design, Ahmedabad, founded Medium in 2016, and she and her creative director husband Dhruv Satin run the label together. They call it a textile-first brand. Thats where the imagination process begins, explains Riddhi. For us,it is core to understand how different textiles react to different processes.Both Riddhi and Dhruv reveal that they dont experiment with embroideries or any type of surface ornamentation at Studio Medium because theyre not fully done exploring textile as their medium. The only decorative process if one can even callit that that has become a mainstay in their works is shibori because of how the resist dyeing technique makes the textile more interesting.When we started Medium, the idea was to create things that endure, says Riddhi, speaking of their philosophy of taking the time to make things that are timeless. Like our saris, she adds, while revealing that some collections have taken them upwards of three years to develop. We are constantly experimenting. Theres always something that were working on in the background, shares Dhruv. Since everything is done in-house, we have the space and clarity of knowing what we want to do. That allows us to start something, get excited about it, put it aside, and come back to it. But experimenting with textile means hitting unexpected roadblocks sometimes with the loom but, rather than discarding the idea, the couples attitude is to keep at it. There are multiple ways of creating the same thing, Riddhi expresses. When we take our ideas to the loom, it, too, offers a perspective; if you listen to it, the results are always better than what you started with.Riddhi and Dhruv want to change the crafts-are-dying narrative that has been playing for too long. The way to move forward is to throw positive light on the loom, shares Riddhi. There are lots of people working with textile and its not going anywhere, states Dhruv. Its a powerful medium, and its here to stay.Designer & Founder Vaishali SI started working with Chanderi silk because, growing up, I saw my mother in Chanderi saris, reveals Vaishali Shadangule. When I saw how they tie 10,000 threads on the loom and how, with pure technique, everything falls into place, it became my mission to take this fabric to the world. Since starting her eponymous label in 2004, she has taken Indian heritage weaves global by showcasing them at the New York, Paris and Milan Fashion Weeks.Vaishali is not a trained textile designer; she has not studied fashion design either. Everything Ive learnt about fabrics is from the weavers, she admits. Her quest to discover heritage Indian weaves has taken her from Maheshwar in Madhya Pradesh and the little-known village of Guledgudda in Karnataka one of the few remaining places in the country where khun weaving is still practised to Sualkuchi, a sleepy village in Assam where kesa pat is woven. I realised after spending time with the weaving communities that they have the skill, but they need design and innovation to support them. Vaishalis answer to this problem: experimenting at the fabric level.For her AW22 collection Srauta, the designer sourced pashmina yarn, handspun by the Ladakhi women, in addition to merino wool and khun, to weave into a fabric. For her latest collection Beneath, inspired by surrealistic underwater landscapes, the designer turned to a fabric woven using merino wool and metal yarn to mimic the effect of sunlight on water. It was challenging even for the weavers, she tells us. It took months to develop the fabric and we sampled it ourselves before going ahead to design the collection with it. Vaishali believes that challenging traditional ideas is how we will save handloom. That responsibility lies with us.It was Vaishalis love for textile and admiration for those who weave that was the jumping-off point for starting her own label. This is a symbiotic relationship, explains the designer. I am very conscious that I am utilising their art to build my business but, in turn, I am also giving them business. For Vaishali, this also raises important concerns about sustainability beyond the apparent environmental issues. Sustainability is also about ensuring that the loom provides sustenance so that the weavers continue to go back to it. Designers have great margins. If youre making 100, I understand you dont want to give the weavers 50, but dont give them five! Having previously won a beauty pageant and being an accomplished Bharatanatyam dancer, Major Nikita Nair achieved the title of Miss OTA (Officers Training Academy) during her time in army training. Commissioned as a Lieutenant in the Army in 2016, she has subsequently advanced to the rank of Major. She graduated from Chennai's Officers Training Academy. While addressing the people of the country, Prime Minister Narendra Modi highlighted India's approach of women-led development, which will also be one of the key focuses in 2024. The flag hoisting was accompanied by a 21-gun salute performed by the elite 8711 Field Battery (Ceremonial), led by Lieutenant Colonel Vikas Kumar. Next Story : Stories Of Survival: From A Bonded Labourer To A Bold Advocate For Freedom India celebrated its 77th Independence Day with celebrations spanning across the country. Prime Minister Narendra Modi hoisted the national flag at the Red Fort and addressed the nation on this momentous occasion. This moment gained even more significance as two women officers accompanied the Prime Minister to assist in unfurling the tiranga. Major Nikita Nair and Major Jasmine Kaur stood strong as they assisted PM Modi in the historic Tricolour hoisting which was witnessed by 25000 people in attendance. Bigg Boss Season 7 Tamil New Contestant Update: It has been reported that a newsreader working in a popular Tamil news channel is going to join in Bigg Boss Season 7. Rumored List Of Contestants While the Bigg Boss show is said to be starting next month, from time to time, the list of celebrities who are going to participate as contestants in the show is being released by various sources on social media. Rekha Nair, Ma Ka Pa Anand, VJ Bhavana, Bayilvan Ranganathan are some of the names rumored so far. In that way, it is said that a newsreader is going to participate in the Bigg Boss show. Since the start of Bigg Boss show, it has been getting good response among the fans worldwide. Especially if Bigg Boss starts, the TRPs of many reality shows telecasted on other channels will get affected. Even though they have launched some programs to compete with Bigg Boss, it has been beating them and maintaining its success every time. Newsreader Ranjith It can be said that the main reason for Bigg Boss' popularity is Kamal Haasan. Kamal Haasan never forgot to appreciate and criticize the contestants whenever it is needed. The celebs inside the Bigg Boss house have to stay away from their family for 100 days without any outside contact, apart from that, they have to do the tasks given by Bigg Boss perfectly to get a place in the minds of the people and the co-contestants. In the Bigg Boss show that ended last January, Azeem was selected as the title winner. Bigg Boss season 7 show is expected to start soon. Also, now the name of a popular news reader has been included in the list of rumored contestants. It is said that polymer newsreader Ranjith, who is very popular for his unique voice, is going to participate in the Bigg Boss show. Followed by newsreader Anitha Sampath has already participated in Bigg Boss Season 5, Ranjith is now rumored to participate in Bigg Boss. Let's wait till the official announcement to know the truth. Jailer Villain Vinayakan Salary LEAKED: Salary details of Malayalam actor Vinayakan who played the terrific Villain Varman character in Superstar Rajinikanth's Jailer is leaked on social media. Malayalam Actor Vinayakan Jailer has turned as Rajinikanth's massive comeback film. Directed by Nelson Dilipkumar, the film Jailer was released on August 10. Due to the success of the film Jailer, all the actors who performed well in the film have been praised by netizens. Malayalam actor Vinayakan is the one who got the most attention from Jailer. Vinayakan played the character of menacing Villain Varman in Jailer. Vinayakan lived as a real villain in the film as he spoke Tamil mixed with Malayalam along with an amazing body language. Actor Rajinikanth marveled at Vinayakan's performance and praised him at the Jailer audio launch. Vinayakan had shown such an extraordinary performance in the film. Although he had previously acted in Tamil films such as Thimiru and Mariyaan, Jailer is the film that perfectly fueled his acting. Too Less For A Brilliant Performer In such a situation, information about the salary received by Vinayakan for playing the villain in Jailer has been revealed. Accordingly, it is said that he has been paid only Rs. 35 lakh as salary. While Mohanlal, who acted in a cameo role of just 5 minutes in the film Jailer, received a salary of Rs. 8 crore, the information that Vinayakan, who appeared in the film, was paid only Rs. 35 lakh, has shocked the fans. However, after the success of Jailer, Vinayakan's market has skyrocketed. It will not be surprising if he gets a salary of Rs 1 crore for the next films he commits to. Netizens are commenting their opinion on social media after knowing the information of Vinayakans' Jailer salary. One of them said, "Too less for such a brilliant performer who already proved his mettle in so many amazing Malayalam films." Pushpa Villain Sunil Signs Many Direct Tamil Films After Jailer: Popular Telugu actor Sunil is currently acting in many films in Tamil cinema. Actor Sunil has created a separate fan base by acting variety of characters like hero, comedy, character roles and villain. Acted In More Than 100 Films Sunil, who has acted in more than 100 films in Telugu, made his film debut with Nuve Kavali. Sunil, who came into the limelight in Telugu cinema, was introduced to the Tamil audience through the Allu Arjun starrer Pushpa. In this film, actor Sunil played the villainous character Mangalam Srinu in a menacing look. Many praised Sunil's performance in Pushpa Part 1. Actor Sunil, who became a familiar face to Tamil fans through this film, played an important role in Maaveeran, which was released last month. It was directed by Madonne Ashwin with actor Sivakarthikeyan in the lead role. It is noteworthy that the film Maaveeran was released in two languages namely Tamil and Telugu. Jailer Success Imports Sunil To Kollywood Following Maaveeran, Sunil also acted in Jailer starring Superstar Rajinikanth. His character in Jailer is highly appreciated by audience. Actor Sunil is said to have recieved Rs. 60 lakh salary for his role in Jailer. Actor Sunil, who has been appearing in several Tamil films in a row, has signed up to star in Raghava Lawrence's younger brother Elvin's film 'Bullet'. The official information about this has been released by the film team. Sunil is also acting in multilingual and Pan India films like Allu Arjun starrer Pushpa 2, Ram Charan starrer Game Changer and Mahesh Babu starrer Guntur Karam. Also, he is playing important roles in many direct Tamil films such as Mark Antony starring actor Vishal, Japan starring Karthi and Eegai starring actress Anjali. Abhishek Malhan has been the talk of the town ever since he participated in Bigg Boss OTT 2. He was one of the strongest contestants on the show and managed to win millions of hearts as with his impressive game. And while there were a strong speculations about Abhishek Malhan aka Fukra Insaan winning Bigg Boss OTT 2, he emerged as the first runner of the show. To note, apart from losing the trophy to Elvish Yadav, Abhishek has been making the headlines as he has been hospitalised. For the uninitiated, Abhishek was rushed to the hospital due to weakness and health issues. It was reported that he has been diagnosed with dengue and was hospitalised again post the finale. Fukra Insaan Health Update: BB OTT 2 Bestie Manisha Rani Visits Abhishek In Hospital, CUTE Video Goes VIRAL And while the renowned YouTuber has been recovering in the hospital, several celebs have been visiting him there - from Bigg Boss OTT 2 contestant Manisha Rani to Prince Narula and more. Among these, Abhishek's arch rival from the show also made sure to visit him in the hospital. We are talking about Bebika Dhurve. For the uninitiated, Abhishek and Bebika were often seen locking horns in the BB house and their khatti meethi jhonk was one of the highlights of the popular reality show. And now that Abhishek is in hospital, Bebika visited him and wished him a speedy recovery. She even shared a quick selfie with Fukra Insaan from the hospital and wrote, "It had been a journey of highs and lows... even though u dint win the trophy u have won hearts of janta... get well soon and rise high always....@fukra_insaan" Take a look at Bebika Dhurve's post: I Swear To God...: Fukra Insaan's Message After Elvish Yadav Wins Bigg Boss OTT 2, Hospital Video Goes Viral Interestingly, apart from Bebika, Abhishek's close friend Aashika Bhatia also visited him and captioned the post as, "Get well soon Hero. I shall see you soon my friend" along with a heart emoticon. Meanwhile, Abhishek has also sent out a message from the hospital post the finale and expressed his gratitude towards everyone who supported him during his journey on Bigg Boss OTT 2. Ravi Dubey News: Establishing himself as one of the most versatile and bankable actors, Ravie Dubey has achieved a phenomenal feat with his upcoming show Lakhan Leela Bhargav by shooting for a 28 minutes long monologue sequence. Not only is the scene shot in a single take but also filmed with a single camera. Playing a criminal lawyer from Lucknow in the show, Ravie shot for the monologue scene as a part of the concluding statement in the courtroom drama, streaming on Jio Cinema from 21st August. Ravie Dubey shares, "As an actor, I feel it's our constant responsibility to push the envelope and deliver new, unique and interesting content to the audience. It's our responsibility to attempt what's not been done to the best of our ability. This 28 minute single shot monologue was one such opportunity that I got very excited about. We realised we have a winner at hand that can set a precedent. We worked on the script overnight on the sets post our shift and immediately shot it the next day in a single take. Being a courtroom drama the setting lent us an opportunity to attempt something like this, I am happy to report it went wonderfully well and we can safely say that this is among the longest single shot monologues in the world." Presenting his swag and charm to Lakhan's character, Ravie has created an interesting and heroic portrayal that is evidently appreciated from the teaser and trailer of the show. Slipping into different looks in the show, Ravie Dubey yet again earns the title of a chameleon, hailed for his diverse avatars in Matsya Kand earlier. Also looking forward to the release of Farradday, which not only stars him but is also produced by Ravie Dubey, the actor and producer is on a consistent run to deliver entertaining and impactful content to the audience. Vijay Devarakonda Reveals Samantha's Battle With Health: Vijay Deverakonda and Samantha Ruth Prabhu united for the promotion of their upcoming movie, "Kushi." Bhola Shankar Day 6 Collection Prediction: Megastar Chiranjeevi's Movie Expected To Plummet Further During a musical concert organised to boost the film's anticipation, Vijay Devarakonda recounted the period when Samantha Ruth Prabhu fell seriously ill last year. Vijay Deverakonda shared that when Samantha Ruth Prabhu was diagnosed with myositis, she confronted multiple struggles that prompted her to withdraw from interactions and close relationships. In an India Today report, Vijay was quoted speaking at a promotional event for the Telugu film "Khushi." Samantha was diagnosed with the autoimmune condition myositis in 2022. Delving into Samantha's battle with Myositis, Vijay Deverakonda elaborated, "More than anything, I wish to see Samantha smiling. She faced immense challenges for the film. We embarked on this project with cheerful spirits in April 2022, having completed 60 percent of the shooting. Only 30-35 percent of the filming remained pending. By July, Samantha's health took a downturn. She expressed that her well-being wasn't favourable. Shiva and I reassured her, saying, 'You look beautiful. What problem do you have?' We didn't grasp the gravity of it at first. Subsequently, we realised her struggle." The Time Samantha Stopped Communicating Discussing Samantha's situation, Vijay revealed that he got wind of her health condition in July while promoting a different movie, and she was reluctant to discuss it. He conveyed his viewpoint that, as actors and storytellers, they weren't obligated to openly discuss their trials. "In July, during the promotion of my other film, I learned of Sam's health condition. Initially, she kept it to herself. I conveyed to her that we're actors and storytellers, and there was no necessity to share our struggles publicly. Eventually, Samantha felt a sense of responsibility to shed light on her health. She refrained from talking to us and even avoided interacting with anyone. Her health was in a critical state. She fought numerous battles. At that juncture, she decided to share her experience with the world, offering a message of hope." Samantha's Break Samantha completed her commitments for "Kushi" and her international project, the Indian chapter of Prime Video's "Citadel," earlier this year. Having fulfilled her pending projects, Samantha is currently on a hiatus that's anticipated to last for a year. Her treatment is expected to take place in the USA. Shiva Rajkumar In Talks With Prithviraj For Malayalam Movie: Could It Be Mohanlal's Upcoming Major Work? About "Kushi" "Kushi" is a romantic comedy crafted by Shiva Nirvana, with production by Mythri Movie Makers. The movie stars Vijay Deverakonda and Samantha as Viplav and Aradhya, respectively. The film delves into the challenges that arise within Viplav and Aradhya's married life and their journey to overcome them. "Kushi" is slated for release on September 1 in Telugu, Tamil, Kannada, and Malayalam. Home Top Listing Top Listing Before Jailer: 7 Times Mohanlal Won Hearts With His Cameo Appearances In These Movies Mohanlal is widely considered to be one of the greatest and most decorated actors of Indian cinema. He has done more than 300 films in multiple languages since he made his screen debut with Manjil Virinja Pookkal in 1980. In the span of nearly four decades, he has performed a wide range of characters that not many of his contemporaries have managed to achieve. Apart from acting in the lead role the actor always impressed the audience, by playing cameo roles on the big-screen. His latest Tamil release 'Jailer' has completed its first week of theatrical run. The film has been receiving an enthusiastic responses from the audience since its release on August 10, 2023. On the other hand, the actor also played cameo-roles in many superhit movies in Malayalam. Check the complete list given below. Manuuncle Manu Uncle is a superhit Malayalam movie written and directed by Dennis Joseph starring Mammootty in the lead role. The film had Mohanlal in a beard playing a cameo. Even Suresh Gopi had a role in it, untypically playing a funny cop the kind who steps out of a boat thinking it is land ahead and falls into the water. Released in theaters in 1988 and the film received good responses from the audience and critics. Apart from this, the film was awarded the Kerala State Film Award for Best Children's Film in 1989. Read More Summer in Bethlahem The complete actor also played a cameo role in the superhit movie 'Summer In Bethlehem' directed by Sibi Malayil. Mohanlal's role was kept a secret by the crew until the day of the release. The actor was not even featured on the posters during the promotion of the movie. His appearance in the movie made more surprise and excitement among the audience. There is no doubt the filmmaker added that the scene stills evokes a surprise even to the actor also. Read More Onnu Muthal Poojaym Vare Featuring Asha Jayarama and Geethu Mohandas in significant roles , the film Onnu Muthal Poojyam Vare marked the directorial debut of veteran screenwriter Raghunath Paleri. The heart-touching movie also featured Mohanlal, in a stunning cameo role. Produced by Navodaya Appachan of Navodaya Studios. It has won six State film awards, for the debut director, child artist, cinematography, editor, art director and sound recordist. Read More Peruvannapurathe Visheshangal In an interview, filmmaker Kamal revealed that Mohanlal was his first choice to play the lead role of Sivasakaram in the movie 'Peruvannapurathe Visheshangal'. However, he dropped the plan, since the star has done similar roles in the movies. Later it was replaced by actor Jayaram and Mohanlal played a cameo role in the film. Read More Kadal Kadannu Oru Mathukutty Kadal Kadannu Oru Mathukutty is a Malayalam movie directed by Ranjith marking the reunion of actors Mohanlal and Mammmotty together after the success of Twenty-twenty. Mohanlal did a cameo role as himself in the movie, had gained the attention of the audience and critics. Apart from Mohanlal, actor Dileep also played a guest role in the movie. Read More Koothara The actor also played a guest role in the movie 'Koothara', directed by Sreenath Rajendran who made Dulquer Salmans Second Show. Lal acted as a baddie in his extended cameo in the film which mainly revolves around the lives of three college students and their eventful life. Tovino Thomas, Sunny Wane, Bharat, Gauthami Nair, and Janani Iyer featured in prominent roles. Read More Kayamkulam Kochunni Mohanlal impressed the audience's attention by playing a cameo role as Ithikkara Pakki in the film which starred Nivin Pauly and Priya Anand among others. Directed by Rosshan Andrews, the film is based on the life of a highwayman who lived in the 19th century in the Central Travancore region. Released in theaters in 2018 and the film earned more than Rs 5 crore on its opening day. Read More LOADING...... Reported gross profit of $1.9 million and $22.4 million for the three and six months ended June 30, 2023, respectively. Reported net loss of $1.6 million, or $0.10 per share, and net income of $15.2 million, or income of $1.02 per share, for the three and six months ended June 30, 2023. Further strengthening of the balance sheet, reducing long-term debt, net and associated interest payable by $2.7 million, or 4.6%, as of June 30, 2023 compared to December 31, 2022. Continued safe operation of the Nixon refinery under extreme, heat-related weather conditions. HOUSTON, TX / ACCESSWIRE / August 15, 2023 / Blue Dolphin Energy Company ("Blue Dolphin") (OTCQX:BDCO), an independent refiner and marketer of petroleum products in the Eagle Ford Shale region, announced its financial results for the second quarter of 2023. For the three months ended June 30, 2023, gross profit totaled $1.9 million compared to gross profit of $16.8 million for the three months ended June 30, 2022. Blue Dolphin reported a loss of $1.6 million, or a loss of $0.10 per share for the three months ended June 30, 2023 compared to net income of $13.4 million, or income of $0.97 per share, for the same period a year earlier. The $15.0 million, or $1.07 per share, decrease in net income between the periods was the result of: (i) significantly weaker refining margins, (ii) slightly lower refinery throughput, production, and sales volumes, and (iii) a buildup of inventory due to a planned turnaround, cooling equipment fabrication delays, and unsafe weather conditions. For the six months ended June 30, 2023, gross profit totaled $22.4 million compared to gross profit of $23.4 million for the six months ended June 20, 2022. Net income totaled $15.2 million, or income of $1.02 per share for the same six month period in 2023 compared to net income of $16.9 million, or income of $1.27 per share, for the same period a year earlier. The $1.7 million, or $0.25 per share, decrease in net income between the periods was the result of significantly weaker refining margins, slightly lower refinery throughput, production, and sales volumes, and a buildup of inventory in Q2 2023. "Although second quarter 2023 results were impacted by considerably lower refining margins and a challenging operating environment, our first half 2023 performance remained strong," said Jonathan P. Carroll, Chief Executive Officer of Blue Dolphin Energy Company. "So far in the third quarter 2023, refining margins have reversed course, rebounding higher and setting the stage for what we believe will be a brighter current quarter." Results of Operations Reconciliation of Segment Gross Profit (1) General and administrative expenses within refinery operations includes the LEH operating fee, related party, other operating expenses, and accretion of asset retirement obligations. Financial Position, Liquidity, and Working Capital As of June 30, 2023, Blue Dolphin had $0.6 million of cash and cash equivalents compared to $0.5 million at December 31, 2022. Blue Dolphin had $16.5 million and $45.2 million in working capital deficits at June 30, 2023 and December 31, 2022, respectively, representing a $28.7 million improvement. Excluding the current portion of long-term debt, Blue Dolphin had $20.7 million and $2.1 million in working capital at June 30, 2023 and December 31, 2022, respectively, representing an improvement of $18.6 million. The significant improvement in working capital over the past six months was due to decreased long-term debt, current portion and an improvement in accounts payable. Mr. Carroll continued, "During the second quarter of 2023, we entered into forbearance agreements with two additional lenders. As a result of lender payments, Blue Dolphin decreased net debt and associated accrued interest by $2.7 million during the first half of 2023." (1) Blue Dolphin has 2,500,000 shares of preferred stock, par value $0.10 per share, authorized. At June 30, 2023 and December 31, 2022, there were no shares of preferred stock issued and outstanding. About Blue Dolphin Blue Dolphin is an independent downstream energy company operating in the Gulf Coast region of the United States. Subsidiaries operate a light sweet-crude, 15,000-bpd crude distillation tower with more than 1.25 million bbls of petroleum storage tank capacity in Nixon, Texas. Blue Dolphin was formed in 1986 as a Delaware corporation and is traded on the OTCQX under the ticker symbol "BDCO". For additional information, visit Blue Dolphin's corporate website at http://www.blue-dolphin-energy.com. Contact: Jonathan P. Carroll Chief Executive Officer and President 713-568-4725 Cautionary Statements Relevant to Forward-Looking Information for the Purpose of "Safe Harbor" Provisions of the Private Securities Litigation Reform Act of 1995. This press release contains forward-looking statements relating to Blue Dolphin's operations that are based on management's current expectations, estimates and projections about the oil and gas industry. Words or phrases such as "anticipates," "expects," "intends," "plans," "targets," "advances," "commits," "drives," "aims," "forecasts," "projects," "believes," "approaches," "seeks," "schedules," "estimates," "positions," "pursues," "may," "can," "could," "should," "will," "budgets," "outlook," "trends," "guidance," "focus," "on track," "goals," "objectives," "strategies," "opportunities," "poised," "potential," "ambitions," "aspires" and similar expressions are intended to identify such forward-looking statements. These statements are not guarantees of future performance and are subject to certain risks, uncertainties, and other factors, many of which are beyond the company's control and are difficult to predict. Therefore, actual outcomes and results may differ materially from what is expressed or forecasted in such forward-looking statements. The reader should not place undue reliance on these forward-looking statements, which speak only as of the date of this press release. Unless legally required, Blue Dolphin undertakes no obligation to update publicly any forward-looking statements, whether as a result of new information, future events or otherwise. For a discussion of risk factors that could cause actual results to differ materially from those in the forward-looking statements, please see the factors set forth under the heading "Risk Factors" in Blue Dolphin's 2022 Annual Report on Form 10-K and in subsequent filings with the U.S. Securities and Exchange Commission. Other unpredictable or unknown factors not discussed in this press release could also have material adverse effects on forward-looking statements. SOURCE: Blue Dolphin Energy Company View source version on accesswire.com:https://www.accesswire.com/774400/Blue-Dolphin-Announces-Second-Quarter-2023-Results Miami, Florida--(Newsfile Corp. - August 15, 2023) - Linkme, the viral social networking platform, today announced the launch of its equity crowdfunding campaign, offering its users and the public the opportunity to invest in the app. The company projects 5 million users on the app in 2024 post-funding. Linkme is in a competitive market; the global social networking platforms market is projected to grow to $939 billion by 2026. Linkme To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8798/177381_18b796127496182d_001full.jpg Linkme aims to simplify networking by consolidating all contact information, URLs, and social media profiles into a unique QR code and link, making it easier to connect and share information online and in person while eliminating the need for physical business cards. The app features a built-in social platform where users can "Shout" or post videos, pictures, and messages to grow their audience and network. The Linkme app has almost one million registered users in over 180 countries. In 2023, close to 5 million people used the Linkme platform. Linkme aims to capitalize on the social networking advertising market. Linkme believes its advertising platform will enable businesses of all sizes to target their consumers effectively. The launch of Linkme 3.0 in November 2022 introduced a range of new features and performance enhancements, further solidifying the app's position in the market. Linkme's equity crowdfunding campaign offers an exciting opportunity for its users and the public to participate in the company's journey as it pursues its mission of streamlining networking and promoting eco-friendliness through its digital business card solution. For more information about Linkme and its equity crowdfunding campaign, please visit its equity crowdfunding site https://invest.link.me/ . THIS PRESS RELEASE MAY CONTAIN FORWARD-LOOKING STATEMENTS AND INFORMATION RELATING TO, AMONG OTHER THINGS, THE COMPANY, ITS BUSINESS PLAN AND STRATEGY, AND ITS INDUSTRY. THESE FORWARD-LOOKING STATEMENTS ARE BASED ON THE BELIEFS OF, ASSUMPTIONS MADE BY, AND INFORMATION CURRENTLY AVAILABLE TO THE COMPANY'S MANAGEMENT. WHEN USED IN THE OFFERING MATERIALS, THE WORDS "ESTIMATE," "PROJECT," "BELIEVE," "ANTICIPATE," "INTEND," "EXPECT" AND SIMILAR EXPRESSIONS ARE INTENDED TO IDENTIFY FORWARD-LOOKING STATEMENTS, WHICH CONSTITUTE FORWARD LOOKING STATEMENTS. THESE STATEMENTS REFLECT MANAGEMENT'S CURRENT VIEWS WITH RESPECT TO FUTURE EVENTS AND ARE SUBJECT TO RISKS AND UNCERTAINTIES THAT COULD CAUSE THE COMPANY'S ACTUAL RESULTS TO DIFFER MATERIALLY FROM THOSE CONTAINED IN THE FORWARD-LOOKING STATEMENTS. INVESTORS ARE CAUTIONED NOT TO PLACE UNDUE RELIANCE ON THESE FORWARD-LOOKING STATEMENTS, WHICH SPEAK ONLY AS OF THE DATE ON WHICH THEY ARE MADE. THE COMPANY DOES NOT UNDERTAKE ANY OBLIGATION TO REVISE OR UPDATE THESE FORWARD-LOOKING STATEMENTS TO REFLECT EVENTS OR CIRCUMSTANCES AFTER SUCH DATE OR TO REFLECT THE OCCURRENCE OF UNANTICIPATED EVENTS. Contact: Isaac Peshach - Isaac@linkme.global To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177381 With seamless low-latency live streaming services and round-the-clock support, CDNetworks elevates 17LIVE's live streaming user experience to greater heights. SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- CDNetworks, an APAC-leading network to deliver edge as a service, proudly acknowledges its instrumental role in the ascent of 17LIVE, Asia's leading live streaming platform. The collaboration between the two companies, which began in 2016, underpins 17LIVE's evolution as today's leading live streaming platform in Japan and, soon, across Asia. With a wide range of content offerings that span interactive gaming, music, and art sharing, 17LIVE has become a major player in the live streaming industry since its inception in 2015. Its unique combination of low latency, high stability, and superior audiovisual presentation sets it apart from competitors, creating an immersive interactive experience for users. This exceptional user experience is closely tied to the robust CDN capabilities and Media Delivery technologies offered by CDNetworks. How CDNetworks Powered up 17LIVE's Live Streaming Business Enhance Global Network Infrastructure (High-performance Cross-border Streaming) With a mission "To empower human connections through live technology," 17LIVE dedicated itself, from day 1, to serving global users with an unparalleled experience. CDNetworks, with over 2,800 points-of-presence in more than 70 countries and regions around the world, provides 17LIVE with the high-quality CDN and streaming media distribution services it needs to deliver on this vision. Notably, in Asia, CDNetworks is recognized as the top-performing CDN provider, enabling 17LIVE's live streaming platform to offer exceptional service and gain a competitive edge right from the beginning. For regions such as China, that enforce unique internet policies, CDNetworks overcame cross-border latency issues and unstable connections through its China Premium Service. This service enables live streaming viewers and key opinion leaders (KOLs) to engage in seamless cross-country interactions without limitations. Enable Low Latency Streaming Unlike some other CDN providers, CDNetworks is one of the few that offers latencies as low as 3 seconds for live streaming platforms through its support of FLV large volume distribution. This protocol not only provides lower latency compared to HLS/DASH, RTMP, and other protocols. It also helps live streaming platforms avoid the high costs associated with using ultra-low latency technologies that are required only for live event broadcasting. It perfectly suits the round-the-clock continuous live streaming business of 17LIVE. 17LIVE's growing user base is evidence of how low latency in the live streaming arena can be critical to a company's success by unlocking 17LIVE's many interactive features. Currently, 17LIVE has successfully developed multiple apps, including video streaming, voice streaming, and e-commerce streaming, all built around low latency features. Create Immersive User Experiences To build a platform that delivers a truly immersive live streaming experience, 17LIVE leverages the various streaming media processing technologies provided by CDNetworks, including screenshot, recording, and storage, to further enhance user engagement on their platform. For example, through the screenshotting and recording features, the platform can set corresponding covers for channels and provide users with the ability to replay content, thereby increasing interactive communication on the platform. At the same time, CDNetworks also offers transcoding to adapt and transcode live streaming content based on different network environments and device terminals. This approach ensures seamless end-to-end interactive experiences for users. Boost Daily Operation Efficiency With the exponential increase in the number of users and KOLs, the 17LIVE platform was in dire need of finding an automated solution that could regulate and store the mounting amounts of real-time content that were being generated on a daily basis. To address this challenge, CDNetworks built an efficient moderation and storage mechanism using the aforementioned streaming technologies that helped 17LIVE reduce the manpower required to perform daily operations. In this way, 17LIVE's backend team could easily monitor the entire platform around the clock, utilizing AI-monitoring and machine-learning technologies to prevent any illegal and inappropriate conduct on its streaming platforms. Provide 24/7 Dedicated Support CDNetworks formed a dedicated work group to provide wide ranging services such as business analysis, instant message services, policies customization & optimization, configuration validation, emergency response, and proactive optimization. CDNetworks also arranged periodic business reviews that enabled 17LIVE to boost its key technology iterations. "The CDNetworks' media delivery solutions provided to 17LIVE can be described as all-weather, all-encompassing, and multi-dimensional. We are fortunate to have become a valued partner from the very beginning of 17LIVE and witness its growth into the Asia's leading live streaming platform. 17LIVE's ongoing success serves as a testament to CDNetworks' cutting-edge media delivery solutions in the industry." saidDoyle Deng, Head of Global Marketing and Product at CDNetworks. Regarding this successful cooperation Andy Tseng, Sr. Engineering Director from 17LIVE commented, "CDNetworks has been our trusted growth partner since 2016. Their services continue to play a critical role that empower us to meet the increasing demands of our growing business year after year. Their robust content delivery network, media processing capabilities, and supporting services enable us to provide exceptional streaming experiences to our end users and ultimately become the largest live streaming platform in Asia." Looking ahead, CDNetworks and 17LIVE are committed to further enhancing the live streaming experience for users and expanding 17LIVE's global reach. Both companies have ambitious plans for innovation, content delivery, and audience engagement, with the goal of providing the best live streaming platform to global viewers. About CDNetworks As the APAC-leading network with over 2800 global Points of Presence and more than 20 years of technology experience, CDNetworks embraces the new era of Edge and takes it to the next level by using the Edge as a service to deliver the fastest and most secure digital experiences to end users. Our diverse products and services include web performance, media delivery, cloud security, zero trust security, and colocation services - all of which are uniquely designed to spur business innovation. To learn more, visit cdnetworks.com and follow us on LinkedIn About 17LIVE 17LIVE (one seven live) is Japan's largest* live-streaming platform and is located in Tokyo, headed by Global CEO, Hirofumi ONO. With a mission to "Empower Human Connections through Live Technology", we aim to create a world where users can enjoy every moment of their lives on the seven continents under one sky. The platform reached 50 million registered users worldwide as of September 2021. For more information about the company, please visit https://jp.17.live/ *Data from App Annie on downloads and sales (January-December 2020) Media Contact CDNetworks Co. Ltd media@cdnetworks.com www.cdnetworks.com Logo - https://mma.prnewswire.com/media/1736512/LOGO_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/cdnetworks-and-17live-joined-forces-to-deliver-the-top-live-streaming-experience-in-asia-301901334.html Calgary, Alberta--(Newsfile Corp. - August 15, 2023) - Having recently announced its Game-Changing Partnership with the Alpha Phenomics Group Incorporated (AlphaPhenmonics) leading Canadian-based, precision-agriculture company HerdWhistle Technologies Incorporated (HerdWhistle) announced today that it has reached agreement with AgSights which will become a strategic and reciprocal commercial partner for CowCalf Operations across Canada, the USA and Mexico. HerdWhistle CEO Adam Morand commented; "We are delighted that this initiative builds on our strong relationship with AlphaPhenomics. This contracted alliance with AgSights will ensure deployment of our unique range of proprietary next generation electronic livestock identification and traceability hardware to AgSights customers and partners, whilst aggregating data from all devices including real-time phenotypic data capture through the HerdWhistle Technologies Incorporated multi-spectral BigEye cameras. Livestock images are captured with the BigEye cameras, including infra-red and 3D / 4D video format. Using block-chain technology, the data is sent to central servers for biometric data processing, through IP licensed exclusively from the Alpha Phenomics Group Incorporated to HerdWhistle Technologies Incorporated to create predictive modelling through bioTrack Plus (AgSights) to generate decisions in Real-Time." AlphaPhenomics CSO, Professor Graham Plastow stated, "We are extremely pleased with this strategic and commercial agreement between HerdWhistle and AgSights indeed especially so with AgSights being a valued shareholder of Alpha Phenomics. This agreement follows significant collaboration and detailed discussion with AgSights and HerdWhistle over the course of the last year, to work jointly toward the deployment of our phenotypic data capture systems to integrate with the comprehensive software system bioTrack Plus developed by AgSights. This exciting technology ensures animal traceability, records individual animal performance together with genotype data to improve animal selection and production. AgSights have an established track record of success in commercializing innovation and technology; they are an ideal partner for HerdWhistle Technologies Incorporated and we are excited about this development with AgSights taking these technologies to their customers and partners." Betty-Jo Almond, General Manager of AgSights added, "AgSights is a longstanding shareholder in AlphaPhenomics and we wholeheartedly support this initiative with HerdWhistle. We now have a contract to strategically partner with HerdWhistle Technologies in North America with our beef, sheep and goat customers and we are very excited. We are confident that HerdWhistle with IP licensed from the AlphaPhenomics Group Incorporated have the potential to become a global leader in Precision Livestock Production and we want to help them to achieve their mission. The technology will greatly assist real time measurement and bring valuable insights to further improve production efficiency within cattle, and small ruminants for our customers." About The AlphaPhenomics Group Inc. AlphaPhenomics state-of-the-art technology can be applied across multiple sectors; animal breeding, reproduction, agriculture, bio-security / bio-surveillance and veterinary supervision. About HerdWhistle Technologies Inc. HerdWhistle sells proprietary next generation electronic livestock identification and traceability hardware whilst aggregating data from all devices and disparate data sources to deliver competitive advantages for livestock producers. HerdWhistle is a livestock technology company that provides producers with clear visibility and data-driven decisions to improve performance. See www.herdwhistle.com for more information. About AgSights Inc. As a producer cooperative, AgSights plays a key role in bridging intelligence in the livestock industries, all with the aim of helping producers make better business decisions to increase bottom line profit. AgSights works to connect the needs of farmers, producers and consumers to promote the integrity of traceability and information sharing to support animal breeding decisions for genetic improvement. Investor Inquiries: HerdWhistle Technologies Inc. investors@herdwhistle.com (587) 943-4404 To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177425 CANBERA (dpa-AFX) - Transurban (TCL.AX, TRAUF), an Australian developer and operator of toll roads networks, reported that its profit for fiscal year 2023 climbed to A$92 million from A$16 million in the previous year. Total revenues for the year grew to A$4.16 billion from A$3.41 billion in the prior year. According to the company, a record half year distribution totalling 31.5 cents per stapled security will be paid on 21 August 2023 for the six months ended 30 June 2023. This will be made up of a 30.5 cents partly franked distribution from Transurban Holding Trust and controlled entities and a 1.0 cent fully franked dividend from Transurban Holdings Limited and controlled entities. This takes the total fiscal year 2023 distribution to 58.0 cents per stapled security, representing distribution growth of more than 40% year-on-year. For fiscal year 2024, the company expects to pay a record distribution of 62.0 cents per stapled security, representing a 7% increase on fiscal year 2023. The company noted that fiscal year 2023 record traffic and EBITDA provides solid base for continued growth in fiscal year 2024. In a separate press release, Transurban Group said it appointed Michelle Jablko as the Group's Chief Executive Officer and Managing Director. She will replace Scott Charlton as CEO following the Group's Annual General Meetings on 19 October 2023. Jablko joined Transurban in early 2021 as Chief Financial Officer. Prior to joining Transurban, Jablko was CFO at ANZ for just under five years, following almost 20 years of experience in investment banking and commercial law. The company said it will issue an announcement regarding a replacement of Chief Financial Officer in due course. Transurban said in February that Scott Charlton, CEO and Executive Director, will leave the company at the end of calendar year 2023, after leading the company for almost 11 years. For more earnings news, earnings calendar, and earnings for stocks, visit rttnews.com Copyright(c) 2023 RTTNews.com. All Rights Reserved Copyright RTT News/dpa-AFX Top 3 Energie-Dividendenaktien Im neuen Energieboom von kontinuierlichen Ertragen profitieren. Wir zeigen hier, von welche drei Aktien Sie profitieren konnen. Hier klicken Vancouver, British Columbia--(Newsfile Corp. - August 15, 2023) - Vice Health and Wellness Inc. (CSE: VICE) (FSE: Z24) (OTC Pink: VICFF) ("VICE" or the "Company") announces that the Company has entered into an agreement with Lakefront Enterprises Inc. ("Lakefront"), an independent contractor with a business address at 27th Floor, 595 Burrard St., PO Box 49123, Vancouver, BC V7X 1J2, to perform investor relations and marketing services for an initial term of one month, expected to commence on August 17, 2023. The nature of the investor relations and digital marketing services to be provided by Lakefront include, but are not limited to, content and web development, campaign reporting and optimization, lead generation and management, as well as media content distribution through SMS, Email, and various social media outlets for an initial period of one month. The Company paid an upfront fee of $60,000 for the initial one-month term. Lakefront is a firm based in Vancouver, BC that provides consulting services. To the knowledge of the Company, at the time of entry into this agreement, Lakefront does not hold any securities of the Company. About Vice Health and Wellness Inc. Vice Health and Wellness Inc. is a dynamic, publicly traded company dedicated to fostering healthier lifestyle choices by offering a diverse range of products that empower consumers to enhance their mental and physical well-being. As an ever-growing community of like-minded individuals and organizations, we believe in the power of collective small steps leading to remarkable transformations. Our product line features low-sugar, plant-based gummy products, and we are actively spearheading innovations in alignment with emerging trends in the health and wellness sector. By prioritizing weight loss and harnessing the potential of AI-powered health and wellness applications, which leverage state-of-the-art artificial intelligence algorithms, we aim to provide individuals with unparalleled advice, personalized recommendations, unwavering support, and transformative solutions on their journey to ultimate well-being. Our exceptional applications intend to offer a multitude of treatment benefits, empowering users to embark on a transformative path toward optimal health. Maciej Lis, President & CEO, Director Telephone: 844-286-8423 E-mail: info@vice.health https://vice.health Neither the Canadian Securities Exchange nor its Regulation Services Provider (as that term is defined in the policies of the Canadian Securities Exchange) accepts responsibility for the adequacy or accuracy of this release. Forward-Looking Statements Certain information set forth in this news release may contain forward-looking statements that involve substantial known and unknown risks and uncertainties. All statements other than statements of historical fact are forward-looking statements, including, without limitation, statements regarding future financial position, business strategy, use of proceeds, corporate vision, proposed acquisitions, partnerships, joint- ventures and strategic alliances and co-operations, budgets, cost and plans and objectives of or involving the Company. Such forward-looking information reflects management's current beliefs and is based on information currently available to management. Often, but not always, forward-looking statements can be identified by the use of words such as "plans", "expects", "is expected", "budget", "scheduled", "estimates", "forecasts", "predicts", "intends", "targets", "aims", "anticipates" or "believes" or variations (including negative variations) of such words and phrases or may be identified by statements to the effect that certain actions "may", "could", "should", "would", "might" or "will" be taken, occur or be achieved. A number of known and unknown risks, uncertainties and other factors may cause the actual results or performance to materially differ from any future results or performance expressed or implied by the forward-looking information. These forward-looking statements are subject to numerous risks and uncertainties, certain of which are beyond the control of the Company including, but not limited to, the impact of general economic conditions, industry conditions and dependence upon regulatory approvals. Readers are cautioned that the assumptions used in the preparation of such information, although considered reasonable at the time of preparation, may prove to be imprecise and, as such, undue reliance should not be placed on forward- looking statements. The Company does not assume any obligation to update or revise its forward-looking statements, whether as a result of new information, future events, or otherwise, except as required by securities laws. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177438 Regulatory News: Balyo (Paris:BALYO): This press release does not constitute an offer to purchase securities. This press release (the "Press Release") has been prepared and is being disseminated in accordance with the provisions of Article 231-26 of the General Regulations of the Autorite des marches financiers (the "AMF"). The draft tender offer, the draft offer document and the draft reply document (the "Draft Reply Document") remain subject to review by the AMF. IMPORTANT NOTICE Pursuant to Articles 231-19 and 261-1 et seq. of the General Regulation of the AMF, the report of EightAdvisory, acting as independent expert, is included in the Draft Offer Response. The Press Release should be read in relation with all other documents published in connection with the Offer. The Draft Offer Document filed with the AMF on 16 August 2023 is available on Balyo's website (www.balyo.com) and on the AMF's website (www.amf-france.org) and may be obtained free of charge from Balyo's registered office at 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil. In accordance with article 231-28 of the general regulation of the AMF, information relating to the legal, financial and accounting characteristics of Balyo will be filed with the AMF and made available to the public, in the same way, no later than the day before the opening of the tender offer. A press release will be issued, no later than the day before the opening of the tender offer, to inform the public of the procedures for making these documents available. 1. PRESENTATION OF THE OFFER Pursuant to Title III of Book II, and in particular articles 231-13 and 232-1 et seq. of the general regulation of the AMF (the "General Regulation of the AMF"), SVF II STRATEGIC INVESTMENTS AIV LLC, a Delaware corporation (United States), having its registered office at Corporation Service Company, 251 Little Falls Drive, Wilmington, Delaware 19808, USA, registered under number 6207806 ("SVF AIV" or the "Offeror") has irrevocably undertaken to offer to the shareholders and to warrants holder of Balyo, a societe anonyme with a Board of Directors and a share capital of 2,749,258.96 euros, having its registered office at 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil, France, registered under number 483 563 029 with the Creteil Trade and Companies Register ("Balyo" or the "Company" and together with its direct or indirect subsidiaries, the "Group"), whose shares are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399, mnemonic "BALYO" (the "Shares"), to acquire in cash (i) all their Ordinary Shares (as this term is defined below, subject to the exceptions below) at a price of 0,85 per Ordinary Share (the "Ordinary Share Offer Price"), (ii) all of their ADP (as this term is defined below, subject to the exceptions below) at a price of 0.01 euro per ADP (the "Offer Price per ADP"), and (iii) all of their Warrants (as defined below) at a price of 0.07 euro per Warrant (the Warrant Offer Price" together with the Offer Price per Ordinary Share and the Offer Price per ADP, the "Offer Price"), as part of a public tender offer, the terms of which are set out below and described in greater detail in the draft offer document prepared by the Offeror and filed with the AMF (the "Draft Offer Document") (hereinafter the "Offer" The Offer relates to: the ordinary shares already issued, with the exception of the Excluded Shares (as defined below), i.e., as of 16 August 2023, a number of 34,141,873 ordinary shares; - the ordinary shares likely to be issued before the close of the Offer or the Reopened Offer following the exercise of the 830,000 stock-option (bons de souscription de parts de createur d'entreprise, the "BSPCE") which have not been renounced by their holders (it being specified that these BSPCE are out of the money as their exercise price is higher than the Offer Price of the Ordinary Shares, and that they will become null and void at the closing of the Offer (in the event of success)) and represent, to the best of the Company's knowledge, as of the date of Press Release, a maximum of 830,000 Ordinary Shares, i.e. around 2.42% of the share capital and voting rights (together with the ordinary shares already issued by the Company, the "Ordinary Shares") - 6,270 preference shares issued by the Company, i.e., as at 16 August 2023, 2,090 ADP T3, 2,090 ADP T4, and 2,090 ADP T5 (the "ADP")1; and - all the warrants issued by the Company on 22 February 2019 to Amazon, i.e., 11,753,581 warrants as at 16 August 2023 (the "Warrants (together the "Target Securities" It is specified that the Offer is not aimed at: Ordinary Shares held in treasury by the Company, representing 34,894 Ordinary Shares as of 16 August 2023 (the "Treasury Shares the 180,000 Ordinary Shares, the 900 ADP T3, the 900 ADP T4 and the 900 ADP T5 held by Mr. Pascal Rialland subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which (i) Balyo's Board of Directors imposed to Mr. Pascal Rialland, an obligation to retain a percentage of his shares and (ii) such shares which are subject to retention obligation are covered by a liquidity mechanism, described at section 7.2.3 of the Press Release (the "Unavailable Shares" and together with the Treasury Shares, the "Excluded Shares"); and the 830,000 BSPCE issued by the Company, which are non-transferable by virtue of the provisions of article 163bis G of the French General Tax Code. As of the date of this Draft Offer Document, there are no other equity securities or other financial instruments issued by the Company or rights conferred by the Company that could give access, immediately or in the future, to the Company's capital or voting rights, subject to the issuance and, if applicable, conversion of the Bonds as described in section of the Draft Response Document. The Ordinary Shares already issued are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399 (mnemonic "BALYO"). The Preferred Shares and Company Warrants are not listed on any market. 2. CONTEXT AND CHARACTERISTICS OF THE OFFER 2.1 Background and reasons for the Offer Balyo's activities consist of research and development (R&D), the design of technologies enabling standard forklifts for horizontal or vertical pallet transport to be automated, and the marketing and sale of these robots and related services. With a strong product offering of lift trucks with both vertical and horizontal transport applications long-standing relationships with its partners (warehouse operators and suppliers) and experience in this sector, the Offeror considers the Balyo Group as being one of the best in this robotics sector. The Offeror, SVF AIV, is a wholly owned direct subsidiary of the Japanese company SoftBank Group Corp. (hereinafter "SBG"), which was founded in 1981 by Mr. Masayoshi Son. The SoftBank Group invests in breakthrough technology to improve the quality of life for people around the world. The SoftBank Groupe is comprised of SBG (TOKYO: 9984), an investment holding company that includes stakes in AI, smart robotics, IoT, telecommunications, internet services, and clean energy technology providers, the SoftBank Vision Funds and SoftBank Latin America Funds, which are investing more than US$160 billion to help entrepreneurs transform industries and shape new ones. The Company's Board of Directors (the "Board of Directors") met on 13 June 2023 to review the proposed Offer. At this meeting, the Company's Board of Directors unanimously approved the proposed transaction and authorized the Company to enter into a tender offer agreement with the Offeror (the "TOA" In accordance with the provisions of article 261-1, III of the General Regulation of the AMF, the Company's Board of Directors, at its meeting on 13 June 2023 decided to set up an ad hoc committee, composed the following Directors: Ms Corinne Jouanny, independent Director - Benedicte Huot de Luze, independent Director; and - Alexandre Pelletier, Director a majority of independent Directors, whose mission is to (i) make a recommendation to the Company's Board of Directors on the appointment of the independent expert, (ii) examine the conditions of the Offer and monitor the follow up of independent expert's work and (iii) prepare the draft reasoned opinion for the Company's Board of Directors on the proposed Offer in accordance with the provisions of article 261-1, III of the General Regulation of the AMF. On 13 June 2023, the Company's Board of Directors, on the recommendation of the ad hoc committee, appointed Eight Advisory, represented by Geoffroy Bizard, as independent expert in connection with the proposed Offer, with the task of preparing a report including a fairness opinion on the financial terms of the Offer, including the squeeze-out, in accordance with the provisions of article 261-1, I, 2, 4, 5 and II of the General Regulation of the AMF. On 13 June 2023, the Offeror entered into agreements with FPCI FSN PME Ambition Numerique represented by Bpifrance Investissement" Hyster-Yale UK Limited, SSUG PIPE Fund SCSp, SICAVRAIF, Linde Material Handling, GmbH, and Thomas Duval, and on 14 June 2023 with Invus Public Equities, L.P., each of which is a shareholder of the Company, pursuant to which each such shareholder undertakes to tender the Targeted Securities held by it to the Offer pursuant to the terms and conditions of such agreement. On 13 June 2023, the Offeror also entered into an agreement pursuant to which Financiere Arbevel, a shareholder of the Company, undertook to tender any Targeted Securities held by it at the opening of the Offer to the Offer and pursuant to the terms and conditions of such agreement. On 14 June 2023, the Company and the Offeror entered into the TOA, under the terms of which the Offeror undertook to tender the Offer to the Company, and the Company undertook to cooperate with the Offeror in connection with the Offer. The main terms of the TOA are described in section of the Draft Response Document. On 14 June 2023, the Company and the Offeror announced, by way of a joint press release, (i) the execution of the TOA, (ii) SBG's intention to file a tender offer through a 100%-owned subsidiary to acquire the Target Securities, (iii) the provision of the Intermediary Financing (as such term is defined below) described in section 7.3 of the Draft Response Document, (iv) the signature of the agreement with the shareholders as described in section 7.2.1 of the Draft Response Document, and (v) the fact that the Company entered into an agreement with its senior lenders on 13 June 2023 concerning the extension of the existing senior financing agreement. If the conditions are met, the Offeror also intends to implement a squeeze-out procedure, pursuant to articles L. 433-4, II of the French Monetary and Financial Code and 237-1 to 237-10 of the General Regulation of the AMF, with a view to obtaining the transfer of the Target Securities not tendered to the Offer in consideration for an indemnity equal to the Offer Price. On 15 June 2023, the Company initiated the information-consultation procedure with its works council (the "Comite Social et Economique" (the "CSE")),which met for the first time on 16 June 2023. On 21 June 2023, the CSE held its first hearing with SBG, followed by a second exchange of views on 5 July 2023, in accordance with article 2312-42, paragraph 3 of the French Labor Code. On 5 July 2023, the CSE issued a favorable opinion on the Offer. 2.2 Reminder of the terms of the Offer 2.2.1 Main terms of the Offer The Offer is voluntary and will be carried out in accordance with the normal procedure pursuant to articles 232-1 et seq. of the General Regulation of the AMF. In accordance with the provisions of article 232-4 of the General Regulation of the AMF, unless the Offer is not successful, it will be automatically reopened within ten (10) trading days of the publication of the definitive result of the Offer, on terms identical to those of the Offer (the "Reopened Offer" Pursuant to articles L. 433-4 II of the French Monetary and Financial Code and 232-4 and 237-1 et seq. of the General Regulation of the AMF, the Offeror has indicated that it intends to ask the AMF to implement, within ten (10) trading days of the publication of the result of the Offer or, as the case may be, within three (3) months of the closing of the Reopened Offer, of a a squeeze-out procedure for Balyo's Ordinary Shares, Warrant and Preferred Shares not tendered to the Offer and insofar as the thresholds provided for in Article 237-1 et seq. of the General Regulation of the AMF have been reached. The Offer is subject to the acceptance threshold referred to in article 231-9, I of the General Regulation of the AMF, as described in section 2.3.1 of the Draft Response Document. The Offer also includes a waiver threshold, in accordance with article 231-9, II of the General Regulation of the AMF, as specified in section 2.3.2. of the Draft Response Document. The Offer is presented by Alantra Capital Markets (the "Presenting Institution"), which guarantees the content and irrevocable nature of the commitments made by the Offeror in connection with the Offer in accordance with the provisions of article 231-13 of the General Regulation of the AMF. 2.2.2 Terms of the Offer The Draft Offer Document and the Draft Response Document were filed with the AMF on 16 August 2023. A notice of filing was published by the AMF on its website (www.amf-france.org) on 16 August 2023. The Draft Offer Document and the Draft Response Document are available on Balyo's website (www.balyo.com) and of the AMF (www.amf-france.org) and are available to the public free of charge at Balyo's registered office, 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil. The Draft Offer Document filed by the Offeror contains details of the terms of the Offer, the conditions to which it is subject and the contemplated timetable for the Offer. The Company filed the Draft Response Document with the AMF on 16 August 2023. The AMF has published a notice of filing relating to the Draft Response Document on its website (www.amf-france.org) on 16 August 2023. The Draft Response Document, as filed with the AMF, was made available to the public free of charge at the Company's registered office, and was posted on the AMF's website (www.amf-france.org) and the Company's website (www.balyo.com). In accordance with the provisions of article 231-26 of the General Regulation of the AMF, a press release setting out the main elements of the Draft Response Document and specifying the terms and conditions for making the Draft Response Document available was published on the Company's website (www.balyo.com) on 16 August 2023. The Draft Offer Document and the Draft Response Document remain subject to review by the AMF, which may declare the Offer compliant after ensuring that it complies with the applicable legal and regulatory provisions. This decision of compliance will entail approval by the AMF of the Draft Response Document drawn up by the Company. In accordance with the provisions of articles 231-27 and 231-28 of the General Regulation of the AMF, the Draft Response Document approved by the AMF and the document containing the "Other Information" ("Autres Informations") relating to the Company's legal, financial and accounting characteristics will be made available to the public free of charge, no later than the day before the opening of the Offer, at the Company's registered office. These documents will also be posted on the AMF website (www.amf-france.org) and on the Company's website (www.balyo.com). A press release specifying how these documents will be made available will be issued no later than the day before the opening of the Offer, in accordance with the provisions of articles 231-27 and 231-28 of the General Regulation of the AMF. Prior to the opening of the Offer, the AMF will publish a notice of the opening and the timetable of the Offer, and Euronext Paris will publish a notice setting out the content of the Offer and the terms and conditions of its completion. 2.3 Terms of the Offer 2.3.1. Acceptance Threshold In accordance with the provisions of article 231-9, I of the General Regulation of the AMF, the Offer will lapse if, at its closing date, the Offeror does not hold, directly or indirectly, a number of Shares representing a fraction of the Company's share capital or voting rights in excess of 50% (this threshold is hereinafter referred to as the "Acceptance Threshold This threshold is determined in accordance with the provisions set out in article 234-1 of the General Regulation of the AMF. It will not be known until the AMF publishes the final results of the Offer, which will take place after the close of the Offer. If the Acceptance Threshold is not reached, the Offer will lapse and the Target Securities tendered to the Offer will be returned to their owners after publication of the notice of result informing of the lapse of the Offer, without any interest, indemnity or other payment of any nature whatsoever being due to such owners. 2.3.2. Waiver Threshold In addition to the Acceptance Threshold, pursuant to the provisions of article 231-9, II of the General Regulation of the AMF, the Offer will lapse if, at the closing date of the Offer, the Offeror does not hold, alone or in concert, directly or indirectly, a number of shares representing a fraction of the share capital and theoretical voting rights of the Company in excess of 66.67% on a diluted basis and on a fully diluted basis (the "Waiver Threshold On a non-diluted basis, the Waiver Threshold will be calculated as follows: in the numerator, will be included (i) all the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland, subject to the liquidity agreement and (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer; and in the denominator, all the existing Ordinary Shares and Preferred Shares issued by the Company making up the share capital on the date of the closing of the Offer. On a fully diluted basis, the Waiver Threshold will be calculated as follows: in the numerator, will be included (i) the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares, and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland subject to the liquidity agreement (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer and (iv) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants validly tendered in the Offer as at the date of the closing of the Offer excluding any shares which may be subscribed or held by the Offeror pursuant to the conversion of the Bonds; in the denominator, (i) all the existing Ordinary Shares and Preferred Shares issued by the Company making up the capital on the date of the closing of the Offer, (ii) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants on the date of the closing of the Offer and (iii) all the Ordinary Shares likely to be issued by the Company on the date of the closing of the Offer (excluding all Ordinary Shares likely to be subscribed or held by the Offeror pursuant to the conversion of the Bonds). The reaching of the Waiver Threshold will not be known before the publication by the AMF of the final result of the Offer, which will take place at the end of the Offer. In accordance with article 231-9, II of the General Regulation of the AMF, if the Waiver Threshold (calculated as indicated above) is not reached, and unless the Offeror has decided to waive the Waiver Threshold in accordance with the conditions set out in the following paragraphs, the Securities of the Company tendered in the Offer (excluding shares acquired on the market) will be returned to their owners without any interest, indemnity or other payment of any kind being due to the said owners. However, the Offeror reserves the right to waive the Waiver Threshold until the date of publication by the AMF of the result of the Offer. In addition, the Offeror also reserves the right to remove or lower the Waiver Threshold by filing an improved offer at the latest five (5) trading days before the closing of the Offer, in accordance with the provisions of articles 232-6 and 232-7 of the General Regulation of the AMF. 2.3.3. Regulatory authorizations The Offer is not subject to any regulatory authorization, it being specified that prior to Press Release, the Offer gave rise to a decision by the Ministry of the Economy, Finance and Industrial and Digital Sovereignty, in accordance with Article L.151-3 of the French Monetary and Financial Code relating to foreign investments made in France, dated 1 August 2023, pursuant to which the Offer was considered outside the scope of the provisions of Article L.151-3 of the Monetary and Financial Code. 2.4 Offer restrictions abroad The section 2.4 of the Draft Offer Document sets out the restrictions applicable to the Offer abroad. 3. REASONED OPINION OF THE BOARD OF DIRECTORS 3.1 Composition of the Board of Directors As of the date of this Presse Release, the Company's Board of Directors is composed as follows: Pascal Rialland, Chairman and Chief Executive Officer; Ms Benedicte Huot de Luze, Director Ms Corinne Jouanny, Director Mr Christophe Lautray, representing Linde Material Handling, Director; Mr Alexandre Pelletier, representing BPI France investissement, Director. 3.2. Prior decisions of the Board of Directors The Company's Board of Directors met on 13 June 2023 to review the proposed Offer. At this meeting, the Company's Board of Directors unanimously approved the proposed transaction and authorized the signature of a tender offer agreement between the Company and the Offeror. In accordance with the provisions of article 261-1 III of the General Regulation of the AMF, best governance practices and AMF recommendation no. 2006-15, the Board of Directors, at its meeting on 13 June 2023, decided to set up an ad hoc committee to monitor the independent expert's mission, comprising three members, including two independent members, namely: Ms Corinne Jouanny, independent member of the ad hoc Committee; Benedicte Huot de Luze, independent member of the ad hoc Committee; and Alexandre Pelletier, representing BPI France Investissement. In addition, at its meeting on 13 June 2023, on the recommendation of the ad hoc committee, the Company's Board of Directors appointed Eight Advisory, represented by Mr Geoffroy Bizard, as an independent expert in accordance with the provisions of articles 231-19 and 261-1 I, 2 of the General Regulation of the AMF, with the task of preparing a report including a fairness opinion on the financial terms of the Offer. The ad hoc committee was tasked with supervising the work of the independent expert, making recommendations to the Company's Board of Directors concerning the Offer, and preparing the draft reasoned opinion for the Company's Board of Directors on the proposed Offer, in accordance with the provisions of article 261-1, III of the General Regulation of the AMF. The members of the ad hoc committee met several times with the independent expert, monitored his work and prepared the draft reasoned opinion of the Board of Directors. 3.3. Reasoned opinion of the Board of Directors In accordance with the provisions of article 231-19 of the General Regulation of the AMF, the members of the Board of Directors met on 4 August 2023, having been convened in accordance with the Company's articles of association, to examine the Draft Offer Document and to give their reasoned opinion on the interest of the Offer and its consequences for Balyo. All members of the Company's Board of Directors were present or represented. The discussions and vote on the Board of Directors's reasoned opinion were chaired by Pascal Rialland, in his capacity as Chairman of the Board of Directors. The reasoned opinion of the Board of Directors was adopted unanimously by the members present or represented, including the independent members. An extract from the proceedings of this meeting, containing the reasoned opinion of the Board of Directors, is reproduced at section 3. of the Draft Response Document. The reasoned opinion of the Board of Directors is reproduced below: "3. Reasoned opinion of the Board of Directors in connection with the tender offer initiated by SoftBank Group In accordance with the provisions of Article 231-19 of the General Regulations of the Autorite des Marches Financiers (the "AMF"), the Chairman reminds shareholders that the Board of Directors is meeting today to give a reasoned opinion on the interest represented by, and the consequences for the Company, its shareholders and employees, the proposed public tender offer (the "Offer") for the Company's shares at a price of 0.85 euro per ordinary share, 0.01 euro per preferred share (the "ADP") and 0.07 euro per share purchase warrant (the "BSA"), initiated by SVF II STRATEGIC INVESTMENTS AIV LLC, a wholly-owned and directly held subsidiary of the Japanese company SoftBank Group Corp. ("SVF AIV" or the "Offeror" The Chairman notes that the terms of the Offer will be described in the draft offer document to be filed with the AMF by 16 August 2023. The Chairman also points out that, in accordance with the provisions of article 261-1, III of the AMF General Regulations ("Reglement general de l'AMF") and AMF recommendation no. 2006-15, the Board of Directors, at its meeting on 13 June 2023, set up an ad hoc committee (the "Ad Hoc Committee") to examine the terms and conditions of the proposed transaction, proposing to the Board of Directors the appointment of an independent appraiser under the terms of article 261-1 of the AMF General Regulations, supervising the work carried out by the appraiser until the submission of his valuation report, and preparing in good time the draft reasoned opinion of the Board of Directors. The Ad Hoc Committee comprises three members, the majority of whom are independent directors: Corinne Jouanny (Chairwoman of the Ad Hoc Committee and independent member of the Board of Directors), Benedicte Huot de Luze (independent member of the Board of Directors) and Alexandre Pelletier (member of the Board of Directors representing BPI France Investissement). The Chairman also points out that, at its meeting on 13 June 2023, the Board of Directors approved the principle of the proposed Offer, pending the conclusions of the work of the independent expert and the opinion of the CSE. Prior to today's meeting, the members of the Board of Directors were provided with the following documents in order to provide them with all the information they needed to issue a reasoned opinion: The draft offer document drawn up by the Offeror, which will be filed with the AMF by 16 August 2023, containing in particular the background to and reasons for the Offer, the Offeror's intentions, the characteristics of the Offer and the factors for assessing the Offer price drawn up by the presenting institution, Alantra Capital Markets (this institution also being the guarantor of the Offer); The report of the independent expert, Eight Advisory, which concludes that the financial terms of the Offer, namely the offered price of 0.85 euro per ordinary share, 0.01 euro per ADP and 0.07 euro per warrant of the Company, are fair to the shareholders holding ordinary shares and/or ADP and to Amazon as holder of warrants of the Company; The opinion of the Comite Social et Economique on the Offer issued on 5 July 2023; and The draft note in response prepared by the Company for filing with the AMF by 16 August 2023, which remains to be completed with the reasoned opinion of the Board of Directors. An independent appraiser has been appointed on the basis of articles (i) 261-1 I, 2 of the AMF General Regulations insofar as certain officers and directors of the Company have entered into an agreement with the Offeror likely to affect their independence, (ii) 261-1 I, 4 because of transactions related to the Offer that are likely to have an impact on the assessment of the financial terms of the Offer, (iii) 261-1 I, 5 because the Offer concerns financial instruments of different categories and (iv) 261-1 II because of the planned squeeze-out. At its first meeting on 13 June 2023, following the ad hoc Committee's in-depth review of Eight Advisory's detailed proposal, the ad hoc Committee recommended that Eight Advisory be appointed, primarily in view of (i) the absence of any present or past link between Eight Advisory and the Company that might affect its independence, (ii) its recent experience in market transactions of similar or comparable size, (iii) the financial terms of its proposal, and (iv) more generally, its professional reputation and the human and material resources at its disposal to carry out its assignment. Eight Advisory has confirmed that it has no conflicts of interest with any of the parties involved, and that it has sufficient resources and availability to carry out its assignment during the period in question. In view of the above, the Ad Hoc Committee decided on 13 June 2023 to recommend the appointment of Eight Advisory to the Board of Directors to act as independent expert. At its meeting on 13 June 2023, the Company's Board of Directors, on the recommendation of the ad hoc committee, unanimously appointed Eight Advisory, represented by Mr Geoffroy Bizard, as an independent expert in accordance with the provisions of article 261-1, I 2, 4 and 5 of the AMF's General Regulations, with the task of preparing a report on the financial terms of the Offer. In view of the information submitted, and in particular (i) the objectives and intentions expressed by the Offeror, (ii) the valuation information prepared by the presenting institution, Alantra Capital Markets, (iii) the work of the ad hoc Committee, (iv) the conclusions of the independent expert's report, (v) the opinion of the Conseil Social et Economique and (vi) more generally, of the elements set out above, and in particular of the fact that the Offer is consistent with the long-term viability of Balyo, its managerial continuity, and the preservation of employees' interests, the Board of Directors, having no observations, adopts the following resolution: FOURTH RESOLUTION The Board of Directors decides, after deliberation: to endorse in every respect the observations, conclusions and recommendations of the ad hoc Committee; to issue, in the light of the observations, conclusions and recommendations of the ad hoc Committee, a favorable opinion on the draft Offer as presented to it; to recommend that holders of the Company's shares tender their shares to the Offer; take formal note that the Company will not tender its treasury shares to the Offer (which may be reopened); approve the Company's draft response document; authorize the Chairman, where necessary, to: - finalize the draft reply memorandum relating to the Offer, as well as any other documents required in connection with the Offer, in particular the "Other Information" document relating to the legal, financial and accounting characteristics of the Company; - prepare, sign and file with the AMF all documentation required in connection with the Offer; - sign all certificates required in connection with the Offer; and - more generally, to take all steps and measures necessary or useful for the completion of the Offer, including entering into and signing, in the name and on behalf of the Company, all transactions and documents necessary and related to the completion of the Offer, in particular any press release. Board members' intentions The Board of Directors notes that three members of the Board of Directors have undertaken to tender their shares to the Offer: Mr Pascal Rialland ; Linde Material Handling; and BPI France Investissement. Linde Material Handling and FCPI FSN PME Ambition numerique represented by Bpifrance Investissement, holding a total of 6,863,926 ordinary shares, and Pascal Rialland, holding 180,000 available ordinary shares representing approximately 20.87% of the Company's share capital and voting rights, have agreed to tender their ordinary shares to the Offer. The Company's intentions regarding treasury shares The Company holds 34,894 of its own shares. The Board of Directors has decided that these 34,894 treasury shares will not be tendered to the Offer and that the Company will not sell them until the close of the Offer, in accordance with the terms of the Tender Offer Agreement dated 14 June 2023. This resolution is adopted unanimously by the directors present and represented. 4. INTENTION OF BOARD MEMBERS The Board of Directors has noted that three members of the Board of Directors have undertaken to tender their shares to the Offer: Mr Pascal Rialland Linde Material Handling; and BPI France Investissement. Indeed, Linde Material Handling and FPCI FSN PME Ambition Numerique represented by Bpifrance Investissement, holding a total of 6,863,926 shares, and Pascal Rialland, holding a total of 180.000 Ordinary Shares, representing 20.87% of the Company's capital and voting rights, have undertaken to tender their shares to the Offer, as described in section 7.2.1 of the Draft Response Document. 5. COMPANY'S INTENTION REGARDING TREASURY SHARES At the date of the Press Release, the Company held 34,894 Treasury Shares. As authorized by the Board of Directors, the Company has decided not to tender the 34,894 Treasury Shares. 6. OPINION OF THE WORKS COUNCIL OF THE COMPANY (THE "COMITE SOCIAL ET ECONOMIQUE", (THE "CSE")) In accordance with the provisions of articles L. 2332-2 et seq. of the French Labor Code, the CSE was informed of the Offer and met on 16 June 2023 as part of the procedure for informing the Company's employee representative bodies. Following this meeting, the Company's CSE requested a hearing with the Initiator in accordance with the provisions of article L. 2312-42 of the French Labor Code, which was held on 21 June 2023. As part of the consultation procedure provided for in article 2312-46 of the French Labor Code, the Company's Works Council has issued its opinion on the proposed Offer. Said notice, dated 5 July 2023, is reproduced in full at section 6. of the Draft Response Document. 7. AGREEMENTS LIKELY TO HAVE AN INFLUENCE ON THE ASSESSMENT OR OUTCOME OF THE OFFER 7.1 Tender Offer Agreement between the Company and the Offeror On 14 June 2023, the Company and the Offeror entered into the Tender Offer Agreement (the "TOA"), which is described in more detail in section 7.1. of the Draft Offer Document. 7.2. Undertaking to tender 7.2.1. Undertakings to tender entered into with shareholders On 13 June 2023, FPCI FSN PME Ambition Numerique represented by Bpifrance Investissement, Hyster-Yale UK Limited, SSUG PIPE Fund SCSp, SICAVRAIF, Linde Material Handling GmbH, Financiere Arbevel, Thomas Duval, and on June 14 June 2023 Invus Public Equities, L.P. provided the Offeror with an undertaking to tender to the Offer 13,866,075 shares, representing 41.08% of the Company's share capital at the date of signature of the said commitments to tender. These undertakings may be revoked in the event of a competing tender offer. FPCI FSN PME Ambition numerique represented by Bpifrance Investissement has committed to contribute 5,053,950 shares representing approximately 14.96% of the Company's share capital and voting rights as of the date of this undertaking to tender; SSUG PIPE Fund SCSp, SICAVRAIF, has undertaken to contribute 2,000,000 shares representing approximately 5.92% of the Company's capital and voting rights as of the date of this undertaking to tender; Linde Material Handling, GmbH has undertaken to contribute 1,809,976 shares representing approximately 5.37% of the Company's share capital and voting rights as of the date of this undertaking to tender; Financiere ARBEVEL, which has undertaken to tender to the Offer the Target Securities it will hold at the opening of the Offer, holds 1,334,404 shares at 13 June 2023, representing approximately 3.95% of the Company's capital and voting rights as of the date of this undertaking to tender; Hyster-Yale UK Limited, has undertaken to tender 1,216,545 shares representing approximately 3.60% of the Company's share capital and voting rights as of the date of this undertaking to tender; Mr Thomas Duval has undertaken to contribute 851,200 shares representing approximately 2.52% of the Company's share capital and voting rights as of the date of this undertaking to tender; Invus Public Equities, L.P. has undertaken to contribute 1,600,000 shares representing approximately 4.74% of the Company's share capital and voting rights as of the date of this undertaking to tender. The Ordinary Shares held by the above-mentioned shareholders, together representing approximately 41.08% of the Company's share capital and voting rights at the date of signature of the said undertakings to tender (and around 40.36% of the share capital and voting rights at 17 July 2023) will be contributed to the Offer at the Offer Price of the Ordinary Shares, less any Ordinary Shares that may be sold by Financiere ARBEVEL in advance of the opening of the Offer, without any additional consideration to be paid by the Offeror. These undertakings are described in greater detail in section 7.2.1 of the Draft Response Document. 7.2.2 Undertakings to tender entered into with ADP holders At 31 December 2022, the Company's share capital consisted of 16,150 preference shares divided into 5 tranches: 3,230 ADP T1 - 3,230 ADP T2 - 3,230 ADP T3 - 3,230 ADP T4; and - 3,230 ADP T5. These ADP were issued to their holders in the context of a free share plans put in place by the Company which acquisition and conservation periods expired. The ADP are subject to the following cumulative conditions, based on aggregate performance over the period from the date of grant 1 January 2020 up to the 31 December 2024: Tranche 1: consolidated turnover exceeding 35 million euros and gross margin exceeding 14 million euros. - Tranche 2: consolidated turnover exceeding 85 million euros and gross margin exceeding 35 million euros. - Tranche 3: consolidated turnover exceeding 165 million euros and gross margin exceeding 70 million euros. - Tranche 4: consolidated turnover exceeding 295 million euros and gross margin exceeding 130 million euros. - Tranche 5: consolidated turnover exceeding 500 million euros and gross margin exceeding 235 million euros. Provided that the performance conditions of each Tranche are met, each ADP of the relevant Tranche will be converted into 100 Ordinary Shares of the Company. Prior to the date of Press Release, the performance conditions of the ADP T1 were met, as acknowledged by a decision of the Board of Directors dated 27 March 2023. On 22 June 2023, in accordance with the terms and conditions of the ADP, the Board of Directors, after having received the favorable opinion of the appointment and remuneration committee, acknowledged in advance the fulfilment of the performance conditions of Tranche 2 based on the high probability of reaching the conditions of consolidated turnover and gross margin by the end of the year 2023. In accordance with the terms and conditions of the ADP, the Board of Directors has all powers to determine specific conversion ratio and cases notably in the context of a tender offer. Consequently, on 22 June 2023 the Board of Directors, decided that the conversion ratio applicable to the ADP T2 was 1 ADP T2 for 100 Ordinary Shares. As described in the section 7.2.2. of the Draft Response Document, on the 6, 7, 9, 10 and 12 July 2023, the holders of the ADP entered into undertakings to tender with the Offeror pursuant to which they undertook to (i) convert all their 2.090 ADP T1 and 2.090 ADP T2 as soon as possible following the Board's decision on the conversion of the ADP T2 and to tender to the Offer all 598,000 Ordinary Shares resulting from the conversion of the T1 ADP and the T2 ADP; and resulting from the conversion of ADP T1 and ADP T2; and (ii) tender all of their 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 to the Offer. 7.2.3. Liquidity Agreement The Offeror entered into a liquidity agreement with Mr. Pascal Rialland for his Ordinary Shares resulting from the conversion of his ADP T1, ADP T2, ADP T3, ADP T4 and ADP T5 which are subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which Balyo's Board of Directors has imposed on corporate officers an obligation to retain a percentage of their shares (the "Unavailable Shares" and the "Liquidity Agreement"), the main terms and conditions of which are described in section 7.2.3. of the Draft Response Document. 7.2.4. Intention to tender expressed by the Company Warrant holder On 10 July 2023, the holder of the 11,753,581 Company Warrants, Amazon.com NV Investment Holdings LLC, addressed a non-binding letter of intent to Balyo expressing its intention to tender all of the Company Warrants to the Offer and terminate the Transaction Agreement entered into between Amazon and the Company that the main terms and conditions of which are described in section 7.2.4 of the Draft Response Document. 7.3. Interim Financing On 13 June 2023, the Board of Directors authorized the issuance by the Company of bonds convertible into fully paid-up ordinary shares to be subscribed by the Offeror for an aggregate principal amount of up to EUR 5,000,000 (the "Bonds") which will allow Balyo to meet its working capital requirements ("Financing On 14 June 2023, the Offeror and the Company entered into a subscription agreement providing for the terms and conditions of the issuance of the Bonds and regulate the relations of the Company and the Offeror as for the subscription of the Bonds (the "Subscription Agreement Pursuant to the Subscription Agreement, the Bonds will be governed by their terms and conditions described in the section 7.3. of the Draft Response Document. On 20 July 2023, the Offeror subscribed to 150 Convertibles Bonds of EUR 10,000 par value each for a total amount of EUR 1,500,000 euros. 10. INDEPENDENT EXPERT'S REPORT In accordance with the provisions of article 261-1 I, 2 of the General Regulation of the AMF, the Company's Board of Directors, at its meeting of 13 June 2023, appointed Eight Advisory, represented by Mr Geoffroy Bizard, as independent appraiser with the task of preparing a report including a fairness opinion on the financial terms of the Offer, including the squeeze-out. Said report, dated 4 August 2023, is reproduced in full in section 10 of the Draft Response Document. The conclusions of its report, dated August, 4 2023, are reproduced below: "We have been appointed as Independent Expert by the Ad Hoc Committee of Balyo based on Article 261-1 I 2, 4 and 5 and II of the general regulation of the AMF. Our mission was to assess the fairness of the price offered by SVF II Strategic Investments AIV LLC, a direct subsidiary of SoftBank Group Corp., in connection with the Tender Offer procedure followed by a Squeeze-Out should the required conditions be met. The price offered to Balyo shareholders is 0.85 per ordinary share. We observe that the Offer price of 0.85 shows a premium of: 6.3% on the central value derived from the Discounted Cash Flows method; 3.3% on the central value derived from the Market multiples method; 58.9% on the last closing share price before the announcement of the Offer, 54.9% on the 30-day volume-weighted average price, and 48.3% on the 60-day volume-weighted average price; and 21.4% on the central value derived from the analyst's target price. We also observe that the Offer price is higher than Balyo's share price post announcement of the Offer (0.82 as of July 26, 2023). In this context, given the elements presented above, we are of the opinion that the price of 0.85 per ordinary share offered by the Initiator in the context of the Tender Offer is fair from a financial point of view for the shareholders of Balyo SA, including in the perspective of a Squeeze-Out. Regarding to the BSAs, we note that the price of 0.07 per BSA proposed by the Offeror is consistent with the result of applying the Black Scholes method, based on an Offer price of of 0.85 per share. The price offered is therefore fair to the holder and is not likely to affect the equality between the holder of the BSAs and the holders of Balyo shares, including in the event of the the implementation of a mandatory squeeze-out. Regarding the 6,270 Unconverted AGADP available, we note that the price of 0.01 per AGADP proposed by the Offeror is consistent with the near-zero probability of the performance conditions of these performance conditions and that the overall amount is not material. The price offered is therefore fair for their holders and is therefore not likely to affect the equality between the holders of the and the holders of Balyo shares, including in the event of a mandatory squeeze-out. Lastly, the analysis of the related agreements does not call into question our assessment of the financial conditions of the Offer, including in this perspective the case of the implementation of a mandatory squeeze-out. Paris, August 4, 2023 Geoffroy Bizard Partner Eight Advisory S.A.S." ____________________________________ 1 It should be noted that the Ordinary Shares resulting from the conversion of the 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 are not targeted by the Offer, as the 6,270 preferred shares (i) are not convertible prior to the closing of the Offer, or, as the case may be, of the Reopened Offer, and (ii) are all subject to undertakings to tender from their holders. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815378103/en/ Contacts: Balyo TOKYO, Aug. 16, 2023 /PRNewswire/ -- Nippon Express (Ireland) Ltd. (hereafter "NX Ireland"), a group company of NIPPON EXPRESS HOLDINGS, INC., has established a new warehouse, "Contract Logistics Center," in Dublin city, beginning its operations on July 1. Logo: https://kyodonewsprwire.jp/img/202308097926-O1-X51Q0SoK Photo: Warehouse exterior https://cdn.kyodonewsprwire.jp/prwfile/release/M103866/202308097926/_prw_PI2fl_H02h4ZHW.jpg Ireland is one of the world's leading pharmaceutical exporters and one of the countries with remarkable growth in the pharmaceutical field. It is also expected to grow in technology industries such as semiconductors and information and communication technologies, and many international companies have bases in Ireland for research and development and production. From this background, demand is expected for advanced logistics facilities capable of offering features such as temperature control. The new "Contract Logistics Center" established by NX Ireland is located about 3 km from Dublin Airport and about 12 km from Dublin Port, which is suitable for both air and sea transportation. NX Ireland has installed a clean room equipped with environmental requirements (temperature/humidity/dust/electrostatic management) necessary for handling semiconductors and electronic components. Additionally, the facility is equipped to handle the storage and transportation of pharmaceuticals. The company offers high-quality services to customers in the pharmaceutical and semiconductor-related industries. The Nippon Express Group aims to expand logistics services in Europe through the establishment of the new warehouse and to contribute to further development of customer business activities by expanding logistics functions globally. Profile of new warehouse Name: Contract Logistics Center, Nippon Express (Ireland) Ltd. Address: Unit 23, Cedar Drive, Dublin AirPort Logistics Park, Dublin, K67 R2H7, Co. Dublin, Republic of Ireland Total floor area: 4,768 m2 (51,319 ft2) (Temperature-controlled, nitrogen purging warehouse 2,509 m2 (27,007 ft2) / Pharma rooms +2/+25 C & semiconductor cleanroom facility 651 m2 (7,007 ft2)) Key facilities: Full air conditioning management, surveillance cameras, customs bonded areas, full sprinkler system covering all areas, nitrogen purging facility and also external charging points for climate containers, truck dock leveler bays x 5 and two large loading bay ramps. Next to Dublin Port inner terminal and close proximity of Dublin Airport cargo terminal. Start of operations: July 1, 2023 Nippon Express website: https://www.nipponexpress.com/ Nippon Express Group's official LinkedIn account: https://www.linkedin.com/company/nippon-express-group/ View original content:https://www.prnewswire.co.uk/news-releases/nippon-express-ireland-opens-new-warehouse-in-dublin-beginning-operations-july-1-301901824.html MADRID, Spain, Aug. 16, 2023 (GLOBE NEWSWIRE) -- A groundbreaking transformation has emerged in the realm of fresh, healthy, and natural foods as AMFRESH Group, in partnership with EQT Future and Paine Schwartz Partners, proudly announces the successful acquisition of 100% of IFG, a global expert in table grape and cherry breeding. This momentous milestone has catalyzed the merger with AMFRESH's SNFL Group, an industry leader in table grape genetics, paving the way for the birth of an extraordinary global breeding entity: BLOOM FRESH International. In a world that increasingly demands healthier, more informed food choices, BLOOM is poised to revolutionize the landscape of fresh produce with innovation and expertise that respond to this evolving consumer paradigm. The combined strength and heritage of both companies, now under the BLOOM banner, will accelerate traditional fruit varietal breeding to create an unprecedented dynamic agri-tech platform driving advancements in taste, nutrition, sustainability, and global accessibility. Harnessing the Power of Breeding Excellence Marking a historic milestone, AMFRESH Group, EQT Future and Paine Schwartz Partners successfully complete the acquisition of International Fruit Genetics, merging it into SNFL Group to establish BLOOM FRESH International as a consolidated breeding powerhouse. Alvaro Munoz, AMFRESH's Chief Executive Officer, exclaims, "Today signifies a pivotal moment, uniting two global breeding forces. Our journey transforms competition into collaboration, uniting our stakeholders. Our goal remains steadfast: to serve our growers, retailers, and consumers with excellence, backed by an unwavering commitment to innovation and sustainability. We stand united, stronger than ever before, as a singular global breeding innovation company. BLOOM embodies a pinnacle of innovation, offering enhanced global capabilities, expanded reach, and elevated breeding expertise. Our goal at AMFRESH and BLOOM is to excite consumers worldwide with superior eating, tasting and nutritional produce that shall increase demand and market size for sustainably produced and improved natural fresh foods. The advantages of aligning our newly formed genetic powerhouse BLOOM in table grapes and cherries with AMFRESH's Citrus and Tropical genetic platform GENESIS INNOVATION shall be an attractive platform for all our partners worldwide." By cultivating superior varieties that cater to health-conscious consumers worldwide while simultaneously minimizing the footprint on the planet, BLOOM pioneers a path toward a brighter future by nurturing the Earth's resources - saving water, curbing the need for excessive phytosanitary products and excessive land use while fervently embracing sustainable practices. BLOOM: Pioneering Innovation in Agri-Tech The newly formed BLOOM represents a bold commitment to enhancing the lives of consumers across the globe by cultivating a spectrum of healthier, more sustainable and flavorful fruit offerings. Harnessing the synergy of traditional breeding practices and cutting-edge agri-tech possibilities, BLOOM will transcend boundaries in fruit breeding to deliver unparalleled quality and taste. At its core, BLOOM's commitment transcends taste, aligning with the optimization of consumer health and the conservation of the planet's resources. Simultaneously enhancing customer-centric varieties while reducing resource consumption, BLOOM personifies nourishment for individuals and the environment alike. As the newly appointed Chief Executive Officer of BLOOM, Kenneth Avery shares his enthusiasm for this metamorphic journey, stating, "I am incredibly honored to step into this leadership role for BLOOM. The future is ripe with opportunity, and I am genuinely excited about the transformative impact we will have on the industry. Together, we will drive innovation as we cultivate a new era of flavors, nutritional benefits, and sustainable practices that resonate far beyond the farm." Embracing a Sustainable Future EQT Future's strategic investment in BLOOM echoes its commitment to fostering industries that champion positive change. By aligning with BLOOM's mission to enhance agricultural productivity, EQT Future is contributing to a more sustainable and resource-conscious future. Carl Johan Renstrom, Partner within EQT Future's Advisory Team expresses his excitement, "We are thrilled to have the opportunity to support BLOOM, an innovative breeding company that is at the forefront of fruit breeding. We hope the partnership will accelerate BLOOM's growth while potentially also shaping the wider fruit industry for the better." Empowering Growers Globally through Innovation and Expertise BLOOM emerges as a game-changer for growers on a global scale and heralds an era of innovation and progress. The robust breeding platform invests heavily in cutting-edge technologies, sustainable practices, and advanced farming techniques, resource-efficient, high-yield cultivars. BLOOM ensures improved grower economics and creates a quantum leap towards a greener, more prosperous future. Growers will have access to cultivated expertise, empowering them to thrive in an increasingly dynamic environment. BLOOM champions the cause of grower success, offering a robust platform, resource-efficient cultivars, and a commitment to innovation that will shape a brighter tomorrow for growers worldwide. A Synergy of Genetic Prowess and Agri-Tech Mastery BLOOM boasts a robust global footprint across 22 countries, exemplifying its commitment to driving global breeding innovation. The culmination of this transformation together with in-depth details of BLOOM's global team structure and strategic priorities will be showcased at Fruit Attraction in Madrid, Spain this October, where BLOOM will unveil its revolutionary contributions to the world of fresh produce. AMFRESH is the controlling shareholder of BLOOM, with significant minority investment from EQT Future and continued investment from Paine Schwartz Partners. BLOOM and the consortium were advised by Morrison Foerster, Allen & Overy, Perez Llorca, PriceWaterhouseCoopers and Dentons UK, representing the caliber and dedication of partners involved in this monumental endeavor. About AMFRESH Group AMFRESH Group is a global fresh food company focused on spearheading varietal innovation, agriscience and biotechnology, extensive farming and global commercialization of Citrus, Table Grapes, Tropical & Superfoods, Plant-Based Foods, Top-fruit, and Flowers at scale. Equipped with over 90 years of expertise, AMFRESH Group is privately held, present in over 60 countries with 6,200 employees with a passion and commitment to innovate, it operates as an end-to-end vertically integrated model to serve the top retailers worldwide. For more information, please visit www.amfresh.com . About BLOOM FRESH International Limited BLOOM FRESH International is the world's largest premium fruit-breeding company, created by the merger of SNFL Group (Special New Fruit Licensing) and International Fruit Genetics, LLC (IFG). With 45 years of combined experience, BLOOM uses natural breeding techniques to develop new table grape, raisin, and cherry varieties for customers worldwide. BLOOM will advance the produce industry by bringing forward varieties with improved eating characteristics and long-term sustainable crop production for growers. About EQT EQT is a purpose-driven global investment organization focused on active ownership strategies. With a Nordic heritage and a global mindset, EQT has a track record of almost three decades of developing companies across multiple geographies, sectors and strategies. EQT has investment strategies covering all phases of a business' development, from start-up to maturity. EQT works with portfolio companies to achieve sustainable growth, operational excellence, and market leadership. EQT today has EUR 126 billion in fee-generating assets under management, within two business segments - Private Capital and Real Assets. More info: www.eqtgroup.com . Follow EQT on LinkedIn, Twitter, YouTube and Instagram. About Paine Schwartz Partners A global leader in sustainable food chain investing, Paine Schwartz Partners is a private equity firm focused exclusively on investment opportunities in the fast-growing, dynamic global food and agribusiness sectors. The firm's investment, operations and finance professionals invest throughout cycles across the food and agribusiness value chain and bring a collaborative and active management approach to portfolio companies. For further information, please see www.paineschwartz.com . Contacts AMFRESH Group and BLOOM FRESH International: patricia.sagarminaga@amfresh.com , Patricia Sagarminaga +34 669161941 EQT Press Office: press@eqtpartners.com +46 8 506 55 334 Paine Schwartz Partners: Andy Brimmer / Aaron Palash. Joele Frank, Wilkinson Brimmer Katcher, +1 212 355 4449 2023 BLOOM FRESH International. All rights reserved. BLOOM and the BLOOM logo are registered trademarks of BLOOM FRESH International, part of AMFRESH Group. LONDON (dpa-AFX) - Aviva Plc. (AV.L, AV) reported that its profit attributable to equity holders of the company for the six month period ended 30 June 2023 was 366 million pounds or 12.1 pence per share compared to a loss of 209 million pounds or 6.3 pence per share in the same period last year. Adjusted operating profit for the period increased by 8% to 715 million pounds from the prior year driven by strong performance in UK & Ireland General Insurance and Canada General Insurance. The company said it remains confident and excited that there is so much more Aviva can and will achieve in 2023. It expects to exceed Group medium-term targets. The company increased the interim dividend by 8% to 11.1 pence. The company expects full year 2023 group operating profit to grow between 5% and 7% from 1.35 billion pounds in 2022. It expects to pay a dividend of 915 million pounds or 33.4 pence per share for 2023, with low-to-mid single digit growth in the cash cost of the dividend thereafter. Aviva's profit before tax for the six month period ended 30 June 2023 was 496 million pounds compared to a loss of 811 million pounds in the previous year. Insurance revenue for the period grew to 8.90 billion pounds from 8.26 billion pounds in the prior year. For more earnings news, earnings calendar, and earnings for stocks, visit rttnews.com Copyright(c) 2023 RTTNews.com. All Rights Reserved Copyright RTT News/dpa-AFX Top 3 Energie-Dividendenaktien Im neuen Energieboom von kontinuierlichen Ertragen profitieren. Wir zeigen hier, von welche drei Aktien Sie profitieren konnen. Hier klicken Highstreet to integrate Conductive.ai's zero-click onboarding and Web3 analytics dashboard to streamline the journey of the next billion users Conductive.ai's integrations provide deeper insight into user activity in Highstreet's platform. Both Highstreet and Conductive.ai have Animoca Brands as lead investor. Hong Kong, Hong Kong--(Newsfile Corp. - August 16, 2023) - Conductive.ai and Highstreet have announced a strategic partnership for technical development to improve the user experience and increase analytical insight on user activity. Conductive.ai x Highstreet To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8836/177101_90c072bc74814a4e_001full.jpg Conductive.ai is an onboarding platform that provides Web3 infrastructure for games, while Highstreet is a commerce-centered metaverse that seamlessly blends MMO gaming, phygital retail, and brand discovery. This partnership is designed to improve Highstreet's appeal to mainstream, non-crypto-native users by streamlining an onboarding process that requires no Web3 experience to jump into the metaverse while still having full Web3 functionality. Highstreet will integrate two of Conductive.ai's offerings - a zero-click onboarding tool and a Web3 analytics suite. Zero-click onboarding simplifies the complex entry process into the metaverse, making it effortlessly accessible to any user regardless of their familiarity with Web3. In addition, the analytics provided by Conductive.ai offers Highstreet an unprecedented ability to improve Web3 user experience through data-driven decisions. Highstreet has been in the spotlight due to its recent announcements of partnerships and events. This includes a prominent presence at the Monaco F1 Grand Prix, hosting the Animoca RV Rally, and holding two Initial Home Offerings (IHO) in the Highstreet World Metaverse. Among these partnerships, the unveiling of PHANTACi Peninsula stands out - a virtual experience in collaboration with PHANTACi founded by Jay Chou and Ric Chiang to explore fashion, magic, and culture offering both exclusive physical and virtual apparel and digital collectibles. The partnership with Conductive.ai will further strengthen Highstreet's position and is predicted to open new avenues for growth and engagement. Steve Ip, Conductive.ai CEO and Co-Founder, said, "We are thrilled to collaborate with Highstreet and bring our Web3 infrastructure to the Highstreet metaverse, empowering Highstreet to simplify onboarding on to Web3 and attract more users. We aim to help Highstreet to become the first entry-point for users to enter into the metaverse and enjoy a hub of virtual experiences." Following a successful pilot program that involved major game titles, Conductive.ai plans to establish more partnerships with game developers seeking to enhance their engagement strategies. This partnership with Highstreet serves as a step forward in this mission. Animoca Brands, a world leader in blockchain-based gaming, is a key investor in both Conductive.ai and Highstreet. Yat Siu, Chairman and Co-Founder of Animoca Brands, said, "This partnership will help to strengthen the Web3 ecosystem, promoting growth and user engagement in the open metaverse. With the power of Web3 at their disposal, Conductive.ai, Highstreet, and other projects in their networks are nurturing a space where innovation and commerce can thrive." As Conductive.ai reshapes the gaming industry with its advanced engagement platform, the company remains open to exploring additional partnerships. Developers and platforms looking to elevate their user engagement with Conductive.ai's unique solutions are invited to get in touch at hello@conductive.ai. About Highstreet Highstreet is a commerce-centered metaverse integrating shopping with gaming. While products from brands bring an endless supply of cosmetics, bridging character customizations with real world fashion, an MMORPG backbone further adds utility to them, allowing players to craft unique NFTs to elevate their gameplay. As Highstreet's phygital products continue to gain traction both online through e-commerce storefronts and offline through various department stores around the world, Highstreet World continues to grow through land sales to brand partners; accumulating new stories to be discovered and shared by players around the world. Website: https://www.highstreet.market Twitter: https://twitter.com/highstreetworld Telegram: https://t.me/highstreetworld Discord: https://discord.gg/highstreetmkt Facebook: https://www.facebook.com/highstreetmkt YouTube: https://www.youtube.com/channel/UCzXzF5_1RQupiUG0pVGJZmA Instagram: https://www.instagram.com/highstreetworld General Inquiries: info@highstreet.market Press: flora@highstreet.market Contact for Brands: merchants@highstreet.market About Conductive.ai Conductive.ai is the developer of an engagement platform designed to boost player lifetime value for games. This infrastructure layer enables game developers to increase player engagement and retention, as well as produce new monetization opportunities. Conductive.ai aims to complete the mission of onboarding the next billion users into Web3. Website: https://www.conductive.ai Twitter: https://twitter.com/conductiveai LinkedIn: https://www.linkedin.com/company/conductiveai/ Media Enquiries: hello@conductive.ai To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177101 LONDON, Aug. 16, 2023 /PRNewswire/ -- Redgrave, a leading executive search and leadership advisory firm supporting business owners, investors, and leaders, is thrilled to announce the addition of Charlotte John to their team. With her extensive experience in recruitment and real estate, Charlotte will play a pivotal role in further expanding Redgrave's services and helping clients source talent. Charlotte's career journey began as a Chartered Surveyor, equipping her with invaluable industry insights. In 2005, she transitioned into the recruitment sector, joining a global recruitment company specialising in recruiting for the Construction, Engineering and Property & Finance industries. Here she swiftly built and led successful teams in the United Kingdom, Abu Dhabi, and New Zealand. She later spent seven years at Cushman & Wakefield, a global real estate advisory business, where she spearheaded their talent acquisition function. Recently, she returned to executive search to continue developing tailored recruitment solutions for clients. "I am passionate about collaborating on creating talent strategies that source the best and most diverse candidates in the market," said Charlotte John. "Through my years in executive search, I have discovered that the most successful results are those where we seamlessly integrate with our clients' teams. I'm excited to join Redgrave because their passion, culture and ambition matches my own." "We are delighted that Charlotte has joined our team, bringing years of experience engaging with leaders across the real estate industry," shares James Paviour, Head of Redgrave's Real Assets practice. "The addition of her deep functional and industry knowledge means our clients will further benefit from her specialist expertise and energy." Beyond her professional achievements, Charlotte is an avid family person and enjoys spending time with her husband and two young children. About Redgrave Redgrave is a global leadership advisory firm with a relentless passion for people. They help leaders shape the future of businesses by delivering solutions that complement their culture and their ambition. Redgrave connects organisations with exceptional talent to drive their growth and success. The firm offers a range of services, including search, executive assessment, executive interim and talent consulting. Logo - https://mma.prnewswire.com/media/2171405/4221698/Redgrave_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/charlotte-john-joins-redgraves-real-estate-and-property-team-301901411.html The National Comprehensive Cancer Network expands materials tailored for the MENA region to help improve patient outcomes by standardizing local cancer care; includes new adaptation focused on genetic/familial cancer risk, as well as care for ovarian/fallopian tube/primary peritoneal cancers. PLYMOUTH MEETING, Pa., Aug. 16, 2023 /PRNewswire/ -- The National Comprehensive Cancer Network (NCCN)-an alliance of leading cancer centers-today announced that a library of resources for improving cancer care in the Middle East and North Africa (MENA) has been updated and expanded in collaboration with regional experts. The United States-based non-profit has worked with the King Abdulaziz Medical City in Riyadh, Saudi Arabia, since 2015 to standardize cancer treatment based on the latest evidence and expert-consensus, as part of the MENA-NCCN Regional Coordinating Center. Their efforts have led to the publication of 12 new and updated clinical guidelines covering several cancer presentations. View the new and updated International Adaptations of NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines) MENA Editions at NCCN.org/global. The NCCN Guidelines MENA Editions now include up-to-date adaptations for: Adult Cancer Pain Breast Cancer Chronic Lymphocytic Leukemia/Small Lymphocytic Lymphoma Colon Cancer Genetic/Familial High-Risk Assessment: Breast, Ovarian, and Pancreatic (new) Hairy Cell Leukemia Hepatobiliary Cancers Hodgkin Lymphoma Non-Small Cell Lung Cancer Ovarian Cancer/Fallopian Tube Cancer/Primary Peritoneal Cancer (new) Prostate Cancer T-Cell Lymphomas The guidelines provide color-coded information for health care providers on how to care for people with cancer. Text in black represents current global recommendations, while italicized blue text indicates appropriate and feasible regional modifications-as determined by in-country experts. Approaches that are not currently feasible are marked with grey strikethrough text. "Cancer care for people in the Middle East and North Africa should follow internationally recognized gold standards for clinical direction and policy," explained Kanan Mamdouh Alshammari, MD, Department of Oncology, King Abdulaziz Medical City - Central Region, Ministry of National Guard, Saudi Arabia, Director of the MENA-NCCN Regional Coordinating Center. "Numerous studies have shown how standardizing care results in better outcomes and a more efficient use of resources. These adapted guidelines will enable our local care providers to have access to expert-vetted information on the latest research, but with their specific circumstances in mind." "We are always grateful for the opportunity for knowledge sharing with cancer care experts around the world-allowing us to provide accessible, tailored information to improve cancer outcomes across different regions," said Robert W. Carlson, MD, Chief Executive Officer, NCCN. "Our colleagues with the MENA-NCCN Regional Coordinating Center collaborated with us to address the growing need for genetic testing guidance in the Middle East and North Africa as part of our ongoing efforts to make sure everyone, everywhere, has access to the best evidence-based cancer care available." The NCCN Global Program offers numerous free International Adaptations, including European Editions of NCCN Guidelines for Spain and Poland. The NCCN Framework for Resource Stratification (NCCN Framework) and NCCN Harmonized Guidelines provide pragmatic approaches for defining appropriate treatment across different resource levels, particularly in low- and middle-income countries. NCCN resources have been translated into nearly 70 different languages. Learn more at NCCN.org/global. About the National Comprehensive Cancer Network The National Comprehensive Cancer Network (NCCN) is a not-for-profit alliance of leading cancer centers devoted to patient care, research, and education. NCCN is dedicated to improving and facilitating quality, effective, equitable, and accessible cancer care so all patients can live better lives. The NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines) provide transparent, evidence-based, expert consensus recommendations for cancer treatment, prevention, and supportive services; they are the recognized standard for clinical direction and policy in cancer management and the most thorough and frequently-updated clinical practice guidelines available in any area of medicine. The NCCN Guidelines for Patients provide expert cancer treatment information to inform and empower patients and caregivers, through support from the NCCN Foundation. NCCN also advances continuing education, global initiatives, policy, and research collaboration and publication in oncology. Visit NCCN.org for more information. Media Contact: Rachel Darwin 267-622-6624 darwin@nccn.org Logo - https://mma.prnewswire.com/media/441768/NCCN_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/new-and-updated-resources-published-to-help-guide-oncology-care-in-the-middle-east-and-north-africa-mena-301900199.html Bittrex Global released the following statement today after reaching a successful settlement with the US Securities and Exchange Commission (SEC) in relation to its 17 April charge against the company for allegedly operating an unregistered exchange in the US: Bittrex Global is very pleased to announce that, following submission of our motion to dismiss the SEC's action against us in June, we have now reached a successful settlement. From the beginning, we have been optimistic and willing to work productively with the SEC to explain our position. We are delighted that we have been able to reach a settlement with the SEC and that both parties can avoid the effort and cost of litigation. To reach this speedy conclusion, the settlement agreement includes a $24 million payment, which will be paid by Bittrex Inc. (also known as Bittrex US), and not by Bittrex Global. Now the SEC has agreed to settle the case, with Bittrex Global neither admitting nor denying the allegations, the matter is fully behind us, and our attention can be completely focused on doing what we do best: providing our customers with the world's most secure, innovative, and regulated exchange in the market. Oliver Linch, Bittrex Global CEO, said:"We are delighted that a settlement on these terms has been quickly reached. While it is good news for Bittrex Global, now is not the time for a victory lap. Instead, with this matter behind us, we can concentrate on building our vision for the future of crypto, as a regulated, mature, and sophisticated part of the wider financial ecosystem. That vision requires proper regulatory regimes that are fit for purpose, which is why Bittrex Global is proud to be regulated in Liechtenstein and Bermuda, two of the leading and most respected jurisdictions for regulatory oversight of crypto in the world. "Bittrex Global has made enormous investments to ensure that we do not accept US customers. We service clients and provide services exclusively outside the US. Market participants around the world, who are increasingly wary of having any connection to the United States given its regulatory uncertainty, should know that if they want to do business with a non-US regulated digital assets exchange, Bittrex Global is here for you. Bittrex Global will continue to lead the way in demonstrating that the future of crypto is secure, innovative, and regulated." Andrew Michaelson, Partner at King Spalding LLP, added: "We are proud to have advised Bittrex Global on this matter. What makes this result so unusual and gratifying is that our client, Bittrex Global, will put this matter behind it without paying a penny in settlement. We are thrilled with this result on behalf of our client." Bittrex Global was founded upon the three core principles of security, innovation, and regulation, and takes great pride in its global reputation as one of the longest-standing and most compliant exchanges in the world. All Bittrex Global customer funds continue to be safe and accessible, and the company remains committed to providing customers with the most secure exchange in the market. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816932630/en/ Contacts: Media Enquiries E: bittrexglobal@wachsman.com Sustainability Magazine has launched its Top 100 Companies supplement, ranking the world's most sustainable businesses from Schneider Electric to Polestar. LONDON, Aug. 16, 2023 /PRNewswire/ -- Sustainability Magazine is thrilled to announce the highly-anticipated launch of its prestigious Top 100 Companies supplement, which celebrates the sustainability journeys of the world's biggest companies. The list showcases the companies that are continuously making great Sustainability & ESG strides towards producing long-lasting benefits, demonstrating the outstanding difference that can be made when companies value sustainability. From Capgemini and Schneider Electric to ABB and AT&T, we've compiled a list of the top global companies driving change in their sectors, thus, acting as beacons of inspiration, demonstrating that profitability and sustainability can go hand in hand. For further details on the Top 100 Companies supplement and to explore the incredible sustainability journeys of these global pioneers, click HERE . "It's incredibly inspiring to see how these businesses are pioneering change, and leading by example," says Lucy Buchholz, Editor in Chief of Sustainability Magazine. "I'm proud to be part of a portfolio of publications that showcases the very best businesses driving sustainable change, going above and beyond to lessen their environmental impact." Glen White, Founder and CEO of BizClik says - "Compelling Sustainability & ESG Strategies are vital to the heartbeat & DNA of every major business globally. Having spent time speaking to many Chief Sustainability Officers over the last few months, I think the Top 100 'Most Sustainable Companies list is a fabulous example of work being done to combat our current climate crisis whilst addressing areas such as ESG, DE&I, Supply Chain Sustainability, Net Zero, and also future Tech and AI strategies. A big well done to my team at Sustainability magazine and the whole crew at BizClik; the work they are doing is simply 'best in class across digital media." Sustainability Magazine , a BizClik brand, is a leading publication at the forefront of sustainability, offering valuable insights, trends, and news for businesses and individuals committed to building a sustainable future. With a strong emphasis on ESG issues, the magazine explores a wide range of topics, providing readers with the knowledge and inspiration needed to positively impact the environment and society. You can read the latest news in Sustainability Magazine by clicking HERE . You can now sign up for the Sustainability LIVE conference at the Business Design Centre from the 6th - 7th of September 2023. Click HERE . BizClik is also soon to launch its new U.K. 'Net Zero' show alongside its EV LIVE & Energy LIVE brands and has just agreed to expand its events business to Dubai & Miami for 2024. View original content:https://www.prnewswire.co.uk/news-releases/sustainability-magazine-launches-top-100-companies-2023-301902191.html The Asset Purchase Agreement covers assignment of land and buildings and acquisition of identified assets at General Motors India's Talegaon Plant Manufacturing operations at the plant are planned to commence in 2025, augmenting Hyundai Motor India's manufacturing footprint As part of Hyundai's resolute commitment to the Indian automotive industry, with the Sriperumbudur (Chennai) and Talegaon plants, Hyundai Motor India aims to cumulatively achieve a production capacity of 1 million units a year Leveraging the expanded capacity, HMIL will review plans to launch additional electric vehicle models into the Indian market, manufactured at its Sriperumbudur plant, thus accelerating India's electrification goals. SEOUL, South Korea and GURUGRAM, India, Aug. 16, 2023 /PRNewswire/ -- Hyundai Motor India Limited (HMIL), India's first smart mobility solutions provider and the largest exporter since inception, today signed an Asset Purchase Agreement for the acquisition and assignment of identified assets related to General Motors India's Talegaon Plant in Maharashtra. Unsoo Kim, Managing Director and CEO of Hyundai Motor India Ltd., and Asifhusen Khatri, Vice President Manufacturing of General Motors India and General Motors International Operations, participated in the signing ceremony in Gurugram, Haryana. The APA covers the acquisition and assignment of land and buildings as well as certain machinery and manufacturing equipment situated at GMI's Talegaon plant. The completion of the acquisition and assignment is subject to fulfilment of certain conditions precedent and receipt of regulatory approvals from relevant government authorities and relevant stakeholders. Announcing the APA signing, Unsoo Kim, Managing Director and CEO of HMIL said, "This year is a significant milestone for Hyundai Motor India, as we celebrate 27 years of activity in the market. Demonstrating our dedication to India, earlier this year, HMIL entered into a Memorandum of Understanding (MoU) to invest INR 20,000 crore in Tamil Nadu for expanding capacity and establishing an electric vehicle ecosystem. As we reinforce our commitment to 'Atmanirbhar Bharat' (Self-Reliant India), we intend to create an advanced manufacturing center for cars made in India in Talegaon, Maharashtra. Our manufacturing operations are scheduled to begin in Talegaon, Maharashtra, in 2025." More information about Hyundai Motor and its products can be found at: https://www.hyundai.com/worldwide/en/ or https://www.hyundai.com/worldwide/en/company/newsroom.release.all.latest Photo - https://mma.prnewswire.com/media/2187125/image_1.jpg View original content:https://www.prnewswire.co.uk/news-releases/hyundai-motor-india-signs-asset-purchase-agreement-for-acquisition-of-identified-assets-at-gm-india-talegaon-plant-301902198.html JAKARTA, Aug 16, 2023 - (ACN Newswire) - Indonesia's path toward a prosperous future hinges on its ability to leverage the digital economy.In Indonesia, digital transformation has transcended its role as a mere economic enabler; it has now become a cornerstone of the nation's economic advancement. The advancement of a country's digital sector is intricately interwoven with its economic foundation and can be envisioned as an unceasing cycle of growth that mutually reinforces and accumulates over time.Consequently, Indonesia stands at a critical juncture where calibrated digital integration promises unprecedented growth, but a mismanaged approach could deepen inequalities and stall progress. Thus, a comprehensive and considerate strategy is vital to fully harness the digital realm's potential for the nation's enduring welfare and growth.Amid Jakarta's vibrant landscape, the 24th Digital Transformation Summit gathers distinguished businesses, institutions, and government bodies. An exclusive invitation-only event, it offers pivotal IT decision-makers a unique opportunity for face-to-face interactions. Anchored in the theme "Unlocking DX Strategies to Meet the Digital Age," the summit fosters collaboration, addresses challenges, and shapes Indonesia's path. With a dynamic 2-stage program, it draws 750+ C-Level Executives and thought leaders.The conference features two vibrant stages: InfoTech and MarTech, offering immersive journeys into technology and marketing realms. InfoTech guides through the evolving IT landscape, with thought leaders exploring trends in software, AI, cybersecurity, and cloud computing. MarTech illuminates the fusion of marketing and technology, revealing strategies that amplify customer engagement via digital tools, analytics, social media, and automation. Attendees gain rich insights, connections, and inspiration across these stages, transcending field boundaries. This dynamic experience fuels innovation and networking, fostering a deeper understanding of the ever-evolving tech and marketing landscapes.What is InfoTech?The conference covers diverse themes, from enhancing citizen-state interactions through digital tech to stressing pragmatic strategies for effective digital transformations. It highlights balanced approaches in strategy and execution. Discussions spotlight how edge computing can enhance 5G potential in Indonesia. Emphasizing security integration into organizational DNA mitigates risks in an interconnected landscape. Overall, the conference underscores digitalization as pivotal for a sustainable future, driving efficiency, inclusivity, and innovation.In-depth discussions on topics like:DAY-1- Using Digital Technologies to Upgrade Citizen - State Interaction.- How is Pragmatic Approach the key to a successful digital transformation?- Beyond Human Imagination: The Power of Generative AIDAY-2- Embedding Security into Organizaon's DNA.- Is digitalization the key to a sustainable future?What is MarTech?The MarTech stage delves into diverse marketing technology aspects, offering insights into optimising tech stacks for maximum impact. The emerging metaverse's transformative potential for marketing's future is showcased. Discussions spotlight customers' pivotal role in digital transformation strategies. Omnichannel experiences are explored for seamless platform interactions. Generative AI's power in upscaling businesses is highlighted, fostering innovation. Collectively, these topics shape a dynamic narrative, underscoring marketing technology's evolving landscape and profound influence on strategies and customer engagement.Insightful talks on:DAY-1- Who is truly in the driving seat of your Digital Transformation Strategy?- How will Metaverse shape the future of Marketing?- Are You Getting the Most from Your Marketing Tech Stack?DAY-2- Why Focus on Omnichannel Customer Experience?- Role of Generative AI in up-scalingFireSide Chat Businesses.Who will attend?The Digital Transformation Summit, Indonesia will be attended by top executives and leaders like CIO, CTO, CDO, CISO, CMO, Heads of Customer Experience, Head of Customer Relation Management, Heads Of IT ,Product & Brand Heads and Head UI/UX & Design from a variety of industries including BFSI & Fintech, Manufacturing, Cloud Ecommerce & Retails, Government, Energy & Utilities, Healthcare, Telecom, Pharma & Life sciences and EducationFor more information on Digital Transformation Summit, Indonesia, you can visithttps://digitransformationsummit.com/indonesia/About Exito:Exito, which means success in Spanish, embodies our commitment to the success of our customers. Each year, we host over 240 virtual and in-person conferences globally, bringing together audiences with world-class thought leaders and C-level executives across industries. Our meticulously crafted agendas, based on extensive research and valuable industry insights, facilitate business, knowledge transfer, deal flow, and impactful messaging for brands.Contact: Mithun Gopinath,Manager-Projects,Exito Media Conceptsmithun.gopinath@exito-e.comSource: ExitoCopyright 2023 ACN Newswire . All rights reserved. BEIJING, Aug. 16, 2023 /PRNewswire/ -- The 2023 Beijing Central Axis International Art Week will be held in Beijing from August 19 to 27. Under the guidance of the Beijing Municipal Cultural Heritage Bureau and the Beijing Municipal Office for Conservation and Management of Beijing Central Axis, it is co-organized by the Beijing Central Axis Protection Foundation and Beijing State-owned Assets Management Co., Ltd., and undertaken by Beijing Artists Management Co., Ltd., and funded by the Zhongteng Fund jointly established by the Beijing Central Axis Protection Foundation and Tencent. It will stage 22 performances covering such categories as stage dramas, dance dramas, concerts, traditional Chinese operas, and shadow puppets, as well as more than 10 activities such as the theatrical carnival, Night Talk of the Central Axis, citywalks, immersive tours at museums, and visits to former residences of celebrities. The 2023 Beijing Central Axis International Art Week is centered around "performing arts". During the nine-day Art Week, 22 performances and activities will be staged at the theaters on the Central Axis, including Beijing Tianqiao Performing Arts Center, Capital Theatre, Dahua City Center for the Performing Arts, Beijing Concert Hall, and Jixiang Theater. The Art Week will be opened by the Peking Opera "The Disappeared Wedding Dress of the Dragon Lady -- Big Monsters in the Forbidden City", which will be followed by "Natu Returning to the Song Regime", local traditional opera performances of Beijing, the drama "Lao Li's Fantasy of Love", the Yue Opera "Dream of the Red Mansion", the Irmina Trynkos and Pavel Timofeyevsky Duo Concert, the shadow puppet shows "Shadow Box Journey" and "The Cat God in the Forbidden City", and the contemporary dance show "Beijing Sonata", covering nearly 10 art categories. The Art Week will be run through by a series of activities. Focusing on the topic of the Central Axis and theatrical topics, the 2023 Beijing Central Axis International Art Week will invite experts and scholars in different fields and participants interested in the Central Axis culture to participate in night talks on the Central Axis, tell stories about the dramatists and folk culture along the Central Axis, and bring the Central Axis closer to the general public with vivid stories. In addition, sociologists will be invited to take the participants to two citywalks themed on the Central Axis, including visits to the former residences of Mei Lanfang, Tan Xinpei, and Cheng Changgeng, and to the century-old theaters Zhengyi Temple, Anhui Guild Hall, and Jixiang Theater. Bringing together tradition and modernity, the 2023 Beijing Central Axis International Art Week will inject elements and vitality of the new era into cultural heritage, display the artistic styles along the Central Axis, disseminate the culture of the Central Axis, and let the glorious tangible and intangible cultural heritage on the Central Axis shine together. View original content:https://www.prnewswire.co.uk/news-releases/the-central-axis-and-the-performing-arts----the-2023-beijing-central-axis-international-art-week-to-be-held-in-august-301902285.html VENTURA and MONTEREY, CA / ACCESSWIRE / August 16, 2023 / In a significant stride towards ocean conservation, the California market squid fishery has emerged as the latest to gain certification for sustainability under the rigorous standards set by the Marine Stewardship Council (MSC). The extensive evaluation was meticulously conducted by the distinguished independent third-party auditor, SCS Global Services, acting on behalf of industry leaders Lund's Fisheries, Del Mar Seafoods, and Silver Bay Seafoods. MSC certification, renowned for its exacting international sustainability benchmarks, represents a pivotal benchmark for responsible seafood sourcing. The certification underscores the fishery's fulfillment of stringent criteria, including robust stock health, effective fisheries management policies, and minimal environmental impact, as stipulated by MSC guidelines. "Over the course of 15 months, SCS Global Services assessed the California market squid fishery, evaluating its fishing practices, environmental impact, and management system against the best practices set forth by the Marine Stewardship Council," said Jason Swecker, SCS' Managing Director of Seafood. "This MSC certification not only acknowledges the fishery's achievement but also highlights the industry's collective strides towards sustainability." Squid fishing vessels SCS Global Services' final report lauds the fishery's strengths, highlighting "documented adaptable management strategies, regular management reviews," alongside "rigorous dockside sampling, minimal bycatch, and the implementation of innovative ecosystem-based management strategies." Wayne Reichle, President of Lund's Fisheries, underscored the significance of collaborative efforts, emphasizing, "Aligning with two esteemed California squid producers, alongside SCS and MSC, reaffirms our commitment to the enduring viability of the California market squid fishery. As pioneers in sustainable seafood, our legacy spans three generations, a testament to the dedication of our fishermen, plant employees, and valued customers. The MSC certification distinguishes us as the sole U.S. seafood producer engaged in harvesting, processing, and distributing all three MSC-certified domestic squid species." Market squid, Photo credit: NOAA Carter Goetz, In-House Counsel for Del Mar Seafood, echoed the sentiment, stating, "At the core of our family-operated enterprise, spanning three generations, lies an unwavering devotion to sustainability and the preservation of our oceans. The MSC certification for the California market squid fishery epitomizes our continuous mission to foster a thriving future for both our enterprise and the marine ecosystem." Cora Campbell, President and CEO of Silver Bay Seafoods, said, "Silver Bay Seafoods participates in many MSC certified fisheries in Alaska. Expanding our relationship to encompass the California market squid fishery is a natural next step to offering our customers across the globe access to a full suite of certified products." With a century-old legacy, the California market squid fishery casts its footprint across both Northern and Southern California, notably in the vibrant locales of Monterey Bay and Ventura County. As the sole purse seine fishery specializing in squid in the nation, it stands as a beacon of responsible practices, exemplifying steadfast efforts to minimize bycatch and enhance industry-wide environmental stewardship. The global demand for market squid, particularly in Europe and Asia, amplifies its significance, further fueled by a burgeoning appetite for sustainably sourced squid domestically. About Lund's Fisheries Inc. Lund's Fisheries, Inc. is a third-generation, family-owned company and a primary producer of fresh and frozen seafood located in Cape May, N.J. Lund's purchases, produces and distributes nearly 75 million pounds of fresh and frozen fish annually. Its fresh and frozen domestic sales stretch nationwide while its frozen exports extend to markets around the world. Lund's Fisheries is committed to developing and managing systems and practices to fish within sustainable limits and track its products back to the harvest location to ensure they are sourced from fisheries that are well-managed, certified as sustainable or actively working towards implementing more responsible and sustainable harvesting practices. About Del Mar Seafoods, Inc. Founded in 1988, Del Mar Seafoods is a vertically integrated seafood producer and processor. With industry-leading production capacity, we process an average of 30 million pounds of California market squid per year. The Ocean Angel Fleet - comprised of 11 purse seine vessels - allows us control over raw materials and consistent supply. Our flagship brand, Ocean Angel Calamari, provides whole round and cleaned squid items for both domestic and export markets. As a family-owned and operated business, our mission is to deliver premiere seafood while leaving a healthy, sustainable fishery for generations to come. For information, please visit www.delmarseafoods.com or contact cassie@delmarseafoods.com. For more information on our vessel partners, please visit www.oceanangelfleet.com. About Silver Bay Seafoods Silver Bay Seafoods is an integrated processor of frozen, salmon, herring and squid products for domestic and export markets. We began in 2007 as a single salmon processing facility in Sitka, Alaska. Today, Silver Bay is one of the largest seafood companies in Alaska, operating six domestic processing facilities throughout Alaska and the West Coast. PRESS CONTACT Bob Vanasse Stove Boat Communications (202) 333-2628 bob@stoveboat.com SOURCE: Lund's Fisheries Inc. View source version on accesswire.com:https://www.accesswire.com/774421/California-Market-Squid-Fishery-Achieves-MSC-Certification-for-Sustainability Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - GMV Minerals Inc. (TSXV: GMV) (OTCQB: GMVMF) (the "Company" or "GMV") is pleased to announce that it has staked 83 additional lode claims adjacent to its land position at the Daisy Creek Lithium project in Lander County, Nevada. The Company now controls or owns a total of 165 lode claims covering 3,408 acres. Last month, GMV conducted a site visit with the vendors of the Daisy Creek property and observed the caldera complex to be as described, filled with relatively shallow-dipping interlaminated rhyolite tuffs and claystones dominating the claims. The discovery outcrop was observed to contain these assemblages with an increase in iron oxide-stained fractures. Preliminary ASTER data that measures alteration spectra and can show different clays shows positive results over the western portion of these claims. A review of historic satellite images shows disturbances in the same area, consistent with trenching and/or drill pad development. Consequently, the adjacent additional claims covering favourable ground and extensions has now been acquired. Highly anomalous lithium reverse circulation drill analyses have been reported historically from exploration work completed by Phillips Uranium ("Phillips") on this property in the early 1980's. The claims are located near several operating gold mines and access to power, water and paved highways are nearby. Moving forward, additional remote surveys are being considered to enhance targeting on the land. Crews will mobilize to the expanded property to map and sample exposures and old trenches to identify sites where Phillips had focused their efforts. Phillips was primarily exploring for uranium when they are reported to have discovered lithium so basic gamma-ray spectrometer work is expected to provide real time targeting. A series of short drill holes will be planned to follow up on historical and current target areas. Ian Klassen, President, commented, "We conducted an initial site visit with three geologists, one of whom previously worked with Phillips in the 1980's when drilling occurred on the property. During the visit, it became clear that it was in GMV's interest to expand the claim area to reflect the geological potential within the basin. Now that we have essentially doubled our land position, we feel that the Company is well poised to advance field activities on the Daisy where similarities exist between what is seen at Daisy Creek and Lithium America's burgeoning Thacker Pass discovery located in the McDermitt Caldera of Nevada." Dr. D.R. Webb, Ph.D., P.Geo., P.Eng. is the Q.P. for this release within the meaning of NI 43-101 and has reviewed the technical content of this release and has approved its content. About GMV Minerals Inc. GMV Minerals Inc. is a publicly traded exploration company focused on developing precious metal assets in Arizona. GMV, through its 100% owned subsidiary, has a 100% interest in a Mining Property Lease commonly referred to as the Mexican Hat Property, located in Cochise County, Arizona, USA. The project was initially explored by Placer Dome (USA) in the late 1980's to early 1990's. GMV is focused on developing the asset and realizing the full mineral potential of the property through near term gold production. The Company's NI 43-101 resource estimate (Inferred) is 36,733,000 tonnes grading 0.58 g/t gold at a 0.2 g/t cut-off, containing 688,000 ounces of gold. ON BEHALF OF THE BOARD OF DIRECTORS ------------------------------------------------------------------------ Ian Klassen, President For further information please contact: GMV Minerals Inc. Ian Klassen Tel: (604) 899-0106 Email: info@gmvminerals.com www.gmvminerals.com Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177385 HONG KONG, Aug 16, 2023 - (ACN Newswire) - Essex Bio-Technology Ltd ("Essex" or the "Group", Stock Code: 1061.HK) today announced the interim results for the six months ended 30 June 2023 ("the period under review").Financial Performance Returned to Pre-COVID-19 Operating LevelDuring the period under review, the Group achieved a consolidated revenue of approximately HK$899 million, with an increase of 37.1% as compared to the same period last year, indicating normalcy in business to the pre-COVID-19 operating level. The profit of the Group increased by 22.0% to approximately HK$170 million as compared to approximately HK$139.2 million for the same period last year.As of 30 June 2023, the Group had cash and cash equivalents of approximately HK$506 million (31 December 2022: approximately HK$543 million). The Board is pleased to propose an interim dividend of HK$0.045 (For the six months ended 30 June 2022: HK$0.04) per ordinary share for the six months ended 30 June 2023.Revenue Growth in Ophthalmology and Surgical SegmentsThe Group's revenue is primarily made up of the segments of Ophthalmology and Surgical (wound care and healing). For the six months ended 30 June 2023, the revenue of Ophthalmology increased by 41.6% to approximately HK$382 million, accounting for approximately 42.4% of the Group's revenue, while the revenue of Surgical up 34.0% to approximately HK$518 million, representing approximately 57.6% of the Group's revenue. The core products that are as current growth driver under each segment are:1. Ophthalmology - Beifushu series (Beifushu eye drops, Beifushu eye gel and Beifushu unit-dose eye drops), Tobramycin Eye Drops, Levofloxacin Eye Drops, Sodium Hyaluronate Eye Drops and Iodized Lecithin Capsules; and2. Surgical (Wound care and healing) - Beifuji series (Beifuji spray, Beifuji lyophilised powder and Beifuxin gel), Carisolv dental caries removal gel, Dr. YaDian mouth wash,Yi Xue An Granules and PELNAC collagen-based artificial dermis.Significant Business Development ActivitiesThe Group is committed to pragmatically investing in new products and technologies to strengthen the Group's product and research and development ("R&D") pipeline as near to mid-term growth driver in ophthalmology and long-term plan for new therapeutics in oncology. During the period under review, major investments in ophthalmic products that are currently in an advanced stage of clinical development are outlined as follows:Re-establishing the VISTA programme after the acquisition of SkQ1's intellectual property rightsIn order to provide the Group with flexibility and independence in the continuing development of the US FDA VISTA programme in the field of dry eye disease and to allow the Group to explore further the development of products for other ophthalmic indications, the Group successfully secured a patent assignment deed and a patent and know-how licence agreement relating to SkQ1 in the field of ophthalmology from Mitotech.Following the acquisition of the intellectual property rights relating to SkQ1 on 13 October 2022, the Group's priority is to complete the transfer of chemistry, manufacturing and controls (CMC), know-how and intellectual property rights relating to SkQ1 from Mitotech. Concurrently, the Group is re-establishing the VISTA programme with regulators for mitigating any identifiable risks before continuing with the clinical trial. According to Frost & Sullivan, the estimated number of patients with moderate-to-severe dry eye disease was around 119.7 million in the PRC in 2020. It is expected that the size of the potential market of the SkQ1 product will be significant.EB12-20145P (HLX04-O) global phase 3 clinical study makes significant progressIn 2020, the Group entered into a co-development and exclusive licence agreement with Shanghai Henlius Biotech, Inc. to co-develop a pharmaceutical product (EB12-20145P), a recombinant anti-vascular endothelial growth factor ("anti-VEGF") humanised monoclonal antibody injection for the treatment of exudative (wet) age-related macular degeneration ("wet-AMD"). As at the date of this announcement, the product has been approved to commence phase 3 clinical trials in Australia, the United States, Singapore, Russia, Serbia and European Union countries such as Hungary, Spain, Latvia, the Czech Republic and Poland. So far, the first patient has been dosed in a phase 3 clinical study for EB12-20145P for the treatment of wet-AMD in the PRC, Latvia, Australia and the United States. Also, the phase 1/2 clinical study for EB12-20145P for the treatment of wet-AMD has shown its safety and tolerability and demonstrated preliminary efficacy.In February 2023, the Group entered into an amendment agreement with Henlius to amend certain terms of the co-development and exclusive license agreement, which included payments for regulatory and commercial sales milestones and development costs in respect of the Anti-VEGF licensed product, details of which are in the announcement dated 22 February 2023 and the annual results announcement on 8 March 2023.The Anti-VEGF Licensed Product can be used for treating wet-AMD, diabetic macular edema, macular edema caused by retinal vein occlusion and myopic choroidal neovascularisation. According to Frost & Sullivan, the estimated number of patients with these 4 categories of disease was around 15.8 million in the PRC in 2020. Assuming each patient applies 4 doses in the first year of treatment and 2 to 3 doses in subsequent years, it is expected that the size of the potential market of the Anti-VEGF licensed product will be significant.Honors and Awards Obtained In 2023Zhuhai Essex Bio-Pharmaceutical Company Limited, a wholly-owned subsidiary of the Group, has been recognised as one of the 2022 top 100 innovative companies in Zhuhai, and has also been recognised as one of the 2022 top 100 chemical pharmaceutical companies in the PRC. The Group's Beifushu has been awarded as one of the Chinese reputable medicine brands in five consecutive years. This is a testament to the recognition by the industry for the efficacy and quality of our flagship biologic drug.Market Development Entrenched Market Access CapabilityThe Group has been relentlessly investing in establishing and strengthening its market access capability. As at 30 June 2023, the Group maintains a network of 43 regional sales offices in the PRC with a total number of more than 1,200 sales and marketing representatives, covering more than 12,100 hospitals and medical providers, coupled with more than 2,100 pharmaceutical stores, which are widely located in the major cities, provinces and county cities in the PRC. Sales to lower-tier cities is supplemented by on-line platform for medical consultation and e-prescription, the on-line platform is further deployed for serving patients with chronic diseases.The Group's expansion of its market access into Southeast Asian countries via its base in Singapore has been gaining good development traction since 2020.Research and DevelopmentDuring the period under review, the Group remains focused executing its 5-year (2021 to 2025) R&D's development plans. As at 30 June 2023, there are 16 R&D programmes in the pre-clinical to clinical stage, out of which the following 4 ophthalmology programmes are as mid-term growth drivers:-- EB11-18136P: SkQ1 eye drops, second phase 3 clinical trial (US FDA) (VISTA-2) topline data released on 24 February 2021-- EB11-15120P: Azithromycin eye drops, ongoing review by external key opinion leaders (National Medical Products Administration ("NMPA") in the PRC)-- EB12-20145P: Bevacizumab for wet age-related macular degeneration ("wet-AMD"), phase 3 clinical trial (US FDA, European Medicines Agency, Therapeutic Goods Administration and NMPA in the PRC)-- EB11-21148P: Cyclosporine eye drops, phase 2 clinical trial (NMPA in the PRC)The Group holds a total of 73 patent certificates or authorisation letters, which include 52 invention patents, 14 utility model patents and 7 design patents. The Group currently has diversified its R&D resources to multiple research sites in Zhuhai (PRC), Boston (United States), London (United Kingdom) and Singapore which support not only our pursuit of new therapeutics but also our recruitment of global talents.Mr. Patrick Ngiam, Chairman of Essex, said, "With tenacity and strength, we are pleased to return our business performance to the pre-COVID-19 operating level. Barring any unforeseen circumstances, being resilient, relevant and growth ready, the Group is optimistic of delivering progressive results.I would like to take this opportunity to express my sincere gratitude to all stakeholders, business associates and valued customers for the trust, support and cooperation accorded to us, and each and every member of the Group for their relentless efforts rendered in shaping the Group into being a progressive and promising pharmaceutical player."About Essex (1061.HK)Essex Bio-Technology Limited is a bio-pharmaceutical company that develops, manufactures and commercialises genetically engineered therapeutic b-bFGF (FGF-2), having six commercialised biologics marketed in China since 1998. Additionally, it has a portfolio of commercialised products of preservative-free unit-dose eye drops and Iodized Lecithin Capsules etc.. The products of the Company are principally prescribed for the treatment of wounds healing and diseases in Ophthalmology and Dermatology, which are marketed and sold through approximately 12,100 hospitals and managed directly by its 43 regional sales offices in China. Leveraging on its in-house R&D platform in growth factor and antibody, the Company maintains a pipeline of projects in various clinical stages, covering a wide range of fields and indications.Source: Essex Bio-Technology LimitedCopyright 2023 ACN Newswire . All rights reserved. Limassol, Cyprus--(Newsfile Corp. - August 16, 2023) - Otet Group LTD, a leading financial company, has been appointed as the trust company for the Marshall Islands incorporation. As the duly appointed registered agent of Otet Group LTD, the corporation now holds the distinction of being registered under the Marshall Islands with registration number 118922. The trust company status signifies a significant achievement for Otet Group LTD, an organization known for its commitment to transparency, integrity, and high-quality financial services. With this appointment, Otet Group LTD is set to strengthen its position in the financial industry further. Otet Group LTD, known as Otet Markets, has consistently proven its credibility and proficiency in the financial market. The company's track record speaks for itself, as it consistently delivers outstanding investment opportunities and reliable financial services to its global clientele. Otet Group LTD's exceptional customer service and cutting-edge trading technologies have earned it a reputation as a trusted partner among investors. This new development has piqued the interest of investors and financial experts worldwide. The appointment of Otet Group LTD as the trust company for the Marshall Islands incorporation demonstrates the company's commitment to operating within an established regulatory framework. This affirms Otet Group LTD's dedication to maintaining the highest standards of corporate governance and compliance. Enzo Toprak, spokesperson for Otet Markets, stated, "We are delighted to be appointed as the trust company for the Marshall Islands incorporation. This recognition further solidifies our position as a leading financial services provider committed to offering superior services to our clients. The appointment reflects our unwavering dedication to adhering to ethical practices and working within a robust regulatory environment." Otet Group LTD's expertise lies in providing innovative investment solutions, encompassing forex trading, commodities, stocks, indices, and cryptocurrencies. By staying at the forefront of market trends and employing advanced technology, Otet Markets continually develops and enhances products and services to meet the ever-evolving needs of its clients. For more information about Otet Group LTD and its services, visit their website at https://otetmarkets.com/. About Otet Group LTD (Otet Markets) Otet Group LTD, known as Otet Markets, is a leading financial services provider. With a focus on transparency, integrity, and a customer-centric approach, Otet Markets offers a wide range of investment opportunities and solutions, including forex, commodities, stocks, indices, and cryptocurrencies. With advanced trading technologies and exceptional customer service, Otet Markets has established itself as a trusted partner for investors worldwide. As the trust company for the Marshall Islands incorporation, Otet Group LTD continues to set the highest standards in the financial industry. For more information, visit https://otetmarkets.com/. Contact Info: Name: Enzo Toprak Email: enzo@otetmarkets.com Organization: Otet Markets Website: https://otetmarkets.com/ To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177448 Goldstone Financial Group (630-620-9300) announces the expansion of its Northbrook office, now offering senior investment advisors to assist those who wish to plan for their retirement. Oakbrook Terrace, Illinois--(Newsfile Corp. - August 16, 2023) - The firm's enhanced service helps individuals consider a range of potential retirement income sources, including short- and long-term investments, annuities, pension plans, and other savings vehicles. The goal is to develop retirement plans that offer stable and secure income streams for clients, regardless of their financial situation. More details can be found at https://goldstonefinancialgroup.com/. Northbrook Retirement Planning: Expert Investment Advisor Services Expanded To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8814/177286_4d959b7fb4c4f957_001full.jpg Goldstone Financial Group works under the fiduciary standard, and its latest services are designed with the interests of clients as a primary consideration. The company points out that, with a well-developed retirement plan, almost any individual has the means to live a comfortable lifestyle when they stop working. Despite warnings of a retirement crisis, many Americans express interest in retiring before the full retirement age (FRA). A recent study from annuity found that the average retirement age is now 62, over four years earlier than the FRA, and more than 26% of those surveyed said they would be happy to live a more frugal lifestyle if they could retire 10 years sooner. With the most recent update, Goldstone aims to provide expert guidance on the variety of potential retirement income streams now available. The firm explains that a diversified investment portfolio can play a central role in any retirement plan, allowing individuals to spread risk across different asset classes, thereby becoming more resilient to market fluctuations. "Investments are an essential aspect of retirement income planning," one of the company's senior advisors explained. "It's crucial to work with a financial professional, or even better with a fiduciary firm like Goldstone, to evaluate your risk tolerance and develop a strategy that balances growth potential with your need for reliable income." About Goldstone Financial Group In addition to retirement planning, Goldstone Financial Group offers a variety of professional advisory services designed to improve the long-term financial health of clients. These include wealth management, asset protection, and tax minimization strategies. Interested parties can find more information by visiting https://goldstonefinancialgroup.com/contact-us/ Contact Info: Name: Anthony Pellegrino Email: contactus@goldstonefinancialgroup.com Organization: Goldstone Financial Group Address: 18W140 Butterfield Road 16th Floor, Oakbrook Terrace, IL 60181, United States Phone: +1-630-620-9300 Website: https://goldstonefinancialgroup.com/ To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177286 LONDON, Aug. 16, 2023 /PRNewswire/ -- myGwork, the largest global LGBTQ+ talent platform, has announced the appointment of Lori L. Harmon as the new Chair of the Board, who will focus on driving the next phase of growth, and support the company co-founders' mission?to make workplaces safer and more inclusive for all worldwide. Harmon is the Vice President and Global Head of Business Development at Cloudflare. As a strategic go-to-market executive and general manager, Harmon consistently leads and transforms sales and customer success teams to achieve revenue targets of over $1B for organizations of all sizes. She also serves on the Advisory Board for the American Association of Inside Sales Professionals (AA-ISP).??? Previously, Harmon served as an independent director for SiteLock, a leading security website company, prior to its sale to Sectigo. She is a natural problem-solver with expertise in digital sales, AI, customer success, cybersecurity and SaaS.?Her problem-solving abilities and transformative leadership skills come from deep experience leading global sales, professional services, customer support, product management and marketing teams to help customers maximize their return, which have in turn helped her to consistently drive sales innovation and shape growth strategies.?As an active member of networking organizations like Chief, Forte Group and FirstBoard.io, Harmon highly values the importance of diversity, and works hard to increase the representation of women in industries like tech, as well as in leadership positions. With strong expertise in the digital space, close personal ties to the LGBTQ+ community, and a strong public platform both in the U.S. and globally, Harmon's expertise complements the skills of myGwork's co-founders Pierre and Adrien Gaubert, who have spent the last decade helping to create safer and more inclusive workplaces. In fact, myGwork's mission is one that Harmon holds close to her heart because a close member of her family also experienced anti-LGBTQ+ discrimination early on in their career, similar to the Gaubert brothers, which ultimately resulted in the creation of myGwork. "We're delighted to announce Lori as the new Chair of our Board. The wealth of her strategic experience in the digital space coupled with her sales expertise and commitment to diversity and inclusion, will support myGwork's ambitious international growth targets," stated Adrien and Pierre Gaubert. "While we continue to focus on growing our business, our focus now is on strengthening our current product base and platform to ensure that we are maximizing the impact that we have on workplace inclusion globally. We believe Lori's appointment as Chair and her deep expertise will help to achieve that."??? Commenting on the new appointment, Harmon stated: "I am honored to have been offered the role of Chair of the Board at myGwork. It's a chance to leverage my unique perspectives, expertise, and passion to lead myGwork into a brighter future. Together with an exceptional team, we'll pave the way for inclusivity and diversity, driving positive global change in the world. Diversity is a proven competitive edge!"?? Harmon's appointment is timely as it coincides with another round of investment totaling 1.3m, which the company recently secured despite the current challenging fundraising environment.?The latest injection of funding, which was led again by 24Haymarket, with contributions from a new network of Angel investors and grant funding from Innovate UK, will be used to further enhance myGwork's e-learning products, offered through the myGwork Academy , as well as expand its reach and impact internationally.??? "We are thrilled to continue to support the team at myGwork in expanding their reach amongst the LGBTQ+ community as well being part of the journey in upskilling workforces through the myGwork Academy. With the addition of this new round of funding, as well as the broadening of the board through the addition of a new chair, we look forward to myGwork growing and increasing impact from an already market leading position," stated James Campin, myGwork Board Observer and Investment Executive at 24Haymarket. "A large portion of the funding package consisted of grant support from Innovate UK which will be used to support our network growth and user experience," explained myGwork's Chief Strategy Officer, Stephan Heinz. "New products include adding our first Spanish language course, and launching an additional course on Intersectionality, covering a much-needed knowledge gap, as confirmed by the majority of our clients."?? "Our users and clients are the foundation of our business, so it's important to us that our platform's user experience is industry-leading and user-friendly. We are excited about the new and upcoming features that this additional funding will support, which include improved user analytics to provide that much-needed and unique personalized experience, which will ultimately help us in our mission to create safer and more inclusive workplaces for all around the world," added Adrien and Pierre Gaubert.???? For more information about the myGwork Academy, or about the new appointment, contact: press@mygwork.com ?? ABOUT MYGWORK??? myGwork ?is the largest talent platform and professional network for LGBTQ+ professionals, graduates, inclusive employers and anyone who believes in workplace equality. It empowers the LGBTQ+ community by offering individual members a safe space where they can connect with inclusive employers, find jobs, mentors, professional events, e-learning/training, news and much more. myGwork 's co-founders and twin brothers Adrien and Pierre?Gaubert have won many accolades in the diversity and inclusion space since setting up the platform. They have been honored as one of the UK's Top 50 inclusion champions in the? 2022/23 Diversity Power List , won the Attitude Young LGBTQ+ Entrepreneur of the Year Award and named as one of the? Top 100 Global LGBT+?OUTstanding?Executives .? myGwork has won many awards too, including the? Bank of London's 2022 Rainbow Honours , and shortlisted for? The 2022 Digital Leaders Impact Awards celebrating UK tech for good. The company was also listed in the Top 5?Startups?with Pride by?Geek Times?and won the?Diva Magazine?Award of Corporate Allies.????? Photo: https://mma.prnewswire.com/media/2187243/Lori_Harmon.jpg View original content:https://www.prnewswire.co.uk/news-releases/lori-l-harmon-joins-mygwork-as-chair-to-support-the-companys-mission-to-make-workplaces-safer--more-inclusive-301902383.html Ulaanbaatar, Mongolia--(Newsfile Corp. - August 16, 2023) - Aranjin Resources Ltd. (TSXV: ARJN) (the "Company" or "Aranjin") is pleased to provide an update on recent and upcoming developments at the Company. With the successful completion of the upsized recent private placement, the Company is pleased to announce the conversion of outstanding debentures and settlement of outstanding debt to simplify the balance sheet. This will reduce the debt burden and allow investment capital to be directed to our exciting exploration projects. The Company is also pleased to announce that it has restarted its exploration program at the Victory Nickel Project and plans for drilling at the Bayan Undur project. Further, it is actively negotiating for new licence acquisitions that will fit well with the battery metal focus of Aranjin Resources. The Company expects to announce more details shortly. Exploration update At the Victory Nickel Project, the Company completed a ground electromagnetic geophysical survey in June 2023, with the aim of potentially highlighting zones of increased nickel and copper sulphides. TEM survey was carried out through a total of 15 lines ~ 60km Australian consulting firm NEWEXCO, who have considerable experience in TEM and nickel sulphides, has been contracted to complete a review of the existing Victory Project data set, including the recently collected TEM data. Recommendations and future work programs will be planned following this review. The Company intends to drill an approximately 500m deep diamond core drill hole on the Bayan Under Porphyry Copper target. The Drilling program is set to commence in mid to late September and will target a zone of intense alteration and strong IP chargeability. Board Changes and ASX dual listing plans In conjunction with the Company's recently announced plans to pursue a dual listing on the Australian Securities Exchange ("ASX"), it is pleased to announce the appointment of Peter Trow to the Board as non-executive director. Mr. Trow is an entrepreneur and highly experienced businessman, responsible for founding and operating a number of successful commercial agricultural businesses in Australia. He previously served for 22 years in the New South Wales ("NSW") Police Force where he was largely stationed in country NSW. Mr. Trow currently runs a beef breeding, trading, and trucking operation in rural NSW where he is based. Mr. Trow has a deep understanding of the environment, social and governance issues and has considerable experience in compliance and occupational health and safety. Mr. Matthew Wood, Executive Chairman of Aranjin Resources stated, "We are extremely pleased to be able to welcome Mr Trow to the Board. As we advance and grow our highly prospective portfolio of nickel and copper projects we look forward to his contribution, in particular as we plan a move to dual list the shares on the ASX." Mr. Max Jahn, Mr. Luis Azevedo and Mr. Ali Haji have resigned from the Company's Board of Directors, effective August 14, 2023. The Board of Directors and the Company's management team would like to thank Mr. Jahn, Mr. Azevedo and Mr. Haji for their valuable contributions and wishes them well with their future endeavours. Plans are now underway for the planned dual listing of the shares on the ASX. The Company has appointed advisers and is now working on a timeline for the next steps. Debenture conversion and Debt Settlements The Company announces that the $1,814,400 principal amount of the outstanding convertible debenture held by Steppe Gold Ltd. ("Steppe") will be converted at a price of $0.055 per common share resulting in the issuance of 32,989,090 common shares of the Company. The Company and Steppe have also agreed to convert accrued interest of $543,574 on the outstanding debentures at a price of $0.055 per common share resulting in the issuance of an additional 9,883,163 common shares. The Company has also entered into an agreement with R&R Venture Partners to settle an aggregate amount of $C885,863 of indebtedness consisting of an outstanding convertible debenture with a principal amount of $653,000 and $232,863 of accrued interest. The aggregate amount of indebtedness will be converted into 16,106,599 common shares of the Company at a deemed issuance price of $0.055 per common share. The Company also announces that it has entered into agreements to settle an aggregate of $160,000 owing to certain directors, including a former director, through the issuance of an aggregate of approximately 2,909,090 common shares of the Company at a deemed issuance price of C$0.055 per common share. The issuance of common shares to Steppe to settle accrued interest and issuance of common shares to R&R Venture Partners and directors (including a former director) to settle outstanding indebtedness remains subject to receipt of TSX Venture Exchange approval. On behalf of the Board Matthew Wood Executive Chairman Aranjin Resources Ltd. +976 7732 1914 Cautionary Statements Certain information contained herein constitutes forward-looking information or statements under applicable securities legislation and rules. Such statements include, but are not limited to, statements with respect to license acquisitions, exploration plans, issuances of securities, TSX Venture Exchange and regulatory approvals and the listing of Aranjin's common shares on the ASX. Forward-looking statements are based on the opinions and estimates of management as of the date such statements are made and are subject to known and unknown risks, uncertainties and other factors that may cause the actual results, level of activity, performance or achievements of Aranjin to be materially different from those expressed or implied by such forward-looking statements, including, but not limited to: (i) any inability of Aranjin or to satisfy the conditions for the issuance of common shares pursuant to proposed debt settlements; (ii) any inability to effect the acquisition of any mineral projects or completion of exploration programmes; (iii) any inability, delay or failure to have Aranjin's common shares listed on the ASX; and (iv) receipt of necessary domestic and foreign stock exchange, court, shareholder, and other regulatory approvals. Although management of Aranjin has attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking statements, there may be other factors that cause results not to be as anticipated, estimated or intended. There can be no assurance that such statements will prove to be accurate. Accordingly, readers should not place undue reliance on forward-looking statements. Aranjin will not update any forward-looking statements or forward-looking information that are incorporated by reference herein, except as required by applicable securities laws. The parties caution readers not to place undue reliance on these forward-looking statements and it does not undertake any obligation to revise and disseminate forward-looking statements to reflect events or circumstances after the date hereof, or to reflect the occurrence of or non-occurrence of any events. This press release is not and is not to be construed in any way as, an offer to buy or sell securities in the United States. This press release shall not constitute an offer to sell or the solicitation of an offer to buy Aranjin common shares, nor shall there be any offer or sale of Aranjin common shares in any jurisdiction in which such offer, solicitation or sale would be unlawful. NEITHER TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177446 FN Media Group Presents Oilprice.com Market Commentary LONDON, Aug. 16, 2023 /PRNewswire/ -- The undeniable inevitability is that everything is going to be electrified, and batteries are our future, as well as the biggest opportunity for investors in a lifetime. Yet, the lithium space has become crowded and chaotic, while the lesser-known critical battery component-graphite-is an extraordinary $23-billion bounty ripe for picking. By 2032, it is projected to be worth an estimated $43 billion. Companies mentioned in this release include:Freeport-McMoRan Inc. (NYSE:FCX), Rio Tinto Group (NYSE:RIO), Teck Resources Limited (NYSE:TECK), Sociedad Quimica y Minera de Chile (NYSE:SQM), Lithium Americas Corp. (NYSE:LAC). This isn't about pencils. It's about the most important element of a multi-trillion-dollar energy transition. It's about yet another 35% jump in electric vehicle sales expected for 2023, and more explosive growth to follow. It's about the raw materials that make the batteries to feed this explosive growth. Graphite makes up 95-99% of the anode (negative electrode) material in lithium-ion batteries, in varying natural and synthetic combinations. In fact, according to John DeMaio , CEO of Graphex Technologies and President of the Graphene Division of the Graphex Group Ltd ( GRFX ), many don't realize that graphite is the largest component by volume in an EV battery "because graphite goes about its business, doing its job quietly, like it's done ever since the seventies". Any given lithium-ion battery can contain 15X more graphite than lithium, making up some 25% of a battery's total volume. It's also why Elon Musk once opined that lithium-ion batteries should be called "nickel-graphite batteries". There is no immediately viable solution to effectively replace the lithium-ion battery. Industry-wide, the consensus is that graphite will remain the primary anode material in the foreseeable future. And North America has zero commercial production Yet, planned North American battery factories represent some 1 million metric tons per year of demand for graphite anode material.That makes this $23-billion market one of the best to be in. Even more so for Graphex Group ( GRFX ), which is creating domestic supply chains of graphite, and is strategically positioned in North America to potentially seize significant market share. Midstream Money: The Most Profitable Area of the Graphite Supply Chain Outside of China, there are very few graphite mines currently producing significant quantities of the right quality raw material. There are even fewer with the necessary experience of refining that raw material into the finished anode material we need to meet what promises to be raging demand. The most profitable aspect of the graphite supply chain is refining. Mining itself is wrought with exorbitant costs, regulatory burdens, and challenging operations. Not only is it the most profitable, but it's also where we see the highest barrier to entry. This is a highly specialized field and battery makers need experienced refiners who can demonstrate scale. In North America, that means Graphex, which has the expertise and technology, and holds patents for everything from products and production methods to machinery design and environmental protection. Graphex Group already has a decade of commercial graphite refining experience and currently produces 10,000 metric tons of finished anode material annually, and they operate on a 24/7 basis, unlike others who have only produced sample materials at a pilot scale. This is a defining factor in the industry. There are few-if any--companies larger than Graphex in terms of production volume outside of China; and they have strong ties to Beijing, which is a riskier selling point in the current geopolitical atmosphere, heightened by a battle for technological dominance through access to critical minerals. While Graphex has extensive experience in China, it is not a Chinese company. Graphex Group Limited is a Cayman Island company with its principal offices in Hong Kong and regional offices in Shanghai and Royal Oak, Michigan, but it is seeking to create a standalone U.S. entity to "make the distinction even more clear, and to qualify unhindered for U.S. and Canadian incentives, grants, loans, etc.," according to the company's website . Now, it's all about bringing critical graphene home to North American markets as the energy transition gains serious momentum. Possibly the Most Important Expansion in our Energy Transition Already producing 10,000 tons per annum, Graphex ( GRFX ) is currently implementing a large-scale expansion to increase production to 20,000 tons per annum within the next 12 months, with construction completion and production implementation expected to be concluded within that timeframe, subject to typical construction scheduling. The expansion news has been fast-flowing. In February last year, Graphex announced the joint-venture construction of its first-ever facility outside of Asia-in Warren, Michigan, a 15,000 tons-per-annum plant resurrected from an abandoned manufacturing sight in the Detroit automotive sector. Operations are expected to launch in Q1 2024. Graphex has prioritized North America to localize end-to-end graphite processing and production capabilities, and the 15,000 tpa plant in Michigan is a major step towards that goal. An international collaboration with Canada will also play a role, with Canada eyeing high-level status in the North American critical minerals game. Finally, Graphex has secured raw materials supply from one of the largest, high-quality graphite mines outside of China, with other offtake agreements either secured or in the works-all outside of China. Canada: Offtake/Joint venture collaboration agreement with Northern Graphite Australia: Offtake/Joint venture collaboration agreement with Reforme Group Brazil: Offtake/Joint venture collaboration agreement with SouthStar Battery Metals Offtake/Joint venture collaboration agreement with Gratomic US: Offtake/Joint venture collaboration agreement with SouthStar Battery Metals Tanzania: Offtake agreement with Volt Resources Electrification = Batteries. Batteries = Graphite. Graphite = Graphex. Graphex ( GRFX ) has undertaken extensive scientific research and sees a multitude of applications for graphene that may likely increase demand even further in the future. Graphene's unique properties will likely have major biomedical use, specifically with targeted drug delivery, smart implants, and tissue engineering. In the industrial sector, graphene-based composites can be used as a non-toxic rust-proofing alternative, as well as an improved coating for touch screens, phones and tablets. Graphene can also be used for enhanced computer circuitry, while graphene supercapacitors are huge boosts of power with comparably little energy. Eventually, because graphene is lightweight, it could be used in the manufacture of cars and planes, significantly reducing their weight. Finally, but not exclusively, graphene ultra-sensitive sensors could detect minute airborne particles, making it a potential preventative technology for any future pandemics. Domesticating the entire graphite supply chain is a daunting task, but Graphex Group has a clear advantage in North America. This is a team of veterans led by DeMaio, who has 35 years of experience in the energy and infrastructure sectors, including as former President, CEO and Board Member of JouleSmart Solutions, general manager of Siemens Smart Infrastructure, VP of MWH Global, VP of SPG Solar and COO of Thompson Solar Technologies. It has a decade of commercial graphite refining experience, but one very distinct advantage: Its would-be competitors are new to midstream graphite. They're only producing at lab or pilot scale, and scaling up can be accompanied by all sorts of challenges and misfires. Graphex ( GRFX ) is already producing through full-scale commercial processes with continuous output, and it's at the forefront of creating one of the most critical North American supply chains of the coming decades. Miners Are Looking To Capitalize On The Battery Boom Freeport-McMoRan Inc. (NYSE:FCX), standing tall amidst giants, is revered for its unparalleled focus on copper, molybdenum, and gold. From the American landscapes to the vastness of Indonesia, their mining prowess resonates in the global circuits. The Grasberg mine, a testament to their dedication, encapsulates the richness of their resource pool. Diving deeper, FCX doesn't simply extract; it envisions and innovates. Their approach to sustainable mining practices, coupled with community engagement, sets a gold standard in responsible resource extraction. They understand that the minerals they pull from the Earth's depths power tomorrow's industries, and they're primed to be at the heart of it. Rio Tinto Group (NYSE:RIO) stands as a global testament to exploration and innovation. It's not simply a miner; Rio Tinto is a storyteller, tracing tales from the red landscapes of the Pilbara to the icy stretches of Canada. But while iron ore paints much of their narrative, their story branches into diamonds, copper, and aluminum. Their commitment to the environment is not mere corporate speak. It's tangible, as seen in their ambitious projects aiming at lower carbon aluminum and piloting underground mines powered entirely by electricity. It's a company that doesn't just adapt to the future but seeks to shape it. Teck Resources Limited (NYSE:TECK) is the epitome of diversified mining operations. Unlike many in its league, Teck doesn't wear a single crown. From steelmaking coal to zinc, its portfolio resonates with diversity and adaptability. Their trailblazing sustainability initiatives, such as the ambitious 'RACE21' program , look to harness technology and innovation to redefine the very essence of mining. Teck isn't just mining resources; they're mining possibilities, pushing boundaries to unlock potential where others might not look. Sociedad Quimica y Minera de Chile (NYSE:SQM) is dripping with a legacy that has touched multiple industries from agriculture to electronics. While globally known as a lithium stalwart, its portfolio richly spills into potassium, iodine, and even solar salts. SQM's real brilliance shines in its adaptability. They're not just extracting resources; they're harmonizing with the environment. Utilizing unique solar evaporation techniques to produce lithium, SQM emphasizes an environmentally-conscious extraction process. Lithium Americas Corp. (NYSE:LAC) is eying the electric future with determination and readiness. Spanning from the vastness of Nevada to the stretches of Argentina, LAC is poised to fuel the world's electric dreams. However, it's not just about lithium; their boron assets add a unique facet to their identity. Their endeavors, such as the Thacker Pass project , signal not just a commitment to production but to sustainability and community-centric growth. LAC's narrative is one of balance, aligning commercial goals with environmental and social aspirations. By. Tom Kool IMPORTANT NOTICE AND DISCLAIMER Neither the author nor the publisher, Oilprice.com, was paid to publish this communication concerning Graphex Group. The owner of Oilprice.com owns shares and/or stock options of the featured company and therefore has an incentive to see the featured company's stock perform well. The owner of Oilprice.com has no present intention to sell any of the issuer's securities in the near future but does not undertake any obligation to notify the market when it decides to buy or sell shares of the issuer in the market. This share ownership should be viewed as a major conflict with our ability to be unbiased. This is why we stress that you conduct extensive due diligence as well as seek the advice of your financial advisor or a registered broker-dealer before investing in any securities. Readers should beware that third parties, profiled companies, and/or their affiliates may liquidate shares of the profiled companies at any time, including at or near the time you receive this communication, which has the potential to hurt share prices. Frequently companies profiled in our articles experience a large increase in volume and share price during the course of investor awareness marketing, which often ends as soon as the investor awareness marketing ceases. The investor awareness marketing may be as brief as one day, after which a large decrease in volume and share price may likely occur. This communication is not, and should not be construed to be, an offer to sell or a solicitation of an offer to buy any security. Neither this communication nor the Publisher purport to provide a complete analysis of any company or its financial position. The Publisher is not, and does not purport to be, a broker-dealer or registered investment adviser. This communication is not, and should not be construed to be, personalized investment advice directed to or appropriate for any particular investor. Any investment should be made only after consulting a professional investment advisor and only after reviewing the financial statements and other pertinent corporate information about the company. Further, readers are advised to read and carefully consider the Risk Factors identified and discussed in the advertised company's SEC, SEDAR and/or other government filings. Investing in securities, particularly microcap securities, is speculative and carries a high degree of risk. Past performance does not guarantee future results. This communication is based on information generally available to the public and does not contain any material, non-public information. The information on which it is based is believed to be reliable. Nevertheless, the Publisher cannot guarantee the accuracy or completeness of the information. FORWARD LOOKING STATEMENTS. This publication contains forward-looking statements, including statements regarding expected continual growth of the featured companies and/or industry. The Publisher notes that statements contained herein that look forward in time, which include everything other than historical information, involve risks and uncertainties that may affect the companies' actual results of operations. Factors that could cause actual results to differ include, but are not limited to, changing governmental laws and policies, success of the companies graphite production operations, the continuation and success of the companies' joint ventures; the size and growth of the market for the companies' products and services, the companies' ability to fund its capital requirements in the near term and long term, pricing pressures, etc. INDEMNIFICATION/RELEASE OF LIABILITY. By reading this communication, you acknowledge that you have read and understand this disclaimer, and further that to the greatest extent permitted under law, you release the Publisher, its affiliates, assigns and successors from any and all liability, damages, and injury from this communication. You further warrant that you are solely responsible for any financial outcome that may come from your investment decisions. TERMS OF USE. By reading this communication you agree that you have reviewed and fully agree to the Terms of Use found here http://oilprice.com/terms-and-conditions If you do not agree to the Terms of Use http://oilprice.com/terms-and-conditions, please contact Oilprice.com to discontinue receiving future communications. INTELLECTUAL PROPERTY. Oilprice.com is the Publisher's trademark. All other trademarks used in this communication are the property of their respective trademark holders. The Publisher is not affiliated, connected, or associated with, and is not sponsored, approved, or originated by, the trademark holders unless otherwise stated. No claim is made by the Publisher to any rights in any third-party trademarks. DISCLAIMER: OilPrice.com is Source of all content listed above. FN Media Group, LLC (FNM), is a third party publisher and news dissemination service provider, which disseminates electronic information through multiple online media channels. FNM is NOT affiliated in any manner with OilPrice.com or any company mentioned herein. The commentary, views and opinions expressed in this release by OilPrice.com are solely those of OilPrice.com and are not shared by and do not reflect in any manner the views or opinions of FNM. FNM is not liable for any investment decisions by its readers or subscribers. FNM and its affiliated companies are a news dissemination and financial marketing solutions provider and are NOT a registered broker/dealer/analyst/adviser, holds no investment licenses and may NOT sell, offer to sell or offer to buy any security. FNM was not compensated by any public company mentioned herein to disseminate this press release. FNM HOLDS NO SHARES OF ANY COMPANY NAMED IN THIS RELEASE. This release contains "forward-looking statements" within the meaning of Section 27A of the Securities Act of 1933, as amended, and Section 21E the Securities Exchange Act of 1934, as amended and such forward-looking statements are made pursuant to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. "Forward-looking statements" describe future expectations, plans, results, or strategies and are generally preceded by words such as "may", "future", "plan" or "planned", "will" or "should", "expected," "anticipates", "draft", "eventually" or "projected". You are cautioned that such statements are subject to a multitude of risks and uncertainties that could cause future circumstances, events, or results to differ materially from those projected in the forward-looking statements, including the risks that actual results may differ materially from those projected in the forward-looking statements as a result of various factors, and other risks identified in a company's annual report on Form 10-K or 10-KSB and other filings made by such company with the Securities and Exchange Commission. You should consider these factors in evaluating the forward-looking statements included herein, and not place undue reliance on such statements. The forward-looking statements in this release are made as of the date hereof and FNM undertakes no obligation to update such statements. Contact Information: Media Contact e-mail: editor@financialnewsmedia.com U.S. Phone: +1(954)345-0611 View original content:https://www.prnewswire.co.uk/news-releases/the-23-billion-graphite-boom-is-flying-under-wall-streets-radar-301901610.html Strong consumer demand accelerating adoption of digital insurance offerings globally, with Latin America and Asia leading the pack and gaining momentum in Europe Banks and fintechs are bullish on embedded insurance: majority expect offerings to comprise 10% or more of revenue in just three years LONDON, Aug. 16, 2023 /PRNewswire/ -- A new survey from Chubb, the world's largest publicly traded property and casualty insurance company, reveals that a majority (56%) of financial executives involved in insurance decision-making globally expect to generate more than 10% of their revenue from embedded insurance within three years. Today, just 11% of firms in Europe report that level of revenue, compared to 20% globally, but 62% say their customers are interested in obtaining embedded insurance. Digital insurance embedded in websites and apps is becoming a must-have rather than a nice-to-have offering, according to 81% of financial executives globally, and 77% in Europe. This sets the stage for accelerating adoption of insurance products in financial services platforms. The trend is most pronounced in emerging markets in Latin America and Asia, with booming consumer interest in Europe. "Banks and the Digital Wallet Race - The Embedded Insurance Strategy," a global survey of 2,000 consumers and 200 finance leaders conducted during the second quarter 2023, reveals the rapid adoption and investment by banks and fintechs in embedded insurance to meet blossoming consumer demand. Over half of consumers globally are interested in purchasing more insurance and in Europe, 42% believe that digital is the obvious way to buy it. "The race to win a greater share of consumer digital wallets is intensifying - banks and fintechs are advancing with expanded offerings of insurance products to deepen customer relationships, drive growth and narrow the protection gaps of their customers," said Sean Ringsted, Chubb's Chief Digital Business Officer. "Digitized insurance is already widely popular with global consumers, and financial service providers are building trust and loyalty while unlocking new avenues for growth by offering customers simple, relevant and affordable insurance protection options embedded in their digital customer journey. As highlighted in the report, this is a global phenomenon, with companies in Asia and Latin America investing heavily in these digital insurance capabilities. Banks and fintechs in North America are in the race too, but not yet at the pace of their counterparts in other regions." Booming Consumer Demand, Led by Emerging Markets According to Chubb's survey, consumers are responding to a growing landscape of risk exposure with booming demand for insurance. Overall, 56% of consumers globally believe they are underinsured, including more than half (51%) of those surveyed in Europe. These figures are more pronounced in certain markets: Sixty-two percent of consumers in Latin American and 60% in Asia express interest in purchasing more insurance that not only protects their "stuff," but also their lifestyle. Opportunity for Established Firms Established banks and insurers have a unique opportunity to leverage these trends, especially in developed markets in Europe, all while structuring the insurance offers in compliance with applicable insurance laws and regulations. Over 60% of consumers in the region expressed high levels of trust purchasing insurance from established banks, and 63% indicated the same for established insurers. This compares with nearly 40% expressing high levels of trust in insurance purchases with digital-only insurers and 31% with digital-only banks. Globally, fifty-five percent of financial executives agree that established insurers have an edge over digitally native insurtechs because they have consumers' trust. "Markets in Asia and Latin America already demonstrate the massive growth opportunity for banks and fintechs with embedded insurance," said Gabriel Lazaro, Head of Digital, Chubb Overseas General Insurance. "Consumers view legacy banks and insurers as the benchmark in this space, and as a result, we have seen our network of digital distribution partners around the world continue to scale. Global consumer demand is massive for embedded insurance, and we believe the next stage of expansion will come in developed regions and from established financial institutions." "Across the European region, established banks and fintechs are looking at embedded insurance as an opportunity to not only drive revenue, but to build customer satisfaction and 'stickiness,'" said Israel Rayan, Senior Vice President of Consumer Distribution for Europe, the Middle East and Africa (EMEA) for Chubb. "With a growing consumer appetite for buying insurance digitally, the embedded insurance market in Europe is quickly gaining momentum and is a growth avenue for the banking sector." Survey Methodology The results presented are based on a survey conducted by iResearch Services, a leading global provider of first-party consumer and professional data. The online survey was fielded during the second quarter of 2023 and results are based on completed surveys by 2,000 consumers and 200 financial executives. Respondents represented all age groups, levels of education, and professional status. Consumers were evenly split among four regions: North America (500), Latin America (500), Asia Pacific (500) and Europe (500). Financial executives represented established banking organizations (52%) and fintechs (48%). They were also evenly split among four regions: North America (50), Latin America (50), Asia Pacific (50), and Europe (50). The majority of fintechs (84%) had revenues of $10 million to $500 million; the majority of established banking organizations (89%) had AUM (Assets Under Management) of at least $1 billion. All executives are engaged in decision-making about insurance products, such as embedded insurance. For both consumers and financial executives, the regions included the following countries: North America: U.S. and Canada; Latin America: Brazil, Mexico, and Chile; Asia Pacific: South Korea, Singapore, Thailand, The Philippines, and Vietnam; Europe: United Kingdom, France, and Spain. About Chubb Chubb is the world's largest publicly traded property and casualty insurance company. With operations in 54 countries and territories, Chubb provides commercial and personal property and casualty insurance, personal accident and supplemental health insurance, reinsurance and life insurance to a diverse group of clients. As an underwriting company, we assess, assume and manage risk with insight and discipline. We service and pay our claims fairly and promptly. The company is also defined by its extensive product and service offerings, broad distribution capabilities, exceptional financial strength and local operations globally. Parent company Chubb Limited is listed on the New York Stock Exchange (NYSE: CB) and is a component of the S&P 500 index. Chubb maintains executive offices in Zurich, New York, London, Paris and other locations, and employs approximately 40,000 people worldwide. Additional information can be found at: www.chubb.com. Logo - https://mma.prnewswire.com/media/324916/Chubb_Logo_v2.jpg View original content:https://www.prnewswire.co.uk/news-releases/the-digital-wallet-race-intensifies-as-banks-and-fintechs-in-europe-and-around-the-world-invest-in-insurance-chubb-survey-301902132.html BWA Group Plc - Issue of Equity and Director / PDMR Shareholdings PR Newswire LONDON, United Kingdom, August 16 THIS ANNOUNCEMENT CONTAINS INSIDE INFORMATION FOR THE PURPOSES OF REGULATION 11 OF THE MARKET ABUSE (AMENDMENT) (EU EXIT) REGULATIONS 2019/310. 16 August 2023 BWA Group PLC ("BWA" or the "Company") Issue of Equity and Director / PDMR Shareholdings BWA (AQSE: BWAP), which has mineral exploration licences in both Cameroon and Canada at various stages of development and is quoted on London's AQSE Growth Market, announces that it has allotted a total of 14,023,040 ordinary shares of 0.5 pence each in the Company ("Ordinary Shares"), at a price of 0.5 pence per share, in part settlement of fees (in respect of the half year ended 30 June 2023) and other amounts owing due to current and former directors (the "New Shares"). Application will be made for the New Shares to be admitted to trading on the AQSE Growth Market and admission is expected to become effective on 22 August 2023 ("Admission"). Following this issue, the notifiable interests in the enlarged issued share capital of the Company are as follows: Shareholder Number of New Shares Total Ordinary Shares Held % of Ordinary Shares Held Alex Borrelli 2,871,908 35,438,469 6.94 James Butterfield * 2,100,000 57,618,739 11.28 James Hogg ** 9,051,132 13,926,133 2.73 * Director of the Company. ** Mr Hogg ceased to be a Director of the Company on 1 July 2023, but remains a director at the Company's wholly owned subsidiary, BWA Resources (UK) Limited. The New Shares issued includes 2,863,568 issued to Addison Mining Services Ltd, a company controlled by Mr Hogg, for the provision of technical support in relation to the Cameroon heavy mineral sands projects. Total Voting Rights Following Admission, the Company will have 510,681,547 Ordinary Shares in issue, each share carrying the right to one vote. The Company does not hold any Ordinary Shares in Treasury. Following Admission, the figure of 510,681,547 Ordinary Shares may be used by shareholders in the Company as the denominator for the calculations by which they will determine if they are required to notify their interest in, or a change to their interest in, the share capital of the Company under the Financial Conduct Authority's Disclosure and Transparency Rules. Outstanding Loan Notes As previously announced, during 2022 and 2023 the Company raised capital through the issue of loan notes as it had not proved possible to raise fresh equity capital at the time. These loan notes were subscribed by directors, subsidiary directors and significant shareholders of the Company. The Company is providing the following summary to update shareholders. During May 2022, the Company issued 200,000 of 14% convertible loan notes. These loan notes are convertible into Ordinary Shares at a price of 0.6 pence per Ordinary Share, with a redemption date of 30 April 2024. All interest is accrued until the redemption date and may be converted on the same terms as the principal. The loan note holders can request conversion at any time until the redemption date and the Company has a right to require conversion on the redemption date, including of the accrued interest. The amounts held of this loan note are as follows: James Butterfield 2,500 James Hogg 5,000 Alex Borrelli 2,500 Richard Battersby 5,000 Jonathan Wearing (incl. Tricastle Investments Ltd.) 35,000 Mike Cass 150,000 During the first quarter of 2023 the Company issued short term loan notes to provide working capital. It has been agreed between the Company and the loan note holders that these shall all now have a redemption date of 31 December 2023. The amounts below are the redemption value of the loan notes, including accrued interest. James Butterfield 18,000 James Hogg 9,333 Richard Battersby 35,000 Jonathan Wearing (incl. Tricastle Investments Ltd.) 55,000 Dick Howe 5,000 The Company has agreed the right to capitalise 30,000 of the above at 0.5 pence per Ordinary Share and anticipates further amounts may eventually be capitalised on the same terms. Further announcements will be made closer to the time. The Directors of BWA are responsible for the contents of this announcement. -Ends - For further information: BWA Group PLC James Butterfield Chairman +44 7770 225253 enquiries@bwagroupplc.com Allenby Capital Limited Corporate Adviser Nick Harriss/Lauren Wright +44 20 3328 5656 Market Abuse Regulation (MAR) Disclosure Notification and public disclosure of transactions by persons discharging managerial responsibilities and persons closely associated with them. Details of the persons discharging managerial responsibilities / persons closely associated Name James Butterfield Reason for notification Director of BWA Group Plc Initial Details of the issuer, emission allowance market participant, auction platform, auctioneer, or auction monitor BWA Group Plc LEI 213800XG3K7PTAAG8630 Description of the financial instrument, type of instrument Ordinary shares of 0.5p each Identification code ISIN: GB0033877555 Nature of the transaction Allotment of Ordinary Shares in part settlement of remuneration Price(s) and volume(s) 2,100,000 Ordinary Shares at 0.5p per share Aggregated information Aggregated volume Price N/A Date of the transaction 16 Aug 2023 Place of the transaction N/A The Directors of BWA are responsible for the contents of this announcement. Ends - For further information: MOSCOW (dpa-AFX) - The first container ship using a temporary Black Sea shipping corridor opened since Russia pulled out of a UN-brokered grain exports deal last month, has left Ukraine's southern port of Odesa on Wednesday. Ukraine's Infrastructure Minister Oleksandr Kubrakov said in a Faecbook post that Hong Kong-flagged ship Joseph Schulte, with more than 30,000 metric tons of cargo on board, was bound for Bosporus Strait, an internationally significant waterway located in Istanbul in northwestern Turkey that connects the Black Sea to the Sea of Marmara. The container ship had been docked in Odesa since 2022 February, when Russia attacked Ukraine. Shipping of grain and other agricultural products from Odesa, which had been halted since the Russian invasion of Ukraine in February, had resumed under a landmark deal signed by Ukraine, Russia and Turkey in July last year. But on July 17, Russia declared that it is pulling out of the Black Sea Grain Initiative, which allowed for significant volumes of exports from three Black Sea ports. One year into the agreement, more than 32 million tons of food commodities have been exported from Odesa, Chernomorsk, and Yuzhny to 45 countries across three continents. The Initiative helped unblock vital food commodities shortage and reverse spiking global food prices. Russia had warned that it will target Ukraine-bound vessels considering them as potentially carrying weapons. On Sunday, a Russian warship reportedly attacked a Turkish-owned cargo ship. This was followed by Russian drone attack against port infrastructure on the Danube River in Odesa, damaging granaries and warehouses. Meanwhile, Ukraine claimed that its forces have liberated the eastern Donetsk village of Urozhaine after days of intense fighting. Copyright(c) 2023 RTTNews.com. All Rights Reserved Copyright RTT News/dpa-AFX Werbehinweise: Die Billigung des Basisprospekts durch die BaFin ist nicht als ihre Befurwortung der angebotenen Wertpapiere zu verstehen. Wir empfehlen Interessenten und potenziellen Anlegern den Basisprospekt und die Endgultigen Bedingungen zu lesen, bevor sie eine Anlageentscheidung treffen, um sich moglichst umfassend zu informieren, insbesondere uber die potenziellen Risiken und Chancen des Wertpapiers. Sie sind im Begriff, ein Produkt zu erwerben, das nicht einfach ist und schwer zu verstehen sein kann. NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / The Whirlpool Foundation is funding Camp Infinity, a Michigan Council of Women in Technology (MCWT) camp to introduce girls, in grades 5 through 8, to computer and internet technologies through hands-on activities. Campers will have an opportunity to meet with professional women and men who work in technological fields and explore the many exciting and rewarding tech careers. Today's career panel featured Whirlpool Corp. technology employees representing the areas of global IT, consumer services and manufacturing including Whirlpool Senior Vice President and Global CIO, Dani Brown. "Demand is high in the tech workforce and women are underrepresented," said Brown. "Offering girls hands-on experiences and letting them see female role models in tech careers at a young age will help them see themselves in these same types of careers in the future." Camp Infinity at Lake Michigan College is hosting 29 students from Berrien County and will continue through the week. The camp sessions will engage students in age-appropriate topics ranging from game design, website design, and robotics programming. The camp will conclude on Friday with guest speaker Pam Klyn, Whirlpool executive vice president of corporate relations and sustainability, speaking about her 30 year career in engineering. To learn more about the Michigan Council of Women in Technology (MCWT) and Camp Infinity, go to https://mcwt.org/programs/list/K-12-Initiatives/CAMP-INFINITY. View original content here. View additional multimedia and more ESG storytelling from Whirlpool Corporation on 3blmedia.com. Contact Info: Spokesperson: Whirlpool Corporation Website: https://www.3blmedia.com/profiles/whirlpool-corporation Email: info@3blmedia.com SOURCE: Whirlpool Corporation View source version on accesswire.com:https://www.accesswire.com/774494/Whirlpool-Corporation-Supporting-Technology-Camp-for-Girls-in-Berrien-County Thunder Bay, Ontario--(Newsfile Corp. - August 16, 2023) - Metals Creek Resources Corp. (TSXV: MEK) (FSE: M1C1) (the "Company" or Metals Creek), is pleased to announce that follow up sampling on the recently discovered Echo Zone (See news release dated 21 June 2023) have resulted in additional high grade gold mineralization on the Shabaqua Corners Gold Property. The property is located within the Shebandowan Greenstone Belt, approximately 54 km west of Thunder Bay, Ontario near Shabaqua Corners and on trend to Delta Resources Delta 1 project. The property has seen very little exploration work. Additional prospecting and follow-up sampling at the recently discovered Echo Zone has resulted in additional high grade gold mineralization. To date, 23 samples have been collected from Echo Zone with assays ranging from 0.084 to 10.3 grams per tonne (g/t) gold (Au). Echo Zone to date has been traced for 80m on surface and remains open in both directions and represents a priority trenching target with high grade gold mineralization hosted within an iron carbonate altered conglomerate with associated quartz-carbonate veining and 1-5% cubic pyrite, local arsenopyrite and galena. The pervasive carbonate alteration strikes roughly the same orientation to that of Main Zone and is interpreted to represent a separate zone of mineralization to that of Main Zone. (Note: The surface grab samples described in this news release are selective by nature and are unlikely to represent average grades of the property.) Exploration work continues to uncover areas of new gold mineralization within prospective areas outside of the Main Zone mineralization (See news release: May 25, 2023). Gold mineralization is typically hosted within carbonate altered sediments which include conglomerates with associated quartz-carbonate veining and local stockwork striking roughly the same orientation to that of the Main Zone. Alteration is made of up strong silicification with pervasive iron carbonate. Mineralization consists of trace to 6% disseminated to stringer pyrite with trace to 2% arsenopyrite also present. Cubic pyrite is common within the alteration system and appears to be an important component for the presence of gold. Preliminary interpretation indicates gold mineralization within Main Zone occurs at the contact between mafic volcanics and sediments. In addition, the Company is pleased to announce it has received an exploration permit for trenching and drilling. Trenching is expected to commence once equipment has been contracted. Management is excited about the recent success in identifying three new gold systems (Echo Zone, South Zone and East Zone) outside of the Main Zone mineralization over a short period of time. Prospecting, geological mapping, and soil sampling is ongoing with the aim of further delineating known gold mineralization along strike of the recently discovered gold zones as well as continuing to evaluate other prospective areas of the property. The eastern boundary of the property is located 1.57 kilometers(km) west of Delta Resources (DLTA) drill hole DL-22-18 which returned an intercept of 5.92 g/t Au over 31m (Source: Delta New Release October 31, 2022). Trenching conducted on Delta's ground 390m east of the property boundary, returned an interval of 2.22 g/t Au over 8m (Source: Delta Resources Website). All samples were sent to Activation Laboratories. The precious metals were analyzed utilizing a standard fire assay with an atomic absorption finish. Michael MacIsaac, P.Geo and VP Exploration for the Corporation and a qualified person as defined in National Instrument 43-101, is responsible for this release, and supervised the preparation of the information forming the basis for this release. About Metals Creek Resources Corp. Metals Creek Resources Corp. is a junior exploration company incorporated under the laws of the Province of Ontario, is a reporting issuer in Alberta, British Columbia and Ontario, and has its common shares listed for trading on the Exchange under the symbol "MEK". Metals Creek has earned a 50% interest in the Ogden Gold Property from Newmont Corporation, including the former Naybob Gold mine, located 6 km south of Timmins, Ontario and has an 8 km strike length of the prolific Porcupine-Destor Fault (P-DF). In addition, Metals Creek owns and/or has option agreements in place to acquire a 100% interest in claims in the Shabaqua Corners area of North western Ontario. Metals Creek also has multiple quality projects available for option which can be viewed on the Company's website. Parties interested in seeking more information about properties available for option can contact the Company at the number below. Additional information concerning the Company is contained in documents filed by the Company with securities regulators, available under its profile at www.sedar.com. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Alexander (Sandy) Stares, President and CEO Metals Creek Resources Corp telephone: (709)-256-6060 fax: (709)-256-6061 MetalsCreek.com Twitter.com/MetalsCreekRes Facebook.com/MetalsCreek To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177451 Calgary, Alberta--(Newsfile Corp. - August 16, 2023) - Volt Carbon Technologies Inc. (TSXV: VCT) (OTCQB: TORVF) (BE: WNF) ("VCT" or "Volt") is pleased to announce the results of its graphite purification endeavors conducted at the Scarborough, Ontario facility over the initial seven months of 2023. Key Achievements in Dry Separation Volt has conducted comprehensive dry separation procedures, using its innovative proprietary techniques, on six distinct graphite rock samples sourced from various third-party graphite deposits in the provinces of Ontario and Quebec. Internal analyses of the processed samples demonstrated a 96.1% purity in graphitic carbon and 98.1% total carbon, more particulars of which are set forth below in Figure 1. Figure 1: Results of Thermogravimetric Analysis (TGA) of Volt's graphite concentrate To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9904/177407_voltcarbonfigure1.jpg Volt believes the results represent an unprecedented level of graphitic carbon purity and demonstrate that exceptionally high yielding results can be obtained from applying Volt's dry separation techniques to some of the graphite rock samples in Ontario and Quebec. Although further testing and sampling is required to demonstrate feasibility, Volt is optimistic about the opportunities for further development. Furthermore, the composition of residual ash post-Thermogravimetric Analysis (TGA) underwent internal evaluation using Inductively Coupled Plasma Mass Spectrometry (ICP-MS), revealing minimal traces of remaining elements as set out below in Figure 2. The targeted removal of these trace elements is set for upcoming dry separation trials. The processed materials and results described herein have not been independently verified although Volt plans to have them verified by an independent lab. Figure 2: Inductively Coupled Plasma Mass Spectrometry (ICP) results of the ash content To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9904/177407_voltcarbonmetalsfigure2.jpg Volt is pleased with these results which it believes is attributed to the innovative advancements it has made to its own process which include the introduction of previously disclosed proprietary dry processing and mechanical separation methods at the Scarborough facility. This led to the improvement to the efficacy of the air classification process. Throughout the initial seven months of the year, Volt dedicated extensive efforts, encompassing more than 200 process iterations, to achieve these results. A Sustainable and Responsible Path Forward Volt believes that these test results support the potential replacement of conventional wet processes in the pursuit of battery-grade anodes which could be a more environmentally conscious approach to the commercial deployment of this vital mineral. Subsequent development phases are intended to involve the transformation of graphite concentrates into battery-grade anodes, followed by the creation of anode electrodes at Volt's battery plant in Guelph. This integrated approach from raw graphite bearing mineral deposits to battery utilization underscores Volt's dedication to a comprehensive ecosystem. In preparation for these upcoming stages, Volt has initiated laboratory benchmarking of competitor anodes and expects to develop technical objectives for its next phases of development. Milestone in Operations Volt also announces that it has received a 27 ton graphitic bulk sample from Green Battery Minerals ("GEM") at its Scarborough facility, an operational milestone as the largest bulk sample delivery it has received to date. This material was provided as part of the feasibility phase of the Preliminary Mineral Processing Agreement (the "Processing Agreement") disclosed by Volt in its June 5, 2023 news release, where readers may obtain further information regarding the Processing Agreement and the stages of development of the project with GEM. The bulk sample is important to the feasibility phase of the project and the advancement of Volt's dry separation equipment. Pursuant to the Processing Agreement, GEM is responsible for paying to Volt the processing costs of the bulk sample which were estimated in the Processing Agreement to be $50,000 per ton. To process the bulk sample and earn the revenue to which it is contractually entitled, Volt expects to accelerate its process development and testing capacity. Volt has not determined how long it may take to complete the processing of the bulk sample and at this time expects that it will take several months. Anticipating the Future Volt is preparing to scale up its operations, culminating in a demonstration of its production equipment at its facilities around the end of 2024. The organization's strategic plan encompasses fundraising endeavors to reach this milestone, with engineering design for the scaled-up machinery currently underway in-house. Qualified Person Christian Derosier, P.Geo., PhD., is the qualified person (QP) as defined in National Instrument 43-101 and acting on behalf of Volt. Dr. Derosier has reviewed and approved the technical content of this news release. "The inherent mineralogy of the graphite bearing deposit plays a pivotal role in the feasibility of dry separation. Our findings suggest that numerous graphite deposits in Ontario and Quebec lend themselves well to dry processing techniques. These outcomes have the potential to establish a dependable and sustainable source of graphite for the battery industry in North America," affirmed V-Bond Lee, CEO of Volt Carbon Technologies. About Volt Volt Carbon Technologies Inc. is a publicly traded carbon science company, with a specific focus on energy storage and green energy innovation. The company holds mining claims in the provinces of Ontario, Quebec, and British Columbia in Canada. For the latest updates on Volt's properties and news, please visit the website www.voltcarbontech.com. On behalf of the Board of Directors of Volt Carbon Technologies Inc, V-Bond Lee, P. Eng. CEO, President, Chairman of the Board and Director Contacts: Email: info@voltcarbontech.com Tel: (647-546-7049) Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. FORWARD-LOOKING STATEMENTS: This press release contains forward-looking statements, within the meaning of applicable securities legislation, concerning Volt's business and affairs. In certain cases, forward-looking statements can be identified by the use of words such as 'plans', 'expects' or 'does not expect', "intends" 'budget', 'scheduled', 'estimates', "forecasts', 'intends', 'anticipates' or variations of such words and phrases or state that certain actions, events or results 'may', 'could', 'would', 'might' or 'will be taken', 'occur' or 'be achieved'. Such forward-looking statements include those with respect to: (i) the potential for replacing wet processes in developing battery grade anodes with Volt's dry processes; (ii) any benefits to the environment as a result of the commercially deploying Volt's technology; (iii) the development of technical objectives arising from, among other things, laboratory benchmarking of competitor anodes; (iv) Volt's dedication to a comprehensive ecosystem; (v) the acceleration of process development and testing; (vi) the potential sale of graphitic and or bulk samples and resulting profits therefrom; the scale-up and demonstration of its facilities and the timing thereof; (vi) the amount of any future fundraising required by Volt and the timing of such fundraising; (vii) the testing of residual ash; (viii) the performance of any future phases of the Preliminary Mineral Processing Agreement; (ix) the ability to transform graphite concentrates into battery-grade anodes; (x) the amount and opportunity for commercial development of graphite deposits in the Provinces of Ontario and Quebec; and (xi) that it will complete the processing of the 27 tons of graphite deposits and earn or collect any of the revenue associated with such operations. Statements of past performance should not be construed as an indication of future performance. Forward-looking statements involve significant risks and uncertainties, should not be read as guarantees of future performance or results, and will not necessarily be accurate indications of whether or not such results will be achieved. A number of factors, including those discussed above, could cause actual results to differ materially from the results discussed in the forward-looking statements. Any such forward-looking statements are expressly qualified in their entirety by this cautionary statement. All of the forward-looking statements made in this press release are qualified by these cautionary statements. Readers are cautioned not to place undue reliance on such forward-looking statements. Forward-looking information is provided as of the date of this press release, and Volt assumes no obligation to update or revise them to reflect new events or circumstances, except as may be required under applicable securities legislation. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177407 CUMBERLAND CITY, TN / ACCESSWIRE / August 16, 2023 / Meet Kiana Townsel, HR business partner at the Cumberland City, Tennessee, gypsum plant. Kiana has held several roles within Georgia-Pacific, where she started as an intern and worked her way through the organization. In this Q&A, learn more about Kiana's career path, her advice for anyone embarking on their professional career journey and how her supervisors played a pivotal role in her success at Georgia-Pacific. How did you start your career at Georgia-Pacific? During my sophomore year at the University of Tennessee in Knoxville, I attended a career fair with no expectations. I was a communication studies major and I was still figuring out my next step. I was fortunate enough to land an interview with Georgia-Pacific and they were willing to take a chance by giving me the opportunity to be an HR intern. I had no HR experience or prior knowledge of the field, but I had a very successful internship during the summer of 2017 at the Dixie facility in Lexington, Kentucky. Due to that going well and me being able to graduate a year early, I was offered a full-time role with the company and started as an HR associate at the Savannah River Mill located in Rincon, Georgia, in June 2018, and I have been with the company since. What's your background and why did you decide to get started in HR? At the time I received an offer for the internship, I did not have any HR experience, nor was my degree slated to be in HR. Being 100 percent honest, I didn't even know what HR was or what the role entailed, but I was very involved on campus during my collegiate years. I was president of our NAACP chapter, a resident assistant, campus tour guide, freshman recruiter and mentor, and based on my extracurricular activities in college, the interviewer thought I would be a great fit! How would you describe your experience as an intern? My internship was very eye opening! Not only was my team very supportive, willing to share knowledge and provide exposure to most HR processes, but they also allowed me the opportunity to lead, grow, and experience what an actual career in GP could look like. Although I had no idea what HR was before I started with GP, by the end of the summer, I felt very capable and knew exactly what I wanted to do when I graduated college. Have you experienced mentors within GP that helped you along the way? Every step of the way. I can't even count how many wonderful people have taken me under their wing and helped me be successful in my roles. The GP network is comprised of so many different personalities and experiences that you can find what you need in anyone. Five years later, I still regularly chat with each mentor that I have picked up along the way, but now my mentors have grown into friends because of the growth and genuine relationships I've cultivated. How did your supervisors set you up for success and provide you with growth opportunities within GP? Each growth opportunity I had wouldn't have been possible without my supervisor and mentor. I have been extremely lucky to have had an amazing supervisor in every role. Due to them truly exhibiting what the role of a supervisor should look like, they continuously asked questions, actively listened to my wants and needs, and sought opportunities that would help me continue to be fulfilled. Some growth opportunities I was 100 percent not ready for, but they still took chances with me and trusted my ability to perform in the role. What does comparative advantage mean to you? Comparative advantage is considering individual capabilities and the ability to best contribute to not only maximize the business' overall performance, but also help the individual grow and self-actualize. What would you consider your comparative advantage? My comparative advantage would be my ability to develop relationships and build rapport with my team members. I'm able to quickly understand the team's needs. I continuously try to find unique opportunities to do this, whether that is through handing out popsicles on hot days, regularly attending morning shift meetings, developing employee appreciation efforts, and even spending time on the floor to learn how my mixer operator does his quality checks. It's all valuable contributions of being sure our team members feel valued, appreciated and heard. What advice would you give an intern who is searching for their comparative advantage? Talk to your supervisor. Even when I didn't have a good understanding of what comparative advantage was, my supervisor helped me get there by listening and supporting me in efforts that helped me grasp the concept. Developing your RRE is the perfect opportunity to understand where you can contribute to make your team successful. What do you enjoy most about your role? Seeing my team smile. I understand that probably sounds cliche, but I have found that I continue to be fulfilled through building relationships and making a difference in other people's lives. Our business continuously values employee engagement and seeing that this is an area that I am increasingly self-actualized, I am always able to creatively implement new opportunities to do this. For example, through new initiatives such as annual and monthly employee appreciation events, we have been able to lower our turnover from 36 percent to 19 percent in 10 months. Why do you think GP is a great place to work? GP consistently models what good looks like by not only educating, but also consistently practicing Principle Based Management. I do not have professional experience with another company, as GP has always been home for me, but I can imagine how lucky I am to work for a company that values diversity, integrity, proactively sharing knowledge, and so much more. What advice would you give to an intern or someone early in their career at GP? Don't be afraid to ask questions, try new things and step into spaces that may seem unattainable. Each move was a stretch opportunity for me where I did not feel prepared to tackle the responsibilities, but because I had an open mind and was willing to try new things, I was placed in spaces and provided opportunities that I might not have had. If you constantly put in the time and effort to do so, your community will support you and help you reach your goals. Anything else you want to add to your experiences? Another piece of advice is to take advantage of the company's resources. Luckily, I have gotten this far without a formal HR education, but to further expand my capability, I am also in the process of studying for the HR Certification Exam. Through conversations with my supervisor and plant manager, I was able to do this through our Tuition Reimbursement Program. I have gained a lot of knowledge in the past five years, but I am excited to continue growing in both my professional and personal spaces. View original content here Kiana Townsel, HR business partner at the Cumberland City, Tennessee, gypsum plant, has held several roles at Georgia-Pacific, where she started as an intern in 2017. View additional multimedia and more ESG storytelling from Georgia-Pacific on 3blmedia.com. Contact Info: Spokesperson: Georgia-Pacific Website: https://www.3blmedia.com/profiles/georgia-pacific Email: info@3blmedia.com SOURCE: Georgia-Pacific View source version on accesswire.com:https://www.accesswire.com/774502/Former-Intern-Credits-Supervisor-for-Helping-Pursue-Her-Passion-at-Georgia-Pacific Originally published by Walgreens Boots Alliance NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / Walgreens Boots Alliance (WBA) has been named Disability:IN's Employer of the Year for 2023. The award recognizes a company's achievement in exemplary policies, strategies and initiatives that have resulted in measurable results in the areas of disability inclusiveness in the workplace, marketplace and supply chain. "Thank you Disability:IN for this honor and for the work that you do to promote disability inclusion in the workplace," says Holly May, executive vice president & global chief human resource officer of WBA. "We are so proud of Walgreens Boots Alliance's leadership in the space, which we credit to our values, commitment, signature Walgreens disability hiring and inclusion programs and partnerships." This follows seven consecutive years of recognition from Disability:IN and The American Association of People with Disabilities with a 100% score on its Disability Equality Index. Disability advocates and business leaders developed the index, which is the most comprehensive benchmarking tool for corporate disability inclusion. WBA was recognized with the award on July 11, during Disability Pride Month, a time to celebrate the strengths and achievements of people with disabilities around the world, and for us, a time to look back on our progress through the years. While Walgreens has always championed a commitment to hiring individuals with disabilities and equal opportunity, our revolutionary "same job, same expectations, same pay" operating model was developed more than 16 years ago with two signature programs: Transitional Work Group (TWG) and Retail Employees with Disabilities Initiative (REDI). Since then, Walgreens has further expanded our commitments to disability representation through our work with Turning Pointe Autism Foundation, the establishment of a neurodiversity hiring program for corporate roles and the redesign of the annual incentive plan to create leadership accountability for diversity representation. Through this work, Walgreens has enabled thousands of people with disabilities to build lives they may not otherwise have been able to, simply because opportunities were not presented to them. Transitional Work Group (TWG) : Started in 2007, TWG is a placement program for people with physical, mental and sensory disabilities. Students complete a 10-13-week program that includes classroom and warehouse training, social skills practice and education in workplace policies. To date, more than 1,000 people with disabilities have been hired at 12 Walgreens distribution centers and regional warehouses across the country, with a successful 75% training-to-hire placement rate. The ripple effects of the TWG program continue-new hire orientation for supply chain team members now includes a two-hour training on disability awareness and etiquette. The TWG program was recently expanded into Walgreens micro-fulfillment centers. : Started in 2007, TWG is a placement program for people with physical, mental and sensory disabilities. Students complete a 10-13-week program that includes classroom and warehouse training, social skills practice and education in workplace policies. Retail Employees with Disabilities Inclusion (REDI) : REDI is a program that trains individuals with disabilities for customer service associate roles at Walgreens stores. REDI, first launched in 2010, consists of a 3-6 week in-store training program, where participants learn valuable retail and customer service skills, qualifying hundreds of potential team members for retail roles. By the end of fiscal 2022, there were nearly 1,900 trainees enrolled in the program in more than 413 Walgreens stores across 38 states. : REDI is a program that trains individuals with disabilities for customer service associate roles at Walgreens stores. Turning Pointe Autism Foundation : Walgreens partners with Turning Pointe Autism Foundation, a nonprofit that educates people with autism in the life skills necessary to live and work independently. A fully operational retail store was built within Turning Pointe's center and supported by actual Walgreens store managers. A 9-month training program was developed for students learn how to work within a team, interface with customers and manage the cash register. After graduating, participants become "Walgreens Certified" and are eligible to be hired at any Walgreens store. : Walgreens partners with Turning Pointe Autism Foundation, a nonprofit that educates people with autism in the life skills necessary to live and work independently. A fully operational retail store was built within Turning Pointe's center and supported by actual Walgreens store managers. A 9-month training program was developed for students learn how to work within a team, interface with customers and manage the cash register. After graduating, participants become "Walgreens Certified" and are eligible to be hired at any Walgreens store. Neurodiversity in the Workplace : In 2022, WBA formed a partnership with Neurodiversity in the Workplace to design plans and programs to recruit, attract, hire and retain neurodivergent talent in Walgreens offices. Neurodiversity in the Workplace provides education and greater awareness around neurodiversity by training hiring managers about what neurodiversity means, how to be an ally, and how to provide supportive workplace accommodations. The first cohort is expected to begin work at WBA's global support center in Deerfield, Illinois, this year. : In 2022, WBA formed a partnership with Neurodiversity in the Workplace to design plans and programs to recruit, attract, hire and retain neurodivergent talent in Walgreens offices. In addition to training and work placement programs, WBA sponsors a disAbility Alliance business resource group (BRG) that educates and supports individuals with disabilities and allies by providing informational content, fostering an inclusive workforce and furthering disability-related initiatives. The BRG hosts educational programming and learning experiences for team members throughout the year, welcoming those with all abilities to join, learn and network. A lasting impact Due to the success of this progressive and inclusive hiring model, other businesses, including Fortune 500 companies, have taken note, asking, "how does Walgreens do it?" Rather than withhold the knowledge, the company decided to share it for the greater good, establishing Walgreens Inclusion University. Through the program, companies can tour TWG- and REDI-enabled distribution centers and stores and sit in on disability training curriculum to learn how a properly equipped working environment can empower team members of all abilities. "We've always been proud to open our doors to other companies interested in learning about our approach, programs and practices, as others set up their models, through Walgreens Inclusion University," says Holly May, executive vice president & global chief human resources officer of WBA. "The invitation is always open." A commitment that continues To ensure accountability among our leadership, last November, WBA became the first company in the S&P 500 to redesign our annual U.S. bonus plan to include a standalone disability representation metric. This new metric will unlock a pipeline of talent and help foster equity for a previously underrepresented sector of the workforce. As an award-winning employer, WBA continues to combine both our past emphasis on disability support with our future in leading new initiatives to create opportunity and access the value provided by our diverse workforce. View additional multimedia and more ESG storytelling from Walgreens Boots Alliance on 3blmedia.com. Contact Info: Spokesperson: Walgreens Boots Alliance Website: https://www.3blmedia.com/profiles/walgreens-boots-alliance Email: info@3blmedia.com SOURCE: Walgreens Boots Alliance View source version on accesswire.com:https://www.accesswire.com/774503/Walgreens-Boots-Alliance-Named-DisabilityINs-2023-Employer-of-the-Year The language learning platform will offer up to 25% off on their monthly subscription. MIAMI, FL / ACCESSWIRE / August 16, 2023 / Lingoda, the leading online language learning platform, is thrilled to announce the launch of its highly anticipated "Back to School" campaign, aimed at providing language learners with a dynamic and immersive language learning experience. As the academic season kicks off, Lingoda is committed to helping learners achieve their language goals with engaging content and innovative features. Lingoda - Back to School The "Back to School" campaign offers a range of exciting benefits designed to enhance the language learning journey: Flexible Learning Options: Lingoda understands the demands of a busy life. With flexible class timings and on-demand lessons, students can easily fit language learning into their daily routines. Interactive Lessons: Our classes focus on human interaction and maximum conversation time. This also allows them to receive individual attention and personalized feedback. Each lesson centers on a different element of speaking, reading, grammar and vocabulary. Certified Teachers: Lingoda's team of certified, native-speaking teachers bring their expertise and cultural insights into every lesson, ensuring an authentic and comprehensive learning experience. Personalized Learning Paths: Lingoda's expertly designed courses mean students won't sacrifice educational quality for convenience. The platform provides personalized learning paths, catering to each student's unique learning style and pace. This ensures that students stay motivated and make consistent progress. Exclusive Promotions: To celebrate the launch of the campaign, Lingoda is offering exclusive promotions, including discounts and special offers, making it even more accessible for students to embark on their language learning journey. With a special code, new learners on the platform can receive 15% off of 12 classes/month subscription, 20% off of 20 classes/month subscription, and 25% off of 40 classes/month subscription. The code is valid for both group and private classes. "We're excited to kick off our 'Back to School' campaign and support learners as they dive into the world of language learning," said Philippa Wentzel, Curriculum Team Lead at Lingoda. "For many of us, the back-to-school season can be the perfect time to renew resolutions and get back into learning mode after the summer - whatever stage of life we're at. We believe that mastering a new language opens up a world of opportunities and enriches learners' personal, academic and professional experiences. Our platform offers a dynamic and flexible approach to learning that's designed to boost your confidence in real-world communication from your very first lesson." To learn more about Lingoda's "Back to School" campaign and to embark on your language learning journey, visit www.lingoda.com. ABOUT LINGODA Lingoda is one of the top online language schools. Founded in Berlin, Germany, in 2013, we provide convenient and accessible online language courses in German, English, Business English, French and Spanish to over 100,000 students worldwide. With almost 550,000 classes available per year and accessible 24/7, our mission is to build bridges around the world through language learning. Visit Lingoda.co to learn more. Contact Information Susanne Borensen International PR Manager press@lingoda.com SOURCE: Lingoda View source version on accesswire.com:https://www.accesswire.com/774256/Lingoda-Launches-Exciting-Back-to-School-Language-Learning-Campaign VANCOUVER, BC / ACCESSWIRE / August 16, 2023 / Golden Pursuit Resources ("Golden Pursuit" or the "Company") (TSXV:GDP) is pleased to announce the completion of the 2023 geological mapping and soil sampling program at the Gordon Lake Property (the "Property"), located in the Northwest Territories, Canada. The Property is located approximately 80 km northeast of Yellowknife and is bisected by a seasonal winter road. Past exploration in the Gordon Lake area has resulted in the discovery of relatively high grade gold veins within turbidites such as the saddle vein exploited by the past-producing Camlaren Mine. The focus of the recent program was to document mineralization within quartz stockwork and quartz breccia zones that may be amenable to surface mining. Over the course of twenty (20) days, between July 6th to July 25th, 2023, Dahrouge Geological Consulting, on behalf of the Company, completed a geological mapping and soil sampling program primarily in the Kidney Pond and Lynk areas (Figure 1). These two areas have a long history of exploration, including trenching, diamond drilling, and underground exploration at Kidney Pond. Much of this work was accompanied by rock sampling and an extensive data set of rock gold values has been compiled. However, the location of much of the historical work was poorly constrained. During the 2023 field program, bedrock geological mapping was undertaken in areas of known mineralization to provide additional data for a potential drilling program and to better understand the nature of the gold mineralization. The host rocks consist of a thick succession of Archean Burwash Formation turbitides within the Yellowknife Supergroup and gold is contained within quartz stringers, stockworks and breccias. At several locations there appears to be a general correlation between mineralization and relatively small-scale folds that are interpreted to have formed near the end of deformation. During the course of this work an emphasis was placed on mapping trench locations and drill hole collars in order to accurately position exploration data obtained during previous programs. The Lynk area contains of four named occurrences (Wooferine, T-15, T-11 and T-32) and several unnamed areas of mineralization that occur within an east-west distance of 1200 m. Previous rock sampling along this trend has returned several samples exceeding 10 g/t Au. The Wooferine Zone, at the western end of the Lynk area, contains quartz stringers, quartz stockworks, quartz breccias and altered rocks within an area 125 m long and 30 to 60 m wide. Previous surface rock sampling of the Wooferine Zone has returned up to 22 g/t Au in a grab sample while numerous grab and trench chip samples have exceeded 1 g/t Au. Additional work is needed to determine the degree of continuity between the known mineralized zones of the Lynk area. Within the greater Kidney Pond area, fifteen zones of quartz veining and associated iron oxide arsenopyrite mineralization were mapped within an area of 1500 m (north-south) by 450 m. Several rock samples collected from this area during previous exploration returned greater than 10 g/t Au. The Kidney Pond (No. 1) Zone, which contains gold primarily within quartz breccias, has been defined by surface and underground drilling to be up to 300 m in length with a width of up to 30 m. Outcrop is relatively abundant in the Kidney Pond and Lynk areas and previous exploration has largely relied on outcrop prospecting, which resulted in several discoveries. Interestingly, the Kidney Pond (No. 1) Zone is almost entirely covered by Kidney Pond and an adjacent marsh and was only discovered because the northern margin of mineralization is exposed at the edge of the marsh. During the 2023 exploration program, soil sampling was undertaken in the Kidney Pond area to determine if soil geochemical surveying may be a viable tool for detecting gold mineralization underlying low areas with no outcrop. A total of 194 soil samples and 14 rock samples were collected during the 2023 field program. Rock sampling was not a priority due to the abundance of rock gold analyses from previous exploration programs. These field samples, along with 40 quality assurance samples, have been submitted to the SGS laboratory in Burnaby, BC for geochemical analyses. The Company has recently completed a follow up induced polarization survey (IP survey) over the Lynk area, which is a geophysical tool commonly used in gold exploration. The data will be integrated with the 2023 geological mapping results and compiled data from previous exploration to assist in 3D geological modelling and the delineation of preliminary drill targets. Figure 1: 2023 Gordon Lake Mapping Areas and Soil Samples Brian McClay, Company CEO comments: "We are excited to continue to advance the Gordon Lake Property. The detailed geological mapping of the Kidney Pond and Lynk areas further develops our understanding of the gold bearing quartz breccia zones and quartz stringer zones. We look forward to utilizing this data to assist in identifying drill targets for our inaugural drill campaign." Qualified Person The technical content of this news release has been reviewed and approved by Glen Prior, Ph.D., P. Geo., Senior Geologist for Dahrouge Geological Consulting Ltd., and a Qualified Person under NI 43-101 on standards of disclosure for mineral projects, who has prepared and reviewed the content of this press release. About the Company Golden Pursuit is a Canadian-based junior exploration and development company focused on development the Company's portfolio of advanced exploration prospects and past-producing gold mines in the NWT and exploration projects in Nevada. Golden Pursuit shares trade on the TSX-V. The Company continues to advance the Gordon Lake Property with the objective to execute an inaugural drill program in 2024. Golden Pursuit is committed to the safe and responsible development of its projects in collaboration with all Aboriginal and other stakeholders that are impacted by work conducted on the Company's projects. For more information about the Company, please refer to the Company's profile on SEDAR at www.sedar.com or visit the Company's web site at www.goldpusuit.ca. On behalf of the Board of Directors "Brian McClay" Golden Pursuit Resources Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note The information contained herein contains "forward-looking statements" within the meaning of applicable securities legislation. Forward-looking statements relate to information that is based on assumptions of management, forecasts of future results, and estimates of amounts not yet determinable and include statements in this press release related to the exploration and discovery potential of the Property, interpretation of exploration results, and the Company's future exploration plans with respect to the Property. Any statements that express predictions, expectations, beliefs, plans, projections, objectives, assumptions or future events or performance are not statements of historical fact and may be "forward-looking statements." Forward-looking statements are subject to a variety of risks and uncertainties which could cause actual events or results to differ from those reflected in the forward-looking statements, including, without limitation: risk related to the failure to obtain adequate financing on a timely basis and on acceptable terms; risks related to the outcome of legal proceedings; political and regulatory risks associated with mining and exploration; risks related to the maintenance of stock exchange listings; risks related to environmental regulation and liability; the potential for delays in exploration or development activities or the completion of technical reports including feasibility studies; the uncertainty of profitability; risks and uncertainties relating to the interpretation of drill results, the geology, grade and continuity of mineral deposits; risks related to the inherent uncertainty of production and cost estimates and the potential for unexpected costs and expenses; results of preliminary economic assessments, prefeasibility and feasibility studies, and the possibility that future exploration, development or mining results will not be consistent with the Company's expectations; risks related to commodity price fluctuations; and other risks and uncertainties related to the Company's prospects, properties and business detailed elsewhere in the Company's disclosure record. Should one or more of these risks and uncertainties materialize, or should underlying assumptions prove incorrect, actual results may vary materially from those described in forward-looking statements. Investors are cautioned against attributing undue certainty to forward-looking statements. These forward-looking statements are made as of the date hereof and the Company does not assume any obligation to update or revise them to reflect new events or circumstances, except in accordance with applicable securities laws. Actual events or results could differ materially from the Company's expectations or projections. SOURCE: Golden Pursuit Resources Ltd. View source version on accesswire.com:https://www.accesswire.com/774442/Golden-Pursuit-Resources-Announces-Completion-of-Geological-Mapping-and-Soil-Sampling-Program-at-Its-100-Owned-Gordon-Lake-Property Global leaders Rio Tinto, Microsoft's Climate Innovation Fund, Aramco Ventures, SABIC, SCG, and TITAN have invested alongside leading climate investors Breakthrough Energy Ventures, Energy Impact Partners, SDCL SEEIT, and John Doerr; Rondo forms Strategic Investor Advisory Board (SIAB) to accelerate decarbonization projects around the world. ALAMEDA, Calif., Aug. 16, 2023 /PRNewswire/ -- Rondo Energy, a leading provider of zero-carbon industrial heat and power, has raised $60 million in a new financing planned to speed the rollout of Rondo Heat Batteries (RHBs) worldwide and to transform the global energy storage market. The financing brings together funding from current Rondo investors Breakthrough Energy Ventures, Energy Impact Partners, SCG, and TITAN, industrial leaders including Microsoft's Climate Innovation Fund, Rio Tinto, SABIC, Aramco Ventures, and climate investors SDCL Energy Efficiency Income Trust (SEEIT) and John Doerr. Rondo will use this funding to grow its international operations and to develop and build storage projects around the world. Rio Tinto, Aramco Ventures, SABIC, SCG, TITAN, and SEEIT have joined Rondo's Strategic Investor Advisory Board (SIAB). "We are honored and excited by this opportunity to go faster by working with these leaders. Our Strategic Investor Advisory Board will help Rondo focus on the simplest, fastest ways to power their operations with low-cost clean energy and shape our priorities for ongoing research and development." said John O'Donnell, CEO of Rondo Energy. "To tackle our climate challenge, we need tools to build big, low-cost, clean energy infrastructure fast. The Rondo Heat Battery is one of those tools. This investment will help us grow our capacity to meet customer demand and begin to build at scale." Industry uses more energy than any other part of the world economy. Industrial processes making every commodity from steel to baby food are powered by high temperature heat that consumes a quarter of all world energy and emits a quarter of global carbon pollution. Technologies have long been sought to cost-effectively transform this "hard to decarbonize" sector. U.S. Secretary of Energy Jennifer Granholm outlined DOE's vision to cut 85% of industrial heat in its Industrial Heat Shot Initiative. Declining wind and solar power costs are now becoming cheaper than the fuel industry burns for heat. The Rondo Heat Battery turns these intermittent power sources into the continuous, high temperature clean heat that industry requires, and opens the door to industrial decarbonization at a fraction of the cost of other technologies. In 2023, Rondo began operations of its first commercial unit, lowering the carbon intensity of biofuel produced by Calgren Renewable Fuels. This project was the first commercially operating electric thermal energy storage system in the US, and the highest efficiency, highest temperature energy storage of any kind worldwide. In 2023 Rondo, in partnership with Siam Cement Group (SCG), announced current Heat Battery storage production capacity of 2.4GWh/year, with plans to reach 90GWh/year. Rondo Heat Batteries store energy at half the cost of other technologies such as green hydrogen and chemical batteries. Rondo based its innovative technology on materials that heavy industry has used for more than a century for heating and heat storage: brick and iron wire. By building Heat Batteries with materials already made at large scale for more than a century, Rondo has eliminated the safety, durability, and supply chain risks faced by other storage technologies. Policymakers and business leaders face shareholder pressure to deploy cost-effective and reliable climate solutions, Rondo's technology is a proven and effective tool for rapid and deep decarbonization across the world's most energy intensive and difficult to decarbonize industries. Electrification of industrial heat has been called "the next trillion-dollar market." Recent studies have found that the decarbonized world will need twice as much heat battery storage as grid battery storage, and that heat batteries will greatly reduce energy costs to produce many key commodities in the coming few years. Investor Quotes Microsoft "To avoid the worst effects of climate change, businesses and sectors must shift to low-carbon energy, including managing the intermittency of renewables through energy storage. We see a unique opportunity for Rondo's thermal storage solution to provide a pathway for industrial businesses to accelerate the clean energy transition." said Brandon Middaugh, Senior Director, Microsoft Climate Innovation Fund. Rio Tinto Rio Tinto Chief Scientist, Nigel Steward, said, "Rio Tinto and Rondo share a vision of a low-carbon future. It's vital we invest in innovative companies like Rondo to expedite the growth of energy storage technologies. Decarbonising our operations by reducing process heat emissions is one of our priorities. Rondo's technology is addressing the challenge of making electrification of process heat not only possible but highly efficient. We're really looking forward to seeing the future capacity advancements". Siam Cement Group (SCG) "SCG is excited to collaborate with and increase our investment in Rondo," said SCG's Thammasak Sethaudom. "SCG looks forward to deploy Rondo's technology in our operations and supporting SCG Cleanergy in providing green, affordable heat as a service to various industrial producers." SABIC "SABIC Ventures is prioritizing investment in startup companies in the decarbonization space to support SABIC's goal of becoming carbon neutral by 2050," said Dhaval Shah General Manager, Corporate Technology & Innovation at SABIC. "Rondo's technology enables intermittent sources of renewable electricity to provide heat to continuous industrial processes and has potential applications within SABIC's decarbonization roadmap." TITAN Group "Cement production is energy-intensive, and at TITAN Group, we're always seeking sustainable alternatives to reduce our carbon footprint, in line with our net zero goal. Our collaboration with Rondo Energy is a testament to this commitment. Their groundbreaking heat battery technology promises to usher in a new era of climate-friendly cement production based on renewable energy, and we're thrilled to be at the forefront of this change," said Leonidas Canellopoulos, Chief Sustainability and Innovation Officer of TITAN. SDCL Energy Efficiency Income Trust (SEEIT) Jonathan Maxwell, CEO of Sustainable Development Capital LLP, the Investment Manager of SEEIT said: "Rondo is well positioned to take early advantage of the enormous potential of the thermal energy storage market and our investment, expertise and advice will support the Company's growth to make the most of these opportunities. Energy efficient solutions like those being developed by Rondo are some of the cheapest and cleanest ways to reduce carbon emissions, and more importantly are integral to the world's successful transition to net zero." Breakthrough Energy Ventures "The Rondo team has proven the technical readiness of its Rondo Heat Battery and with systems now operating in the field, they're ready to scale their solution and enable heavy industry to reach zero-carbon emissions at a lower cost than fossil fuels," said Carmichael Roberts, Breakthrough Energy Ventures. "We're encouraged by the strategic industrial leaders joining this round of financing, showcasing just how critical zero-carbon industrial heat and power will be for the market." Energy Impact Partners "We are thrilled with the progress Rondo has made since our initial investment," said Sam Bursten, Principal at EIP. "The successful deployment of Rondo's first commercial unit at Calgren and its partnership with SCG are major milestones in Rondo's journey to decarbonize industrial heat and power." About Rondo Energy Rondo Energy makes industrial decarbonization possible - and profitable - today. The Rondo Heat Battery captures low-cost renewable electricity and delivers the world's highest temperature, highest efficiency energy storage of any kind, enabling customers to power their operations with zero-carbon energy. Learn more at rondo.com. About Siam Cement Group ("SCG") SCG, one of ASEAN's leading conglomerates, operating business in compliance with ESG principles and sustainable development goals in the economy, society, and environment underpinned by good governance. SCG is comprised of three core businesses: Cement-Building Materials Business, Chemicals Business, and Packaging Business. SCG strives to respond to our customer's diverse needs through collaborative partnership cultivation and innovative products, services and solutions development. About Aramco Ventures Aramco Ventures is the corporate venturing subsidiary of Aramco, the world's leading integrated energy and petrochemical enterprise.?Headquartered in Dhahran with offices in North America, Europe and Asia, Aramco Ventures strategic venturing program invests globally in start-up and high growth companies with technologies of strategic importance to its parent, Aramco, primarily supporting the Company's operational decarbonization, new lower-carbon fuels businesses, and digital transformation initiatives. Aramco Ventures also operates Prosperity7, the Company's disruptive technologies investment program. Learn more at aramcoventures.com About TITAN Group: TITAN Group is a leading international business in the building and infrastructure materials industry,?with passionate teams committed to providing innovative solutions for a better world. With most of its activity in the developed markets, the Group employs over 5,000 people and operates in more than 25 countries, holding prominent positions in the US, Europe, including Greece, the Balkans, and the Eastern Mediterranean. The Group also has a joint venture in Brazil. With a 120-year history, TITAN has always fostered a family- and entrepreneurial-oriented culture for its employees and works tirelessly with its customers to meet the modern needs of society while promoting sustainable growth with responsibility and integrity. TITAN has set a net-zero goal for 2050 and has its CO2 reduction targets validated by the Science Based Targets initiative (SBTi). The company is listed on Euronext and the Athens Exchange. For more information, visit the Group's website at?www.titan-cement.com. About SEEIT SDCL Energy Efficiency Income Trust plc is a constituent of the FTSE 250 index. It was the first UK listed company of its kind to invest exclusively in the energy efficiency sector. Its projects are primarily located in the UK, Europe and North America and include, inter alia, a portfolio of cogeneration assets in Spain, a portfolio of commercial and industrial solar and storage projects in the United States, a regulated gas distribution network in Sweden and a district energy system providing essential and efficient utility services on one of the largest business parks in the United States. The Company aims to deliver shareholder value through its investment in a diversified portfolio of energy efficiency projects which are driven by the opportunity to deliver lower cost, cleaner and more reliable energy solutions to end users of energy. Past performance cannot be relied on as a guide to future performance. Further information can be found on the Company's website at www.seeitplc.com. About Energy Impact Partners Energy Impact Partners LP is a global investment firm leading the transition to a sustainable future. EIP brings together entrepreneurs and some of the world's most forward-looking energy and industrial companies to advance innovation. With over $3 billion in assets under management, EIP invests globally across venture, growth, credit and infrastructure - and has a team of over 80 professionals based in its offices in New York, Atlanta, San Francisco, Washington D.C., Palm Beach, London, Cologne, and Oslo. For more information on EIP, please visit www.energyimpactpartners.com. View original content:https://www.prnewswire.co.uk/news-releases/rondo-energy-secures-60-million-from-global-giants-and-leading-climate-investors-to-speed-industrial-decarbonization-301901813.html Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - MTB Metals Corp. (TSXV: MTB) (OTCQB: MBYMF) (FSE: M9U) ("MTB" or the "Company") announces that drilling on the Telegraph porphyry project is anticipated to start around August 19. Currently pads are being built and the drills are being mobilized. The first phase of drilling will be testing three areas along 2.5 kilometres of the identified Dok trend. The geological setting of the Telegraph property is similar to four world-class porphyry copper-gold deposits in the same region, all of which are being advanced by major mining companies. MTB consolidated a 310 square kilometer land package in 2021 and is now exploring this area for the first time on a consolidated basis. Two holes drilled in 2014 confirmed the presence of a porphyry copper-gold system at Dok, but the holes are interpreted to be peripheral to the heart of the system. For the last 2 years the MTB geological team has been compiling the historic data and systematically exploring the various identified targets with geological alteration and structural mapping, prospecting, shortwave infrared spectroscopy (SWIR), rock sampling, spectral analysis and soil geochemistry. A Volterra 3D Induced Polarization (3DIP) ground survey was also conducted in 2022 over the Red Creek area within the Dok Trend. By evaluating the SWIR data, trace element geochemistry and identified alteration, the geological team is building a porphyry model for the area. Multiple porphyry targets have been identified within the broad zone of alteration identified in the area, including the Dok trend and Strata Mountain. This initial drill program will involve 2,000 to 3,000 meters of drilling from 3 drill pads, with the details of the program evolving based on observations as the drilling progresses. 2023 Field Program and Target Selection For the 2023 field season, exploration has been focussed primarily on the Dok trend in preparation for drilling. Field work has consisted of further geological alteration and structural mapping, spectral analysis, shortwave infrared spectroscopy (SWIR), assay rock sampling, and soil geochemistry. A portable X-Ray Fluorescence Spectrometer (pXRF) is on site which allows the team to instantaneously analyze the soil and rock samples without having to wait for assay results from the lab. Over 650 soil samples have been collected of which assay results have been received for the first 275 samples. The assay results for copper from the lab are consistently about 30% higher than the pXRF results, providing added confidence in the identified pXRF soil anomalies. Numerous copper and gold in soil anomalies occur within Dok trend including a 1.2 km x 1 km anomaly, which includes 175 soil samples. The average concentration of copper in soils within this anomaly is 376 ppm, with a high of 3,860 ppm Cu and a low of 51 ppm. Values of up to 0.36 ppm gold also occur within this area. Analysis of trace element geochemistry has demonstrated diagnostic zonation of metals comparable with current porphyry models. Additionally, SWIR data has identified alteration patterns, including white mica with high white mica crystallinity, a proxy for hotter temperatures and subsequently the centre of a porphyry hydrothermal system. Figure 1- Current and Historic Soil Geochemistry for Copper on the Dok Trend To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/5332/177449_c38a8d4e7483986a_001full.jpg Currently, three styles of copper and gold mineralization have been identified. They include the following, High grade copper mineralization hosted within quartz and carbonate veins interpreted to be peripheral to a porphyry system. Disseminated and stockwork copper mineralization occurring with magnetite, k-feldspar, epidote and chlorite interpreted to be within the upper reaches of a porphyry system. Disseminated and stockwork copper mineralization with k-feldspar, biotite and sericite, interpreted to be within the hotter (deeper) parts of a porphyry system. Targets along the Dok trend have been ranked based on their IP and 3DIP chargeability responses from the 2012 and 2022 ground surveys, the magnetic response from the 2012 airborne mag survey, soil geochemistry results, pXRF results, SWIR results and field observations from ground truthing of identified anomalies. Lucia Theny, Vice President Exploration, stated: "The overlapping of multiple geological, geophysical and geochemical indicators is providing good confidence in our target selection and the team is excited to be able to test their hypothesis with the drill." Figure 2 - Proposed Drill Holes for the Dok Trend on top of Copper Soil Geochemistry and Induced Polarization Chargeability To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/5332/177449_c38a8d4e7483986a_002full.jpg Lawrence Roulston, CEO, noted: "The geological team over the past two months carried out mapping, sampling and spectral analysis which confirmed the earlier information and filled in some gaps. These results provided a firm basis for selection of the initial drill targets. The team has worked methodically to advance the understanding of this huge geological system. This initial drill program will involve 2,000 to 3,000 meters of drilling from 3 drill pads, with the details of the program evolving based on observations as the drilling progresses. Use of the pXRF on the drill core will support the geological team's observations." About MTB MTB has six active projects spanning 670 square kilometres (67,587 hectares) in the prolific Golden Triangle of northern British Columbia. With the focus on the Telegraph project, discussions are now underway leading to joint ventures and/or spinouts of other projects. Telegraph is located in the vicinity of 4 world-class porphyry deposits being advanced by major mining companies: Galore (Teck / Newmont), Schaft (Teck), Saddle (Newmont) and the operating Red Chris copper-gold mine (Newcrest / Imperial Metals). Field work by MTB on its 310 square kilometre property, together with earlier results, provides compelling evidence for the presence of one or more porphyrys, similar to others in the area. The American Creek project is centered on the historic Mountain Boy silver mine. The project is road accessible and 20 km from the deep-water port of Stewart. There are multiple silver, gold and copper occurrences on the property, including a 2006 drill hole that encountered 5 kgs of silver over 5 metres. Red Cliff is a past producing gold and copper mine in which the Company holds a 35% interest. Recent drill results include 2 meters of 26 g/t gold. On the BA property, 182 drill holes have outlined a substantial zone of silver-lead-zinc mineralization located 4 km from the highway. Several targets with high-grade silver potential remain to be tested. Surprise Creek, to the north, hosts the same prospective stratigraphy. On the Theia project, work by MTB and previous explorers has outlined a silver bearing mineralized trend 500 metres long, highlighted by a 2020 grab sample that returned 39 kg per tonne silver (1,100 ounces per ton). Two other zones on the property produced copper values over 5%. Southmore is in the midst of some of the largest deposits in the Golden Triangle. It was explored in the 1980s through the early 1990s and was overlooked until MTB consolidated the property and carried out airborne geophysics and field work which confirmed several zones of gold and copper, with values up to 20% copper and 35 g/t gold. On behalf of the Board of Directors: Lawrence Roulston President & CEO For further information, contact: Caroline Klukowski info@mountainboyminerals.ca NEITHER TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. This news release may contain certain "forward looking statements". Forward-looking statements involve known and unknown risks, uncertainties, assumptions and other factors that may cause the actual results, performance or achievements of the Company to be materially different from any future results, performance or achievements expressed or implied by the forward-looking statements. Any forward-looking statement speaks only as of the date of this news release and, except as may be required by applicable securities laws, the Company disclaims any intent or obligation to update any forward-looking statement, whether as a result of new information, future events or results or otherwise. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177449 MetricStream, the global market leader in integrated risk management (IRM) and governance, risk, and compliance (GRC), has opened registrations for the 11th GRC Summit, being held on October 16th and 17th, 2023, in London. Following the success of the Miami GRC Summit this June, the two-day event will bring together the most influential risk leaders to discuss the latest trends and best practices in Connected GRC and the risks and opportunities of artificial intelligence (AI). Sessions and discussions will be particularly focused on governance, enterprise risk, operational risk, regulatory compliance, digital operational resilience, internal audit, third-party risk, IT cyber risk, compliance, and environmental social governance (ESG). MetricStream's GRC Summit sets the stage for GRC leaders to stay ahead with the latest advancement in AI, connected GRC trends, and best practices while networking with other practitioners navigating the same landscape. "What's clear is risk leaders need to step out of silos and implement a connected GRC strategy. Whether you are looking to gain clarity and focus around how to leverage AI for efficiency while protecting your business or are facing the complexities of emerging regulations around operational resilience and cyber risk, this Summit will provide valuable insights and opportunities for informed decision-making and strategic growth,"said Gaurav Kapoor, co-CEO and co-Founder, MetricStream The London GRC Summit will feature keynote speakers, panel discussions, workshops, and networking opportunities with GRC leaders from across the globe. Confirmed speakers already include: Dr. Jenny J Birdi, Head of Operational Risk and Risk Strategy UK, HSBC David Storey, Vice President Health, Safety, Security Environment, dnata Chandrra Sekhaar, Chief Audit Executive (EMEA) SMF 5, Mizuho Phil Crook, Head of Compliance, Nationwide Building Society Ivan Martinez, Chief Audit Executive, Banco Santander London Branch Sarah Harman, Leader Operational Risk Framework and Systems, Nationwide Building Society Peter Funck, Head of GRC, Swedish Transport Administration Sophie Dupre-Echeverria, Chief Risk Compliance Officer, GIB Asset Management, Former Schroders Luciane Mallmann, Head of Ethics Compliance UK Ireland, Jones Lang LaSalle Brandon Wright, Head of Books Records Audit, Bilfinger SE Fazal Mohammed, Head of ORM Asset Management, Phoenix Group Pierre Lenders, Head of Sustainability, Capital Fund Management (CFM) Two power-packed days, 45+ speakers, 30+ sessions, and 200+ attendees. Join the revered gathering of risk leaders shaping the future of risk management with AI for GRC, Cyber Risk and Compliance, Operational Resilience, Third-Party Risk, ESG, and more. Register Now! About MetricStream, Inc MetricStream is the global SaaS leader of Integrated Risk Management and GRC solutions that empower organizations to thrive on risk by accelerating growth via risk-aware decisions. We connect governance, risk management and compliance across the extended enterprise. Our Connected GRC and three product lines BusinessGRC, CyberGRC, and ESGRC are based on a single, scalable platform that supports you wherever you are on your GRC journey. MetricStream is headquartered in San Jose, California, with an operations and R&D center in Bangalore, India, and sales and operations support around the globe. More information is available at www.metricstream.com, LinkedIn, Facebook and Twitter. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816665787/en/ Contacts: Preeti Goswami preeti.goswami@metricstream.com +91 9654394164 NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / Leidos: Last month, the White House, Defense Department and Organization for the Prohibition of Chemical Weapons confirmed the U.S. has completed destruction of its enormous Cold War-era chemical weapons stockpile as well as the facilities that manufactured them. Leidos provided extensive technical and program support to the U.S. Department of Defense on the demilitarization effort at all locations where the munitions were stored. These toxic and deadly weapons, now banned by international law due to their inhumane nature, took more than 30 years to irreversibly destroy. The milestone makes good on the commitment made by 193 member states to chemically disarm under the 1993 Chemical Weapons Convention. As a part of an integrated effort, Leidos supported the Defense Threat Reduction Agency (DTRA) in treaty compliance and inspector interface. The U.S. is the final nation state to complete disarmament, which means all chemical weapons declared under the treaty have now been destroyed. Leidos supported the U.S. Army Chemical Materials Activity (CMA) on the large-scale effort at seven sites: Johnston Atoll in the Pacific Ocean Aberdeen Proving Ground, Maryland Newport Chemical Depot, Indiana Pine Bluff Arsenal, Arkansas Anniston Army Depot, Alabama Umatilla Chemical Depot, Oregon Deseret Chemical Depot, Utah Leidos also supported the Program Executive Office Assembled Chemical Weapons Alternatives (PEO ACWA) in destruction of the final weapons at Pueblo Chemical Depot in Colorado and Blue Grass Army Depot in Kentucky. James Ridgely, Leidos Senior Program Manager, said he's proud his teams contributed to this important effort to destroy an entire class of weapons of mass destruction. Ridgely said the scope of his team's work on the project included technical expertise, engineering support, compliance with treaty mandates, planning analysis for both the technical and program efforts and public outreach. "Leidos personnel have been side-by-side with government teams and contributed significantly to key technical and programmatic decisions that led to the safe completion of operations," says Ridgely. "We're proud to have been part of making the world a safer place by eliminating these deadly weapons." In a statement, President Biden stressed the importance of disarmament among the small number of nations states not ratified in the treaty. "Today-as we mark this significant milestone-we must also renew our commitment to forging a future free from chemical weapons," President Biden wrote. "I continue to encourage the remaining nations to join the Chemical Weapons Convention so that the global ban on chemical weapons can reach its fullest potential." Please contact the Leidos media relations team for more information. Workers at the Blue Grass Chemical Agent-Destruction Pilot Plant in Kentucky load the last remaining U.S. chemical munition into a demilitarization system. Photo: PEO ACWA View additional multimedia and more ESG storytelling from Leidos on 3blmedia.com. Contact Info: Spokesperson: Leidos Website: https://www.3blmedia.com/profiles/leidos Email: info@3blmedia.com SOURCE: Leidos View source version on accesswire.com:https://www.accesswire.com/774517/Leidos-Helps-the-US-Army-Eliminate-Chemical-Weapons-Stockpile Cloud-based solution complements Aptean's Food and Beverage ERP solution ALPHARETTA, Ga., Aug. 16, 2023, a global provider of mission-critical enterprise software solutions, announced its acquisition of Best Practice IT Solutions GmbH, an enterprise resource software provider to manufacturers, including beverage manufacturers, located primarily in Germany. The acquisition of Best Practice IT Solutions expands Aptean's Food & Beverage ERP capabilities with added features and expertise to support beverage companies. Best Practice IT Solutions will benefit from Aptean's global scale and resources. Best Practice IT Solutions was founded in 2010 and has offices in Oberhausen and Dortmund, Germany. Best Practice IT Solutions' proprietary software is designed to meet the needs of beverage manufacturers with industry-specific features to support sales and order management, complex pricing models, calculation and remission of consumption taxes, contract management, rental management, deliveries and return of empties. Best Practice IT Solutions' software can be deployed either in the cloud as a Subscription as a Service (SaaS) or on-premise. "We're excited to welcome Best Practice IT Solutions' talented team to Aptean," said Duane George, GM, EMEA and APAC, at Aptean. "Best Practice IT Solutions' cloud-based software will complement Aptean's current Food & Beverage ERP offering and enhance our ability to serve beverage companies." "Aptean's shares Best Practice IT Solutions' passion for innovation and focus on supporting customers with industry specific solutions," said Arne Kornich, MD at Best Practice IT Solutions. As part of Aptean, Best Practice IT Solutions will have access to a broader set of solutions to support our customers on their digital transformation journey." About Best Practice IT Solutions GmbH Best Practice IT Solutions GmbH is a provider of industry-specific enterprise resource planning software designed to meet the needs of manufacturers. Its proprietary technology and best practices approach tailors solutions to meet the individual needs of its customers, helping to integrate optimized and efficient processes. To learn more visit: www.bpits.de. About Aptean Aptean is one of the world's leading providers of purpose-built, industry-specific software that helps manufacturers and distributors effectively run and grow their businesses. With both cloud and on-premise deployment options, Aptean's products, services and unmatched expertise help businesses of all sizes to be Ready for What's Next, Now. Aptean is headquartered in Alpharetta, Georgia and has offices in North America, Europe and Asia-Pacific. To learn more about Aptean and the markets we serve, visit www.aptean.com. Aptean and Ready for What's Next, Now are Registered Trademarks of Aptean, Inc. All other company and product names may be trademarks of the respective companies with which they are associated. For Media Inquiries Please Contact Alexis Borucke Senior Director, Global Corporate Communications Alexis.Borucke@Aptean.com (813) 334-5741 WASHINGTON (dpa-AFX) - Mitra Future Technologies Inc, a producer of lithium-ion battery materials, announced on Wednesday that it has secured $40 million out of $60 million in a series B funding round led by General Motors Co (GM). This investment will help Mitra to develop, deploy and commercialize U.S.-made iron-based cathode materials. The company expects this to enable mass-market electrification for electric vehicles, and energy storage solutions, and scale its current R&D, expediting its battery materials to market. With funding from GM, Mitra Futures will develop iron-based cathode active materials like lithium manganese iron phosphate to power EV batteries that are compatible with the architecture of GM's EV propulsion. 'The growing strategic relationship with GM will enable Mitra Chem to leverage GM's industry expertise and global reach, accelerating the development and commercialization of its iron-based cathode technology. The additional funding will go to scale current operations and support accelerating commercialization.' GM said. Mitra stated that it has additional requests for samples to cover the next year of production including nearly every global Tier 1 battery cell maker and multiple household name automotive OEMs. Additionally, other investors include Social Capital, Fontinalis Partners, Earthshot Ventures, and The Keffi Group, to name a few have participated in the series B funding round. Previously, Mitra has completed a $20 million Series A round led by Social Capital and Chamath Palihapitiya along with Taiwanese industrialist Richard Tsai, Fontinalis Partners, and more. Currently, shares of GM are trading at $33.04 down 0.78% on the New York Stock Exchange. Copyright(c) 2023 RTTNews.com. All Rights Reserved Copyright RTT News/dpa-AFX Werbehinweise: Die Billigung des Basisprospekts durch die BaFin ist nicht als ihre Befurwortung der angebotenen Wertpapiere zu verstehen. Wir empfehlen Interessenten und potenziellen Anlegern den Basisprospekt und die Endgultigen Bedingungen zu lesen, bevor sie eine Anlageentscheidung treffen, um sich moglichst umfassend zu informieren, insbesondere uber die potenziellen Risiken und Chancen des Wertpapiers. Sie sind im Begriff, ein Produkt zu erwerben, das nicht einfach ist und schwer zu verstehen sein kann. RALEIGH, NC / ACCESSWIRE / August 16, 2023 / Creating an effective press release is key to ensuring a company's target audience and the media can easily understand the campaign's main message. To do this, companies of all sizes and industries should pay attention to readability. To help companies understand the importance of readability, Newswire, a leader in press release distribution, released a Smart Start guide, titled, Press Release Readability Matters. "The readability, or how easy it is to understand your content, plays a huge role in the success of your press release," said Jennifer Hammers, Executive Vice President of Sales and Marketing at Issuer Direct. The guide covers several topics related to press release readability, such as: Press release basics What a readability score is How press release readability affects SEO Tips to improve a press release's readability score "This Smart Start is an excellent resource that provides actionable tips and advice companies, no matter their size or industry, can leverage to improve their press release's readability score," Hammers added. Download the Press Release Readability Matters Smart Start guide to learn more about press release readability. About Newswire Newswire is a media technology company that provides its clients with the Press Release Optimizer, which consists of press release distribution, media databases, media monitoring, and online media rooms that power the Media Advantage: greater brand awareness through earned media, increased online visibility through content strategy and planning as well as greater SEO recognition. Through its disruptive Press Release Optimizer, relentless commitment to customer satisfaction, and passion for customer performance, Newswire is automating media and marketing communications for large and small businesses seeking to deliver the right message to the right audience at the right time for the right purpose. To learn more about Newswire and its Press Release Optimizer, visit Newswire.com and discover why our customers have named us #1 for Customer Satisfaction and Ease of Use for four years in a row. For more information, visit https://www.newswire.com. Newswire is a wholly owned subsidiary of Issuer Direct, a leading communications and compliance company, providing solutions for both Public Relations and Investor Relations Professionals. For more information, please visit www.issuerdirect.com. Contact Information Jennifer Hammers Executive Vice President of Sales & Marketing jennifer.hammers@issuerdirect.com 919.481.4000 SOURCE: Newswire View source version on accesswire.com:https://www.accesswire.com/774283/Newswire-Emphasizes-the-Importance-of-Press-Release-Readability-in-Smart-Start-Guide Integration into the Apica Ascent Platform will deliver active observability to help alleviate data challenges for IT, DevOps, and monitoring teams. STOCKHOLM, SWEDEN / ACCESSWIRE / August 16, 2023 / Apica, the leader in synthetic monitoring and observability, today announced its agreement to acquire observability data fabric startup LOGIQ.AI. Apica also announced it has raised $10M in funding from existing investors Industrifonden, SEB Foundation, and Oxx. With the acquisition and the new financing, Apica plans to continue delivering affordable and flexible observability innovations and develop new capabilities in the coming months for enterprise customers. Today, IT teams must balance the need for standardization and cost reduction with the difficult task of consolidating monitoring tools, services, and network cost centers. With the acquisition of LOGIQ.AI and the funding announced today, Apica will deliver active observability, automated root cause analysis, and advanced data management to bridge real-world gaps in analysis. "We are determined to address the need for low-cost infinite storage and observability to support businesses with relevant, actionable data," said Mathias Thomsen, CEO, Apica. "With the acquisition of LOGIQ.AI and the additional funding, we will deliver 'Active Observability' that combines observability and synthetic monitoring into a proactive platform to plug data gaps and put business data in context." "What makes Apica's new offering unique is the merger of an observability data fabric with synthetic monitoring. In a world where 'downtime is death,' solving monitoring and observability in a streamlined and cost-efficient way is crucial for businesses," said Mikael Johnsson, General Partner and co-founder, Oxx. "This novel approach of 'active observability' has also been extremely challenging for enterprises with complex data structures or in highly regulated sectors to deploy successfully. With this move, Apica is able to provide companies with an end-to-end observability solution, enabling a broader range of companies to incorporate observability successfully into their operations. Apica is setting a new standard for 'active observability,' and at Oxx, we are excited to see where the Apica team takes this next." "Joining Apica with LOGIQ's data fabric platform creates an innovative and intelligent approach to data management," said Ranjan Parthasarathy, CEO of LOGIQ. "Together, we are empowering businesses to thrive where data-driven insights meet flawless performance, shaping the future of our customers' digital success." Active Observability with Synthetics and User Control The Apica Ascent platform with LOGIQ.AI gives users complete data pipeline control, a unified view of all information, and infinite high-quality storage at the lowest cost on the market. The platform acts as a superior indexing tool that aggregates data such as logs, traces, network packets, etc. from multiple sources and improves data quality by trimming off excess data and performing enrichments. The data can be shifted from the platform to a lake environment - either Apica's or another data lake. The result is a unified view of all data for faster root cause analysis while slashing costs and eliminating vendor lock. "We are offering active observability on your terms," said Jason Haworth, CPO, Apica. "We can give you observability at a low cost that scales to exabytes and gives you your data in context when and how you need it. We're also stacking all this functionality into our data lakes and indexers while embracing open standards such as OpenTelemetry. This allows us to be application, device, service, and vendor agnostic. Having these pieces in place lets us be that decoder ring that other vendors in the space just can't do." The LOGIQ.AI capabilities will be added to the Apica Ascent platform and deployed to current customers in Q3 of this year. Connect with Apica Twitter LinkedIn About Apica Apica keeps enterprises operating. The Ascent platform delivers active observability, automated root cause analysis, and advanced data management to quickly find and resolve complex digital performance issues before they negatively impact the bottom line. Today, business operations depend on understanding the health of multi-cloud, hybrid, and on-premises environments to keep business-critical applications and systems online while providing an optimal user experience. Apica delivers a unified view of all information for the entire technology stack helping reduce, prevent and resolve outages and lost revenue. For more information, visit www.apica.io. Media Contact: Ben Jolley Benjamin.Jolley@apica.io (801) 592-0806 ### Contact Information: Ben Jolley VP of Marketing benjamin.jolley@apica.io 801.592.0806 SOURCE: Apica View source version on accesswire.com:https://www.accesswire.com/774417/Apica-Acquires-Data-Fabric-Innovator-LOGIQAI-and-Raises-10M-in-New-Funding-to-Modernize-Data-Management Redde Northgate Plc - Transaction in Own Shares PR Newswire LONDON, United Kingdom, August 16 NOT FOR RELEASE, PUBLICATION OR DISTRIBUTION, IN WHOLE OR IN PART, DIRECTLY OR INDIRECTLY, IN OR INTO OR FROM ANY JURISDICTION WHERE TO DO SO WOULD CONSTITUTE A VIOLATION OF THE RELEVANT LAWS OR REGULATIONS OF SUCH JURISDICTION 16 August 2023 REDDE NORTHGATE PLC ("Redde Northgate" or the "Group" or the "Company") Transaction in Own Shares Redde Northgate plc (LSE:REDD) announces that on 16 August 2023 it purchased the following number of its own shares to be held in treasury: Class of shares : Ordinary shares of 50p ("shares") Number of shares purchased : 75,000 Weighted average purchase price paid : 346 pence per share Highest purchase price paid : 346 pence per share Lowest purchase price paid : 346 pence per share Following the above transaction, the Company's issued share capital consists of 246,091,423 ordinary shares of 50p each, of which 17,152,571 ordinary shares are held in treasury, and 1,000,000 preference shares of 50p each which do not carry any rights to vote. Therefore the total number of voting rights in the Company is 228,938,852 which may be used by shareholders as the denominator for the calculations by which they will determine if they are required to notify their interest in, or a change to their interest in the Company under the FCA's Disclosure Guidance and Transparency Rules. In accordance with Article 5(1)(b) of Regulation (EU) No 596/2014 (the Market Abuse Regulation) as incorporated into UK domestic law by the European Union (Withdrawal) Act 2018, the schedule below contains detailed information about the purchases made by Numis Securities Limited on behalf of the Company as part of the Company's buyback programme. Schedule of Purchases - Individual Transactions (as at 16 August 2023) Number of shares purchased Transaction price (GB pence per share) Time of transaction Transaction reference number Venue 75,000 346.00 14.15.34 00066655087TRLO0 LSE Notes This announcement is made in accordance with the requirements of Listing Rule 12.4.6. For further information contact: Buchanan David Rydell/Jamie Hooper/Hannah Ratcliff +44 (0) 207 466 5000 Notes to Editors: Redde Northgate is the leading integrated mobility solutions platform providing services across the vehicle lifecycle. The Company offers integrated mobility solutions to businesses, fleet operators, insurers, OEMs and other customers across seven key areas: vehicle rental, vehicle data, accident management, vehicle repairs, fleet management, service and maintenance, vehicle ancillary services and vehicle sales. The Company's core purpose is to keep its customers mobile, whether through meeting their regular mobility needs or by servicing and supporting them when unforeseen events occur. With its considerable scale and reach, Redde Northgate's mission is to offer a market-leading customer proposition and drive enhanced returns for shareholders by creating value through sustainable compounding growth. The Group aims to achieve this through the delivery of its strategic framework of Focus, Drive and Broaden. Redde Northgate services its customers through a network and diversified fleet of over 120,000 owned and leased vehicles, supporting over 600,000 managed vehicles, with more than 170 workshop, body shop and rental locations across the UK, Ireland and Spain and a specialist team of over 6,000 automotive services professionals. Further information please visit the Company's website: Up to 10,000 tonnes of copper concentrate to be exported via Angolan Lobito Ocean Port in Q4 2023 MOU is first commercial agreement under new concession for exporting metals from the DRC using the Lobito Atlantic Rail Corridor Distance from Kamoa-Kakula to Lobito Port is just over half that compared with Durban, South Africa Rail corridor passes through Ivanhoe's Western Foreland licences and within five kilometres of Kamoa-Kakula Rail corridor set to transform economic and social development of the DRC, as well as its neighbouring countries, accelerating regional industrialization The ceremony celebrating the award of the 30-year concession to new rail operator was attended by the Presidents of DRC, Angola and Zambia Kolwezi, Democratic Republic of Congo--(Newsfile Corp. - August 16, 2023) - Ivanhoe Mines (TSX: IVN) (OTCQX: IVPAF) Executive Co-Chair Robert Friedland and President Marna Cloete announce today that Kamoa Copper S.A. (Kamoa Copper) has signed a memorandum of understanding (MOU) with Lobito Atlantic International SARL ("LAI", or the "Consortium"), for the transportation of Kamoa-Kakula's copper concentrate by rail to the Atlantic Ocean port of Lobito in Angola. The rail line linking the DRC Copperbelt to the port of Lobito, Angola is known as the "Lobito Atlantic Railway Corridor" or "Lobito Corridor". The rail line extends for 1,739 kilometres from Lobito to Kolwezi in the DRC, passing within five kilometres of the Kamoa-Kakula licence boundary and through the Western Foreland exploration licences (shown in Figure 2). In July 2022, the Consortium was formally awarded a 30-year concession for railway services and support logistics in Angola. LAI is a consortium comprised of leading global commodities trading group Trafigura Pte Ltd; Mota-Engil Engenharia e Construcao Africa SA ("Mota-Engil"), an international construction and infrastructure management company that first started operating in Angola in 1946; and Vecturis SA, an independent rail operator. The Consortium has committed to invest $455 million in Angola and up to a further $100 million in the DRC on the improvement of the Lobito Corridor's rail infrastructure, capacity and safety, including rolling stock consisting of over 1,500 wagons and 35 locomotives. There is also potential for additional investment in the future as the opportunity is explored to further extend the Lobito Corridor into Zambia. The Lobito Corridor will reduce pressure on the country's other logistics corridors. This is expected to not only reduce the cost of exporting from, but also reduce the cost of importing into the DRC Copperbelt. Thereby, accelerating DRC's, social and economic development, as well as the industrialization of the wider region. The President of the Democratic Republic of Congo, His Excellency Mr Felix Tshisekedi, made the following comments in a recent television interview with La Radio-Television Nationale Congolaise (RTNC): "I am very committed to cooperation with our neighbours. We gain two partners in the [Lobito Corridor] project, Angola and Zambia. This will benefit our populations nearby and further away Indeed that is the goal, to create national integration, resulting in ease of movement for goods and people inside our country... So that the various regions can engage in trade among themselves." "We have no time to stop and enjoy our small victories. We need to work hardThe interest of the people must be taken into account and we are focused on that The priority for me is to protect our population and ensure they are healthy I want this country to be stable so that we can focus on our development over the long term." Link to full interview: https://www.youtube.com/watch?v=u3llKuoPqlk Ivanhoe Mines' Founder and Executive Co-Chairman, Robert Friedland commented: "The Lobito Corridor is set to become a crucial trade route for copper and other critical minerals from a uniquely strategic region of Africa metals that are so desperately needed for our planet's energy transition. Thanks to the forward-thinking investments by our shareholders CITIC, who originally upgraded the port and rail line, there is now the possibility of open access rail from the Copperbelt to the deep-water, Atlantic Ocean port of Lobito. This type of modern infrastructure will be critical as mines like Kamoa-Kakula continue to expand, and as more tier-one copper discoveries are made in the Western Foreland... the best copper hunting ground on the planet. "The Lobito Corridor is the shortest and most direct export and import route from the Copperbelt to the seaborne international market, which should provide for quicker turnaround times and lower costs. Most importantly, logistics on the rail corridor will incur significantly lower carbon emissions than the alternative by truck - further enhancing Kamoa-Kakula's commitment to produce ultra-green copper. "The U.S. Government's support for the Lobito Corridor and proposed financing highlights the need for a coordinated global effort to upgrade infrastructure in sub-Saharan Africa. To tackle the challenges of climate change, international cooperation is essential in developing responsible supply chains. This collaboration must involve local communities, ensuring a sustainable and ethical approach to extracting minerals in the areas where they are found." Figure 1. Map of export routes currently used by Kamoa-Kakula in red, as well as the Lobito Railway Corridor route in orange. Logistics costs account for over 30% of Kamoa-Kakula's total cash costs (C1), due to the long in-land distances travelled by road for exports to reach port. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_002full.jpg An initial trial shipment of up to 10,000 tonnes of copper concentrate from Kamoa-Kakula's Phase 1 and 2 concentrators will be transported along the Lobito Rail Corridor in Q4 2023. Once at the port of Lobito, the concentrate will be sold to international markets. Information will be gathered from the trial shipment on greenhouse gas (GHG) savings, transit times, operating costs and other operational factors. Currently, Kamoa-Kakula trucks its copper concentrates by road across sub-Saharan Africa to the ports of Durban in South Africa, Dar es Saleem in Tanzania, Beira in Mozambique, and Walvis Bay in Namibia, from where they are shipped onto international markets. Approximately 90% of the concentrates are shipped from Durban and Dar es Saleem. Not only is the distance to the port of Lobito from Kamoa-Kakula greatly reduced (see Figure 1), but also transportation by rail is both quicker and significantly less energy intensive. Once fully operational, the Lobito Atlantic Railway Corridor could significantly improve the logistics costs and carbon footprint of exporting metals from the Kamoa-Kakula Copper Complex, the Kipushi zinc-copper-germanium-silver mine, as well as the future development of any copper discoveries within the Western Foreland Exploration Project. Figure 2. Map of Kamoa-Kakula and Western Foreland licences with local road and rail infrastructure. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_003full.jpg On July 4, 2023, a ceremony took place in Lobito, hosted by the Municipal Administration of Lobito, marking the transfer of railway services and support logistics of the Lobito Atlantic Railway Corridor to the Consortium. The event was attended by Hakainde Hichilema, President of the Republic of Zambia; Joao Manuel Goncalves Lourenco, President of the Republic of Angola; Felix Tshisekedi, President of the Democratic Republic of the Congo; and Jeremy Weir, Executive Chairman and Chief Executive Officer of Trafigura. Link to the Trafigura press release made on July 4, 2023: https://www.trafigura.com/press-releases/transfer-commences-of-the-concession-of-railway-services-and-support-logistics-of-the-lobito-corridor-in-angola-to-the-lobito-atlantic-railway/ The MOU follows the recent announcement by the United States International Development Finance Corporation (DFC), which is currently conducting due diligence for a potential $250-million investment to finance the commercialization of the Lobito Atlantic Railway Corridor. Link to the full U.S. Government press statement made on May 20, 2023: https://www.whitehouse.gov/briefing-room/statements-releases/2023/05/20/fact-sheet-partnership-for-global-infrastructure-and-investment-at-the-g7-summit/ Further, on January 27, 2023, the governments of Angola, DRC and Zambia signed the Lobito Corridor Transit Transport Facilitation Agency Agreement (LCTTFA). The tripartite LCTTFA aims to coordinate the joint development activities of the Lobito Atlantic Railway Corridor as an alternative, strategic route to export markets for both Zambia and the DRC. The Atlantic Ocean port of Lobito, Angola. Up to 10,000 tonnes of copper concentrate from Kamoa-Kakula to be exported from the port in Q4 2023. The MOU is the first commercial agreement under the new concession for exporting metals from the DRC using the Lobito Atlantic Rail Corridor. Photo source: Bloomberg. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_004full.jpg All figures are in U.S. dollars unless otherwise stated. About Ivanhoe Mines Ivanhoe Mines is a Canadian mining company focused on advancing its three principal projects in Southern Africa; the expansion of the Kamoa-Kakula Copper Complex in the DRC, the construction of the tier-one Platreef palladium-rhodium-platinum-nickel-copper-gold project in South Africa; and the restart of the historic ultra-high-grade Kipushi zinc-copper-germanium-silver mine, also in the DRC. Ivanhoe Mines also is exploring for new copper discoveries across its circa 2,400km2 of 90-100% owned exploration licences in the Western Foreland, located adjacent to, or in close proximity to, the Kamoa-Kakula Copper Complex in the DRC. Information contact Investors Vancouver: Matthew Keevil +1.604.558.1034 London: Tommy Horton +44 7866 913 207 Media Tanya Todd +1.604.331.9834 Forward-looking statements Certain statements in this news release constitute "forward-looking statements" or "forward-looking information" within the meaning of applicable securities laws. Such statements and information involve known and unknown risks, uncertainties and other factors that may cause the actual results, performance or achievements of the company, its projects, or industry results, to be materially different from any future results, performance or achievements expressed or implied by such forward-looking statements or information. Such statements can be identified using words such as "may", "would", "could", "will", "intend", "expect", "believe", "plan", "anticipate", "estimate", "scheduled", "forecast", "predict" and other similar terminology, or state that certain actions, events, or results "may", "could", "would", "might" or "will" be taken, occur or be achieved. These statements reflect the company's current expectations regarding future events, performance and results and speak only as of the date of this news release. Such statements include without limitation, the timing and results of: (i) statements regarding the MOU with Trafigura, and specifically that commencing in Q4 2023, the trial will consist of up to 10,000 tonnes of copper concentrate from Kamoa-Kakula's Phase 1 and 2 concentrators and that once at the port of the Lobito, the concentrate will be sold to international markets; (ii) statements that the Lobito Corridor is set to become a crucial trade route from a uniquely strategic region in Africa for copper and other critical minerals; (iii) statements that the Lobito Atlantic Rail Corridor is set to transform regional logistics in the DRC and neighbouring countries; (iv) statements that the Western Foreland remains the best copper hunting ground on the plant; (v) statements regarding a potential $250-million investment by DFC to finance the commercialization of the Lobito Atlantic Railway Corridor; and (vi) statements regarding the Lobito Corridor significantly improving logistics costs and carbon footprint of exporting metals from the Kamoa-Kakula Copper Complex, the Kipushi zinc-copper-germanium-silver mine, and any future copper discoveries in the Western Foreland Exploration Project. Furthermore, the company has based its assumptions and analysis on certain factors that are inherently uncertain. Uncertainties include: (i) the adequacy of infrastructure; (ii) geological characteristics; (iii) metallurgical characteristics of the mineralization; (iv) the ability to develop adequate processing capacity; (v) the price of copper, nickel, zinc, platinum, palladium, rhodium and gold; (vi) the availability of equipment and facilities necessary to complete development; (vii) the cost of consumables and mining and processing equipment; (viii) unforeseen technological and engineering problems; (ix) accidents or acts of sabotage or terrorism; (x) currency fluctuations; (xi) changes in regulations; (xii) the compliance by joint venture partners with terms of agreements; (xiii) the availability and productivity of skilled labour; (xiv) the regulation of the mining industry by various governmental agencies; (xv) the ability to raise sufficient capital to develop such projects; (xvi) changes in project scope or design; (xvii) recoveries, mining rates and grade; (xviii) political factors; (xviii) water inflow into the mine and its potential effect on mining operations; and (xix) the consistency and availability of electric power. Forward-looking statements and information involve significant risks and uncertainties, should not be read as guarantees of future performance or results and will not necessarily be accurate indicators of whether such results will be achieved. Many factors could cause actual results to differ materially from the results discussed in the forward-looking statements or information, including, but not limited to, the factors discussed above and under the "Risk Factors" section in the company's MD&A for the three and six months ended June 30, 2023, and its Annual Information Form, and elsewhere in this news release, as well as unexpected changes in laws, rules or regulations, or their enforcement by applicable authorities; the failure of parties to contracts with the company to perform as agreed; social or labour unrest; changes in commodity prices; and the failure of exploration programs or studies to deliver anticipated results or results that would justify and support continued exploration, studies, development or operations. Although the forward-looking statements contained in this news release are based upon what management of the company believes are reasonable assumptions, the company cannot assure investors that actual results will be consistent with these forward-looking statements. These forward-looking statements are made as of the date of this news release and are expressly qualified in their entirety by this cautionary statement. Subject to applicable securities laws, the company does not assume any obligation to update or revise the forward-looking statements contained herein to reflect events or circumstances occurring after the date of this news release. The company's actual results could differ materially from those anticipated in these forward-looking statements as a result of the factors outlined in the "Risk Factors" section and elsewhere in the company's MD&A for the three and six months ended June 30, 2023, and its Annual Information Form. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177453 The Atlantis Japan Growth Fund (AJG) board has announced that it has agreed heads of terms for a proposed combination of its assets with those of Nippon Active Value Fund (NAVF). The proposal is intended to address AJG's relatively small size - current assets under management (AUM) total c 78m - and follows recent disappointing relative performance. The combination, which is subject to the approval of the shareholders of both AJG and NAVF, offers AJG shareholders ongoing exposure to the attractive investment opportunities available in the Japanese market, especially among cash-rich smaller companies. They may also benefit from the expertise of NAVF's managers. NAVF has been the top-performing Japanese fund since its inception in February 2020 and its managers have over 30 years' experience as active managers in this market. The proposal also includes the option for AJG's shareholders to realise a portion of their cash if desired. The enlarged NAVF will offer all shareholders greater liquidity, as well as a likely reduction in ongoing costs. Werbehinweise: Die Billigung des Basisprospekts durch die BaFin ist nicht als ihre Befurwortung der angebotenen Wertpapiere zu verstehen. Wir empfehlen Interessenten und potenziellen Anlegern den Basisprospekt und die Endgultigen Bedingungen zu lesen, bevor sie eine Anlageentscheidung treffen, um sich moglichst umfassend zu informieren, insbesondere uber die potenziellen Risiken und Chancen des Wertpapiers. Sie sind im Begriff, ein Produkt zu erwerben, das nicht einfach ist und schwer zu verstehen sein kann. The growth of the global seamless steel tubes market is driven by growth in steel industry surging seamless tube consumption, and automotive and construction sectors strengthening for seamless steel tube industry. PORTLAND, Ore., Aug. 16, 2023 /PRNewswire/ -- Allied Market Research published a report, titled, "Seamless steel tubes market by Material (Carbon Steel, Stainless Steel, Alloy Steel, and Others), by Process (Cold Rolled, and Hot Rolled), and by End-User (Oil and Gas, Automotive, Construction, Energy and Power, and Others): Global Opportunity Analysis and Industry Forecast, 2023-2032". According to the report, the global seamless steel tubes market was valued at $45,528.0 million in 2022, and is projected to reach $84,888.83 million by 2032, registering a CAGR of 6.3% from 2023 to 2032. Get Inside Scoop Of The Report, Request For Sample: https://www.alliedmarketresearch.com/request-sample/54002 A seamless steel tube is typically having lighter weight and thinner walls than other types of pipes. This specific kind of hollow cylindrical structure finds an array of applications across several industries including automotive, oil & gas, chemical, petrochemical, mechanical & structural, power, refinary, and others. Prime determinants of growth Seamless tubes are a specialized product category with explosive demand in the rapidly expanding steel industry. Several industries, including oil & gas, construction, automotive, and manufacturing, depend heavily on seamless tubes. There is a growth in need for seamless tubes in the plumbing, heating, and structural industries due to robust growth of the building industry in both residential and commercial projects. In addition, seamless steel tubes are widely used in automotive applications, especially in exhaust systems where they provide greater performance, high-temperature resistance, and increased fuel efficiency. Furthermore, the need for seamless steel tubes in battery enclosures and other EV components is being fueled by the growth in focus on electric cars (EVs). Price fluctuations for raw materials such as iron ore and scrap metal have a direct effect on the total cost of manufacturing seamless steel tubes. Steel producers have invested in innovative furnace technologies that reduce greenhouse gas emissions and boost energy efficiency in response to growth in environmental concerns and regulatory efforts to reduce emissions. Such trends are expected to offer remunerative opportunities for the expansion of the seamless steel tubes market during the forecast period. Report coverage & details: Report Coverage Details Forecast Period 2023-2032 Base Year 2022 Market Size in 2022 $45,528.0 million Market Size in 2032 $84,888.83 million CAGR 6.3 % No. of Pages in Report 240 Segments covered Material, Process, End User and Region. Drivers Growth in steel industry surging seamless tube consumption. Automotive and construction sectors strengthening for seamless steel tube market. Opportunities Investment in low-emission furnaces. Restraints High cost of seamless tube raw material. Covid-19 Scenario Due to the COVID-19 pandemic and the subsequent global lockdowns, the seamless steel tubes market faced a downturn. However, as the global situation started to improve, the demand for seamless steel tubes has restored back. This, in turn, presented various growth opportunities for companies operating in the seamless steel tubes market. To Purchase This Premium Report: https://www.alliedmarketresearch.com/checkout-final/7e8f16c76c2ac1260c1fb9aa425fab87 The carbon steel segment to maintain its leadership status throughout the forecast period. Based on material, the carbon steel segment held the highest market share in 2022, accounting for more than one-third of the global seamless steel tubes market revenue and is estimated to maintain its leadership status throughout the forecast period. In addition, the carbon steel segment is projected to manifest the highest CAGR of 7.1% from 2022 to 2032, owing to a rise in the demand of seamless steel tube at industrial sectors. The hot rolled segment to maintain its leadership status throughout the forecast period. Based on process, the hot rolled segment held the highest market share in 2022, accounting for nearly four-fifths of the global seamless steel tubes market revenue and is estimated to maintain its leadership status throughout the forecast period owing to low manufacturing process cost of hot rolled as compared to cold rolled and seamless steel tubes offers required amount of strength and efficiency. In addition, cold rolled is expected to witness the fastest CAGR of 6.9% from 2022 to 2032, owing to increasing demand for accurate and finished seamless steel tubes for types of equipment. The oil and gas segment to maintain its lead position during the forecast period Based on end user, the oil and gas segment accounted for the largest share in 2022, contributing to around half of the global seamless steel tubes market revenue, owing to the demand of seamless steel tubes in oil and gas plants for high-pressure handling and fluid or gas supply management applications. However, the automotive segment is expected to portray the largest CAGR of 7.2% from 2022 to 2032. This is owing to the increasing demand for seamless steel tubes for exhaust and other parts in vehicles. Asia-Pacific to maintain its dominance by 2032. Based on region, Asia-Pacific held the highest market share in terms of revenue in 2022, accounting for more than three-fifths of the global seamless steel tubes market revenue. In addition, Asia-Pacific is expected to witness the fastest CAGR of 6.6% from 2023 to 2032, owing to the rising demand of seamless tubes due to automation and industrialization in the region. Make A Purchase Enquiry: https://www.alliedmarketresearch.com/purchase-enquiry/54002 Leading Market Players: - ArcelorMittal S.A. Jindal SAW Ltd. Nippon Steel Corporation Sandvik AB Shandong Tanglu Metal Material Co., Ltd SHENQIANG STEEL MANUFACTURING CO., LTD. TATA Steel Tenaris. United States Steel Corporation Vallourec S.A. The report provides a detailed analysis of these key players of the global seamless steel tubes market. These players have adopted different strategies such as partnership, acquisition and others to increase their market share and maintain dominant shares in different regions. The report is valuable in highlighting business performance, operating segments, product portfolio, and strategic moves of market players to showcase the competitive scenario. Trending Reports in Pipe & Tube Industry (Book Now with 15% Discount): Ductile Iron Pipes Market is projected to reach $19.2 billion by 2031 Drill Pipe Market is projected to reach $2.2 billion by 2031 Pipeline Construction Market is projected to reach $73.5 billion by 2031 Pipeline Monitoring Systems Market is projected to reach $29.99 billion by 2031 Water Pipeline Leak Detection Systems Market is projected to reach $2.8 billion By 2027 Pipe and Tube Cutters Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipe Relining Machine Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 Pipelayer Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipeline Integrity Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipeline Network Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 Hose Pipe Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 About Allied Market Research: Allied Market Research (AMR) is a full-service market research and business-consulting wing of Allied Analytics LLP based in Portland, Oregon. Allied Market Research provides global enterprises as well as medium and small businesses with unmatched quality of "Market Research Reports" and "Business Intelligence Solutions." AMR has a targeted view to provide business insights and consulting to assist its clients to make strategic business decisions and achieve sustainable growth in their respective market domain. We are in professional corporate relations with various companies and this helps us in digging out market data that helps us generate accurate research data tables and confirms utmost accuracy in our market forecasting. Allied Market Research CEO Pawan Kumar is instrumental in inspiring and encouraging everyone associated with the company to maintain high quality of data and help clients in every way possible to achieve success. Each and every data presented in the reports published by us is extracted through primary interviews with top officials from leading companies of domain concerned. Our secondary data procurement methodology includes deep online and offline research and discussion with knowledgeable professionals and analysts in the industry. Contact Us: United States 1209 Orange Street, Corporation Trust Center, Wilmington, New Castle, Delaware 19801 USA. Int'l: +1-503-894-6022 Toll Free: +1-800-792-5285 Fax: +1-800-792-5285 help@alliedmarketresearch.com Follow Us on | Facebook | LinkedIn | YouTube Logo: https://mma.prnewswire.com/media/636519/Allied_Market_Research_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/seamless-steel-tubes-market-to-reach-84-888-83-million-globally-by-2032-at-6-3-cagr-allied-market-research-301902649.html The global beluga caviar market is driven by growing interest in luxury food products & culinary experiences along with the influence of gastronomy, including the preferences of renowned chefs, culinary trends, and the increasing popularity of gourmet dining. PORTLAND, Ore., Aug. 16, 2023 /PRNewswire/ -- Allied Market Research published a report, titled, "Beluga Caviar Market by Form (Fresh and Processed), Application (HoReCa and Household), and Distribution Channel (Direct and Indirect), Global Opportunity Analysis and Industry Forecast, 2023-2032". According to the report, the global beluga caviar industry generated $108.3 million in 2022, and is anticipated to generate $179.4 million by 2032, witnessing a CAGR of 5.1% from 2023 to 2032. Request Sample Report: https://www.alliedmarketresearch.com/request-sample/101909 Caviar is basically fish eggs which can only be derived from a fish species called 'sturgeon'. They are usually available in olive green, orange, grey, and black in colour. Beluga caviar is the world's most valuable and expensive variant of caviar. This is usually served along with crackers & toasts. Prime determinants of growth The global beluga caviar market is driven by factors such as exclusivity & luxury appeal and the growth in culinary tourism. However, sustainability concerns and the high price of beluga caviar restrict the market growth. Moreover, increasing advances in aquaculture technology offer new opportunities in the coming years. Report Coverage Details Forecast Period 2023-2032 Base Year 2022 Market Size in 2023 $108.3 million Market Size in 2032 $179.4 million CAGR 5.1 % No. of Pages in Report 202 Segments covered Form, Application, Distribution Channel, and Region Drivers Exclusivity and Luxury Appeal Diversification and Hybrid Caviar Growing Culinary Tourism Opportunities Advances in aquaculture technology Restraints High Price Point Sustainability Concerns The fresh segment to maintain its leadership status throughout the forecast period Based on form, the fresh segment dominated the market in 2022, accounting for nearly two-thirds of the market share and is expected to dominate the market during the forecast period. This segment is projected to manifest the highest CAGR of 5.4% from 2023 to 2032, owing to its unique and complex flavor profile. As disposable income increases and consumer preferences shift toward high-quality culinary experiences, demand for fresh beluga caviar is expected to increase. Buy This Report (202 Pages PDF with Insights, Charts, Tables, and Figures) @ https://bit.ly/3OD6WBF The hotels and restaurants segment to maintain its lead position during the forecast period On the basis of the application, the hotels and restaurants segment dominated the market in 2022, accounting for more than three-fourths of the market share and is expected to maintain its dominance during the forecast period. Beluga caviar's increased application in the HoReCa sector is supported by an evolving gastronomic landscape and the rise in popularity of food. Innovative ways of incorporating top-quality ingredients into their recipes are always being explored by chefs and culinary professionals.The households segment is projected to manifest the highest CAGR of 5.9% from 2023 to 2032. The direct segment to maintain its lead position during the forecast period On the basis of the distribution channel, the direct segment dominated the market in 2022 accounting for more than two-thirds of the market share and is expected to maintain its dominance during the forecast period.This segment is projected to manifest the highest CAGR of 5.3% from 2023 to 2032. The rising consumer need for simplicity, technological advancements, the desire to enjoy a distinct culinary experience, and the chance for better value offerings on both the manufacturer and customer side are likely to drive the direct distribution channel of beluga caviar even further. Europe to maintain its dominance by 2032 Based on region, Europe held the highest market share in terms of revenue in 2022, accounting for nearly two-fifths of the global beluga caviar market revenue and is likely to dominate the market during the forecast period owing to factors such as the region's cultural connection to caviar, its diverse culinary landscape, sustainable fishing practices, the influence of the tourism industry, technological advancements in e-commerce, and the European market's appreciation for authenticity.The LAMEA region is projected to manifest the highest CAGR of 7.3% from 2023 to 2032 Enquire Before Buying: https://www.alliedmarketresearch.com/purchase-enquiry/101909 Leading Market Players: - 8 Gems Pte Ltd. Caviar India Inc. La Maison Nordique Attilus GmbH Imperial Beluga Caviar Inc. Petrossian Inc. Russian Caviar House LLP Caviar De Beluga Ltd. Caviar House And Prunier (Switzerland) SALemberg Lebensmittel GmbH. The report provides a detailed analysis of these key players in the global beluga caviar market. These players have adopted different strategies such as acquisition, partnerships, and new product launches to increase their market share and maintain dominant shares in the market. The report is valuable in highlighting business performance, operating segments, product portfolio, and strategic moves of market players to showcase the competitive scenario. Trending Reports in Food and Beverages Industry Hackleback Caviar Market: Global Opportunity Analysis and Industry Forecast, 2019-2026 Butter Powder Market: Global Opportunity Analysis and Industry Forecast 2023-2032 Coconut Products Market: Global Opportunity Analysis and Industry Forecast, 2019-2026 Fast Food Market : Global Opportunity Analysis and Industry Forecast 2020-2027 About Us Allied Market Research (AMR) is a full-service market research and business-consulting wing of Allied Analytics LLP based in Portland, Oregon. Allied Market Research provides global enterprises as well as medium and small businesses with unmatched quality of "Market Research Reports" and "Business Intelligence Solutions." AMR has a targeted view to provide business insights and consulting to assist its clients to make strategic business decisions and achieve sustainable growth in their respective market domain. We are in professional corporate relations with various companies, and this helps us in digging out market data that helps us generate accurate research data tables and confirms utmost accuracy in our market forecasting. Allied Market Research CEO Pawan Kumar is instrumental in inspiring and encouraging everyone associated with the company to maintain high quality of data and help clients in every way possible to achieve success. Each and every data presented in the reports published by us is extracted through primary interviews with top officials from leading companies of domain concerned. Our secondary data procurement methodology includes deep online and offline research and discussion with knowledgeable professionals and analysts in the industry. Contact: David Correa 5933 NE Win Sivers Drive #205, Portland, OR 97220 United States USA/Canada (Toll Free): +1-800-792-5285, +1-503-894-6022 UK: +44-845-528-1300 Hong Kong: +852-301-84916 India (Pune): +91-20-66346060 Fax: +1(855)550-5975 help@alliedmarketresearch.com Web: https://www.alliedmarketresearch.com/reports-store/food-and-beverages Follow Us on Blog: https://www.dailyreportsworld.com/ Logo - https://mma.prnewswire.com/media/636519/3950895/Allied_Market_Research_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/beluga-caviar-market-to-reach-179-4-million-by-2032-at-5-1-cagr-allied-market-research-301902667.html Frugal, low-cost lifestyles attract growing number of followers More young people in China are looking to frugal, low-cost lifestyles in order to spend less and save more. In following a trend adopted by their counterparts in many other countries, they are beginning to realize and reject the pitfalls of consumerism, believing that simplicity can bring happiness and satisfaction, experts said. Economic and employment pressures, as well as changing perceptions toward material life, are also resulting in more young people turning their backs on extravagance and waste in the post-pandemic era, the experts added. Frugality is being practiced in a number of ways. Some young people opt for discounts and low-priced goods, while others are replacing well-known brands with those that are cost-effective. Some are cautious when buying nonessential items, while others say they save all they can in order to make important purchases. He Jiazheng, 22, a college student in Shenzhen, Guangdong province, said he spends about 10 yuan ($1.38) on each meal and no more than 100 yuan on each item of clothing. "In middle school, I only used to buy major brands. Then, I realized that a piece of clothing, regardless of whether it is from a well-known brand or not, may only be worn for one or two years. So, eventually I felt it was unnecessary to buy brand names. As long as the clothes are suitable to wear, this is fine," He said. "Besides, the quality of famed brands sometimes is not as good as that of ordinary ones." He, who is studying economics, said his pursuit of frugality stems from the idea of "realizing maximum happiness through minimum expenditure". The student added, "As luxury food and brand-name clothing cannot increase my happiness, why not satisfy myself by spending less for the same amount of happiness?" Discounts eyed Luna Lu, 23, a post-graduate student in Beijing, said her frugal behavior was influenced by her financial situation. "I would like to have better things, but in order to save money for more worthwhile activities, I need to make an effort to be thrifty," she said. For example, she usually goes shopping during holidays when discounts are often offered and she can buy items that will last her some time. She also purchases cheaper video memberships on websites, and keeps an eye on discounted furniture. "I am happy with these money-saving methods. I use the money I save to meet my social and cultural needs, such as dining out with friends or attending concerts," Lu said. She said she tries to avoid so-called pink taxes a levy or other cost added to the price of a product or service aimed particularly at or used by women, especially when compared to similar products for men. "For instance, I use men's razors instead of women's hair removal razors and buy men's shorts or sweatshirts. Once something carries a feminine touch, it becomes more expensive without necessarily being of better quality. On the other hand, men's clothing is generally cheaper than women's, and at times even has better fabric," she said. Lu added that consumerism has become a mainstream and dominant form of society because it aligns with human nature. "Everyone wants to show their worth through the things they buy. It's the easiest way to find happiness. I don't completely reject this kind of happiness, so I don't entirely reject the consumer society either. Even if I know it can be a trap, I still might fall into it," she said. Eating cheaply Zhang Ying, 19, from Foshan, Guangdong, excels at budget planning. In June and last month, she only spent 800 yuan each month, eating stewed noodles cooked in her dormitory, bread and milk bought on the online discount platform Pinduoduo, and half-priced yogurt fruit mix bought on campus after 9:30 pm. Her short-term goal is to save money to attend a concert performed by Mayday, her favorite singing group, while her longer-term goal is to travel to Lhasa, Tibet autonomous region, Hong Kong and Macao during the next semester. Zhang said she enjoys saving small amounts "to do big things" and is not fussy about what she eats or wears. "Living a frugal life allows me to have fun without asking my parents for extra money. I am very happy about this," she said, adding that eating simple meals also helps her lose weight. "I still have three meals a day, but they are low in oil and salt. I initially felt nauseous at the thought of eating noodles, but thankfully I persevered and conquered my fears." Zhang, who receives pocket money of 2,000 yuan a month from her parents, said, "I often trick myself into thinking that I only have 50 yuan left for the week, so that I will be reluctant to spend money recklessly." When she has some extra cash, she stocks up on items such as tissues, sanitary pads, charging cables, shampoo and shower gel. "I also top up my phone credit as soon as I receive my pocket money, so that when I need to be frugal, I can still get by," she said. Tang Weibo, 25, from Shenzhen, who tends to shop online instead of using offline outlets, avoids shopping at night, as he does not want to make impulsive purchases. He also uses a variety of shopping coupons. But he disagrees with adopting frugality at the expense of time or a reduced quality of life. "If I spend two hours to gain a discount of 3 yuan, this is not reasonable frugality," he said. Wardrobe tackled In Shanghai, the simple lifestyle of Coco Wu, 34, a human resources worker, mainly involves sorting, storing and finding numerous uses for items. She started by organizing her wardrobe. "Clearing out the piles of clothing made me realize just how much unconscious buying and wastage I had been engaged in. This served as a reminder to avoid frequent purchases," she said. "It's been more than 10 months since I last bought clothes, and yet I don't feel as if I have nothing to wear. I have saved a lot of money and avoided waste in terms of buying clothes." She uses a bar of soap not only for washing clothes but also as a natural air freshener for rooms, and to remove odors from shoe cabinets. Wu said that in pursuing frugality, many people also use soap to wash their hair, but she will not do this, as soap can damage the hair. She said the concept of decluttering she read about in books made her realize the relationship between people and their possessions, and that instead of constantly organizing and buying items, it is better to think about simplifying belongings. She added that she has almost halved her expenses by adopting a simple approach to daily life. "I feel that decluttering brings less chaos to life. For example, in the morning, I don't waste time thinking about dressing to please others, and I don't feel insecure about my appearance due to a bad combination of outfits. On the other hand, the money saved by living simply can be put by for future needs, giving me peace of mind," Wu said. Travel expectations Ma Yuan, 36, a teacher in Shanxi province, began saving money a year ago to keep account of her spending, as her family expects to travel frequently. She stopped buying well-known brands of cosmetics using cheaper products instead. Ma also recycled empty boxes, cut back on dining out, and reduced the number of unnecessary purchases. "While pursuing material desires may bring temporary happiness, I have discovered that living a more frugal lifestyle can lead to a sense of spiritual abundance (the belief that there is enough for everyone)," she said. "I have many dreams that require financial support, but by consciously controlling and reducing my spending, I am able to bring those dreams closer to reality. "I have not felt that my quality of life has declined, but rather that it has improved. By saving money on a day-today basis, I am able to confidently purchase better-quality items that I truly love and desire," she said. Yu Xin, 34, a saleswoman in Jilin province, buys discounted vegetables after 7 pm and cheap clothes at a wholesale market, as the cost of raising her child is high. She also thinks that she may lose her job when she is older. In addition, her husband is not earning a stable wage as a construction worker. A number of young netizens have taken to social media platforms such as Douban and Xiaohongshu to share their experiences of saving money. Some label themselves "stingy", while others joke that they are going mad in their desire to save. They address topics such as "How to buy a meal for just 3 yuan" or "How to use shower gel for a year". For thousands of years, Chinese have regarded frugality as a virtue and a good lifestyle choice. Confucius once praised student Yan Hui for remaining optimistic even though he ate from a bamboo basket, drank from a ladle, and lived in a humble alley, adding that he was a truly virtuous person. United States author and philosopher Henry David Thoreau lived for two years by himself in a wooden house near Walden Pond, Massachusetts, close to nature and far from vanity. Walden, the book he wrote during this time, still sells well. Positive move Some people are forced to live a frugal life due to socioeconomic pressure, while others choose to do so as a rejection of excessive consumption, storage or waste in a fast-paced, impetuous society. Li Daxiao, chief economist at Yingda Securities, said being thrifty is healthy, positive and a wise lifestyle decision. "For example, taking the subway can be faster than a taxi, while eating simply is also healthy," he said. He said downward pressure on the economy has affected young people's spending power, prompting them to become more cautious. "More people are learning to control and reduce their desire to spend, so that the money saved can be used for more important purposes, such as traveling and studying," he said. Jason Yu, general manager at the Kantar Worldpanel China consultancy, said the COVID-19 pandemic over the past three years taught young people the importance of enhancing immunity, both physically and mentally, when confronted with uncertainties. It also encouraged them to abandon superficial pleasure to rethink the meaning of life. This year, the performance of markets such as cosmetics and skin care, which are largely driven by young people, has declined significantly, showing that this group of consumers has become more cautious. "They are very smart in finding cheaper products with a high efficacy, so that their quality of life is not compromised by lower costs. They are also more willing to make trade-offs in life," Yu said. He suggested that companies adjust their strategies and develop products to match young people's new consumption habits and values. Joanna Lu, global expert partner at the consultancy Bain& Co, said consumption is not being downgraded, but the industry needs to look at ways to stimulate consumer desire and provide customers with more value-based reasons to shop. "Why have products such as a liter of iced Americano or various bulk-packaged goods had such a big impact on the market? It is not difficult to discover that they not only have high quality but also offer better cost-effectiveness," she said. Discount stores where consumers can buy high-end products at extremely low prices also attract a large number of young people who are not wealthy but want to improve their quality of life, Lu added. SARATOGA SPRINGS, NY / ACCESSWIRE / August 16, 2023 / Inc. announced that Digital Blue ranks No. 273 on the 2023 Inc. 5000, its annual list of the fastest-growing private companies in America. The prestigious ranking provides a data-driven look at the most successful companies within the economy's most dynamic segment-its independent, entrepreneurial businesses. Digital Blue's three-year growth rate of 2,076% was ranked No. 28 nationally in Health Services, No. 22 in New York, and No. 1 in the Capital Region of New York. Inc. and Digital Blue Logo Inc. and Digital Blue Logos "We are honored to be recognized by Inc. as one of the fastest-growing companies in the U.S. Our tremendous growth can be attributed to our awesome team that continually goes above and beyond for our clients and Digital Blue. We would like to thank our clients and partners for their continued trust in us as we collectively improve lives!" - Shawn Firehock, Founder and CEO The Inc. 5000 class of 2023 represents companies that have driven rapid revenue growth in uncertain market conditions from 2019 through 2022. In all, this year's honorees have added 1,187,266 jobs to the economy over the past three years. For complete results of the Inc. 5000, including company profiles and an interactive database, go to www.inc.com/inc5000. Digital Blue will be featured among the other top 500 companies in the September issue of Inc. magazine, available on newsstands beginning Tuesday, August 23. In addition to being listed on the Inc. 5000, Digital Blue recently ranked No. 21 on the Inc. Northeast Regional List and was recognized as one of the "Best Places to Work" by both Inc. and the Albany Business Review. These accolades underscore Digital Blue's mission to improve lives by optimizing the operations of leading health care organizations. Over these past three years, Digital Blue has also developed an industry-leading healthcare administration solution, the Digital Clinical Assistant (DCA). The DCA utilizes intelligent automation, artificial intelligence, and a digital workforce to automate the most burdensome administrative tasks for health systems and specialty physician groups. The DCA reduces the administrative burden for key processes by over 50% while improving patient outcomes. The DCA integrates seamlessly with leading EHRs and is prominently featured on the athenahealth marketplace. Digital Blue's impact on its clients' performance has been significant, delivering tangible benefits, including recovering 25,000 clinical hours annually through the automation of manual administrative processes, achieving a 300% improvement in productivity for a health plan department, and reducing prior authorization costs by over 60% for a leading health system. About Digital Blue Digital Blue is a healthcare solutions company dedicated to optimizing business performance to improve lives. Their solutions and services empower healthcare leaders to eliminate inefficiencies, increase productivity, and improve outcomes for their customers. Digital Blue partners with a diverse range of clients, including health plans, hospitals, physician groups, and community organizations. We drastically improve the business performance of leading organizations by leveraging intelligent automation and artificial intelligence. To learn more about Digital Blue, visit www.digitalblue.io About Inc. Inc. Business Media is the leading multimedia brand for entrepreneurs. Through its journalism, Inc. aims to inform, educate, and elevate the profile of our community: the risk-takers, the innovators, and the ultra-driven go-getters who are creating our future. Its proprietary Inc. 5000 list, produced every year since 1982, analyzes company data to rank the fastest-growing privately held businesses in the United States. The recognition that comes with inclusion on this and other prestigious Inc. lists gives the founders of top businesses the opportunity to engage with an exclusive community of their peers, and credibility that helps them drive sales and recruit talent. For more information, visit www.inc.com. Contact Information Amanda Mahoney Marketing amahoney@digitalblue.io SOURCE: Digital Blue View source version on accesswire.com:https://www.accesswire.com/774558/Digital-Blue-Ranks-No-273-on-the-2023-Inc-5000-Fastest-Growing-Companies-List-With-Three-Year-Revenue-Growth-of-2076 Finsbury Growth & Income Trust Plc - Transaction in Own Shares PR Newswire LONDON, United Kingdom, August 16 For immediate release 16 August 2023 FINSBURY GROWTH & INCOME TRUST PLC (the "Company") MARKET PURCHASE OF COMPANY'S OWN SHARES The Company announces that it has today purchased 100,000 of its own shares ("Ordinary Shares") at a price of 867.41 pence per Ordinary Share. Such shares will be held in treasury by the Company. The transaction was made pursuant to the authority granted at the Annual General Meeting of the Company held on 17 January 2023. Following this transaction, the total number of Ordinary Shares held by the Company in treasury is 18,370,544; the total number of Ordinary Shares that the Company has in issue, less the total number of Ordinary Shares held by the Company in treasury following such purchase, and therefore, the total number of voting rights in the Company is 206,620,759. The figure of 206,620,759 may be used by shareholders as the denominator for calculations of interests in the Company's voting rights in accordance with the FCA's Disclosure Guidance and Transparency Rules. For and on behalf of Frostrow Capital LLP Company Secretary For further information, please contact: Victoria Hale Frostrow Capital LLP Tel: 020 3 170 8732 NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / World Environment Center The World Environment Center (WEC) announced today that Dr. Neil Hawkins, President of Michigan Sustainability Associates and Research Advisor/Instructor at Harvard, has been appointed interim president and CEO of WEC. With over three decades in the field, Dr. Hawkins brings extensive experience and expertise from roles such as Chief Sustainability Officer and Corporate Vice President of Environment, Health, and Safety at The Dow Chemical Company. He has also led initiatives like the breakthrough valuing nature partnership with The Nature Conservancy, integrating sustainability at the core of business strategies, and driving environmental and social action. While serving as Interim CEO, Dr. Hawkins will continue his role as a Research Advisor and Instructor at Harvard University in the Master of Sustainability Program, underlining his commitment to bridging academia while bolstering business sustainability efforts. With his multifaceted experiences, from international environmental treaties to advising corporate leaders, Neil is uniquely positioned to help propel WEC forward. "It is a great honor to be asked to serve as Interim CEO of World Environment Center. I have great respect for the organization from my prior long-term Board membership and from seeing its great progress with Glenn at the helm. I will support the Board, staff, and members while the Board conducts its process for identifying a permanent CEO." Hawkins said. WEC extends many thanks to outgoing president and CEO, Glenn Prickett, for his dedicated leadership and welcomes Dr. Hawkins, believing that his insights will help amplify WEC's role in advancing a sustainable future through corporate business practices. About the World Environment Center WEC is an influential global non-profit organization that fosters sustainable development through business practices. With its member-driven agenda and a legacy dating back to its founding by the United Nations in 1974, WEC accelerates sustainability solutions through innovation, thought leadership, and public-private partnerships. Headquartered in Washington, D.C., with regional offices in China, El Salvador, and Germany, WEC collaborates with various stakeholders to drive tangible change. Learn more about WEC programs here. For further information, please contact info@wec.org. View additional multimedia and more ESG storytelling from World Environment Center on 3blmedia.com. Contact Info: Spokesperson: World Environment Center Website: https://www.3blmedia.com/profiles/world-environment-center Email: info@3blmedia.com SOURCE: World Environment Center View source version on accesswire.com:https://www.accesswire.com/774583/World-Environment-Center-Names-Dr-Neil-C-Hawkins-as-Interim-President-CEO MORGAN HILL, CA / ACCESSWIRE / August 16, 2023 / After recent successful launches on AWS and Google Cloud marketplaces, EMQ has now taken the final step toward a cloud-optimized future brimming with possibilities: the world's leading provider of open-source IoT data infrastructure has announced the global availability of EMQX Cloud on the Azure Marketplace, helping companies seeking Azure-certified, readymade, cloud-based solutions. Recognizing the importance of the cloud To have a reputable presence on all three major cloud platforms is a telling achievement. EMQX customers can take advantage of a third platform offering pay-as-you-go pricing - more options, more flexibility. And now digital transformation is within the reach of many more companies, simplifying planning, scaling, and so much more by having access to multiple cloud platforms. EMQX is commited to supporting IoT businesses and developer teams with reliable and high-performance solutions for IoT connectivity wherever they are building. Looking into the power of EMQX Cloud Dedicated As a fully managed MQTT cloud service on mainstream cloud platforms, EMQX Cloud Dedicated offers flexible deployment across multiple regions within Microsoft Azure. Establishing peering between EMQX Cloud and other Azure resources is a straightforward process, exceptionally convenient for users already deploying their resources on Azure. Subscribing to EMQX Cloud from Azure Marketplace enables users to effortlessly manage charges and bills under their existing Microsoft Azure account. The subscription can be easily modified or canceled at any time, providing the freedom to adapt as needed. Trial users can access the majority of EMQX Cloud's features without a credit card required, simplifying the process of acquiring a fully managed MQTT service to just a few clicks. So what's next for the cloud? "Cloud continues to feature heavily in our customers' technical requirements and has become a critical part of our business. Marketplace integration also differentiates EMQX from many other IoT offerings on the market today, helping us stay competitive for the long-term. EMQ will continue to invest in creating diverse cloud options to support IoT applications of all kinds," EMQ Technologies CEO Dylan Kennedy states. EMQX Cloud for Azure offers the ability to access high-performance MQTT services with ease and convenience, integrating IoT projects and billingly seamlessly into other Microsoft Azure services. Learn more about EMQX Cloud right here and how the IoT experience is seeing a new cloud revolution. About EMQ EMQ is the world's leading software provider of open-source IoT data infrastructure. Its core product EMQX, the world's most scalable and reliable open-source MQTT messaging platform, supports 100M concurrent IoT device connections per cluster while maintaining 1M message per second throughput and sub-millisecond latency. It boasts more than 20K+ enterprise users, connecting 100M+ IoT devices, and is trusted by over 400 customers in mission-critical IoT scenarios, including well-known brands like HPE, VMware, Verifone, SAIC Volkswagen and Ericsson. EMQ's global R&D center is located in Stockholm, Sweden. It has 10+ offices throughout the Americas, Europe, and the Asia-Pacific region. CONTACT: 305 Vineyard Town Center, Morgan Hill, CA, USA 95037 www.emqx.com | media@emqx.io SOURCE: EMQ Technologies Inc. View source version on accesswire.com:https://www.accesswire.com/774458/EMQX-Cloud-Now-Available-in-the-Microsoft-Azure-Marketplace San Diego, California--(Newsfile Corp. - August 16, 2023) - Direct Communication Solutions, Inc. (OTCQX: DCSX) (CSE: DCSI) (FSE: 7QU0) ("DCS" or the "Company"), a leading provider of information technology solutions for the Internet of Things (IoT) market, is pleased to announce it has posted its Interim Financial Statements for the three and six months ended June 30, 2023, and the corresponding Management Discussion & Analysis on SEDAR+. Significant Highlights The following highlights and developments are for the six months ended June 30, 2023: Successful collaboration between Epson and the Company's MiFleet solution in the prevention of cargo theft, which is estimated to be $200M a year in the United States. Signed a five-year agreement with Alsco Uniforms, the world's largest uniform provider, to offer its AI Video Telematics Solution powered by VisionTrack. Partnered with VisionTrack to provide AI-Powered Video Telematics and IoT Solutions to the North American Market. Entered into a strategic collaboration with Teltonika Telematics to become their Master Partner in the USA and Canada. Executing our strategy of transitioning to a Software-as-a-Service (SaaS) enabler in the IoT market to focusing on IoT solution that generates recurring revenue. Continuously working on NYSE up listing. CEO of Direct Communication Solutions, Chris Bursey, reports strong Q2 revenues and growth, highlighted by the subsequent information: Direct Communication Solutions Inc. had second-quarter 2023 revenues of $ 4.6 million (U.S.) versus $ 5.8 million (U.S.) in Q2 2022, representing a decrease of 21 percent year over year. The six-month revenues ended June 2023, were reported at $ 7.8 million (U.S.) versus $ 13.6 million (U.S.) in 2022, representing a decrease of 43 percent. Q2 2023 gross profit was $ 1.6 million (U.S.) versus $ 1.8 million (U.S.) in Q2 2022, representing an 18-per-cent decrease. The six-month gross profit ended June 2023, was reported at $ 2.6 million (U.S.) versus $ 4.2 million (U.S.) in 2022, representing a decrease of 39 percent. The six-month net loss ended June 2023, was reported at $2.4 million(U.S.) versus a net income of $700,000 (U.S.) in 2022. Q2 2023 adjusted EBITDA was ($602k) (U.S.) versus $361k in Q2 2022, representing a 266-percent decrease. The six-month adjusted EBITDA was ($1.3 million) (U.S.) versus $ 1 million (U.S.) in 2022, representing a decrease of 230 percent. "In Q2 2023, the Direct Communication team continued to focus and execute our strategy of providing IoT solutions that generate recurring revenue with higher margins. We are executing this transition, which we believe will bring maximum value to our shareholders. Management has secured some key wins, with several large customers, demonstrating our ability to execute." Chris Bursey also commented, "We have added a significant number of new SaaS subscribers in Q2 2023 that we can build on for the future." The conversion rate is $1 (U.S.) to $1.32 (Canadian). We seek Safe Harbor. About Direct Communication Services Inc. DCSI is a technology solutions integrator focusing on connecting the Internet of Things. We provide real solutions that solve real problems. Our software applications and scalable cloud services collect and assess business-critical data from all types of assets. DCSI is headquartered in San Diego, California and is publicly traded on the OTCQX ("DCSX"), Canadian Securities Exchange ("DCSI") and Frankfurt Stock Exchange ("7QU0"). For more information, visit www.dcsbusiness.com. DCSI and the DCSI logo are among the trademarks of DCSI in the United States. Any other trademarks or trade names mentioned are the property of their respective owners. Contacts Chris Bursey, CEO cbursey@dcsbusiness.com 858-525-2483 Forward-Looking Statements This release contains forward-looking statements reflecting management's current views of future events and operations. These statements are based on current expectations and assumptions, subject to risks and uncertainties that could cause results to differ materially. DCS believes that these potential risks and uncertainties include, without limitation: the ongoing COVID-19 pandemic, the Company's dependence on third-party manufacturers, suppliers, technologies, and infrastructure; risks related to intellectual property; industry risks, including competition, online security, government regulation, and global economic conditions; and the Company's financial position and need for additional funding. Statements in this release should be evaluated in light of these factors. These risk factors and other important factors that could affect our business and financial results are discussed in our Management's Discussion and Analysis, periodic reports, and other public filings available on SEDAR+ at www.sedarplus.ca and posted with the OTC Disclosure and News Service. DCS undertakes no duty to update or revise any forward-looking statements. Neither the Canadian Securities Exchange nor its Regulation Services Provider (as that term is defined in the policies of the Canadian Securities Exchange) accepts responsibility for the adequacy or accuracy of this release. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177483 CyberGhost's data highlights a significant knowledge gap on revenge porn and what to do if you become a victim, with 62% of respondents unaware of where to turn for help BUCHAREST, ROMANIA, Aug. 16, 2023, a leading online privacy and security provider, exposes a significant knowledge gap on revenge porn and what to do should you fall victim to this distressing and rapidly increasing crime. CyberGhost VPN is calling for increased awareness and education on revenge porn, in order to help prevent such incidents occurring in the first place and to empower victims to seek support. Respondents unaware of how to seek help The CyberGhost survey, carried out among a sample of internet users over 18 years old, focused on understanding attitudes towards and actions taken around revenge porn. Revenge porn is the distribution of sexually explicit images or videos without the consent of the individual depicted. Over 20% of respondents said they knew someone who had been a victim of revenge porn, while over 8% had been a victim themselves. Strikingly, some respondents highlighted that they encountered revenge porn during their time at school, not just from individuals they had been in a romantic relationship with, but also as a deliberate strategy employed by fellow students for the purposes of bullying or causing embarrassment. In other cases the perpetrator did not actually send the explicit images, but used the threat of doing so as a method of coercion and control. Victims who reported their experience to law enforcement received mixed responses. While some reported that they had been able to successfully bring charges against their harasser, others noted delays to proceedings and a lack of information or support. Globally, 62% of respondents replied that they did not know what to do if they were to become a victim of revenge porn. In the US, there was an even greater lack of awareness regarding what action to take should this happen to them, with 72% of respondents saying they wouldn't know what to do. A stronger legal stance in the US Concerningly, 46.2% of respondents in the US were not aware that revenge porn is illegal despite the fact that nearly all 50 states have laws that outlaw nonconsensual pornography, or revenge porn. Most states make it a criminal offense to disseminate intimate images of someone if the defendant acted with intent to harm. In New York City, a law passed in 2017 goes even further, making it a crime to even threaten to send revenge porn. Victims can also go to family court and get a civil restraining order directing offenders to destroy the victims' intimate images in their possession, or face arrest if they continue to distribute them. There are also plans to make revenge porn a federal crime through the proposed Stopping Harmful Image Exploitation and Limiting Distribution (SHIELD) Act, which would criminalize the non-consensual distribution of nude or sexually explicit images, including threatening to do so. Under the legislation, reintroduced in 2023 by U.S. Senators Amy Klobuchar and John Cornyn, offenders could be imprisoned for up to five years. The need for social change Whilst ensuring adequate legal provision for prosecuting these crimes is important, the findings of the CyberGhost VPN survey also demonstrate a critical need for better education and support for victims of revenge porn. A spokesperson for CyberGhost VPN said: "The lack of awareness regarding the illegality of revenge porn and of how to seek justice if you are a victim is deeply concerning. "Legal developments in this area have progressed, helping to provide victims with clearer avenues by which to seek justice, yet more still needs to be done socially to combat this issue. Educational initiatives emphasizing the importance of consent, digital privacy, and the legal ramifications of engaging in revenge porn can play a crucial role in helping to prevent it in the first place. "Similarly, equipping people with the knowledge of how to better protect themselves online, including how to safeguard their personal information, use secure platforms, and navigate privacy settings effectively, is vital in helping to foster a safer digital environment for all." Rise in revenge porn drives increased awareness of digital privacy Despite a lack of awareness about what to do should you become a victim of revenge porn, the survey results did demonstrate that people had increased the amount of attention they paid to their online privacy as a result of the rise in revenge porn and similar malicious activities. Over 56% of respondents said their increased awareness of this type of crime had made them more cautious about their digital privacy and what they were willing to share online. In particular, nearly 47% said that they took care not to share account logins with others, including for social media, cloud storage and other accounts and 46% said that they were consciously restricting how much they shared online, including their location, age and details about their personal life. Other measures people were using to look after their privacy online included using strong, unique passwords which they updated regularly, using encrypted messaging apps, making sure to logout of social media accounts and apps, and using privacy software including VPNs and ad-blockers. Support for victims The following steps are recommended for those who become a victim of revenge porn: Gather evidence: Take screenshots of all websites or platforms where your private content is being shared, including original or manipulated images, your requests for removal, and any communication with the person responsible. This evidence will be useful for future legal action. Take screenshots of all websites or platforms where your private content is being shared, including original or manipulated images, your requests for removal, and any communication with the person responsible. This evidence will be useful for future legal action. Request content removal: Contact the hosting site directly to request the quickest possible removal of your content. While social media platforms like Facebook, Instagram, and Twitter are not legally responsible for user-generated content, they typically have policies against non-consensual porn. Report your content on these platforms to have it blocked, and also ask for the removal of the images from search engine results such as Google and Bing. Contact the hosting site directly to request the quickest possible removal of your content. While social media platforms like Facebook, Instagram, and Twitter are not legally responsible for user-generated content, they typically have policies against non-consensual porn. Report your content on these platforms to have it blocked, and also ask for the removal of the images from search engine results such as Google and Bing. Know your legal rights: Research the laws in your jurisdiction regarding revenge porn, defamation, copyright infringement, and invasion of privacy. For example, in the United States, the Cyber Civil Rights Initiative provides an overview of revenge porn laws by state, including information on sextortion and https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes (https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes). (https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes.) Research the laws in your jurisdiction regarding revenge porn, defamation, copyright infringement, and invasion of privacy. For example, in the United States, the Cyber Civil Rights Initiative provides an overview of revenge porn laws by state, including information on sextortion and https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes (https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes). (https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes.) Involve law enforcement: With your evidence and legal knowledge, contact local law enforcement or consult with a lawyer to seek legal assistance in removing or deleting the content and holding the perpetrator accountable. With your evidence and legal knowledge, contact local law enforcement or consult with a lawyer to seek legal assistance in removing or deleting the content and holding the perpetrator accountable. Consider hiring a lawyer: An experienced attorney can help navigate the legal process, protecting your rights and increasing the likelihood of achieving a satisfactory outcome. They can assist with filing necessary reports, obtaining court orders to remove the illicit content, and potentially pursuing criminal charges or civil lawsuits against the person responsible. An experienced attorney can help navigate the legal process, protecting your rights and increasing the likelihood of achieving a satisfactory outcome. They can assist with filing necessary reports, obtaining court orders to remove the illicit content, and potentially pursuing criminal charges or civil lawsuits against the person responsible. Seek emotional support: Dealing with non-consensual porn can be traumatic, so it's important to reach out for emotional support if needed. Contact crisis helplines or therapists who specialize in sexual trauma for assistance. In the United States, the CCRI's 24-hour crisis hotline (https://cybercivilrights.org/victim-resources/#) is available, and the organization also provides a directory of country-specific resources for victims outside the US. The National Domestic Violence Hotline (https://www.thehotline.org/resources/revenge-porn/) also provides specific advice on revenge porn. CyberGhost VPN has long been recognized as a champion of internet privacy and their Privacy Hubcontains useful educational resources on many aspects of privacy and cybersecurity. Anyone who has concerns about revenge porn or other online harassment can visit the company's website: https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/for further advice and assistance. Notes to Editor Please contact Charlotte Scott at CyberGhost VPN at charlotte.scott@cyberghost.com. About CyberGhost VPN Founded in 2011, CyberGhost VPN is dedicated to building a future where privacy online is a basic human right. The company's VPN platform is one of the most reliable in the world, delivering a secure and private online experience to millions of people globally. Just one subscription covers and protects up to 7 devices simultaneously, and their superior network of 10Gbps servers provides customers with excellent speeds and unlimited bandwidth. With an impressive server fleet covering more than 90 countries and over 115 different locations, CyberGhost is a popular choice for those looking for a reliable VPN provider for streaming and gaming. CyberGhost has been part of Kape Technologies since 2017. To learn more about CyberGhost, visit www.cyberghostvpn.com. About the survey CyberGhost's survey was carried out using the survey platform Survey Monkey in June 2023 using a global sample of 1055 people in English, French, German and Spanish. The sample size included respondents aged 18 to 65. 58% of respondents identified as female, 40% male and less than 1% non-binary and transgender. The survey asked questions relating to people's attitudes and knowledge of both sexting and revenge porn. Panama City Beach, Florida--(Newsfile Corp. - August 16, 2023) - In the wake of the devastating fires that have ravaged Maui, Kandy Boy, a leading name in the cannabis industry, is stepping up to make a difference. The company is not only known for its premium cannabis products but also for its commitment to community support. Today, Kandy Boy announces a heartfelt initiative to aid the victims of the Maui fires. Kandy Boy Extends a Helping Hand to Maui Fire Victims To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9047/177507_kandyboymaui.jpg Understanding the urgent need for assistance for those who have been displaced and affected by the fires, Kandy Boy has pledged to donate 10% of sales from Thursday, August 17th to the victims in Maui. This gesture aims to provide direct financial support to those who are facing the aftermath of this natural disaster. CEO Benjamin Boyce, a passionate advocate for community engagement and support, will personally travel to Maui to distribute the funds collected from the sales. The initiative embodies the company's core values of compassion and solidarity during challenging times. "Our hearts go out to the people of Maui who have been impacted by these devastating fires. As a company deeply committed to giving back to our communities, we feel it's our duty to extend a helping hand during these difficult times," said Benjamin Boyce, CEO of Kandy Boy. The company acknowledges that the power of community support extends beyond its own efforts. To encourage broader assistance, Kandy Boy invites everyone to participate. The public can play a significant role by sharing this message and raising awareness about the initiative. Additionally, subscribing to the company's YouTube channel, liking, commenting, and sharing its content will contribute to the cause, as a portion of the proceeds will also go towards helping the fire victims. "We believe that together, we can make a meaningful impact. By spreading the word and participating in our online community, you're contributing to a cause that will directly assist those who need it the most. We are grateful for every share, like, and subscription that supports our mission," added Benjamin. Kandy Boy is committed to a long-term journey of support for Maui's fire victims. The company believes that through collective efforts, change can be brought about, and affected individuals can begin to rebuild their lives. For more information about Kandy Boy Cannabis and their ongoing efforts to support the Maui fire victims, please visit kandyboy.com or follow them on social media kandyboy420. About Kandy Boy: Kandy Boy is a renowned name in the cannabis industry, known not only for its exceptional products but also for its dedication to community welfare. The company's commitment to supporting causes and making a positive impact sets them apart as a responsible and caring industry leader. Media Contact: Benjamin Boyce CEO, Kandy Boy benji@kandyboy.com 12135008248 To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177507 Citizens Rings The Opening Bell(R) at The New York Stock Exchange on August 18, 2023 at Approximately 9:26 AM Eastern Time Austin, Texas--(Newsfile Corp. - August 16, 2023) - Citizens, Inc. (NYSE: CIA), a leading diversified financial services company specializing in life, living benefits, and final expense insurance, announced today the Company will ring The Opening Bell at the New York Stock Exchange to commensurate AM Best Rating Services, Inc. initiated ratings on CICA Life Insurance Company of America, a large Citizens life insurance subsidiary. AM Best is a global credit rating agency, news publisher, and data analytics provider specializing in the insurance industry. In the AM Best report in July 2023, CICA Life Insurance Company of America ("CICA") was assigned a Financial Strength Rating of B++ (Good) and Long-Term Issuer Credit Rating of bbb+ (Good). According to an announcement from AM Best, the stable outlook on CICA reflects the credit rating agency's expectation that over the intermediate term, CICA will maintain a Very Strong balance sheet strength assessment, supported by additional initiatives that will further leverage the parent company's enterprise risk management framework. Gerald W. Shields, Citizens' Vice Chairman and CEO, will lead the ceremony alongside other members of the Company's Board of Directors and management team. "It is an honor to be welcomed by the prestigious New York Stock Exchange, as we proudly achieve our first AM Best rating of CICA - a testament to our unwavering dedication to maintaining a Very Strong balance sheet and assuring that a promise made to our policyholders around the world is a promise kept," said Company Vice Chairman and CEO, Gerald W. Shields. The Opening Bell ceremony will be broadcast live on August 18, 2023 starting at approximately 9:26 a.m. Eastern Time from The New York Stock Exchange in New York, NY. A live stream of The Opening Bell ringing will be accessible at https://www.nyse.com/bell and on various financial and other news outlets including the New York Stock Exchange's social media channels: YouTube, Facebook, Instagram, LinkedIn and Twitter. The Opening Bell is a registered trademark of NYSE Group, Inc. About Citizens, Inc. Citizens, Inc. (NYSE: CIA) is a diversified financial services company providing life, living benefits and final expense insurance and other financial products to individuals and small businesses in the U.S., Latin America, and Asia. Through its customer-centric growth strategy, Citizens offers innovative products to address the evolving needs of its customers in their native languages of Spanish, Portuguese, and Mandarin. The Company operates two primary segments: Life Insurance, where the Company is a market leader in US Dollar denominated life insurance and accident and health insurance in growing niche markets in the United States, Latin America, and Asia and Home Services, which operates primarily in the U.S. Gulf coast region. For more information about Citizens, please visit the website at www.citizensinc.com and LinkedIn. Forward-Looking Statements This press release contains forward-looking statements within the meaning of the Private Securities Litigation Reform Act of 1995, which can be identified by words such as "may," "will," "expect," "anticipate", "believe", "project", "intends," "continue" or comparable words. Such forward-looking statements may relate to the Company's expectations regarding the impact of the COVID-19 pandemic, business performance, operational strategy, capital expenditures, technological changes, regulatory actions, and other financial and operational measures. In addition, all statements other than statements of historical facts that address activities that the Company expects or anticipates will or may occur in the future are forward-looking statements. Such statements are not guarantees of future performance and involve certain risks, uncertainties and assumptions, which are difficult to predict and many of which are beyond our control. Therefore, actual outcomes and results may differ materially from those matters expressed or implied in such forward-looking statements. The risks, uncertainties and assumptions that are involved in our forward-looking statements include, but are not limited to the risk factors discussed in our most recently filed periodic reports on Form 10-K and Form 10-Q. The Company undertakes no duty or obligation to update any forward-looking statements contained in this release as a result of new information, future events or changes in the Company's expectations. Accordingly, you should not unduly rely on these forward-looking statements. The Company also disclaims any duty to comment upon or correct information that may be contained in reports published by the investment community. Contact Information Investors Darrow Associates Investor Relations Jeff Christensen and Matt Kreps Email: CIA@darrowir.com (Jeff and Matt) Phone: 703-297-6917 (Jeff) and 214-597-8200 (Matt) To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177486 National Book Award Finalist and Chicago's Literary Rising Star Rebecca Makkai to Receive 21st Century Award; Renowned Sculptor Richard Hunt to Receive Arts Award; Civic Leader and Philanthropist Bob Wislow to Receive Civic Award Presenting Sponsor BMO Chicago, Illinois--(Newsfile Corp. - August 16, 2023) - Acclaimed author Colson Whitehead will receive the 2023 Carl Sandburg Literary Award from Chicago Public Library (CPL) and the Chicago Public Library Foundation (CPLF) as part of the 2023 Chicago Public Library Foundation Awards. Highlights from the Awards ceremony will be broadcast via a free YouTube video on Thursday, November 1, at 6:00 pm CST. Everyone is welcome to tune in and no ticket is required to view the broadcast. The 2023 Chicago Public Library Foundation honors Colson Whitehead, Rebecca Makkai, Richard Hunt and Bob Wislow and celebrates the power of public libraries. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9942/177379_cplffigure1.jpg Hosted by legendary newscaster Bill Kurtis, the Awards will be filmed in front of a live audience and will feature an engaging conversation with Whitehead and National Public Radio host Scott Simon. Whitehead is best known for his international and #1 New York Times Bestseller, The Underground Railroad, which won the Pulitzer Prize, the National Book Award, and the Carnegie Medal for Fiction, among many others. His 2020 novel The Nickel Boys also won the Pulitzer Prize for fiction, making Whitehead one of only four authors to have won the highest national honor in literary achievement twice. With this year's theme, Make the Future Now, the Foundation not only celebrates the 150-year legacy of our Chicago Public Library providing access to information, bringing people together, and responding to evolving community needs, but also highlights the central role public libraries like CPL play locally and across the nation. Libraries are catalysts for communities--activating minds, persisting, charging forward. Libraries are the future. "With book bans and challenges on the rise, the time is now to support our public libraries and CPL and CPLF will continue to work together to ensure our Library remains a community hub for all," says Commissioner of Chicago Public Library, Chris Brown. "What an honor to be an innovation partner to Chicago Public Library, finding bold ways to reach Chicagoans of all ages," said Brenda Langstraat Bui, President & CEO of Chicago Public Library Foundation. "Thanks to our partnership, we are able to meet Library users wherever they are in their learning journey with a full range of resources from free homework help to leadership development programs for our teens and digital literacy support for adults." More than 12,000 Library lovers worldwide tuned in to the 2022 CPLF Awards and together contributed $1.5 million to advance the Library Foundation's three investment priorities: Closing the Academic Opportunity Gap, Activating Creativity and Connection for All, and Bridging the Digital Divide. Continuing with a new model that opens the Awards experience to anyone, anywhere, the in-person dinner and Awards presentation will take place from 5:30 - 9:00 PM CST on October 24 at UIC Forum and be attended by approximately 700 of Chicago's civic, business, and cultural leaders. A specially produced free public broadcast will be accessible to all on November 1. For more information on event tickets and tables, please check cplfoundation.org/awards/contribute. Complete Lineup of Honorees National Book Award and Pulitzer-Prize Finalist Rebecca Makkai will receive the 21st Century Award, which honors significant recent achievements by a creator with ties to Chicago. Makkai's latest novel, I Have Some Questions For You, is receiving national acclaim. Her novel The Great Believers was one of the New York Times' Ten Best Books of 2018 and won numerous awards, including the ALA Carnegie Medal, the Stonewall Book Award, the Clark Prize, and the LA Times Book Prize. The Arts Award, which celebrates the power and impact of Chicago's artistic community, will be presented to world-renowned sculptor Richard Hunt. Throughout his career spanning seven decades, Hunt has singularly made the largest contribution to public art in the United States with over 150 public sculpture commissions around the US-and just last year, The Obama Foundation commissioned a new sculpture for the Obama Presidential Center from Hunt. The Foundation will present the Civic Award to Bob Wislow, Founder of Parkside Realty, for his extraordinary leadership and commitment to our city's most celebrated institutions, such as Rush University, The Chicagoland Chamber of Commerce, and the Museum of Contemporary Photography, among many others. Serving as CPLF's Board Chair for 12 years, Wislow has helped build the successful partnership between CPL and CPLF. About the Chicago Public Library Foundation Awards Produced by Chicago Public Library and the Chicago Public Library Foundation, the Chicago Public Library Foundation Awards is an annual fundraising event that celebrates the partnership between the Library and Library Foundation and generates crucial support for free lifelong learning programming for all ages available through the Library. Contributions at every level are welcome. Sponsorship packages and tickets for the event are available at cplfoundation.org/awards/. Learn more about the Awards here. About Us The Chicago Public Library Foundation (CPLF) is an independent nonprofit that exists to accelerate the potential of our public library by investing in resources that transform lives and communities. Together with its civic-minded partners, CPLF makes pathways to learning, creativity, and civic engagement accessible to Chicagoans of all ages through investment across three funding priorities: Closing the Academic Opportunity Gap; Activating Creativity & Connection; and Bridging the Digital Divide. Find us online at www.cplfoundation.org. Media Contacts: Brenda Langstraat Bui President & CEO, Chicago Public Library Foundation Phone: (312) 374-5242 Email: bbui@cplfoundation.org # # # To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177379 Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - Legend Power Systems Inc. (TSXV: LPS) (OTCQB: LPSIF) ("Legend Power" or the "Company"), a global leader in commercial electrical system solutions, announces it will release its Q3 2023 financial results for the nine months ended June 30, 2023, prior to market open on Friday, August 25, 2023. The Company has also scheduled a conference call to provide a business update and discuss its Q3 2023 financial results for Friday, August 25, 2023 at 11:00 AM ET (8:00 AM PT). The call will be hosted by Randy Buchamer, President & Chief Executive Officer. CONFERENCE CALL DETAILS: DATE: Friday, August 25, 2023 TIME: 11:00 AM ET (8:00 AM PT) DIAL-IN NUMBERS: North America Toll Free Dial-in Number 1.888.886.7786 ONLINE LISTENING Register for Webcast Participation CONFERENCE ID: 48101200 REPLAY: Available at: https://legendpower.com About Legend Power Systems Inc. Legend Power Systems Inc. (https://legendpower.com) provides an intelligent energy management platform that analyzes and improves building energy challenges, significantly impacting asset management and corporate performance. Legend Power's proven solutions support proactive executive decision-making in a complex and volatile business and energy environment. The proprietary and patented system reduces total energy consumption and power costs, while also maximizing the life of electrical equipment. Legend Power's unique solution is also a key contributor to both corporate sustainability efforts and the meeting of utility energy efficiency targets. For further information, please contact: Jonathan Lansky, Director +1 416 417 7664 lansky.j@icloud.com Sean Peasgood, Investor Relations + 1 647 503 1054 sean@sophiccapital.com Neither the TSX Venture Exchange nor the Investment Industry Regulatory Organization of Canada accepts responsibility for the adequacy or accuracy of this release. Forward-Looking Statements This Press Release may contain statements which constitute "forward-looking information", including statements regarding the plans, intentions, beliefs and current expectations of the Company, its directors, or its officers with respect to the future business activities and operating performance of the Company. The words "may", "would", "could", "will", "intend", "plan", "anticipate", "believe", "estimate", "expect" and similar expressions, as they relate to the Company, or its management, are intended to identify such forward-looking statements. Investors are cautioned that any such forward-looking statements are not guarantees of future business activities or performance and involve risks and uncertainties, and that the Company's future business activities may differ materially from those in the forward-looking statements as a result of various factors. Such risks, uncertainties and factors are described in the periodic filings with the Canadian securities regulatory authorities, including the Company's quarterly and annual Management's Discussion & Analysis, which may be viewed on SEDAR+ at www.sedarplus.ca. Should one or more of these risks or uncertainties materialize, or should assumptions underlying the forward-looking statements prove incorrect, actual results may vary materially from those described herein as intended, planned, anticipated, believed, estimated or expected. Although the Company has attempted to identify important risks, uncertainties and factors which could cause actual results to differ materially, there may be others that cause results to not be as anticipated, estimated or intended. The Company does not intend, and does not assume any obligation, to update these forward-looking statements other than as may be required by applicable law. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177249 A Place for All Conservatives to Speak Their Mind. The cough-assisted device market is gaining traction as the prevalence of various neuromuscular illnesses increases globally. Furthermore, the growth in spinal cord injuries, advantages of devices over manual cough help techniques, and an increase in product approval of cough assist devices, among other factors, are expected to boost the global cough-assisted devices market. LAS VEGAS, Aug. 16, 2023 /PRNewswire/ -- DelveInsight's Cough-assisted Devices Market Insights report provides the current and forecast market analysis, individual leading cough-assisted devices companies' market shares, challenges, cough-assisted devices market drivers, barriers, trends, and key market cough-assisted devices companies in the market. Key Takeaways from the Cough-assisted Devices Market Report As per DelveInsight estimates, North America is anticipated to dominate the global cough-assisted devices market during the forecast period. Notable cough-assisted devices companies such as Koninklijke Philips N.V., Hill-Rom Services, Inc. (Baxter), Dima Italia Srl, United Hayek Industries Inc., Ventec Life Systems, Air Liquide Medical Systems, Breas Medical Ltd, ABM Respiratory Care, and several others, are currently operating in the cough-assisted devices market. and several others, are currently operating in the cough-assisted devices market. In July 2020, Hillrom launched two new respiratory therapy devices: the Volara System, which provides hospital-grade oscillation and lung expansion (OLE) therapy, and the Synclara Cough System (a non-invasive therapy that simulates a cough to remove secretions in patients with compromised peak cough flow). launched two new respiratory therapy devices: the Volara System, which provides hospital-grade oscillation and lung expansion (OLE) therapy, and the Synclara Cough System (a non-invasive therapy that simulates a cough to remove secretions in patients with compromised peak cough flow). In March 2020, ABM Respiratory Care received FDA clearance for BiWaze Cough, a device intended for clearing bronchopulmonary secretions. To read more about the latest highlights related to the cough-assisted devices market, get a snapshot of the key highlights entailed in the Global Cough-assisted Devices Market Report Cough-assisted Devices Overview Cough-assisted devices are innovative medical tools designed to aid individuals with impaired cough function, often seen in conditions such as neuromuscular disorders, spinal cord injuries, or certain respiratory diseases. These devices employ a combination of techniques, such as mechanical oscillations or positive pressure, to help stimulate and enhance the natural cough reflex. By facilitating the clearance of mucus and other respiratory secretions, cough-assisted devices play a crucial role in preventing complications like pneumonia and improving overall lung health. These devices not only alleviate the physical burden on patients but also contribute to a better quality of life by promoting respiratory hygiene and reducing the risk of respiratory infections. As technology continues to advance, cough-assisted devices are becoming more effective, portable, and user-friendly, offering new possibilities for enhancing respiratory care and empowering individuals with compromised cough abilities. Cough-assisted Devices Market Insights North America is likely to hold a significant proportion of the overall cough-assisted devices market in the coming years. This dominance is due to a rising prevalence of the population suffering from numerous neuromuscular illnesses, spinal cord injuries, and other conditions that impact and weaken the muscles. Furthermore, the existence of important manufacturers in the region, as well as the availability of well-established healthcare institutions, would all contribute to the regional cough-assisted devices market growth. Furthermore, the region's manufacturers' ongoing attempts to develop innovative cough-assisted devices are expected to boost the market. To know more about why North America is leading the market growth in the cough-assisted devices market, get a snapshot of the Cough-assisted Devices Market Outlook Cough-assisted Devices Market Dynamics The increased burden of patients suffering from various neuromuscular disorders is principally responsible for the rise in demand for cough-assisted devices. This is because neuromuscular problems weaken the muscles, causing patients to be unable to adequately remove secretions, increasing the necessity for these devices. Furthermore, spinal cord injuries in the mid-cervical vertebrae (C3, C4, and C5) disrupt the breathing muscles, which may increase product demand. However, certain factors, such as the demanding regulatory process for device clearance and the expensive cost of devices, are anticipated to stymie the global cough-assisted devices market's expansion. In addition, the exceptional COVID-19 outbreak has hampered the cough-assisted devices market. This is due to the fact that COVID-19 is primarily transmitted through droplets produced by coughing or sneezing. Cough assist and other airway clearance equipment can "aerosolize" the COVID-19 virus, spreading it considerably further. The introduction of numerous vaccinations and widespread immunization campaigns around the world, on the other hand, is likely to normalize the post-pandemic environment. Get a sneak peek at the cough-assisted devices market dynamics @ Cough-assisted Devices Market Dynamics Analysis Report Metrics Details Coverage Global Study Period 2020-2028 Cough-assisted Devices Market CAGR ~7% Cough-assisted Devices Market Size by 2028 USD 230 Million Key Cough-assisted Devices Companies Koninklijke Philips N.V., Hill-Rom Services, Inc. (Baxter), Dima Italia Srl, United Hayek Industries Inc., Ventec Life Systems, Air Liquide Medical Systems, Breas Medical Ltd, ABM Respiratory Care, among others Cough-assisted Devices Market Assessment Cough-assisted Devices Market Segmentation Cough-assisted Devices Market Segmentation By End User: Hospitals, Home Care Settings, and Others Hospitals, Home Care Settings, and Others Cough-assisted Devices Market Segmentation By Geography : North America, Europe, Asia-Pacific, and Rest of World : North America, Europe, Asia-Pacific, and Rest of World Porter's Five Forces Analysis, Product Profiles, Case Studies, KOL's Views, Analyst's View Which MedTech key players in the cough-assisted devices market are set to emerge as the trendsetter explore @ Cough-assisted Devices Companies Table of Contents 1 Report Introduction 2 Executive summary 3 Regulatory and Patent Analysis 4 Key Factors Analysis 5 Porter's Five Forces Analysis 6 COVID-19 Impact Analysis on Cough-assisted Devices Market 7 Cough-assisted Devices Market Layout 8 Global Company Share Analysis - Key 3-5 Companies 9 Cough-assisted Devices Market Company and Product Profiles 10 Project Approach 11 About DelveInsight Interested in knowing the cough-assisted devices market by 2028? Click to get a snapshot of the Cough-assisted Devices Market Trends Related Reports Amyotrophic Lateral Sclerosis Pipeline Amyotrophic Lateral Sclerosis Pipeline Insight - 2023 report provides comprehensive insights about the pipeline landscape, pipeline drug profiles, including clinical and non-clinical stage products, and the key amyotrophic lateral sclerosis companies, including Biogen, Ionis Pharmaceuticals, Ferrer Internacional S.A., AbbVie, Calico Life Sciences LLC, Genuv Inc., Kadimastem, Corcept Therapeutics, AL-S Pharma, Rapa Therapeutics LLC, Cytokinetics, MediciNova, Retrotope, Inc. Woolsey Pharmaceuticals, Sanofi, PTC Therapeutics, Helixmith Co., Ltd., Annexon, Inc., Denali Therapeutics Inc., Revalesio Corporation, Clene Nanomedicine, Ashvattha Therapeutics, Inc., Apellis Pharmaceuticals, Inc., Procypra Therapeutics, Knopp Biosciences, InFlectis BioScience, AI Therapeutics, Inc., Cellenkos, ZZ Biotech, LLC, QurAlis Corporation, Alector Inc., NeuroSense Therapeutics Ltd., Novartis Pharmaceuticals, Eledon Pharmaceuticals, among others. Multiple Sclerosis Pipeline Multiple Sclerosis Pipeline Insight - 2023 report provides comprehensive insights about the pipeline landscape, pipeline drug profiles, including clinical and non-clinical stage products, and the key multiple sclerosis companies, including TG Therapeutics, Immunic, Atara Biotherapeutics, ANOKION, ImStem Biotechnology, Merck Serono, CinnaGen, Immune Response BioPharma, Inc., Clene Nanomedicine, GeNeuro SA, Sanofi, Bristol-Myers Squibb, HuniLife Biotechnology, Inc., Emerald Health Pharmaceuticals, Biogen, RemeGen Co., Ltd., Antisense Therapeutics, Immune Response BioPharma, Biocad, AB Science, Genentech, Novartis, Pipeline Therapeutics, ASLAN Pharmaceuticals, GlaxoSmithKline, Lucid Psycheceuticals, Voronoi, Hanmi Pharmaceutical, Mapi Pharma, f5 Therapeutics, Autobahn Therapeutics, AstraZeneca, ZyVersa Therapeutics, RedHill Biopharma, Gossamer Bio, Sarepta Therapeutics, BrainStorm Cell Limited, CytoDyn, Pear Therapeutics, ABION, among others. Myasthenia Gravis Market Myasthenia Gravis Market Insight, Epidemiology, and Market Forecast - 2032 report delivers an in-depth understanding of the market trends, market drivers, market barriers, and key myasthenia gravis companies, including Viela Bio, UCB Pharma, Momenta Pharmaceuticals, Sanofi, Regeneron Pharmaceuticals, Ra Pharmaceuticals, Hoffmann-La Roche, Alexion Pharmaceuticals, Catalyst Pharmaceuticals, Harbour BioMed, Novartis, Takeda, DAS Therapeutics, RemeGen, Cartesian Therapeutics, Nanjing IASO Biotherapeutics, Cabaletta Bio, CytoDyn, Ahead Therapeutics, Toleranzia, Rallybio, among others. Myositis Pipeline Myositis Pipeline Insight - 2023 report provides comprehensive insights about the pipeline landscape, pipeline drug profiles, including clinical and non-clinical stage products, and the key myositis companies, including GlaxoSmithKline, Corbus Pharmaceuticals, Abcuro, Inc., Orphazyme, Bristol-Myers Squibb, Kezar Life Sciences, Inc., Horizon Therapeutics, Viela Bio, Paean Biotechnology Inc., Alexion Pharmaceuticals, Janssen Biotech, CSL Behring, Pfizer, Roche, among others. About DelveInsight DelveInsight is a leading Business Consultant, and Market Research firm focused exclusively on life sciences. It supports pharma companies by providing comprehensive end-to-end solutions to improve their performance. Contact Us Shruti Thakur info@delveinsight.com +1(919)321-6187 https://www.delveinsight.com/medical-devices Logo: https://mma.prnewswire.com/media/1082265/DelveInsight_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/cough-assisted-devices-global-market-to-register-incremental-growth-at-a-cagr-of-7-by-2028--delveinsight-301901925.html COMMERCE, CA / ACCESSWIRE / August 16, 2023 / Brooklyn Moving Inc, a full-service interstate and local moving company, has announced the inclusion of a complimentary one-month storage service for all its interstate moves. This new bonus for customers is integral for these long-distance moves, during which moving, storage, and transportation costs can accumulate significantly. According to Forbes , as of 2023, the typical cost for moving services across the country sits around $1,400. But this can vary anywhere from $800 to $2,500. This estimate is based on a local relocation carried out by a team of two movers, covering less than 100 miles. When considering long-distance relocations, the average moving expenses escalate, typically ranging from $2,200 to $5,700. Brooklyn Moving recognizes this increased expense, which can often deter families and individuals from making long-distance moves. Founder and CEO Ahmad Bani says that since he started the company in 2018, his goal has been to offer personalized moving services for both residential and commercial clients. "The cost of everything is going up," Bani acknowledges. "And many people don't even realize how badly they're going to need storage options during an interstate move. They think they'll just pack up and go - but often, it's not that easy." Bani says that his company made the decision to offer a free month of storage with these longer-distance moves for several reasons. "Often, the move-out and move-in dates don't align perfectly," he explains. "You may need to vacate your old home before your new one is ready for occupation. In such cases, you would need a place to store your belongings in the interim." Also, he adds, for those moving to a smaller home or commercial property, there may not be room for all possessions immediately. "Storage allows you to keep these items safe while you figure out what to do with them, whether that's selling, donating, or finding a place in your new home," Bani states. In an interstate move, one might find themselves moving into temporary accommodations before settling into their permanent home. This could mean needing to rent a smaller apartment or temporarily living with friends or family. During these transitions, storage serves as a reliable place to safeguard belongings. Additionally, adjusting to a new home is a significant change, and it may be challenging to immediately decide the placement of every item. Having the option of storage allows for more time to organize, sort, and methodically move items into the new space at your own pace. "We also recognize that interstate moves can be complex and may not always go as planned due to unexpected delays, such as inclement weather, challenging road conditions, or unforeseen issues with the new property," Bani says. "These circumstances further highlight the advantage of having a storage solution in place, serving as a safety net in times of uncertainty." Brooklyn Moving Inc. will be offering the new free storage service for interstate moves from each of its three locations. With its original base in Fairfield, California, the company serves the San Francisco Bay Area and Sacramento. Its second location in Commerce, California caters to Los Angeles, San Diego, and Southern California. Both locations are able to deliver services across all lower 48 states. The third station in Rochester, MN serves Minnesota, Iowa, and Wisconsin, further extending the company's reach nationwide. "The free temporary storage we're offering will be in secure and climate-controlled storage areas," says Bani. "I started my career as a mover, myself. So I know that moving isn't just about transporting belongings. It's about providing a seamless and stress-free experience for clients." Brooklyn Moving Inc. offers packing, loading, unloading, and managing transportation tolls and fuel. The company owns a well-maintained fleet of trucks and vans. Bani and his team feel that by providing a free month of storage with interstate moves, the company demonstrates a dedication to customer satisfaction. "Moving is stressful - everyone knows that," he says. "But we're trying to make it easier, one move at a time." He adds that Brooklyn Moving is focused on updated training and development of their employees, expansion of service offerings, investment in advanced technology, and broadening their reach in the tri-state area. About Brooklyn Moving Inc. Brooklyn Moving Inc. is a full-service interstate and local moving company established in 2018. The company specializes in personalized moving services for both residential and commercial clients. The company offers solutions for packing, transportation, and storage, and seeks to provide a seamless moving experience for clients. Website: https://www.brooklynmovinginc.com/ Contact: Ahmad Bani 888-889-6872 SOURCE: Brooklyn Moving Inc. View source version on accesswire.com:https://www.accesswire.com/774751/Brooklyn-Moving-Inc-Adds-Free-Storing-With-Interstate-Moves An 18-year veteran of the corporate insurance industry takes aim at the practice of denying care. RALEIGH, NC / ACCESSWIRE / August 16, 2023 / Ron Howrigon worked for nearly two decades at some of the largest insurance companies in the U.S. A rising star in the industry, he left his executive track when he became disillusioned by the contracting tactics used toward independent medical providers and the insurance industry focus on increasing profits at the cost of members' care. So, in 2004 he took his negotiating skills to the other side of the table and began to represent physicians in their negotiations with medical insurance companies. As an economist and insurance insider, Howrigon is uniquely qualified to speak on every aspect of the business of healthcare. Once again, his conscious has motivated him to act, this time speaking out about the health insurance industry's denial process. A process that has become increasingly automated and less transparent to the detriment of patients, the frustrations of their physicians, and profitable for payers. Last spring Howrigon provided his perspective to ProPublica's in-depth reporting on the insurance industry's medical denial process. Afterward, he was contacted by Dr. Dan Hurley who was going through a similar struggle with his insurer. Dr. Hurley wanted to share his story in hopes that he could help others facing the challenge of battling an insurance company while simultaneously fighting a disease. Dr. Hurley was no stranger to this process, he had faced this hurdle as a physician, a father, and now a patient. Dr. Hurley was diagnosed with a rare and aggressive form of cancer. At 48 years old, the formally active Otolaryngologist, commonly known as an Ear, Nose, and Throat (ENT) physician, was able to secure a top cancer doctor to treat the tumor in his hip. Dr. Hurley first had surgery to remove the tumor. After discovering that the cancer was not completely eradicated, his oncologist started him on a treatment plan and ordered a PET scan to establish a baseline level of cancer cells in his body. That's when Dr. Hurley and his oncologist learned that his insurance company's medical director denied the scan deeming it "not medically necessary." This was the first of many frustrating denials that ultimately led Dr. Hurley to choose between starting his chemotherapy or getting the long-delayed baseline scan. According to Howrigon, denials occur because the insurance industry is focused on profits and not patient care, and two specific areas of the process are aligned to support this goal. The first is the automated process that determines if requests received from physicians fall outside of insurance company established guidelines. It has been reported by ProPublica that insurance company medical directors are signing off on thousands of denials a day and most patients don't appeal. The second is in the qualification and accountability of the insurance company's medical directors. Howrigon and Dr. Hurley point out that the insurance company medical directors signing off on these denials are often not in the same specialty as the patient's physician and often have not practiced medicine for years. Frequently, the insurance companies put up barriers that keep the treating physician from discussing the case directly with the medical director that is denying the care they requested. All these factors contribute to patients not getting care and increased profits for insurance companies. Health insurance leaders say they are not stopping someone from getting care, they just won't pay for it. Howrigon recalls how he responded as an insurance exec, "I would say 'We are not denying care we are only making coverage decisions.' that is simply wrong. People have insurance because they can't afford to pay for care without it. Withholding payment for care is the same thing as withholding the very care they need." According to Dr. Hurley, everyone needs to be involved in fixing this problem and he says it will likely require making tough decisions from legislators, attorneys, and most importantly healthcare leaders on the insurance side. He says the solution is all about transparency and accountability. "Initially it [the insurance company] is a wall, as a patient you can't talk to them, you get denials, you talk to people that don't even know why you got denied." he said. Hurley continues "Patients deserve the same level of accountability and transparency from their insurance physician that we have assigned to practicing physicians through medical boards and medical legal liability." Howrigon elaborates on how these areas can be fixed. "First, we need to give patients the same kind of protections we give people in our justice system. We do this because we have decided that it's worse to jail an innocent person than it is to let someone who is guilty go free. Isn't it worse to deny care to someone who needs it than to approve care that isn't totally necessary?" He said this approach turns the table on the current system, instead of a patient's physician trying to prove to a marginally qualified insurance company medical director the need for a test or treatment, the burden of proof should be on the insurance company to prove the patient really doesn't need it. Elaborating on Dr. Hurley's comments about the accountability of insurance company doctors, Howrigon suggests expanding the definition of "practicing medicine" to include the act of denying a service as "not medically necessary". This would mean that doctors signing these denials would be required to have a license in good standing, practicing in their field or specialty and they could be held responsible for malpractice if the denial was not clinically reasonable and resulted in a bad outcome. According to Dr. Hurley putting the kind of accountability he and Howrigon are talking about on insurance company physicians, will greatly reduce denials, "This will disappear." he said. Howrigon was so impressed with Dr. Hurley's outreach to him on this topic, he began to share Dr. Hurley's story with everyone, "Here I was talking to a doctor while he was getting chemotherapy for his cancer, and instead of being angry or wanting vengeance, was concerned only about future patients. Patients that he would never know or treat. Patients who wouldn't even know of his efforts to help them and patients that he would never receive any payment from." Howrigon hosted Dr. Hurley on his podcast , and the two continued to communicate over the summer. Dr. Hurley shared his hopes to see his daughter graduate and take his wife on one more trip to Paris. He was able to see his daughter graduate, but on August 3rd, Dr. Dan Hurly lost his battle with cancer leaving behind a wife and three children. The practice of denying medical procedures or treatments by insurance companies has begun to gain attention thanks to in-depth reporting like ProPublica, but Congress is also now looking into the practice, the House Energy and Commerce Committee requested from Cigna information on their practices, a new lawsuit was filed last month in California and long-time health care professionals, like Howrigon are following Dr. Dan Hurley's lead and are speaking out. If you would like to see a change in the prior authorization and medical denials process, contact your state and federal representatives, medical boards, or patient advocacy groups and share Dr. Hurley's message of transparency and accountability of healthcare providers inside the health insurance industry. About Fulcrum Strategies: Fulcrum Strategies is a medical provider advocacy consulting firm, providing contract negotiation, public relations, and strategic planning services to medical provider groups in 18 states. Contact: Matt Handley Sr. VP Public Relations 919-561-1550 m.handley2@fsdoc.com www.fsdoc.com www.Flatlining.net LinkedIn Facebook Twitter SOURCE: Fulcrum Strategies View source version on accesswire.com:https://www.accesswire.com/774747/Fulcrum-Strategies-CEO-Speaks-out-on-Medical-Denials CANBERA (dpa-AFX) - Australia will on Thursday release July figures for unemployment, highlighting a busy day for Asia-Pacific economic activity. The jobless rate is expected to tick up to 3.6 percent from 3.5 percent in June. The Australian economy is tipped to add 15,000 jobs following the addition of 32,600 jobs in the previous month. The participation rate is called steady at 66.8 percent. New Zealand will provide Q2 numbers for producer prices. PPI inputs are called steady at 0.2 percent on quarter, while outputs are expected to rise to 0.7 percent from 0.3 percent in the previous quarter, Japan will see June results for core machine orders and its tertiary industry index, plus July figures for imports, exports and trade balance. Machine orders are tipped to rise 3.6 percent on month and fall 5.5 percent on year after sinking 7.6 percent on month and 8.7 percent on year in May. The tertiary industry activity index is expected to ease 0.2 percent on month after rising 1.2 percent in the previous month. Imports are expected to sink 14.7 percent on year after dropping 12.9 percent in June. Exports are called lower by an annual 0.8 percent after rising 1.5 percent in the previous month. The trade surplus is pegged at 24.6 billion yen, down from 43.0 billion yen a month earlier. Singapore will see July figures for non-oil domestic exports, with forecasts suggesting an increase of 2.6 percent on month and a fall of 16.5 percent on year. That follows the 5.4 percent monthly gain and the 15.5 percent decline in June. Hong Kong will release unemployment data for July, with the jobless rate expected to ease to 2.8 percent from 2.9 percent in June. Finally, the markets in Indonesia are closed on Thursday for Independence Day and will re-open on Friday. Copyright(c) 2023 RTTNews.com. All Rights Reserved Copyright RTT News/dpa-AFX Top 3 Energie-Dividendenaktien Im neuen Energieboom von kontinuierlichen Ertragen profitieren. Wir zeigen hier, von welche drei Aktien Sie profitieren konnen. Hier klicken VANCOUVER, BC / ACCESSWIRE / August 16, 2023 / Snipp Interactive Inc. ("Snipp", the "Company", "We" and "Our") (TSXV:SPN); (OTC PINK:SNIPF), a global provider of digital marketing promotions, rebates, and loyalty solutions, provides a status update on the completion and filing of its audited financial statements and associated management's discussion and analysis for the years ended December 31, 2022 and 2021 (together, the "Annual Financials") and announces its preliminary unaudited financial results for the year ended December 31, 2022 ("Fiscal 2022") . On August 2, 2023, the Company announced that it was expecting to file the Annual Financials by August 15, 2023. Since that time, while significant progress has been made towards completing the audit of the Company's Annual Financials the audit remains incomplete as of the date hereof, due to primarily the identification of a possible prior period adjustment that, among other things, requires the involvement of the Company's previous audit firm, Davidson & Company LLP, who audited the financial statements for the years ended December 31, 2021 and 2020 ("Fiscal 2021"). We are currently working with both our current auditors, RSM Canada LLP, and Davidson & Company LLP to complete an analysis of the impact of such an adjustment, which we have been currently advised is expected to be less than $500k. Despite the potential 2021 adjustment to the levels currently advised, the Company expects Fiscal 2021 to still show positive EBITDA growth and a significant revenue uplift. Fiscal 2022 Highlights in U.S. dollars1 (Refer to the Non-GAAP Measures, Gross Margin, EBITDA and Bookings Backlog (each as defined herein) discussion below for more information on how these metrics are calculated and utilized by the Company) For the full Fiscal 2022 period, the Company expects revenue to total between $24 million to $25 million, which represents an increase of between 57% to 64% when compared to Fiscal 2021. For Fiscal 2022, Gross Margin is expected to be approximately 38%, as compared to 57% in Fiscal 2021. We have continued to invest in the growth of Gambit Rewards, a B2C business, which has temporarily impacted our margins. For Fiscal 2022, EBITDA is expected to be positive and between $0.1 million and $0.2 million, which represents a decrease of approximately 94% or 89%, compared to positive EBITDA of $1.8 million for Fiscal 2021. Bookings Backlog as at December 31, 2022, is expected to be approximately $10 million compared to $10.9 million as at December 31, 2021. Cash at the end of 2022 stood at $5.5 million and the Company continues to be debt free. "2022 was a pivotal year for Snipp, with our new solutions, Gambit and Snipp Media, showing early and continued success. Our audit has taken an unusually long period of time given the change in audit firms and our acquisition of the Gambit business in early 2022, and now the possible prior period adjustment which requires the involvement of our prior audit firm as well. We hope the unaudited results that we announced today will inspire confidence in our shareholders that the underlying fundamentals of our business and operations remain sound. We are excited about our growth prospects and are focused on scaling the business, as we build out the core SnippCARE Platform solution and the Gambit Rewards Platform and the soon to be deployed SnippMEDIA solution. The team is working diligently to complete the Annual Filings so that our stock can once again trade. We apologize for any inconvenience or hardship that this may have caused to our shareholders", said Atul Sabharwal, Founder of Snipp. Non-GAAP Measures Snipp uses certain performance measures throughout this press release that are not recognizable under Canadian generally accepted accounting principles ("GAAP") or International Financial Reporting Standards ("IFRS"). These performance measures include Gross Margin and EBITDA. Management believes that these measures provide supplemental financial information that is useful in the evaluation of the Company's operations. Investors should be cautioned, however, that these measures should not be construed as alternatives to measures determined in accordance with GAAP and IFRS as an indicator of Snipp's performance. The Company's method of calculating these measures may differ from that of other organizations, and accordingly, these may not be comparable. EBITDA Snipp defines earnings before interest, taxes, depreciation and amortization ("EBITDA") as revenue minus operating expenses excluding non-cash operating expenses of share-based payments, depreciation and amortization (interest and taxes are not included in the Company's operating expenses). Gross Margin Snipp defines Gross Margin as revenue less campaign infrastructure. The Company's calculation of Gross Margin is not a financial measure that is recognized under GAAP. Investors should be cautioned that the Company's defined Gross Margin should not be construed as an alternative measure to other measures determined in accordance with GAAP. Bookings Backlog Snipp defines Bookings Backlog as future revenue from existing customer contracts to be recognized in future quarters. Bookings get translated into revenues based on IFRS principles and the Bookings Backlog reflects how revenues in future quarters are steadily being booked today. About Snipp: Snipp Interactive Inc. (TSXV:SPN); (OTC PINK:SNIPF) is a leading Platform-as-a-Service (PaaS) company in the global loyalty and promotions sector. Snipp's proprietary and modular SnippCARE (Customer Acquisition, Retention & Engagement) Platform allows its marquee list of Fortune 500 clients and world-class agencies and partners to use various modules of the Platform to run long-term and short-term programs and promotions, while continually generating and capturing unique zero party data that is invaluable in providing insights to drive sales. SnippCHECK, the Platform's Receipt Processing Module has established itself as an industry leader and standard by powering a large majority of all receipt-based promotions in North America. SnippLOYALTY, the Platform's full scale modular loyalty engine allows clients the flexibility of deploying any/all aspects of a standard loyalty program on a case-by-case basis. SnippREWARDS, the Platform's modular catalogue of digital and physical rewards provides clients with global and easily deployable access to an extensive catalogue of digital and physical rewards. SnippWIN, the Platform's gaming module solves for the implementation and compliance difficulties of offering games of chance and skill on a global basis and allows for the global deployment and administration of legally compliant games of chance and skill. For more information, visit Snipp's website at www.snipp.com and its profile on SEDAR+ at www.sedarplus.ca. Snipp is headquartered in Vancouver, Canada with a presence across the United States, Canada, Ireland, Europe, and India. Snipp is publicly listed on the TSX Venture Exchange in Canada and is also quoted on the OTC Pink marketplace under the symbol SNIPF. FOR FURTHER INFORMATION PLEASE CONTACT: Snipp Interactive Inc. Jaisun Garcha Chief Financial Officer investors@snipp.com 1-888-99-SNIPP Cautionary Note Regarding Forward-Looking Statements This press release contains "forward-looking information" within the meaning of applicable Canadian securities legislation. These statements relate to future events or future performance. The use of any of the words "could", "intend", "expect", "believe", "will", "projected", "estimated" and similar expressions and statements relating to matters that are not historical facts are intended to identify forward-looking information and are based on the Company's current belief or assumptions as to the outcome and timing of such future events. The forward-looking information and forward-looking statements contained herein include, but are not limited to, statements regarding: the Company's business objectives and milestones and the anticipated timing of, and costs in connection with, the execution or achievement of such objectives and milestones; the Company's future growth prospects and intentions to pursue one or more viable business opportunities; the development of the Company's business and future activities following the date hereof; expectations relating to market size and anticipated growth in the jurisdictions within which the Company may from time to time operate or contemplate future operations; expectations with respect to economic, business, regulatory and/or competitive factors related to the Company; the market for the Company's current and proposed product offerings, as well as the Company's ability to capture market share; the Company's strategic investments and capital expenditures, and related benefits; the distribution methods expected to be used by the Company to deliver its product offerings; the competitive landscape within which the Company operates and the Company's market share or reach; the performance of the Company's business and the operations and activities of the Company; the Company completing and filing their Annual Financials on the timelines indicated herein; the Annual Financials' results not materially changing from what has been disclosed herein, with the exception of the applicable adjustments; the Annual Financials showing a positive EDITDA growth and a significant revenue uplift; the Company hitting its forecasted revenue, Gross Margin, EDITDA and Bookings Backlog; the Company building an audience for each business and beginning monetization efforts; the impact of the Gambit Rewards business on the Company's Annual Financials and business; the Company focussing on scaling the business, including building out the core SnippCARE solution, Gambit Rewards and SnippMEDIA solution; the Company launching SnippMEDIA solution on the timelines indicated herein; and the recommencement of the trading of the Company's stock. Forward-looking information in this press release are based on certain assumptions and expected future events, namely: current and future members of management will abide by the Company's business objectives and strategies from time to time established by the Company; the Company will retain and supplement its board of directors and management, or otherwise engage consultants and advisors having knowledge of the industries (or segments thereof) within which the Company may from time to time participate; the Company will have sufficient working capital and the ability to obtain the financing required in order to develop and continue its business and operations; the Company will continue to attract, develop, motivate and retain highly qualified and skilled consultants and/or employees, as the case may be; no adverse changes will be made to the regulatory framework governing cannabis, taxes and all other applicable matters in the jurisdictions in which the Company conducts business and any other jurisdiction in which the Company may conduct business in the future; the Company will be able to generate cash flow from operations; the Company will be able to execute on its business strategy as anticipated; general economic, financial, market, regulatory, and political conditions, including the impact of the COVID-19 pandemic, will not negatively affect the Company or its business; the Company will be able to successfully compete in the industry; the Company will be able to effectively manage anticipated and unanticipated costs; the Company will be able to maintain internal controls over financial reporting and disclosure, and procedures in order to ensure compliance with applicable laws; the Company will be able to conduct its operations in a safe, efficient and effective manner; general market conditions will be favourable with respect to the Company's future plans and goals; the Company will complete and file their Annual Financials on the timelines indicated herein; the Annual Financials' results will not materially changing from what has been disclosed herein, with the exception of the applicable adjustments; the Annual Financials will show a positive EDITDA growth and a significant revenue uplift; the Company will hit its forecasted revenue, Gross Margin, EDITDA and Bookings Backlog; the Company will build an audience for each business and beginning monetization efforts; the Gambit Rewards business will have the anticipated impact on the Company's Annual Financials and business; the Company will focus on scaling the business, including building out the core SnippCARE solution, Gambit Rewards and SnippMEDIA solution; the Company will launch SnippMEDIA solution on the timelines indicated herein; and the Company's stock will resume trading. These statements involve known and unknown risks, uncertainties and other factors, which may cause actual results, performance or achievements to differ materially from those expressed or implied by such statements, including but not limited to: the Company's inability to attract and retain qualified members of management to grow the Company's business and its operations; unanticipated changes in economic and market conditions (including changes resulting from the COVID-19 pandemic) or in applicable laws; the impact of the publications of inaccurate or unfavourable research by securities analysts or other third parties; the Company's failure to complete future acquisitions or enter into strategic business relationships; unanticipated changes in the industry in the jurisdictions within which the Company may from time to time conduct its business and operations, including the Company's inability to respond or adapt to such changes; risks relating to projections of the Company's operations; the Company's inability to effectively manage unanticipated costs and expenses; risk that the Company will not complete and file their Annual Financials on the timelines indicated herein; risk that the Annual Financials' results will materially changing from what has been disclosed herein; risk that the Annual Financials will not show a positive EDITDA growth and/or a significant revenue uplift; risk that the Company will not hit its forecasted revenue, Gross Margin, EDITDA and Bookings Backlog; risk that the Company will be unable to build an audience for any or all of the Company's businesses and/or be unable to begin monetization efforts and/or monetize any or all of the businesses; risk that the Gambit Rewards business with not have the anticipated impacts on the Company's Annual Financials and business; risk that the Company will be unable to focus on or unable to scale the business and/or be unable to build out any or all of the core SnippCARE solution, Gambit Rewards and SnippMEDIA solution; risk that the Company will be unable to launch SnippMEDIA solution on the timelines indicated herein or at all; risk that the Company's stock will be unable to recommence trading on the timelines indicated herein or at all; and risks associated with utilizing certain performance measures not recognizable under Canadian GAPP or IFRS. Readers are cautioned that the foregoing list is not exhaustive. Readers are further cautioned not to place undue reliance on forward-looking statements, as there can be no assurance that the plans, intentions or expectations upon which they are placed will occur. Such information, although considered reasonable by management at the time of preparation, may prove to be incorrect and actual results may differ materially from those anticipated. Forward-looking statements contained in this press release are expressly qualified by this cautionary statement and reflect the Company's expectations as of the date hereof and are subject to change thereafter. The Company undertakes no obligation to update or revise any forward-looking statements, whether as a result of new information, estimates or opinions, future events or results or otherwise or to explain any material difference between subsequent actual events and such forward-looking information, except as required by applicable law. Cautionary Note Regarding Future Oriented Financial Information This press release may contain future oriented financial information ("FOFI") within the meaning of Canadian securities legislation, about prospective results of operations, financial position or cash flows, based on assumptions about future economic conditions and courses of action, which FOFI is not presented in the format of a historical balance sheet, income statement or cash flow statement. The FOFI has been prepared by management to provide an outlook of the Company's activities and results and has been prepared based on a number of assumptions including the assumptions discussed under the heading above entitled "Cautionary Note Regarding Forward-Looking Statements" and assumptions with respect to the costs and expenditures to be incurred by the Company, capital expenditures and operating costs, taxation rates for the Company and general and administrative expenses. Management does not have, or may not have had at the relevant date, firm commitments for all of the costs, expenditures, prices or other financial assumptions which may have been used to prepare the FOFI or assurance that such operating results will be achieved and, accordingly, the complete financial effects of all of those costs, expenditures, prices and operating results are not, or may not have been at the relevant date of the FOFI, objectively determinable. Importantly, the FOFI contained in this press release are, or may be, based upon certain additional assumptions that management believes to be reasonable based on the information currently available to management, including, but not limited to, assumptions about: (i) the future pricing for the Company's products, (ii) the future market demand and trends within the jurisdictions in which the Company may from time to time conduct the Company's business, (iii) the Company's operating cost estimates, (iv) the Company's unaudited financial results for the year ended December 31, 2022, and (vi) the Annual Financials' results not materially changing from what has been disclosed herein, except as outlined herein. The FOFI or financial outlook contained in this press release do not purport to present the Company's financial condition in accordance with IFRS as issued by the International Accounting Standards Board, and there can be no assurance that the assumptions made in preparing the FOFI will prove accurate. The actual results of operations of the Company and the resulting financial results will likely vary from the amounts set forth in the analysis presented in any such document, and such variation may be material (including due to the occurrence of unforeseen events occurring subsequent to the preparation of the FOFI). The Company and management believe that the FOFI has been prepared on a reasonable basis, reflecting management's best estimates and judgments as at the applicable date. However, because this information is highly subjective and subject to numerous risks including the risks discussed under the heading above entitled "Cautionary Note Regarding Forward-Looking Statements" and under the heading "Risk Factors" in the Company's public disclosures, FOFI or financial outlook within this press release should not be relied on as necessarily indicative of future results. Readers are cautioned not to place undue reliance on the FOFI, or financial outlook contained in this press release. Except as required by Canadian securities laws, the Company does not intend, and does not assume any obligation, to update such FOFI. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Copyright Snipp Interactive Inc. All rights reserved. All other trademarks and trade names are the property of their respective owners. 1 All financial highlights outlined herein are provided on a preliminary unaudited basis. SOURCE: Snipp Interactive Inc. View source version on accesswire.com:https://www.accesswire.com/774761/Snipp-Interactive-Provides-2022-Audit-Status-Update-and-Reports-Preliminary-Unaudited-Financial-Results-for-Fiscal-2022 Apica, a Stockholm, Sweden- and El Segundo, CA-based synthetic monitoring and observability company, raised $10M in funding, and acquired Logiq.AI, an observability data fabric startup. The round saw participation from existing investors Industrifonden, SEB Foundation, and Oxx. The company intends to use the funds to expand operations and its business reach. With the acquisition and the new financing, the company plans to continue advancing and delivering observability innovations and develop new capabilities for enterprise customers in the coming months. Led by CEO Mathias Thomsen, Apica provides a platform that delivers active observability, automated root cause analysis, and advanced data management to find and resolve complex digital performance issues before they negatively impact the bottom With the acquisition of Logiq.AI and the funding announced today, Apica will deliver active observability, automated root cause analysis, and advanced data management to bridge real-world gaps in analysis. The Apica Ascent platform with LOGIQ.AI gives users complete data pipeline control, a unified view of all information, and high-quality storage. The platform acts as an indexing tool that aggregates data such as logs, traces, network packets, etc. from multiple sources and improves data quality by trimming off excess data and performing enrichments. The data can be shifted from the platform to a lake environment either Apicas or another data lake. FinSMEs 16/08/2023 Assembly Ventures, a Detroit, MI-based early-stage transatlantic venture capital platform investing in technologies across the mobility sector, closed its inaugural $76m Assembly Ventures Fund I. Investors included strategic corporates such as Arbor Bancorp Inc., CRONIMET, MANN+HUMMEL, Renaissance Global Logistics, Stellantis Ventures, Vontier, and WF Whelan, and a long list of individual investors in the U.S. and Europe including Wolfgang Bernhart, Matt Cullen, Calvin Ford, Dan Gilbert (DVP), Philipp von Hagen, Joe Hinrichs, Karl Iagnemma, Jody Kelman, Tim Lalonde, Kathleen Ligocki, John Moavenzadeh, Stephen Polk, Tony Posawatz and Dug Song. Additional strategic entities, a pension fund, and individuals with deep expertise in automotive, mobility, energy and infrastructure were also part of the investor base. Founded in 2020 by experienced mobility investors and operators Chris Thomas, Jessica Robinson, and Felix Scheuffelen, Assembly is committed to strategically supporting outstanding entrepreneurs driving transformative innovations across land, air, sea, and space, focusing on making series seed, A and B investments. The firm recognizes substantial investment potential in the U.S. and Europe, especially in areas such as battery technology, clean energy solutions, climate tech, supply chain optimization, and automotive production. With $94m in assets under management, Assembly has benefited from access to dealflow and thought-leaders in the industrial and innovation capitals of the Western world, including Detroit, Silicon Valley, Berlin. With the closing of the fund, the firm is in the process of opening an office in the German capital to advise on European investments. To date, it has announced several investments following their Mobility 4.0 thesis, including Metropolis Technologies, NAVIT, Our Next Energy (ONE), and Sortera. FinSMEs 16/08/2023 BitGo, a Palo Alto, CA-based provider of wallet solutions for the digital asset economy, raised $100M in Series C funding, at $1.75 Billion valuation. The round saw participation from outside investors. The company intends to use the funds to pursue strategic acquisitions and further consolidate its global position. Founded in 2013, and led by CEO Mike Belshe, BitGo is a digital asset company focusing exclusively on serving institutional clients. In 2018, they launched their trust company, a qualified custodian purpose-built for storing digital assets., and established the New York Trust in 2021. In 2022, BitGo launched institutional-grade staking, DeFi, NFT and Web3 services. The company provides the security and operational backbone for more than 1500 institutional clients in 50 countries, including many regulated entities and cryptocurrency exchanges and platforms. Bitcoin businesses like Swan, and new Web3 projects including Mysten, use BitGo as their Qualified Custodian to safeguard their customer assets. In addition, clients include Fortune 100 clients like Nike. BitGo is backed by Goldman Sachs, Craft Ventures, DRW, Galaxy Digital Ventures, Redpoint Ventures, and Valor Equity Partners. FinSMEs 16/08/2023 Marqo, a Melbourne, Australia-based startup enabling AI-powered search and discovery, raised US$5.2M in Seed funding. The round was led by Blackbird Ventures, with participation from Creator Fund, January Capital, and Coheres co-founders, Ivan Zhang and Aidan Gomez. The company intends to use the funds to scale its existing cloud service and build search technology that learns from user engagement to continuously improve relevance. Founded by Jesse Clark and Tom Hamer, Marqo is an end-to-end, multimodal vector search engine that uses machine learning models to return more accurate search results by understanding content and meaning. Users can search using text, images, or a combination of both. The technology initially targets end-user search (ecommerce, marketplaces) but has applications in generative AI, analytics and security. The new service, currently in closed beta, allows the machine learning models to automatically learn from user engagement and continuously improve the relevance of the vectors. With Marqo, users can implement AI-powered search, leveraging machine learning models. The company haa offices in Melbourne, London, and San-Francisco. FinSMEs 16/08/2023 MIkMak, a New York-based software company that helps brands grow commerce-first, ChannelAdvisors Shoppable Media and Brand Analytics product lines from CommerceHub. The amount of the deal was not disclosed. The acquisition marks the companys second acquisition in under six months and brought new brands to the platform deepening its retail network across North America, EMEA, LATAM and APAC. For existing MikMak brands, this move means they have access to a larger retail network through the platform, as well as customer support and professional services team. Additionally, brand partners gain access to accelerated growth, expertise and partner integrations in the consumer electronics, home improvement and automotive accessories categories on top of MikMaks presence in CPG, grocery, alcohol, beauty, personal care, pet care, home care and toy markets. It also marks the start of a strategic partnership between MikMak and CommerceHub, which operates a large commerce network, bolstered by its acquisition of ChannelAdvisor in late 2022. CommerceHub is a commerce networks connecting over 40,000 retailers and brands globally to transact more than $50 billion in gross merchandise value (GMV) annually. Customers use its software solutions to reach and convert more shoppers, expand margin, and enhance the online shopping experience through drop ship, marketplace, digital advertising, and delivery management. Led by Rachel Tipograph, Founder and CEO, MikMak is a global software company that provides an eCommerce enablement and analytics platform for multichannel brands, helping them to convert customers. In February 2023, the company acquired French eCommerce enablement and analytics software company, Swaven, to expand its global reach into EMEA, APAC and LATAM. MikMak is backed by investors including Wavecrest Growth Partners, Luminari Capital and VaynerMedia. FinSMEs 16/08/2023 According to reports published in the Telegraph UK, this week saw Prince Harrys His Royal Highness title removed from the official Royal family website, with the Sussexes personal profile pages demoted on the homepage. Harry and Meghans pages are now located near the bottom, with the late Queens lesser known cousins the Duke and Duchess of Gloucester and Princess Alexandra featuring ahead of them. The report further mentions that far from the continued collaboration they hoped for with the King and the Prince of Wales, the couple have not been invited to join the rest of the Royal family at Balmoral for the anniversary of Queen Elizabeth IIs death, even though they will be in Europe for the Invictus Games in Germany, which starts a day later, on September 9. When the Duchess turned 42 earlier this month, her birthday was conspicuously ignored by all the official royal social media accounts. Initially in US, Harry and Meghan got a positive coverage. But, later things changed there too. And nobody actually wanted to be associated with the couple. Not just UK, America is also fed-up with the couple. Many felt that Meghan and Harry must stop playing the victim card. Finally, America has understood that Harry and Meghan are attention seekers. According to reports published in geo tv, it seems as Americans are sick of the Duke and Duchess of Sussexs narrative of denigrating the royal family. When they moved to United States and stepped down from their royal duties, they made lucrative deals to tell their side of the story to Oprah Winfrey, on Netflix and in Harrys controversial memoir, Spare. They made big money, but their reputation was completely tarnished. It definably wasnt wise on their part to wash dirty linen in public. According to GB News, most Britons want Prince Harry removed from the line of succession to prevent any possibility of him ever becoming King, a new poll has found. Nothing worked for Harry and Meghan for long. According to reports, in June, Meghans approval rating fell to an all-time low of 47 in the UK, according to YouGov, with Harry on 36. On the other hand, King Charles has jumped from 26 to 32 since the Coronation, while the Prince and Princess of Wales are on 57 and 59 respectively both up from Aprils figure. To rub salt into the wounds, this week Prince William was voted Americas most popular public figure, ahead of Donald Trump and Volodymyr Zelensky, in a Gallup survey of public figures. Harry goes solo On the professional front, Prince Harry also planned to go solo away from the clutches of his wife, Meghan. He has plans to return to Africa solo for a new Netflix documentary. Its truly a delicious turn of events as Harry is making headlines this time with a sensational solo project for Netflix. As per reports published in Daily Record, the Duke of Sussex, no stranger to Africa, is reportedly venturing back to the beloved continent to film a captivating documentary, following in the footsteps of his late mother, Princess Diana. According to a story published in Page Six, despite questions over the future of the Duke and Duchess of Sussexs $100 million Netflix deal, the renegade royal is planning a new doc. The Sussexes are under pressure to come up with winning content for Netflix after it was revealed their Spotify deal had imploded. The loss of their $20m Spotify deal led to the unfortunate cancellation of Meghans Archetypes podcast after just one season. Speculations swirled, casting doubt on the future of their Netflix deal, but it seems Harrys African documentary is the silver lining theyve been waiting for. A Netflix insider confirmed to Page Six that a Harrys Africa-type show was one of the more warmly received suggestions from the father-of-two. Another source said: Obviously, Harry has a lot of roots in Africa and he feels at home there. He has previously called Africa his second home. Page Six spoke to insiders about the royals proposed project. It is unclear exactly what the programme will cover, and that the ongoing Hollywood writers strike has meant the Duke of Sussex, 38, has not been able to start his ideas yet. End of Meghans Hollywood dreams No Emmy nominations for Netflixs Harry & Meghan was a big blow for them. Many critics commented that Meghan Markles Hollywood dream is officially dead, as her documentary with Prince Harry fails to nab a single Emmy nomination. The Sussexes put forth their entire series as a potential contender, and some people even project Harry and Meghan as winning the documentary category. But as it turns out Hollywood isnt interested in getting invested in brand Sussex. The six-part series, directed by Liz Garbus, was under consideration for the Outstanding Documentary or Nonfiction Series category. Even after the magnificent success of the docuseries on Netflix, Harry & Meghan was snubbed by the Emmys. According to the DEADLINE, as a consolation, Harry & Meghan did earn an honor on Tuesday when it was nominated for the Hollywood Critics Association Awards in the category of Best Streaming Nonfiction Series. The docuseries disclosed a lot of British Royal dark secrets which didnt go down well with the British royal family. In one episode, he said his family exhibits a huge level of unconscious bias, although he suggested it wasnt the fault of individuals, but reflective of the culture broadly speaking. He did add, Once its been pointed out or identified within yourself, you then need to make it right. Its education, its awareness and its a constant work-in-progress for everyone, including me. On the other hand, Hollywood is distancing themselves from Harry and Meghan. Despite Meghans prior ties to Hollywood, her and Harrys contacts in the industry are moving to Kate and William. According to the Mirror report, after being snubbed in the Emmy nominations, the pair are reportedly seeing their empire fall around them. An insider believes Prince William and Kate may be the reason. In fact, both Harry and Meghan are busy tracking down their Hollywood enemy who sabotaged them. Hollywood A-Listers and other celebs in UK are distancing themselves from Harry and Meghan, a source told Heat Magazine: Nobody wants to risk their standing in the UK by not being seen as team William and Kate. Celebrities and industry key players are recognising Meghan and Harrys depleting popularity and are scared of being seen alongside them. In fact, the source said they are actively pitching William and Kate for new projects. Their efforts are reportedly being backed by King Charles himself. It is only part of the strategy of maintaining American ties and influence in September, Will and Kate will appear in New York at the Earthshot Prize Innovation Summit, further solidifying their influence. On the family front, Meghan breaks silence after Dukes split claim. She has kind of moved on from Harrys family drama, now she wants to focus on their kids. (With added inputs from agencies) The people of Hawaii are still counting their dead, their homes have been homes destroyed, and thousands of acres of land have been reduced to ash. But already a raft of conspiracy theories have sprung up surrounding the blaze. Lets take a look at the looniest claims surrounding the blaze: Oprah and other elites land grab Some on social media have blamed Oprah Winfrey and other elites for the wildfires. The conspiracy claims that Oprah, who purchased around 2,000 acres on Maui, is somehow behind the blaze along with other rich and powerful property owners in Hawaii like Bill Gates. Locals in Maui were refusing to sell their land to the elites, one user claimed. The part of the island mainly destroyed by the fires was prime area right next to lavish mega-mansions. Now, a lot of those locals are forced to sell their land and many tragically died in the flames. Oprah Winfrey has a luxury mansion in Maui its completely fine, the user added. How did the fire know to avoid the most expensive mansions? he wrote claiming that the homes of celebrities such as Julia Roberts, Will Smith, Jeff Bezos had also escaped damage. Wake up! Another poster claimed Oprah had been buying up land in Maui like crazy. In the last few years she has gone from about 100 acres of land in Maui to over 1000 acres! the user added. Then all of a sudden out of nowhere a fire comes and destroys many homes near her but her land remains untouched! Oprah, ironically, is currently in Maui helping out with relief efforts. Its a little overwhelming, Winfrey was quoted as saying by the BBC. But Im really so pleased to have so many people supporting and people are just bringing what they can and doing what they can. Social media influencer Ed Krassenstein begged people not to push conspiracy theories online. To all of the X users who are pushing a conspiracy theory that Oprah Winfrey is somehow responsible for the Maui, Hawaii wildfires because her estate didnt burn down, just STOP! There are literally close to 100 people declared dead. There are over 2200 structures damaged or pic.twitter.com/PclbQBxy8e Ed Krassenstein (@EdKrassen) August 14, 2023 Oprah has literally been on the ground helping people, he wrote. She has been providing cots, pillows, toiletries, and more to shelters, like this one in Wailuku, Hawaii, where she can also be seen helping out. I get it. People dont like Oprahs politics. But to make up a conspiracy theory without a shred of evidence is both irresponsible and disgusting. Youre better than this. Bezos and his wife Lauren Sanchez, meanwhile, have announced a $100m humanitarian relief fund for Hawaii. Space lasers Some users have also blamed space lasers or energy weapons for the death and destruction that has been wrought on Hawaii. One post on messaging platform X, formerly known as Twitter, shared the photo of a distant blaze seemingly projecting a beam of light with the caption: Maui Hawaii two nights ago, it was an attack!!!!!!!? Lahaina, Maui has been RAZED to the ground, one post stated. Only a Directed Energy Weapon (DEW) can cause this kind of destruction. The Directed Energy Weapons (DEWs) being used on Hawaii are powerful enough to set the Pacific Ocean on fire, the user added. DEW stands for directed-energy weapon. This technology uses concentrated electromagnetic energy, according to the US Government Accountability Office. That includes high energy lasers and other high-power electromagnetics. But the laser beam in the video being shared online was edited into the footage. It does not appear in an unedited version posted on YouTube in August 2014 by Russian media outlet RIA Dagestan. The fire and resulting explosion, however, do materialize. The outlet reported that the incident occurred on Aug. 8, 2014, in Makhachkala, a southwestern Russian city that sits beside the Caspian Sea in the countrys Republic of Dagestan. Some of the posts include images purporting to show bright beams of light shooting from the sky. The claims echo conspiracy theories about previous disasters, such as Republican Congresswoman Marjorie Taylor Greenes blaming of laser beams from space for a 2018 wildfire in California. Reporter Ben Collins noted that such claims were a good example of how conspiracy culture cannot and should not be reasoned with. The Maui fires are a good example of how conspiracy culture cannot and should not be reasoned with. There will be no come-to-Jesus moment on climate change or severe weather. There will just be more people claiming Oprah or Biden used a direct energy weapon. Thats our future. Ben Collins (@oneunderscore__) August 14, 2023 There will be no come-to-Jesus moment on climate change or severe weather, he wrote. There will just be more people claiming Oprah or Biden used a direct energy weapon. Thats our future. You either have to fight this stuff as reporters and come down hard on the side of facts or live in a world where were 50-50ing science with the Jewish Space Laser crew. It seems like most news orgs are very comfortable folding the second part into horse race election coverage, Collins added. Obviously these are really crazy allegations, said Michael Gollner, an associate professor of mechanical engineering at the University of California-Berkeley who researches fire dynamics. Once confined to fringe circles, conspiracy theories about lasers have picked up steam online since the California wildfires of the 2010s, according to Mike Rothschild, an expert on the QAnon movement and author of the book Jewish Space Lasers. It works on the lack of basic understanding that conspiracy believers have of how fire and wind work, Rothschild said. The theory is especially adaptable to social media because it fits with pictures taken of fires that show beams of light supposedly coming from space. Susan Buchanan, director of public affairs for the National Weather Servic said the agency alerted local officials up to a week in advance about dangerous fire weather conditions on the Hawaiian Islands, issuing official warnings in the days before the inferno began One photo social media users are claiming shows a laser directed at Maui actually dates to at least 2018, reverse image searches show. Snopes, another fact-checking outlet, reported at the time that the photo likely showed light from a controlled burn at an Ohio oil refinery. Iain Boyd, director of the Center for National Security Initiatives at the University of Colorado, Boulder, told said he does not believe the edited video shows any kind of laser and that the impact of a directed-energy weapon wouldnt actually appear as a visible laser beam. Modern lasers with power that is high enough to start any kind of fire operate in the infrared and so cannot be seen by the naked eye, he said. Boyd, the expert on directed energy weapons, said the image could not possibly show a high-energy laser fired from the sky. First, modern high-energy lasers used for weapons operate at a wavelength in the infrared that cannot be seen with the naked eye, Boyd said of the picture. Second, to produce the effects that are seen on the ground would require an incredibly high power laser that could not be flown in the air or operated in space. Another photo misrepresented online was featured in a 2018 article saying it showed a SpaceX rocket launch. Its easy to use those pictures as proof of what they are doing to us to further their climate change agenda or societal control, and people desperate for answers would rather believe in space weapons than the reality of the climate crisis, Rothschild said. Trees left standing Some have claimed that trees being left standing in the aftermath of the wildfires are a tell-tale sign of foul play. The powers to be are at work again. This was no wildfire, a voiceover on one Facebook video states, showing photos of Maui wreckage. A wildfire that demolishes buildings, leaving trees standing, leaving restaurant umbrellas and trees untouched yet having the power to destroy a boat in the middle of the ocean What we are seeing here is definitely no wildfire. Wildfires do not completely burn out vehicles, glass and all, yet leaving nearby trees and utility poles still standing upright. But experts say it is not unusual to see such damage after a wildfire. Dr Rory Hadden, a senior lecturer and expert in fire investigations at the University of Edinburgh, told BBC trees are left standing because blazes burning through a large piece of wood takes a long time, thick pieces of wood are usually not able to sustain burning on their own and the high moisture content of trees will also make them hard to burn. Its actually very common that wildfires will burn out structures and vehicles but leave surrounding trees, utility poles, and other vegetation unscathed, said Michael Gollner, an associate professor of mechanical engineering at the University of California, Berkeley, who leads a fire research lab. Wildfires are often spread to homes and other structures via embers small, burning particles that break from vegetation or structures, Gollner added. He pointed to a 2008 federal report about a fire in Lake Arrowhead, California, that found that a wildfire initially showered a neighborhood with fiery debris, igniting several homes and vegetation. But it was the subsequent spread between houses that accounted for most of the residential damage, the report said, not the wildfire itself engulfing homes. Photos in the report show homes destroyed amid trees that remain standing. Christopher Dunn, an Oregon State University assistant professor of wildfire risk science, offered a similar assessment of the Maui visuals showing trees and poles still standing amid fire-ravaged landscape: No, thats not unusual. Dunn provided photos from a 2020 fire in southern Oregon in which homes were destroyed while neighboring trees remained upright, despite some charring. While small pieces of wood burn easily, the sheer size of large trees, some protection from their bark and the water they contain make it so they dont simply vaporize during a fire, he said which explains the fact that some salvage trees for lumber after a forest fire. Likewise, Arnaud Trouve, a professor of fire protection engineering at the University of Maryland, said fires can spread through flame contact, radiation or the flying embers, also known as firebrands. A fire that spreads through flame contact and/or radiation will typically attack all fuel sources on its path. Under those conditions, you would not expect to find unburnt trees, he said in an email. But fire spread in the wildland urban interface (WUI) has been shown to be often controlled by firebrands. Under those conditions, you can find patches of unburnt vegetation and structures. This has been observed in previous WUI fires on the West Coast of the US. He added that structures, decks and fences are susceptible to accumulating flying embers, making them particularly vulnerable whereas the wind may blow such embers past trees and poles. Smart city Hawaii fires were set to land grab, read one text on a recent video published on Facebook. The TikTok creator behind the video claimed the blazes were intentionally set to try and get people into 15-minute cities. Hawaii is very small, it has a small amount of land available especially for millionaires and billionaires that have houses in these areas that want to keep building, she says in the video. So, the easiest way instead of offering people money for their homes is to just burn the entire place down and pretty much just burn people out of their area. In a video that spread widely on Instagram, another user said footage of the damage in Hawaii sure doesnt look like a fire but rather like something almost exploded. He added that media reports failed to note that in January they had in Maui a smart city conference to turn Maui into an entire smart island changing everything to electric, renewables, solar panels and pushing everybody into electric vehicles. 15-minute smart cities. But while authorities have not pinpointed what exactly set Maui ablaze, there is no evidence the fires were set intentionally to redesign the island to be more walkable or climate friendly. In the US, 90 percent of the fires are human-caused but intentionally as arson and everything, its not that many, said Albert Simeoni, professor and head of the Department of Fire Protection Engineering at the Worcester Polytechnic Institute (WPI). People always come with that because its an especially unthinkable disaster and they want somebody to blame. With inputs from agencies The famous pilgrimage site of Kedarnath, located in the central Himalayas of India, is believed to be a sacred land. It has been referred to as deva bhumi, or the land of the gods, for centuries. Millions of people visit this region each year in search of divine blessings and other religious benefits as part of what is known as the Char Dham Yatra, or the pilgrimage to four sacred mountainous abodes devoted to different gods and goddesses. Situated at the base of 20,000-foot snowy peaks, Kedarnath is one of these four major destinations. The mighty Hindu god Shiva is believed to have manifested in the middle of a meadow in Kedarnath as a conical rock formation that has long been worshiped as a lingam, an embodied form of the deity. A stone temple has stood over the lingam for at least a thousand years, at an altitude of about 12,000 feet. Many in the vast crowds of people on the Char Dham Yatra have said that they believe it is important to undertake this pilgrimage at least once in their lifetime, often identifying it as the most significant journey they will ever perform. But climate change now threatens the sacred sites of this region. As global temperatures rise, glaciers on the 20,000-foot peaks above Kedarnath that are key sources of the Mandakini River, a major tributary of the Ganga, are melting and retreating at alarming rates. Climate change disasters are acting as powerful drivers of religious transformations, reshaping religious ideas and practices. Threats to the Himalayan region Glacial deterioration is happening worldwide, but subtropical glaciers in high mountainous areas such as the Indian Himalayas are more vulnerable because of their low latitudes. Many climate scientists believe that climate change is affecting the Himalayas more than almost any other region of the world. Melting glaciers leave massive amounts of water in lakes held in place by unstable natural dams formed of rubble heaped up when the glaciers were healthy and pushing down a slope. The expanding lakes left behind by shrinking glaciers are increasingly prone to glacial lake outburst floods. Another serious danger threatening high mountainous areas as a result of global warming is the shift from snow to extreme rain at increasingly higher altitudes. Snow clings to hillsides and melts gradually, while rain rushes down slopes immediately, causing destructive erosion, landslides and deluges. The combination of extreme rain and glacial lake outburst floods can lead to deadly flooding, as demonstrated by a catastrophe in Kedarnath in 2013. Kedarnath disaster Himalayan researchers determined that in June 2013, more than a foot of rain fell within 24 hours near Kedarnath at elevations never previously recorded. The entire watershed above Kedarnath was filled with raging water. Additionally, the Mandakini River burst out of its banks, causing landslides and devastating flooding. To make matters worse, the rubble dam that had held back the glacial lake formed by the melting Chorabari Glacier above Kedarnath suddenly breached, releasing a high wall of crashing water. In a matter of 15 minutes, the entire content of the lake was emptied, cresting over three-story buildings with a pounding flow that University of Calcutta scientists estimated was half the volume of Niagara Falls. Fortunately or, according to pilgrims, miraculously a 30-foot oblong boulder rolled down the mountain and stopped just before the ancient temple, parting the powerful waters and protecting the temple so that it remained standing without major damage. Every other building in the town of Kedarnath was demolished. Government figures claim over 6,000 people died, but those involved in the rescue operations set the figure much higher. Most of the dead were pilgrims. The Gods are angry The destructive flooding is changing peoples beliefs. The gods of this region are closely associated with the land itself; and these gods, nature and humans are intimately connected. People living in this region understand the dramatic changes taking place here in terms of this triad. A resident of Gangotri explained, The gods are angry with us because of how we are now acting. When I said to him that I thought this area is where people have been coming for a long time to receive the blessing from the gods, he responded, Yes, but now they are angry with us. That is why this (Kedarnath disaster) has happened. And more will come if we do not change our ways. I found this to be a common view weather-related disasters were being understood as a result of the immoral actions of human beings, particularly the disregard for the environment. One significant theological change that appeared to be underway within Himalayan Hinduism as a result of climate change was the transformation of the primary conception of the gods from those who bless to those who punish. There is so much sin in the world today, a resident of Uttarkashi told me. People are making a lot of pollution. Because of this, the climate is changing and the gods are beginning to punish us. In some ways there is nothing new in the assertion that human morality and the environment are intimately linked, but the degree of change that is now happening has introduced a new level of concern. Wandering holy men in this region are witnessing firsthand the dramatic changes in the Himalayas during their years of travel. One holy man living in this area explained, The gods are nature. When we disrespect nature, we disrespect the gods. They are now angry because of what we are doing to nature. This is why the destructive storms are increasing. Conditional hope All is not lost, however, and there remains some hope for a better outcome. There is a sense that things can still be turned around and the worst avoided if humans are willing to change their ways. Specifically, many articulated this as a return to a more respectful relationship with the gods of the land. When asked how to please the gods and turn things around, a man in Kedarnath put it simply: To once again respect the land and nature. There is no great difference between treating the gods with respect and nature well. A woman I spoke to in Uttarkashi elaborated on this: The gods and the land are the same. And we are mistreating both. The floods are like a warning slap to a child. They are a wake-up call telling us to change our ways. If not, we will be finished. Human behaviour remains a major factor in the holistic worldview that connects humans, gods and environment, and a return to respectful relationships is the key to a sustainable future. Many Himalayan residents say that humans have the choice to return to a more mutually beneficial relationship with the natural world, but if the gods stormy warnings are not heeded, then massive destruction and a gruesome end is near. Uncertain future Destructive floods continue to happen in the central Himalayas with increasing force and frequency. Since the 2013 disaster at Kedarnath, more than 800 people have been killed in flash floods in the Char Dham region. The Kedarnath pilgrimage was suspended in 2022 because of deadly landslides and flooding, but the Indian government has also heavily promoted religious tourism in this area. The year 2022 saw a record number of pilgrims visiting Kedarnath and the three other Char Dham sites in the central Himalayas, which only puts more stress on the land, with additional buildings, crowded roads and polluting vehicles. With vehicles, factories and other human activities continuing to pump excessive amounts of greenhouse gases into the atmosphere, warming the planet, experts fear disasters like Kedarnath saw in 2013 will become only more common. This article is republished from The Conversation under a Creative Commons license. Read the original article. More than a fortnight after communal clashes brought Haryana to a near standstill, self-proclaimed cow vigilante Bittu Bajrangi, a member of the Bajrang Dal, has been arrested by the police from Faridabad near his house. CCTV footage from the area showed plain-clothes policemen armed with sticks and guns, catching him after a long chase. Bajrangis arrest comes after an FIR was filed against him on the complaint by Assistant Superintendent (ASP) Usha Kundu at Sadar Nuh police station Tuesday. The charges against him include assault or criminal force to deter a public servant from discharge of duty; voluntarily causing harm to deter a public worker from doing duty; rioting; and Section 25 of the Arms Act. But who is Bittu Bajrangi? What his is connection to the Nuh violence that first broke out on 31 July? Heres what we know. Life of Bittu Bajrangi Bittu Bajrangi, also known as Raj Kumar, is a fruit and vegetables trader at the Gazipur market and Dabua maket in Faridabad. Three years ago, he also began running his own cow vigilante group, called the Gau Raksha Bajrang Force. He is also known associate of wanted cow vigilante Monu Manesar, who has also been linked to the violence in Nuh. According to reports, Bajrangi is known for leading rallies against love jihad and putting up posts on social media, asking his followers to boycott shops run by Muslims. Before his arrest on 15 August, Bajrangi has been named as an accused in at least five cases of hate speech and hurting religious sentiments. Also read: Burn them alive: What FIR reveals about harrowing violence in Nuh Bajrangis link to Nuh violence Prior to his arrest on 15 August, Bajrangi has also been linked to the clashes that broke out in Haryanas Nuh on 31 July and an FIR was registered against him for posting threatening videos and creating religious frenzy. The video in mention shows Bajrangi in saffron attire with a song with threatening lyrics playing in the background. The soundtrack in the video, which has gone viral since then and been attributed to provoking people, features lyrics Goli pe goli chalengi, baap toh baap rahega (gunshots will be exchanged, the father will remain the father). When asked about the video earlier, Bajrangi had told India Today, I had just answered those who had threatened me. He had further claimed that he received threats two days before the rally that he will be duly welcomed if he attends the rally. He had on 31 July also posted another video in which he said, phool mala tayyar rakho (keep flowers and garlands ready) and tumhara jija aa raha hai (your brother-in-law is coming), an indication to the presence of his associate Monu Manesar at the VHPs Brij Mandal Yatra. Besides the provocative videos posted on social media, the police have also said that Bajrangi and his associates wielded illegal weapons during the VHP procession. He was seen by officials brandishing weapons in the air and when authorities confiscated the weapons, he snatched them from a police vehicle. ASP Usha Kundus complaint against Bajrangi, stated: On 31 July, during my duties related to maintaining law and order for the Jal Abhishek Yatra, around 12.30 pm, 300 metres away from Nalhar temple, I encountered a group of 15-20 individuals going towards the temple. We had asked people not to carry weapons during the yatra and during a peace committee meeting, this was reiterated to organisers. Also read: Haryana violence: How tensions have forced migrants to flee Gurugram, Nuh Some of them were carrying swords and trident-like weapons. With the assistance of my staff, I managed to prevent them from using these weapons and causing harm. One individual, Bittu Bajrangi, identified through social media, along with his associates, attempted to snatch these weapons from my fellow police officers and me, the complaint stated. When asked earlier about carrying weapons at the rally, Bajrangi claimed that the swords carried by a few participants were for rituals. A few people were carrying weapons but they were all licensed. And swords that we carry are used for puja, for weddings, for rituals; they are not used for murders, he was quoted as telling India Today. Haryana violence On 31 July, communal clashes first broke out in Haryanas Nuh after a mob tried to stop the VHP's Brij Mandal Jalabhishek Yatra. Stones were pelted and cars were set on fire, with the violence spilling over into neighbouring Gurugram and other areas. Also read: Haryana communal violence: Did the police fail to act on time in Nuh? The violence claimed the lives of six people, including a Muslim cleric in Gurugram. Public and private property across the state was damaged, and the administration had to call in central troops to quell the situation. It was only on Monday that mobile internet services were restored in Nuh and curfew was also been relaxed for Monday and Tuesday between 6 am and 8 pm, during which peoples movement will not be restricted. On 11 August, schools and other educational institutions in Nuh also reopened after they were closed due to violence. The services of the Haryana State Transport buses were also restored. According to the Haryana government, 176 people have been arrested, 90 detained, and 93 FIRs have been registered in connection with the clashes which also spread to the adjoining areas of Haryana including Delhi, Rajasthan's Bhiwani, etc. With inputs from agencies Hawaii is in the midst of one of its largest natural disasters. The flames that ravaged western Maui last week have already earned the distinction of being among the worst in modern US history, and the death toll is anticipated to rise as recovery operations continue. Officials in Hawaii confirmed 101 dead as of Tuesday and warned that the figure is expected to rise further. Here a look at some of the most deadly wildfires of the 21st Century. Australia In Black Saturday in Australias Victoria state, 173 people were killed, in Australias worst bushfire on 7 February, 2009. Whole towns and more than 2,000 homes were destroyed. Greece In Greeces worst-ever fire disaster, 103 people died when wildfires swept through homes and vehicles in the coastal town of Mati near Athens in July 2018, leaving only charred remains. The majority of the victims were trapped by the flames as they sat in traffic jams while trying to flee. Others drowned while trying to escape by sea. Also Read: Why the wildfires in Maui are so devastating In 2007, a 12-day inferno starting in late August killed at least 67 people and destroyed 800 homes across the southern Peloponnese peninsula. The flames engulfed most of the regions olive groves. The Aegean Island of Evia was also badly affected. In all 77 people died that summer due to fires. Algeria More than 90 people, including 33 soldiers, were killed in dozens of wildfires in Algeria in August 2021. The government blamed arsonists and a blistering heatwave for the blazes, but experts also criticised authorities for failing to prepare for the annual wildfire season. In August 2022, massive blazes killed 37 people over several days in northeastern El Tarf province, near the border with Tunisia. More than 10,000 hectares (25,000 acres) went up in smoke in El Kala National Park, a UNESCO-listed heritage spot famous for its rich marine, dune, lake and forest ecosystems. California On 8 November, 2018 at dawn, Californias deadliest modern fire broke out in the town of Paradise, some 240 kilometres to the north of San Francisco, killing 85 people over more than two weeks. It burned more than 62,000 hectares of land and reduced more than 18,800 buildings to ashes. Also Read: Hawaii wildfires: What is the environmental impact of deadly blaze? An investigation found that high tension electricity wires sparked the fire. The Camp Fire is likely the most deadly fire in the United States for a century; the Cloquet Fire in 1918 in the northern state of Minnesota killed around 1,000. Portugal The deadliest wildfires in Portuguese history broke out in the central Leiria region during a heatwave in June 2017 and burned through hills covered with pine and eucalyptus trees for five days. Many of the 63 people who died became trapped in their cars while trying to escape. In October, a new series of deadly fires broke out in northern Portugal, killing another 45 people as well as four in neighbouring Spain. Those fires were chiefly blamed on arsonists. With inputs from AFP Landslides triggered by heavy rainfall have caused disruption across several parts of Himachal Pradesh since Sunday (13 August) night. Dozens of people have died in rain-related incidents that hit the hill state has witnessed in the last few days. A fresh landslide in Krishna Nagar locality on Tuesday (15 August) morning left two dead and many missing. At least eight houses collapsed due to the landslide, while a slaughterhouse was among the rubble, as per the news agency PTI. The neighbouring Uttarakhand is also reeling from the effects of torrential rains. After July, this is the second time during the monsoon season that the two hill states have been ravaged by extreme rainfall and flooding. How have heavy showers wreaked havoc in Himachal Pradesh? Why is this happening? We explain. Rain fury in Himachal Heavy downpours, cloudbursts and landslides have set off a trail of destruction in the hill state. Two landslides hit Shimla on Monday at Shiv Bawadi temple in Summer Hill and Fagli. Five people died in the Fagli landslide. Another body was rescued from the site of collapsed Shiv Bawadi temple today, taking the total death toll in the state to 57, as per PTI. Rescue operations are underway at both places of Summer Hill and Krishna Nagar. So far, 13 bodies have been recovered from Shiv Temple in the Summer Hill area, whereas one body and one severed head were found in Krishna Nagar, Deputy commissioner Shimla Aditya Negi told the news agency. VIDEO | Rescue operations are underway at both places of Summer Hill and Krishna Nagar. So far, 13 bodies have been recovered from Shiv Temple in Summer Hill area, whereas one body and one severed head were found in Krishna Nagar, says Deputy Commissioner Shimla Aditya Negi. pic.twitter.com/mptg8hik8U Press Trust of India (@PTI_News) August 16, 2023 SDM Shimla (Urban) Bhanu Gupta said that search and rescue are underway, with teams of National Disaster Response Force (NDRF), State Disaster Response Force (SDRF), army, local police and home guard been deployed for the operations, reported ANI. As per a PTI report, around 15 families have vacated their houses in Krishna Nagar in fear of landslides. They have been shifted to safer places. A flash flood in the Mandi district on Monday swept away seven people, reported India Today. #WATCH | Several houses collapsed in Krishna Nagar area in Himachal Pradeshs Shimla after a landslide took place. Rescue operation underway. (Video Source: Local; confirmed by Police and administration) pic.twitter.com/qdYvR4C4fx ANI (@ANI) August 15, 2023 Videos of falling trees, collapsing homes and people crying for help have surfaced on social media. Himachal Pradesh chief minister Sukhvinder Singh Sukhu, who met people injured due to cloudbursts in Solan district on Monday, has estimated that around 60 people have been killed in the last three days, the report added. Himachal is bracing for another spell of rain as the India Meteorological Department (IMD) has predicted isolated heavy rainfall for today (16 August). Whats behind this incessant rainfall? According to Hindustan Times (HT), the monsoon troughs northward movement and its interaction with a weak western disturbance are to be blamed for the recent spell of heavy rainfall in Himachal Pradesh and Uttarakhand. The monsoon trough is an elongated low-pressure area which extends from heat low (a low pressure over the seas) over Pakistan to the head of the Bay of Bengal, as per IMD. The monsoon trough is lying along the foothills of the Himalayas. It is likely to gradually shift southwards and lie near its normal position from 18 August, the weather agency said in its bulletin on Tuesday. A western disturbance, along with a cyclonic circulation present over south-west Bangladesh and its nearby areas, is affecting the western Himalayas, as per the newspaper. Mahesh Palawat, vice-president, climate and meteorology at Skymet Weather Services, a private forecaster, told HT, A part of the monsoon trough is between Meerut and Delhi. This is causing rainfall over Delhi. There will be patchy rain over Delhi NCR (national capital region) and east-central India for 1-2 days, but the trough will again move northward around 20 August. Palawat said more heavy rainfall can be expected during that time over Himachal Pradesh and Uttarakhand. Chief minister Sukhu said on Tuesday that around a 157 per cent increase in rainfall in Himachal has caused extreme damage across the state. I believe that the construction in Shimla and the mismanagement of the drainage system in the houses led to weakening of the hills, the chief minister told PTI. The river didnt enter the houses, the houses entered the river. The drainage system of the houses was not managed, we did not pay attention to the structuring. The laws need to be made stronger, and we will soon act on this, Sukhu said. As per the chief minister, the state has suffered an estimated loss of Rs 10,000 crore since the onset of monsoon in June. #WATCH | Himachal Pradesh CM Sukhvinder Singh Sukhu says I am on the way to Kangra. We have evacuated over 650 people there. Around 100 people are still trapped in Kangra, rescue operation is underway. Another body has been recovered in Shimla. The state has suffered a loss of pic.twitter.com/91hNCsQ8yD ANI (@ANI) August 16, 2023 HT reported citing experts that loosening of soil, heavy erosion, and flash floods over Himachal Pradesh and Uttarakhand are the result of heavy rainfall affecting the Himalayan states and the northeastern states since 7 August. During the monsoon breaks monsoon trough shifts north close to foothills which produces heavy rains over the hills and northeast India. Nepal also gets good rain. This was expected in general, M Rajeevan, former secretary, ministry of earth sciences, was quoted as saying by the newspaper. Kalachand Sain, director of the Wadia Institute of Himalayan Geology, told HT that besides torrential showers, landslides are also triggered by tectonic activity and anthropogenic causes such as drawdown of groundwater which can create a vacuum under the surface, heavy construction activity and mining. Forest and plant cover often play a very significant role in slowing down the impact of continuous rainfall on soil, he added. What about Uttarakhand? In Uttarakhand, at least six people have died, while seven people are still missing. Landslides damaged infrastructure and cut off major roads in the hill state. On Tuesday, the SDRF personnel rescued 42 out of over 100 stranded pilgrims after the collapse of a bridge on the trek route to Madmaheshwar, as per Indian Express. Debris from landslides has led to the blockade on the Badrinath national highway. In Pauri district, landslides due to heavy rainfall have claimed at least three lives. According to the IMD, light/moderate scattered to fairly widespread rainfall/thunderstorm and lightning with isolated heavy rainfall is likely over Uttarakhand from 15-19 August. The rivers of Ganga, Mandakini and Alaknanda have crossed the danger mark in Rudraprayag, Devprayag and Shrinagar, reported NDTV. As many as 1,169 houses and agricultural lands have been damaged due to the recent rain fury. With inputs from agencies Bollywood actor Shilpa Shetty Kundra marked the 77th Independence Day by participating in the Har Ghar Tiranga movement on 15 August. The 48-year-old star hoisted the Indian flag at her Juhu home in Mumbai with her family. However, as soon as the post took over the internet, she was mocked on social media for hoisting the flag while wearing her shoes. Lets take a closer look. Also read: Why the Tricolour is hoisted on Independence Day but unfurled on Republic Day Why was Shilpa Shetty trolled? On Tuesday morning, Shilpa Shetty Kundra took to her Instagram handle and shared a video of herself and her family hoisting the Tricolour. As for the caption, she wrote, Vande Matram #JaiHind #IndependenceDay #76YearsOfIndependence #VandeMataram #ProudIndian #gratitude #blessed. View this post on Instagram A post shared by Shilpa Shetty Kundra (@theshilpashetty) A section of netizens criticised the Hungama 2 actor for wearing shoes while hoisting the flag. A user commented on the post, When youre hoisting the National Flag, I urge you to touch the rope of the flag only after removing your footwear. Another one quipped, Jai hind par apko mem. At least apne footwear to nikal Leni chaiye kiya apko itna b nhi pta (sic). Other people joined in to support the aforementioned claim as well, with some even calling out the actor for breaking the rules. What did the actor say? Responding to the trolls, Shetty wrote, I am aware of the Rules of conduct while hoisting the flag, the RESPECT for my country and THE FLAG stems from my heart and not up for questioning. I am a proud Indian. Todays post was to share and celebrate that emotion. To all the trollers (that I ignore usually) DO NOT appreciate YOU airing your ignorance and spreading negativity on this Day. So get your facts rights and please BACK OFF (folded hands emoji). She also shared a screengrab of a Google search on Instagram Stories, Can we hoist Indian flag with shoes? The response below the question was, The Flag Code of India does not restrict hoisting of the national flag with shoes on. She captioned the story as #Facts (tricolour emoji). Also read: Nurses, farmers and more The special guests invited for PM Modis I-Day speech What are the dos and donts of hoisting the National Flag? The Flag Code of India was established in 2002 assimilating the provisions of various laws like the Emblems and Names (Prevention of Improper Use) Act, 1950 and The Prevention of Insults to National Honour Act, 1971. According to the Flag Code of India, the Tiranga can be hoisted on all occasions at all places without disrespecting its dignity and honour. The code says that the Flag can be of any size but its ratio of length to height must be 3:2 in the rectangular shape. Overruling clause XI of paragraph 2.2 of Part II of the Flag Code of India to unfurl the national flag after sunset only, Tiranga can now be displayed on the house of any person in the country at whatever time in the 24 hours of the day. where the Flag is displayed in the open or displayed on the house of the member of the public, it may be flown day and night, states the new rule. However, it is necessary for the person hoisting the national flag to make sure that the flag is not hoisted in an inverted mannerthat is the saffron part of the flag should fly high, reported ANI. The flag you are hoisting must not display a damaged tricolour and neither it should touch the ground or water. In other words, the national flag should not be damaged in whatsoever way. Moreover, the person hoisting the flag must ensure that the flag is not flown from the extreme top part of the flagpole with any other flag. In case, the National Flag is damaged, it should be disposed of in a way that its dignity is not hurt. The Flag Code of India suggests that it should be completely destroyed in private by burning it; and if it is paper-made, make sure that it is not abandoned on the ground. In short, the National Flag of India should be discarded in complete privacy, keeping in mind the dignity of Tiranga. A citizen, a private organization or an educational institution may hoist or display the National Flag on all days and occasions. There is no restriction on the timing of flag display. The government has amended the Flag Code of India to allow the tricolour to be displayed in the open and on individual houses or buildings day and night. Earlier, Indians were allowed to hoist their National Flag only on some specific occasions but it changed after a decade-long legal battle of industrialist Naveen Jindal which culminated in the January 23, 2004 landmark judgment of the Supreme Court of India, that declared that the Right To fly the National Flag freely with Respect and Dignity a fundamental right of an Indian citizen within the meaning of Article 19(1) (a) of the Constitution of India. Lauding the Centre and PM Modi for the Har Ghar Tiranga Campaign, Naveen Jindal has urged every Indian to make Har Din Tiranga their motto. The Flag Code of India was earlier amended in December 2021 allowing the use of polyester, apart from cotton, wool, silk and khadi for making hand-spun, hand-woven and machine-made flags. The flag CANNOT be used for communal gains, drapery, or clothes. No other flag or bunting can be placed higher than the flag. Also, no object, including flowers or garlands or emblems can be placed on or above the flag. The tricolour cannot be used as a festoon, rosette or bunting. Burning, mutilating, defacing, defiling, disfiguring, destroying, trampling upon or bringing contempt through words of the Indian national flag in public view is punishable with imprisonment up to 3 years or a fine or both. Also read: Independence Day 2023: Heres how it is different from Republic Day Are shoes prohibited by the rules in some way? The hoisting of the national flag while wearing shoes is not prohibited by the Indian Flag Code. As a result, hoisting the Indian flag while wearing shoes is not a criminal offence and is not considered insulting. However, given that Indian tradition dictates that footwear be left outside of places of worship and since the Indian tricolour flag is associated with treasured feelings, it is polite to take off your shoes before hoisting the Indian flag as a sign of respect. Other similar controversies In 2021, Telangana MLA Rega Kantha Rao stirred a controversy when he unfurled the National Flag with his shoes on, on the occasion of the Telangana State Formation Day at Kothagudem. His inappropriate behaviour went unnoticed by all in attendance, including District Collector Anudeep Durishetty. However, Congress leader Chanda Santosh Kumar had asked that Chief Minister K Chandrasekhar Rao remove Kantha Rao from his post as Government Whip after taking strong offence to the disrespect exhibited to the National Flag, according to The New Indian Express. In 2017, fifteen locals in the Nizamabad district were arrested for reportedly heckling a college principal for raising the flag on Independence Day while wearing shoes. The sarpanch and numerous villagers had asked Government Junior College head Mohammed Yaquinuddin to take off his shoes when he stood up to raise the flag on the college campus in the morning. According to Indian Express, the principal informed them that there is no such law and that even military personnel can raise the flag while donning shoes. He then went ahead and hoisted the flag. Later after the National Anthem ended, a group of 15 young people began jeering Yaquinuddin, principal down down, and dragged him out of the campus gate. The villagers told Yaquinuddin that while there may be no rule to remove shoes, it was a practice in the village and neighbouring areas to remove footwear before any auspicious event. With inputs from agencies Acknowledging the gender biases in legal language, the Supreme Court on Wednesday (16 August) released Handbook on Combating Gender Stereotypes. Words such as prostitute, hooker, whore, dutiful/good/faithful wife, housewife, keep, mistress, slut, faggot have been flagged by the apex court in this guidebook that aims to stamp out stereotypical language. Launching the handbook earlier today, Chief Justice of India (CJI) DY Chandrachud, as per LiveLaw, said: This is to assist judges and the legal community to identify, understand, and combat stereotypes about women in legal discourse. It contains a glossary of gender unjust terms and suggests alternative words and phrases which may be used while drafting pleadings as well as orders and judgements. It is for lawyers as well as judges. Pointing out stereotypical words and phrases used in past court judgments, the CJI said, These words are improper and have been used for women in court judgments. This handbooks objective is not to criticise those judgments or doubt them. This is just to underline how gender stereotypes are perpetuated inadvertently, reported NDTV. What words and phrases have been flagged by the booklet? How have sexism and misogyny affected court judgements? Lets take a closer look. Bastard, fallen woman, mistress and more The handbook lays out incorrect stereotypes promoting language and suggests preferred alternative language in its stead. The judges and lawyers have been asked to avoid words like adultress, child prostitute, chaste woman, carnal intercourse and so on. It also calls attention to common reasoning patterns that are based on gender stereotypes (particularly about women) and elaborates on why they are incorrect. On how stereotypes affect judicial decision-making, the handbook states, Like any person, a judge may also unconsciously hold or rely on stereotypes. If a judge relies on preconceived assumptions about people or groups when deciding cases or writing judgements, the harm caused can be enormous. According to the handbook, harmful stereotypes relied on by judges can lead to a distortion of the objective and impartial application of the law. This will perpetuate discrimination and exclusion. Gender Stereotypes based on inherent characteristics. pic.twitter.com/xRLcfEhKIZ Live Law (@LiveLawIndia) August 16, 2023 In March, CJI Chandrachud had signalled that the preparation for a handbook addressing gender stereotypes is underway. He said at the time that the legal glossary was devised by a committee chaired by Calcutta High Court judge Moushumi Bhattacharya, reported LiveLaw. Women judges and Supreme Court The Supreme Court has had no woman CJI so far. India may get its first female chief justice in Justice B V Nagarathna who is in line to take over the post for over a month in 2027. As per ThePrints report in 2019, it took 68 years for the apex court to get its first directly appointed woman judge, Justice Indu Malhotra. She was directly elevated from the Bar to the Supreme Court as a judge in 2018. Malhotra was the seventh woman judge since Independence to reach the Supreme Court. The first woman judge, Justice Fathima Beevi, was appointed in 1989, around 39 years after the Supreme Court assumed its present form in 1950, reported PTI. Representation of women in the top court is still abysmal. Currently, out of 32 sitting judges, including the CJI, there are only three women judges in the Supreme Court. Sexism also continues to haunt the Indian judiciary, with even senior lawyers being subjected to stereotypical remarks or incidents. In March 2019, senior advocate Indira Jaising had mentioned in a piece in The Wire that she was once called by a senior male lawyer that woman while he referred to his male colleagues as my learned friend. Kiruba Munusamy, a Dalit lawyer practising in the Supreme Court, wrote for ThePrint in 2019 that a senior counsel in the apex court did not take her to the court as she was keeping my hair open. She also opened up about remarks on her short haircut previously made by a judge in the Madras High Court. Sexist remarks in judicial judgements In 2021, Madhya Pradesh High Court set a bail condition for the accused in a molestation case to get a rakhi tied from the survivor. Taking objection to the verdict, the apex court quashed the bail condition and asked judges to avoid perpetuating misogynistic and patriarchal stereotypes through their remarks on dress, behaviour, past conduct or morals of a survivor of gender violence, reported Times of India (TOI). A bench of Justices AM Khanwilkar and S Ravindra Bhat also listed stereotypical words and opinions that judges should desist from, including saying that women are physically weak and need protection, men are the head of the household and should take all the decisions relating to family, good women are sexually chaste and so on, as per The Leaflet. Courts in India have often come under fire for judges sexist remarks or verdicts. In June 2020, a Karnataka High Court judge said while granting anticipatory bail to the accused that it is unbecoming of Indian women to go to sleep after being ravished (raped). The Bombay High Court remarked in 2012 while hearing a divorce plea that a wife should be like Goddess Sita who followed her husband Lord Ram into the forest and stayed there for 14 years, reported Hindustan Times (HT). As per The Leaflet, in another case of divorce, a court once said, A wife should be minister in purpose, slave in duty, Lakshmi in appearance, Earth in patience, mother in love and prostitute in bed. The apex court judges in a verdict have referred to a woman in a live-in relationship as a keep. Why does the legal language matter? Amrita S Nair, the founder of The Silent Sexism Project, argued in her Leaflet piece in 2020 that statements like unbecoming of Indian women gatekeep the lived experiences of victims and may even impair impartial investigations due to preconceived notions of criminality. She said that when judges declare certain behaviours as unbecoming, they lay down a principle that there is a particular code of conduct that has to be followed after one has been sexually assaulted and if you do not adhere to it, you are less of a victim or simply have not suffered enough. As former Additional Solicitor General of India Jaising wrote for The Wire, Words and phrases in judgments that connote a subordinate role to woman in a relationship, objectify them as property, and merely for the mans sexual pleasure, should not only be condemned and expunged, but barred from being used. This is the only way of creating a gender-sensitive bar and bench. With inputs from agencies The second anniversary of the Taliban takeover of Afghanistan is here. Since then, Afghan women have been denied the most basic human rights in what can only be described as gender apartheid. Only by labelling it as such and making clear the situation in Afghanistan is a crime against humanity can the international community legally fight the systematic discrimination against the countrys women and girls. Erasing women from the public sphere is central to Taliban ideology. Womens rights institutions in Afghanistan, notably the Ministry of Womens Affairs, have been dismantled while the dreaded Ministry for the Propagation of Virtue and the Prevention of Vice has been resurrected. The Afghan Independent Human Rights Commission has been dissolved and the countrys 2004 constitution repealed, while legislation guaranteeing gender equality has been invalidated. Today, Afghan women are denied a post-secondary education, they cannot leave the house without a male chaperone, they cannot work, except in health care and some private businesses and they are barred from parks, gyms and beauty salons. Women targeted Of the approximately 80 edicts issued by the Taliban, 54 specifically target women, severely restricting their rights and violating Afghanistans international obligations and its previous constitutional and domestic laws. The Taliban appear undeterred, continuing where they left off 20 years ago when they first held power. The results of their ambitions are nearly apocalyptic. Afghanistan is facing one of the worlds worst humanitarian crises. About 19 million people are suffering from acute food insecurity, while more than 90 per cent of Afghans are experiencing some form of food insecurity, with female-headed households and children most impacted. Gender-based violence has increased exponentially with corresponding impunity for the perpetrators and lack of support for the victims, while ethnic, religious and sexual minorities are suffering intense persecution. This grim reality underscores the urgent need to address how civil, political, socioeconomic and gender-based harms are interconnected. International crime Karima Bennoune, an Algerian-American international law scholar, has advocated recognising gender apartheid as a crime under international law. Such recognition would stem from states international legal commitments to gender equality and the United Nations Sustainable Development Goal 5 aimed at achieving global gender equality by 2030. Criminalising gender apartheid would provide the international community with a powerful legal framework to effectively respond to Taliban abuses. While the UN has already labelled the situation in Afghanistan gender apartheid, the term is not currently recognised under the Rome Statute of the International Criminal Court as being among the worst international crimes. Presenting his report at the UN Human Rights Council, Richard Bennett the UN Special Rapporteur on the Situation of Human Rights in Afghanistan stated: A grave, systematic and institutionalised discrimination against women and girls is at the heart of Taliban ideology and rule, which also gives rise to concerns that they may be responsible for gender apartheid. Criminalising gender apartheid globally would allow the international community to fulfil its obligation to respond effectively and try to eradicate it permanently. It would provide the necessary legal tools to ensure that international commitments to womens rights in all aspects of life are upheld. Shaharzad Akbar, head of the Rawadari human rights group and former chair of the Afghanistan Independent Human Rights Commission, has urged the Human Rights Council to acknowledge the situation in Afghanistan as gender apartheid. Shes noted that the Taliban have turned Afghanistan to a mass graveyard of Afghan women and girls ambitions, dreams and potential. South African support A number of Afghan womens rights defenders have also called for the inclusion of gender apartheid in the UNs Draft Convention on Crimes Against Humanity. Most remarkably, Bronwen Levy, South Africas representative at the Security Council, has urged the international community to take action against what (Bennetts) report describes as gender apartheid, much like it did in support of South Africas struggle against racial apartheid. Elsewhere, the chair of the European Parliaments Committee on Womens Rights and Gender Equality, as well as the head of its Delegation for Relations with Afghanistan, have described the unacceptable situation in Afghanistan as one of gender apartheid. Whenever and wherever apartheid systems emerge, it represents a failure of the international community. The situation in Afghanistan must compel it to respond effectively to the persecution of women. Recognising Taliban rule as gender apartheid is not only critical for Afghans, it is equally critical for the credibility of the entire UN system. As Afghan human rights activist Zubaida Akbar told the Security Council: If you do not defend womens rights here, you have no credibility to do so anywhere else. The Talibans brutal two years in power in Afghanistan have taught us that ordinary human rights initiatives, while important, are insufficient for addressing gender apartheid. The world needs resolute collective international action to end the war on women. Not in two months. Not in two years. But now. This article is republished from The Conversation under a Creative Commons license. Read the original article. Singapore is now inviting Indian chefs to work in the country. Before now, those working in Singapores services and manufacturing sectors usually came from China, Malaysia, Hong Kong, Macau, South Korea, and Taiwan. But why is this happening? And what does this mean for India? Lets take a closer look: What happened? The city-state has added cooks from India to its list of permissible hires and thus widened its recruitment pool for certain job positions. Traditionally, employers in Singapores services and manufacturing sectors have primarily sourced work permit holders from China, Malaysia, Hong Kong, Macau, South Korea, and Taiwan. The Ministry of Manpower has recently unveiled the application process for cooks in Indian restaurants in the city-state. Establishments desiring to employ Non-Traditional Sources (NTS) work permit holders can submit applications on the ministrys website, starting from September 1. Bangladesh, India, Myanmar, the Philippines, Sri Lanka and Thailand constitute NTS countries. Apart from cooks in Indian restaurants, the updated NTS Occupation List, introduced during the 2022 Budget debate, encompasses jobs like welders and certain food processing workers, according to The Straits Times newspaper. Employers must pay these workers at least SGD 2,000 (Rs 1,22,549) per month in fixed wages. These workers must account for eight per cent or lower of the employers total headcount, excluding Employment Pass holders. Why is this happening? Singapore is facing a manpower crunch in a slew of services and manufacturing sectors. As per the website Trade Winds News, Singapores Ministry of Manpower (MoM) recently put 27 occupations on its shortage occupation list. New applicants for employment in Singapore will be assessed via a points-based system called the Complementarity Assessment Framework (Compass). Applicants will need 40 points under six criteria to qualify for a pass under Compass. The MOM and the Ministry of Trade and Industry said government agencies, unions and employers helped put the list together. The agency said the list would be examined every three years, but that it would retain the flexibility to add or remove occupations annually if required by market conditions. The MoM said a main consideration was developing local talent over time. Such efforts include working with our institutes of higher learning to equip graduates with the necessary skills for the job, as well as instituting programmes to upskill and reskill locals who are already in the sector or in adjacent roles, it added. What does this mean for India? With this move, cooks from India have joined the ranks of housekeepers and porters to be included in the list of permissible hires for work permit holders. The ministry has outlined that the applications will be assessed by a panel comprising industry and government stakeholders with expertise in Indian cuisine. It said that the new development allows eateries to recruit cooks not only from India but also from Bangladesh and Sri Lanka. By expanding the hiring options to these nations, Singapore aims to further enrich its multi-ethnic culinary landscape, supplementing existing recruitment sources like Malaysia, China, and North Asian countries. S Mahenthiran, Chief Executive of Catering Solutions and Honorary Secretary of the Indian Restaurants Association (Singapore), applauded this decision, stating that it will empower Indian restaurants to offer authentic Indian dishes while preserving the countrys rich Indian heritage. Welcoming the governments decision, Singapore Hotel Association Executive Director Margaret Heng underlined that manpower shortage is potentially affecting operations in the hospitality industry, which is critical to the countrys reputation as a preferred travel destination. Singapore National Employers Federation Executive Director Sim Gim Guan said that carefully allowing workers from NTS countries to work in specific occupations would ease employers manpower challenges. This is necessary to ensure that Singapore remains attractive as a destination for meetings, incentives, conferences and exhibitions, and tourists, Sim said. Nurses flock to Singapore The city-state is witnessing growing interest from nurses in India. The development came as Singapore looks to add about 4,000 new nurses to the workforce by year-end, with two-thirds of them from overseas, the Channel News Asia reported. At least one recruitment agency, Dynamic Health Staff, has seen four times more foreign candidates, mostly from India, who are keen to work here in July compared to January, the report said. Better pay packages, perks and a good healthcare system are among the reasons why Singapore is witnessing more Indian nurses interested in moving there. This interest is also matched by rising demand from Singapore. I would love to set up there with my family in the future because Singapore also gives a much better salary in comparison to India, said Sana Shahid, a Delhi-based registered nurse who is hopeful of landing a job in Singapore. Her fellow nurses seeking opportunities in Singapore said that the countrys appeal includes its reputation for being safe. They also said that the advanced medical technology and better quality of life are attractive, the report said. Nurses who choose to relocate to Singapore will receive a housing allowance of up to SGD 500 (Rs 30,805 approx) a month, gratuity, and joining bonuses from the institutions they join, Dynamic Health Staff director Arun Kumar Ojha said. There is also a lot of demand from the private and public sectors in Singapore, said Ojha, adding that the Ministry of Health Holdings in particular admitted a large number of foreign candidates to the pre-registration process. The process means that nurses are exempted from the Singapore Nursing Board exam. There is demand from healthcare institutions like National Kidney Foundation and nursing homes, Ojha said. However, even as Singapore ramps up foreign recruitment of nurses, the large majority of its nursing workforce will continue to comprise locals from nursing school intakes and mid-career training programmes, Singapores Health Minister Ong Ye Kung had said. With inputs from agencies US based defence major Boeing has started production of Apache helicopters for the Indian Army at its facility in Mesa, Arizona. The Boeing said that it will be delivering a total of six AH-64E Apaches, fulfilling the requirements of Indian Army. Known for their advanced technology and proven performance, the AH-64E Apache helicopters are poised to enhance Indian Armys operational readiness as well as strengthen its defence capabilities, said President of Boeing India Salil Gupte said. Earlier this year, Tata Boeing Aerospace Limited (TBAL) delivered the Indian Armys first AH-64 Apache fuselage from its advanced facility in Hyderabad. In 2020, Boeing concluded the delivery of 22 E-model Apaches to the Indian Air Force (IAF). It also inked a contract to produce to produce six additional AH-64Es exclusively for the Indian Army. The delivery of the Indian Armys AH-64E is scheduled for 2024. The AH-64 provides customers with unparalleled lethality and survivability, and we are thrilled to provide those capabilities to the Indian Army, Vice President of Attack Helicopter Programs and senior Boeing Mesa site executive Christina Upah said. Upah further said that the AH-64E continues to be the worlds premier attack helicopter. As per the company, it has strengthened its supply chain with more than 300 local companies in India and a joint venture to manufacture fuselages for Apache helicopters and vertical fin structures for the 737 family of airplanes. Boeing said its annual sourcing from India stands at over $1 billion. The company currently employs over 5,000 people in India, and more than 13,000 people work with its supply chain partners. The company employee efforts and country-wide engagement serve communities and citizenship programs to inspire change and make an impact on more than 500,000 lives. Union Cabinet Minister Anurag Thakur on Wednesday announced during a press briefing that PM-e Bus Sewa will be started in 100 cities across India. The Union Cabinet has approved Rs 57,613 crores for the project. PM E-Bus Seva has been given approval. Rs 57,613 crores will be spent on this. Around 10,000 new electric buses will be provided across the country, Thakur said. The scheme, which is aimed to support operations for the next 10 years, will be applicable in all capital cities of Union Territories, the North Eastern region and the Hill region. #Cabinet approves PM-eBus Sewa for augmenting city bus operations; priority to cities having no organized bus service; 10,000 e-Buses to be deployed in 169 cities; infrastructure to be upgraded in 181 cities under Green Urban Mobility#Cabinetbriefings pic.twitter.com/M4lOOWAoIc Rajesh Malhotra (@DG_PIB) August 16, 2023 According to a press release, the scheme will also generate over 45,000 direct jobs. Under this scheme, city bus operations will be done on Public Private Partnership (PPP) model with 10,000 e-buses. This scheme will support bus operations for 10 years, the Union I&B Minister added. The Delhi Assembly session is likely to witness uproar over the enactment of the GNCTD (Amendment) Act 2023 on the control of services in the national capital. The session to begin on Wednesday is expected a stormy debate among the legislators of the ruling AAP and the opposition BJP. The Government of National Capital Territory of Delhi (Amendment) Act came into being on Saturday following presidential assent. The law gives the Centre control over bureaucracy in the national capital and seeks to create an authority for the transfer and posting of Group-A officers. In his Independence Day speech at Chhatrasal stadium on Tuesday, Chief Minister Arvind Kejriwal said the Act has snatched powers of the elected government of Delhi and the AAP dispensation will restore them. The two-day assembly session will begin at 11 am on Wednesday. The list of business of the House did not specify any particular issue to be raised by the AAP. AAP leaders were tight-lipped about their strategy for the session. The GNCTD (Amendment) Act 2023 is expected to be a prominent debating point. Sources said the AAP may also raise the issue of suspension of its Rajya Sabha MPs Raghav Chadha and Sanjay Singh in the House. In a joint press conference, BJP MLAs accused the AAP of undermining democracy in the assembly. The AAP is making noise over the suspension of its members from Parliament, but it is itself responsible for undermining democracy in the Delhi Legislative Assembly, the BJP MLAs said. In the past three years, BJP legislators have been removed from the assembly 35 times in 16 sessions, revealing a pattern of anti-democratic behaviour, said Leader of Opposition in Delhi Assembly Ramvir Singh Bidhuri. The BJP legislators also accused the AAP of stifling the oppositions voice by preventing discussions on notices given by them. With inputs from PTI A 24-year-old woman has been arrested in Delhi for allegedly killing 11-year-old son of her live-in partner and stuffing his body in a bed box. Pooja Kumari, a resident of Ranhola in West Delhi, killed the minor on 10 August, holding the kid responsible for his father not divorcing his wife and was becoming hinderance in her relationship. The alleged murder took place on 10 August. Pooja was seen in security camera footage leaving the house where the boy, Divyansh, was staying with his mother in E block of Inderpuri. CCTV footage was analysed and it transpired from the footage that the last person who visited the house of the deceased was one lady, namely Pooja, police said. Police informed that Pooja had strangled Divyansh to death while he was sleeping and concealed his body inside the bed box. #WATCH | Delhi Police arrested a woman, Pooja for killing an 11-year-old child in Inderpuri. Special CP of Delhi Police Crime Branch Ravinder Yadav says, She says that she felt that Jitender (the deceaseds father) had left her as he loved the child too much and that unless he pic.twitter.com/MmAam7e7Lm ANI (@ANI) August 16, 2023 During the investigation, the woman also confessed that she was in a live-in relation with Divyansh's father Jitender. Pooja was arrested from Bakkarwala after officials combed through around 300 CCTV installed across several locations, Special Commissioner of Police (Crime) Ravindra Singh Yadav said. Also Read: 11-year-old found dead, stuffed in bed box at Delhi home; 'mysterious' woman suspect calls father after murder The crime branch said she was regularly changing her hideouts and dodging the police team. The investigating team said Pooja and Jitender got married at a temple of the Arya Samaj on 17 October, 2019, but a court marriage was not possible as the man had not divorced his wife. Police further said that Jitender had assured Pooja that they would get married in court after he gets a divorce from his wife and they both started living together by renting a house. The duo often quarreled over Jitender's divorce and in December 2022, he moved out of the rented house and started staying with his wife. This made Pooja furious and she started assuming that Jitender left her because of his son, Yadav said. Police said last Thursday (10 August) Pooja met her friend and asked him to take her to Jitender's house. The door of the house was open and she killed Divyansh, who was sleeping. Pooja allegedly took out clothes from the bed box and put the body of minor inside it, police said. A day after Divyansh's alleged murder, his mother Neelu told media, "My husband Jitender got a call from a woman who said what if she eliminates the person from his life whom both of us love the most? She had been threatening us for over four years now." Neelu further said, she and her husband Jitender called up Pooja Kumari again and spoke with her. "She sounded all calm and through her conversation, we could sense that she has done something. We kept asking her if she has done anything to Divyansh," she added. Neelu said when she found Divyansh's body in the box bed, it was in a "very bad state". With inputs from agencies The Calcutta High Court has granted permission to review a public interest litigation (PIL) plea that requests the courts involvement regarding the conditions at Jadavpur University. This follows the recent tragic incident where an undergraduate student died by jumping off his hostel balcony, allegedly due to enduring severe ragging. Today, senior barrister Kalyan Bandyopadhyay raised the matter and urged for an urgent hearing before a panel comprised of Chief Justice TS Sivagnanam and Justice Hiranmay Bhattacharyya. Bandyopadhyay presented the case, noting several concerning issues plaguing the universitys environment. He informed the court that there is an absence of closed-circuit television cameras (CCTVs) within the university premises. Additionally, any CCTVs that were previously installed have been vandalised. Even students who enrolled eight years ago still reside in the hostels. Administrative structures are lacking, contributing to an environment where ragging of students persists. The situation within the university has severely deteriorated. He underscored that despite Jadavpur Universitys historical prominence on the global stage, it has now become a bleak place. While once known worldwide for its academic prowess, the universitys reputation has dimmed. Illicit activities have taken root within its grounds. Trespassers frequently gain access during nighttime hours, highlighted Bandyopadhyay. The senior legal representative also briefly placed blame on the Universitys Chancellor, who also serves as the Governor of West Bengal. Bandyopadhyay criticised the Chancellor for not appointing a full-time Vice Chancellor to oversee the institutions administration. The Chancellors role goes beyond simply appointing a temporary Vice Chancellor. By failing to appoint a full-term Vice Chancellor, he neglects the students rights. Balancing administrative responsibilities and student welfare is his duty. We must rectify the course of this university, asserted Bandyopadhyay. Following these arguments, the bench concurred to review the PIL on Monday. Over the next fortnight, nearly 67,000 personnel of the Assam Police will be weighed and their body mass index (BMI) measured in a bid to have a healthier police force in the state. If found obese, the possible consequences may be serious for the cops. Three months later, in November, if they are still considered obese they will be made to take voluntary retirement. Earlier this year, in May, Assam DGP G P Singh had announced the state polices decision to professionally record the BMI of all personnel, including Indian Police Service (IPS) and Assam Police Service officers. Singh had said they would be given time till 15 August to get in shape, after which the measuring would begin. On Wednesday, this exercise began with DGP Singh getting his BMI measured at the 4th Assam Police Battalion in Guwahati. Clocking a BMI of 25, he passed the test. By the end of August, all police personnel will be similarly measured in 36 locations across Assam. Those who clock in a BMI of over 30 which is classified as obese will be sent for a health camp to the Police Training College in Dergaon. Assam Police raising the bar (and the measuring tape)! BMI testing of Police Personnel kicked off with the DGP Sh @gpsinghips being the first. Time to ensure fitness goals and serve justice with a side of healthiness! @DGPAssamPolice @HardiSpeaks pic.twitter.com/Zm39Pe0tM1 Assam Police (@assampolice) August 16, 2023 There will be nutritionists and doctors from the government there. They will be there for between one month to three months, depending on who needs how much intervention. We will try to bring them below 30 and I think we will be 100% successful in that because there will be physical exercises and we will do quality control of the food, Singh said. The Assam police announced that three months after the conclusion of this exercise, another round of measurements will be held in November. Those who continue to be in the obese category will be offered retirement under the voluntary retirement scheme (VRS), except those who have genuine medical grounds like thyroidism. The announcement of this drive followed a virtual conference conducted last month with senior officials at which Assam Chief Minister Himanta Biswa Sarma had stressed the importance of physical fitness of police personnel and had directed the state police leadership to cut deadwood from the force. The main aim is to make the police healthier and create a stronger police force because we are regularly seeing among police personnel that after crossing a certain age, they develop many health problems, Singh said. He elaborated that the Assam Police has created an electronic database of all its personnel where BMI has been added as a header along with other basic information such as date of birth and year of joining service. He said the aim is to introduce spot tests for blood pressure and sugar level along with BMI measurement next year and maintain a record of these health parameters as well. Former Assam DGP Mukesh Sahay said that any encouragement to improve health and fitness levels is welcome. But, another former police chief added that putting personnels jobs on the line makes this a sensitive issue. The current police leadership is looking at making its conditions more stringent in future years, by bringing the cut-off lower. Six more people, including former students of Jadavpur University, have been arrested for the alleged ragging and death of a first-year Bengali honours student on 9 August. With the fresh arrests, a total of nine people have been nabbed in the case that has triggered outrage across the state. Of the six arrested, three are former students, while the others are currently pursuing courses in the varsity. A report by NDTV quoted sources saying that those arrested allegedly instructed other students of JU over what statements they should give to the police. The arrested students were on campus at the time of the incident but left soon after. Six people, including present and former students, who were present in the hostel on the night of 9 August, have been arrested. Earlier three persons, including a former student and two second-year students, were arrested, a police officer said. The fresh arrests were made hours ahead of an emergency meeting convened by West Bengal Governor CV Ananda Bose, who is the chancellor of the state-run university, with the universitys court committee on Wednesday. Row over Jadavpur University student death On 9 August, the 18-year-old first-year student allegedly jumped from the second-floor balcony of the Jadavpur Universitys main hostel after he was allegedly ragged. The student, a resident of Bagula in the Nadia district of West Bengal, died at a hospital while undergoing treatment on 10 August. Police have registered a case of murder and conspiracy after several allegations of ragging emerged. The case was registered on the basis of the complaint lodged by the students father. As per reports, a sexual harassment angle is also being probed. Another case was registered when police were stopped from entering the campus. During the investigation, several hostellers, including former students staying at the hostel illegally, as well as a section of the university, and hostel authorities, including a dean and hostel superintendent, were quizzed. West Bengal Chief Minister Mamata Banerjee called the students parents and assured them of strict action. Meanwhile, the incident has triggered a political war of words with CM Banerjee blaming the Left-backed student bodies over the incident. Also, the BJP is set to hold a protest over the incident. Interestingly, the incident took place despite the university authorities having put up anti-ragging hoardings inside the campus. It earlier shifted freshers to a separate hostel. As per reports, Jadavpur University is expected to send a detailed report to University Grants Commission (UGC). The Central government has officially renamed the Nehru Memorial Museum and Library (NMML) the Prime Ministers Museum and Library (PMML). The vice-chairman of PMML A Surya Prakash confirmed the change of name on X (formerly known as Twitter). Nehru Memorial Museum and Library (NMML) is now Prime Ministers Museum and Library (PMML) Society w.e.f August 14, 2023 in tune with the democratisation and diversification of the remit of the society. Happy Independence Day! he said. The decision to change Nehry Memorial Museums name to Prime Ministers Museum was taken in June during a special meeting that was presided over by Defence Minister Rajnath Singh, who is also the Vice-President of the Society. This project was approved by the Executive Council of NMML in its 162nd meeting which was held in November 2016. The Pradhanmantri Sangrahalaya was opened to the public on April 21 last year. The inauguration ceremony, however, was skipped by the Nehru-Gandhi family marking their distaste for the change of name. In a release, the Culture Ministry said that the museum is a seamless blend that begins at the renovated and refurbished Nehru Museum building, now completely updated with technologically advanced displays on the life and contribution of Jawaharlal Nehru. Housed in a new building the museum then goes on to tell the story of how our Prime Ministers navigated the nation through various challenges and ensured the all-round progress of the country. It recognizes all the Prime Ministers, thereby democratizing the institutional memory, it added. With inputs from agencies Continuous rainfall and landslides have resulted in the tragic loss of over 60 lives across Himachal Pradesh and Uttarakhand. Efforts are made to save the injured and recover bodies from the wreckage of collapsed homes in various locations. The majority of deaths occurred in Himachal Pradesh, where Chief Minister Sukhvinder Singh Sukhu reported 60 deaths since the heavy downpours began on 13 August. #WATCH | Himachal Pradesh: On the massive landslide in the Summer Hill area, SDM Shimla (Urban) Bhanu Gupta says, Local people have confirmed the count that there can be 21 bodies. Out of which, we have recovered 12 bodies in the last two days. Our search and rescue operation is https://t.co/sjD6uLAKci pic.twitter.com/ufwSJPe1ue ANI (@ANI) August 16, 2023 In a Wednesday morning tweet, the Chief Minister shared that over 800 individuals were successfully evacuated from Kangras low-lying areas due to rising water levels in the Pong Dam. More than 800 people were evacuated from the low-lying areas of Kangra near the Pong Dam, as their villages became inaccessible due to the elevated water level in the dam reservoir. Evacuation operation is still on as more people are being evacuated, said Sukhu. More than 800 people were evacuated from the low-lying areas of Kangra near the Pong Dam, as their villages became inaccessible due to the elevated water level in the dam reservoir. Evacuation operation is still on as more people are being evacuated. pic.twitter.com/gtESMDhUnu Sukhvinder Singh Sukhu (@SukhuSukhvinder) August 16, 2023 Weather authorities have forecasted sporadic yet intense rainfall in Himachal Pradesh for the next two days, and a similar weather pattern over Uttarakhand for the subsequent four days. #WATCH | Uttarakhand | Rudraprayag Police tweets, Operation to rescue people stranded at Madmaheshwar valley begins with the help of helicopter. A temporary and optional helipad set up at Nanu where people are reaching on foot. They are being evacuated to Ransi village from pic.twitter.com/P2vYuV5Srh ANI UP/Uttarakhand (@ANINewsUP) August 16, 2023 VIDEO | SDRF, DDRF teams arrive to rescue those stranded due to heavy rainfall in Rudraprayag, Uttarakhand. pic.twitter.com/LO949xcYzz Press Trust of India (@PTI_News) August 16, 2023 On Tuesday, search and rescue teams managed to retrieve three bodies from landslides. Among them, one was discovered amidst the ruins of a collapsed Shiva temple in Shimla, while two individuals lost their lives in a new landslide within the city. Krishnanagar locality in Shimla experienced a devastating landslide, causing the collapse of at least eight houses, including six makeshift ones, and burying a slaughterhouse under debris. Due to adverse weather conditions, all schools and colleges in the Himachal will remain closed on Wednesday. Himachal Chief Minister Sukhvinder Singh Sukhu convened a meeting on Tuesday to assess the ongoing situation in the state. He emphasised the governments full dedication to expediting restoration efforts as a top priority. Additionally, he instructed officials to promptly restore electricity and water supply systems affected by the recent torrential rainfall. With inputs from agencies Fresh insights have emerged in the investigation of the grim incident in which a Railway Protection Force (RPF) Constable Chetan Singh shot dead four persons in July 31. According to reports, Constable Chetan Singh Chaudhary reportedly encountered a female passenger wearing a burqa as he patrolled the train carriages. Brandishing his firearm, he subjected the woman to a distressing ordeal, forcing her to chant Jai Mata Di. The lady passenger has reportedly told the police that after chanting the slogan, Chetan Singhs agitation escalated, and he demanded a louder recitation, to which she acquiesced. The incident came to the forefront of attention during a comprehensive police investigation. It was disclosed that the entire episode was captured by surveillance cameras placed within the train compartments. The woman in question has become a pivotal witness in the case. Adding a layer to the inquiry, the Anti-Terrorist Squad (ATS) of Maharashtra Police has also interrogated the implicated RPF constable. Currently held in judicial custody for the alleged murder of four individuals, including an ASI, Constable Chetan Singhs fate hangs in the balance. The dreadful incident transpired aboard the Jaipur-Mumbai Express train. The victims have been identified as Syed Saifuddin, Asgar Abbas Sheikh, and Abdul Kadar Mohamed Hussain Bhanpurawala. The shocking event was indelibly captured on video footage. In the recording, Chetan Singh, the RPF constable, is seen gripping a firearm as a man succumbed to bullet injury caused by him. This distressing scene unfolded alongside his efforts to intimidate the passengers aboard the Jaipur-Mumbai Superfast Express, close to Maharashtras Palghar station. Notably, during the incident on July 31, Chetan Singh coerced the passengers to demonstrate their support for Prime Minister Narendra Modi and Chief Minister of Uttar Pradesh, Yogi Adityanath. Tulsi Bhai, the endearing name of WHO Director-General Tedros Adhanom Ghebreyesus, who arrived in India for the two-day Traditional Medicine Global Summit in Gujarats Gandhinagar performed dandiya on his arrival at the event venue. Sharing the video of Tedros performing Gujarats traditional dance, donning Phento (a headwear or turban worn by Gujarati men), Prime Minister Narendra Modi welcomed the World Health Organization (WHO) DG and said, My good friend Tulsi Bhai is clearly well prepared for Navratri! My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, @DrTedros! https://t.co/NSOSe32ElW Narendra Modi (@narendramodi) August 16, 2023 Earlier in the day, Tedros took to social media platform X (formerly known as Twitter) and said, "Delighted to be in India for the WHO Traditional Medicine Global Summit, the Ministerial Meeting on Ending TB and the G20 Health Ministers Meeting. Namaste India!" Delighted to be in #India for the @WHO Traditional Medicine Global Summit, the Ministerial Meeting on Ending TB in @WHOSEARO and the G20 Health Ministers Meeting. Namaste India! pic.twitter.com/908fzLAGjR Tedros Adhanom Ghebreyesus (@DrTedros) August 16, 2023 The WHO Traditional Medicine Global Summit is being held alongside the G20 Health Ministerial meeting. It is centered around the core themes of evidence and learning, data and regulation, biodiversity, and innovation and digital health. There will also be a joint dialogue with the G20 ministers during the summit which will focus on the contributions of indigenous knowledge and traditional medicine to the well-being of societies and economies. The maiden WHO Traditional Medicine Global Summit will be held on 17 and 18 August 2023, in Gandhinagar. It is being co-hosted by WHO and the Government of India, which holds the presidency of the G20 in 2023. The Summit will look into the vast potential and applications of traditional medicine amidst important challenges and opportunities to achieve universal health coverage and well-being for people and the planet. Prime Minister Narendra Modi, President Droupadi Murmu on Wednesday paid floral tributes to Atal Bihari Vajpayee on his fifth death anniversary at the Sadaiv Atal memorial in Delhi. Watch: #WATCH | Delhi: Prime Minister Narendra Modi pays floral tribute at Sadaiv Atal memorial on former PM Atal Bihari Vajpayees death anniversary. pic.twitter.com/sKhGiQAY2s ANI (@ANI) August 16, 2023 #WATCH | Delhi: President Droupadi Murmu pays floral tribute at Sadaiv Atal memorial on former PM Atal Bihari Vajpayees death anniversary. pic.twitter.com/bYUvCv9Idt ANI (@ANI) August 16, 2023 Vice President Jagdeep Dhankar, Home Minister Amit Shah, and Union Defence Minister Rajnath Singh, too, laid floral tributes at the memorial of the saffron stalwart, who was conferred posthumously with the countrys highest civilian honour, Bharat Ratna. Significantly, the BJP, for the first time, invited fellow partners in the National Democratic Alliance (NDA) to the event to pay tributes to the former Prime Minister at the Sadaiv Atal Memorial. Among the partner leaders of the NDA at the event were former Bihar chief minister Jeetan Ram Manjhi, Tamil Manila Congress leader GK Vasan, AIADMKs Thambi Durai, Apna Dal leader Anupriya Patel, NCP leaders Praful Patel and Agatha Sangama, among others. #WATCH | HAM founder Jitan Ram Manjhi says, Modi Ji is generous to try to take everyone along even though his party has the majority. In the coming days, hell again get the complete majority. He wants to do complete development just like Vajpayee JiKharge is arrogant, he has pic.twitter.com/GyFIGjoGLQ ANI (@ANI) August 16, 2023 #WATCH | Union Minister Anupriya Patel says, The Opposition is disheartened and disappointed. They know that in 2024 too, people of the country will not trust them and there is no scope of their return to power. So, a disheartened Opposition is speaking anything. But people pic.twitter.com/4lca3HhI9D ANI (@ANI) August 16, 2023 Lok Sabha speaker Om Birla and Rajya Sabha Deputy Chairman Harivansh, from the Nitish Kumar-led JDU, also paid floral tributes to the former PM on his death anniversary. #WATCH | Lok Sabha Speaker Om Birla pays floral tribute at Sadaiv Atal memorial on former PM Atal Bihari Vajpayees death anniversary. pic.twitter.com/UwNgySb57R ANI (@ANI) August 16, 2023 Also, significantly, Vajpayees foster daughter Namita Kaul Bhattacharya was also laid floral tributes at the memorial of the departed leader. #WATCH | Delhi: Former PM Atal Bihari Vajpayees foster daughter Namita Kaul Bhattacharya pays floral tribute at Sadaiv Atal, on his death anniversary. pic.twitter.com/YS49n7xyB9 ANI (@ANI) August 16, 2023 To further shore up and strengthen the NDA, with an eye on next years Lok Sabha elections, the BJP has been holding political events, be it the meeting of the partners in the ruling alliance at the Centre earlier this year or the one to mark the 25th of the NDA government. The meetings are aimed at fostering unity among the NDA partners and cultivating a positive working relationship. On August 11, the BJP organised a workshop for NDA spokespersons. The objective of this workshop was to work collectively to ensure a strong performance in next years Lok Sabha elections, a party source told ANI. Earlier, PM Modi held a series of 11 meetings with NDA MPs from different states on July 31 August 2,3,8, and 9 during which he offered important suggestions and advice to the partner leaders ahead of next years general elections. One of the BJPs tallest leaders, Vajpayee took all the NDA partners along during his three terms in the countrys highest office and exemplified through his leadership the successful management of a coalition rule. His visionary leadership and strategic acumen not only shaped the BJPs image during his prime ministerial tenure but also helped build the partys stocks from scratch. Born in Gwalior in 1924, Vajpayee was the face of the BJP for decades and was the first non-Congress prime minister to have served a full term in office. He served as the Prime Minister of India from May 16, 1996, to June 1, 1996, and again from 19 March 1998 to 22 May 2004. He also served as Indias External Affairs Minister in the cabinet of Prime Minister Moraji Desai from 1977 to 1979. He passed away at AIIMS Hospital in Delhi on August 16 in 2018. After coming to power in 2014, Prime Minister Narendra Modi, in order to honour the former Prime Minister and Bharat Ratna recipient, declared that December 25 would be celebrated as Good Governance Day every year, his birthday. With inputs from ANI Atal Bihari Vajpayee will be remembered for many things. As a democratically elected prime minister, Vajpayees everlasting legacy would be his healthy respect for rivals including Congress stalwarts from Jawaharlal Nehru to Sonia Gandhi. Never unsparing in criticism, Vajpayee is said to have attracted the countrys first prime minister when he sought a special session of Parliament in the middle of the Sino-India war. Nehru conceded and debated the issue even though he was on the defensive. Vajpayee, till the end, never hesitated in praising Panditji at home and abroad. In fact, when Vajpayee became foreign minister in the Morarji Desai government in 1977, he asked officials to restore a portrait of Nehru on the wall behind the foreign ministers table and chair. Some overzealous babus had removed Nehrus portrait as the first non-Congress government was formed at the Centre. When Bangladesh was created in 1971, Vajpayee described Indira Gandhi as Abhinav Chandi Durga for defeating Pakistan in the war. Vajpayees description of Indira as Durga helped the Congress prime minister cultivate a larger-than-life image. Indira had admitted to her friend and biographer Pupul Jayakar that she had some intimations of supernatural powers throughout the war and even previous to it, having had strange experiences. Vajpayees Durga remark generated a lot of heat. In an article titled, Kiski Puja Kar Rahen Hain Bahujan (Whom are the lower castes worshipping?) Prem Kumar Mani, a Dalit and activist recalled how communist leader SA Dange had protested against the Durga tag given to Indira and reportedly told Vajpayee, Atal Bihari does not know what he is saying and Indira Gandhi cannot understand what she is listening. Both should know that Chandi Durga massacred Dalits and backward classes. [ Ballot-Ten episodes that have shaped Indias Democracy page 16-17 hachette] Three days after PV Narasimha Raos death, Vajpayee on 26 December, 2004 made an important disclosure, crediting Narasimha Rao as the true father of Indias nuclear programme. Vajpayee was in his hometown Gwalior that day to participate in a writers meet. Sounding a tad emotional, Vajpayee revealed that when he assumed the Prime Ministers Office in 1996 (the 13-day stint), he received a paper from his predecessor urging him to continue the countrys nuclear programme. Rao had asked me not to make it public; but today when he is dead and gone, I wish to set records straight. In typical Vajpayee fashion, the former prime minister went on: Rao told me that the bomb is ready. I exploded it. I did not miss the opportunity. Vajpayee said he never blamed the Congress party on this count. They, too, wanted a strong India to counter Pakistan and China. In foreign policy matters, they never lacked a commitment, Vajpayee had said oblivious of the future where barely 10 years later, his own partys leadership consistently blamed the Congress for all ills in the country. Vajpayee had reasons to have a healthy regard for the Congress leadership. In 1994 when Paksitan was making a big issue about alleged human rights violations in Jammu and Kashmir at international forums, Narasimha Rao sent Vajpayee as the leader of the Indian delegation to the 1994 session of the human rights commission at Geneva. The photo of a triumphant Vajpayee hugging Salman Khurshid (Indias junior foreign minister then) after returning from Geneva on the India Today magazine cover is etched in many minds. Vajpayee had fought bitter political battles with Rajiv Gandhi over Bofors, Shah Bano, Ayodhya dispute etc. but when the former prime minister was killed in a bomb explosion at Sriperumbudur in May 1991, Vajpayee called noted interviewer Karan Thapar to disclose that when Rajiv was prime minister (1984-89), he came to know that Vajpayee was suffering from an acute kidney ailment and required specialized treatment abroad. Vajpayee told Thapar how one day, Rajiv called him up and said he was including Vajpayee in Indias delegation to the UN. He [Rajiv] hoped I would use the opportunity to get the treatment I needed. I went to New York and thats one reason I am alive today, Vajpayee told Thapar in a TV interview. On another occasion, Vajpayee chose to name Sonia Gandhi while speaking in New York in 2002. He recalled that when the Indian Parliament was attacked by terrorists on 13 December, 2001, Sonia Gandhi had phoned prime minister Vajpayee to ask: Where are you, are you okay? I am okay, are you okay too? Sonia was the leader of the Opposition then. This is the greatness of Indian democracy, Vajpayee told his audience. We have differences in our politics but the whole world also believes. In some ways, Vajpayee was instrumental in shaping Sonia as an astute politician. [I have mentioned the episode in my book Sonia A Biography (Penguin 2003) pages 94-95]. Vajpayee had chosen Sonia as leader of an Indian delegation to the US in June 2001 which turned out to be a milestone of sorts in otherwise somewhat hesitant and diffident Sonia the politician. Sonia was then the leader of the Opposition. Her elevation as an Indian representative to the UN AIDS Conference caused heartburn within the BJP-NDA. Vajpayees health minister CP Thakur was hoping to lead the delegation and he reportedly sulked. A high point came for Sonia when he had a one-on-one meeting with US vice president Dick Cheney. In a series of meetings, press interactions etc, Sonia consciously avoided bringing in domestic politics or her ideological differences with the BJP. She kept harping on the theme of a national consensus on tackling poverty, diseases and stabilising population. The writer is a Visiting Fellow at the Observer Research Foundation. A well-known political analyst, he has written several books, including 24 Akbar Road and Sonia: A Biography. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect Firstposts views. Read all the Latest News, Trending News, Cricket News, Bollywood News, India News and Entertainment News here. Follow us on Facebook, Twitter and Instagram. Channelling Voltaire, who once said, It is dangerous to be right in matters where established men are wrong, there exists a wry saying in global circles: If the West had a hobby, it would be giving advice. It seems that Western nations, perhaps intoxicated by their historical achievements, often consider themselves as the elder of the global family. With a readiness to bestow their wisdom whether sought after or not upon the developing world, their offerings often come layered with policy advice, guidelines, and an occasional side of patronisation. Its like that age-old Fufaji who, with a wagging finger, cant resist schooling everyone in a wedding on the proper ways of doing things. Yet, amid this dynamic, the global stage is witnessing a quiet but potent shift. In a world where power dynamics are constantly evolving, the potential of South-South cooperation has often been underestimated. South-South cooperation, an alliance of developing nations banding together in defiance of the conventional wisdom of the West, presents a promising path forward. This collaborative approach taps into shared experiences, cultural synergies, and an intrinsic understanding of challenges that only peers can have. As the world stands at the cusp of a new era, its essential to recognise that growth isnt always dictated by the mighty; sometimes, its orchestrated by the mighty united. Emerging at the forefront of this shift is India. With its rich history, vast population, and rapidly growing economy, India has slowly but surely positioned itself as a beacon for the global south. Reflecting on the recent Independence Day speech by the Prime Minister, the global attention directed towards India is palpable. While its diverse culture and heritage have always been foundational strengths, its assertive response to global challenges, like the aftermath of the pandemic, has further accentuated its resilience and capabilities. The worlds attention isnt just superficial; it is deeply attuned. Indias message is clear a vision of global progress where humanity is at the core, where economic growth intertwines with empathy, and where challenges are faced collectively. Amidst the currents of changing geopolitical narratives, India emerges not just as a country but as a testament to the burgeoning might of the Global South. India has been asserting its leadership in representing the Global Souths interests, leveraging its G20 presidency to spotlight concerns of developing countries in Asia, Africa, and South America. The Voice of Global South Summit, hosted by India, echoes Prime Ministers ethos of Vasudhaiva Kutumbakam, emphasizing global unity and focusing on the collective challenges faced by over 120 invited nations. A significant diplomatic move has been Indias push for the African Unions (AU) inclusion in the G20. This proposal, recognising the AUs representation of 55 African nations, aims to bolster Africas voice in global matters, with South Africa currently being the sole representative. By championing the integration of African priorities in global discussions, India not only emphasizes the importance of a more equitable world structure but also solidifies its emerging leadership role in the Global Souths evolving dynamics. Further, with over a billion people, India represents not just a demographic dividend, but a reservoir of hopes, dreams, and aspirations. Each one of those 140 crore souls embodies a story, an idea, and a potential solution to the worlds most pressing challenges. This isnt mere conjecture but an observable phenomenon. When the prime minister mentions the nations ability to shape the changing world, he isnt speaking from a place of pride alone, but from a standpoint underpinned by tangible results and palpable influence. Today, as the world grapples with uncertainty, India stands tall, not just as a beacon of hope but as a guiding force. The respect India commands isnt simply because of its economic prowess or strategic significance, but because of its millennia-old civilization that teaches unity in diversity, resilience against adversity, and the art of harmonious coexistence. The testimony to Indias influence isnt found only in treaties or trade agreements but in the attentive eyes and keen ears of world leaders during global summits. When India speaks, the world doesnt just listen; it pauses, reflects, and often realigns. This brings us to an important issue, i.e. the need for reforms in multilateral institutions. India should be at the forefront of pushing these reforms. The rising inward-looking tendency across the world underscores the urgency for robust international institutions that champion cooperation and inclusivity. Post-Cold War multilateralism has evolved, integrating diverse players from business to civil society, creating a holistic system that addresses everything from economics to environmental concerns. However, Indias prowess in development cooperation contrasts with its challenges in security, especially from our northern neighbour. This makes reforms in multilateral frameworks vital. Further, the external affairs ministers call for reformed multilateralism resonates with this need, especially in the face of global adversities like the US-China tensions. Through this lens, Indias engagement in multilateralism is not merely a policy choice but a compelling necessity to align with the changing global order, ultimately safeguarding its economic and geopolitical aspirations. The prime ministers words echo a sentiment that resonates globally: India today is more than just a country. Its a promise, a vision, and a guarantee of stability in an ever-changing world order. The unwavering faith of its citizens, combined with the growing trust of the international community, ensures that theres no looking back. In Indias rise, there arent just opportunities or growth trajectories but a blueprint for a future where humanity thrives, collaborates, and celebrates shared victories. The prime ministers Independence Day address underscored more than mere rhetoric; it was an articulate affirmation of Indias ascending prominence on the international stage. The emphasis on Amrit Kaal signifies not only Indias emergence but its definitive position in global dynamics. A nation steeped in ancient heritage yet pulsating with contemporary vigour, Indias developmental trajectory is congruent with its timeless values, underpinning its dedication to global cooperation and mutual growth. As highlighted by the Prime Minister, Indias role in the evolving global paradigm is becoming increasingly pivotal. As the international community adheres to long-established conventions, it stands on the brink of transformation, with India poised to play a central role in shaping this new epoch. Navigating this crucial juncture, it becomes imperative for the global community to acknowledge the shifting narrative, and in this Amrit Kaal, India emerges not merely as a stakeholder but as a leader guiding the path ahead. The author is OSD, Research, Economic Advisory Council to the Prime Minister. He tweets @adityasinha004. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect Firstposts views. Read all the Latest News, Trending News, Cricket News, Bollywood News, India News and Entertainment News here. Follow us on Facebook, Twitter and Instagram. On 9 August, Prime Minister Shehbaz Sharif recommended dissolution of the National Assembly (NA), bringing to a close a rather tumultuous 16 months of problem-ridden governance by the Pakistan Democratic Movement (PDM) coalition, after it threw out the Pakistan Tehreek-e-Insaf (PTI) government of former prime minister, Imran Khan through a no-confidence motion on 9 April, 2022. President Arif Alvi promptly accepted this advice, dissolving the National Assembly. Dissolution of the Sindh and Balochistan provincial assemblies followed, setting the stage for general elections within the constitutionally stipulated 90 days, as prescribed under Article 224 (1) of the 1973 Constitution. However, after the Council of Common Interests (CCI) approved the findings of Pakistans first ever digitally completed population census in April 2023, which puts the population at 249.5 million, it has become mandatory for the Election Commission of Pakistan (ECP) to delimit electoral constituencies afresh, as required under Section 14 of the Elections Act 2017. This is a process which takes roughly four months. At present, out of NAs 266 elected seats, Punjab has 141 seats, Sindh 61, Khyber Pakhtunkhwa (KP) 45, Balochistan 16 and the Federal Capital Area (Islamabad) three seats. While this will not change, boundaries of Provincial Assembly constituencies would have to be redrawn. This promises to be a fairly complex and politically fractious exercise, which may delay the general elections to late February or early March next year (2024). Who will be caretaker PM? Several names cropped up for the post of caretaker prime minister, who has to be appointed by consensus. At one stage, the Pakistan Muslim League-Nawaz (PML-N) wanted Finance Minister Ishaq Dar to be chosen while the Peoples Party suggested another heavyweight, former foreign secretary Jalil Abbas Jilani. Rumour mills in Pakistani media even suggested that the name of former army chief General Raheel Sharif was under consideration. Dark horse Ultimately, in a complete surprise, Anwaar ul Haq Kakar, a Pashtun politician affiliated with the Balochistan Awami Party (BAP) was appointed caretaker prime minister on 14 August, 2023. Hailing from the Khan Mitarzai area of Killa Saifullah district in northern Balochistan, 52-year-old Kakar is a first generation politician from a middle class background. In his university days, he was earning a living through private tuitions and temporarily, as a UNHCR project evaluator. He is reported to have had left of centre leanings in Baloch student politics. Apprehending trouble from authorities, he left for United Kingdom. After his return from UK though, he transformed into an establishment backed politician, first joining the Pakistan Muslim League (Quaid e Azam) {PML-Q} in 2008, which supported General (Retd) Pervez Musharraf. He lost in the elections to the Balochistan Assembly. He then switched allegiance to the PML-N, joining the Sanaullah Zehri-led coalition in the province, along with his political mentor, Jam Kamal. In 2018, they formed the BAP, which supported Imran Khan in the 2018 elections. When Imran Khans government came to power at the Centre, Jam Kamal became chief minister in Balochistan. However, a deal with the military establishment, under which Anwaar ul Haq Kakar was to be made Senate Chairman came unstuck, as the Director General, Inter Services Intelligence, (DG,ISI), Lt Gen Faiz Hameed backed Sadiq Sanjrani for the post instead. Kakar is reported to have fallen out with Faiz Hameed and even openly remonstrated with him then. This may have stood him in good stead with the present military leadership. Rifts emerged within BAP at this juncture, between the Jam Kamal and Mir Quddus Bizenjo factions. The latter became Balochistans new chief minister in October 2021. Kakar had by then, developed the reputation of an establishment protege, being in constant touch with ISIs Balochistan sector commander, Brigadier Mumtaz and appearing on the military backed Voice of Balochistan media programmes. He was also enlisted on the Quetta Command and Staff Colleges visiting faculty as a speaker on foreign relations. Though much is being made of giving attention to smaller provinces, the PML (N) may have extended lukewarm backing to Kakars candidature, expecting that he may function as a comparatively weak or lightweight caretaker prime minister. These expectations may well be belied in days to come. Baloch nationalists are quite unhappy with this choice, as it became evident in Balochistan National Party (BNP-Mengal) leader, Sardar Akhtar Mengals letter to Nawaz Sharif, expressing dismay at the choice and PML(N)s backing of elements favoring use of force instead of political solutions for the province. In an interview with well-known TV compere, Hamid Mir, Mengal even suggested, Kakars choice would serve as undertaker, not caretaker for democracy in Pakistan! Meanwhile, to buttress the constitutional requirement of neutrality, Kakar announced his resignation both as Senator and from his party, the BAP. It remains to be seen if his caretaker cabinet would have professional heavyweight experts, especially in Finance, as promises extended to the IMF will need to be fulfilled. Caretaker regimes have also come in, under Justice (retd) Maqbool Baqar in Sindh and in Balochistan, where consensus has so far eluded choosing the caretaker chief minister. In Punjab and KP, caretaker chief ministers Mohsin Naqvi and Azam Khan respectively, who have already legally outlasted their three-month tenures, are likely to continue in their posts. What happens to Arif Alvi? Uncertainty surrounds the fate of president Arif Alvi, PTIs last bastion, whose term ends on 8 September. As the Presidential College is incomplete and as the caretaker prime ministers post has already gone to Balochistan, Senate Chairman Sadiq Sanjrani may not automatically step into his shoes as acting president, if Alvi resigns. There is talk that he may be allowed to continue till new elections are held. Imrans sustaining popular support Much of the army leaderships strategy in bringing in this new caretaker set up seems to have stemmed from the need to counter the continuing popularity of Imran Khan, whose supporters have been making a daily bee-line to Attock jail, demanding to meet him and chanting slogans in protest. The dilemma which will confront the establishment in coming months is how to credibly go through the exercise of general elections which do not result in a landslide for the jailed leaders party, though he is now disqualified from contesting for five years. They will somehow have to conjure a split mandate, without any political party gaining majority in the NA. Unrealistic expectations of change After last-minute amendments to Section 30 of the Election Act, 2017, empowering the caretaker government to pursue follow-up initiatives, even on major policy issues, many unrealistic expectations have been voiced about what this caretaker regime can achieve. These expectations have shifted from addressing a quagmire of weak governance, politics as usual, economic stagnation, and eroded public faith in state institutions to charting a new course that takes into account the social and economic changes reflected in the aspirations of a newly emergent, aspiring middle class, which is predominantly urban based. Much is being made of the formation of the Special Investment Facilitation Council (SIFC), the armys foray into controlled agricultural development, the involvement of the United Arab Emirates and Saudi Arabian royalty into development projects like the Reko Diq gold and copper mining projects, which have languished in litigation for long. In a more realistic assessment of these ambitions, seasoned Karachi-based economist, Kaiser Bengali recently warned against unrealistic hopes which may lead only to more debts, defaults and even possibly transfer of valuable Pakistani assets, in a transition he referred to in rather derogative terms, as a new form of cantonment colonialism. General Asim Munirs Independence Day address at PMA, Kakul Speaking to cadets at Pakistan Military Academy, Kakul on 14 August, General Asim Munir urged them to reject despondency, propaganda of naysayers and fear mongers, who were projecting Pakistans progress as a failed attempt to foment despair and hopelessness. He reassured the people of the armys traditional vision of itself that we the defenders of Pakistan, will never hesitate from any sacrifice in preserving our sovereignty and territorial integrity. He cautioned that recent `attempts to drive a wedge between Army and Nation were reprehensible and would not be allowed to succeed. Notably, his message to Kashmiris and warnings to the traditional enemy, India had a stronger tenor, reiterating that no evil design could withstand their rightful cause of self-determination and indigenous freedom struggle, despite the communication blackouts, blatant use of the bayonets and turning illegally Indian occupied Jammu and Kashmir into the largest prison of the world. He alleged, Indias strategic calculus was skewed by its outsized ambition, carrying an illusion of being a great power and blinded by Hindutva driven hyper nationalism. India, according to him, had never been able to reconcile with the idea of Pakistan and remained a threat to regional peace and stability. Pakistan knew well how to defend itself against such threats. These remarks should only alert India not to be complacent, as nothing had changed in the army mindset and continue watching Pakistans internal developments with `a hawk-eye. The writer is a former special secretary, Cabinet Secretariat. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect Firstposts views. Read all the Latest News, Trending News, Cricket News, Bollywood News, India News and Entertainment News here. Follow us on Facebook, Twitter and Instagram. The New York Times is at it again. A week before India celebrated its 77th Independence Day, it came up with another alarmist article, titled India Is On The Brink (9 August 2023). The latest provocation was the violence in Manipur. The article, written by Debasish Roy Chowdhury, a Hong Kong-based Indian author, is deeply problematic and propagandist. It says that the fuse for the current unrest in Manipur was lit by the politics of Hindu supremacy, xenophobia and religious polarisation championed by his (Modis) Bharatiya Janata Party. Its ironical to see a Hong Kong-based author criticising democracy in India! It continues, In Manipur, Christians are bearing the brunt as the states BJP government stokes the insecurities of the majority ethnic Meitei, who are predominantly Hindu. State leaders have branded the Kuki who populate the hill districts and who are mostly Christian as infiltrators from Myanmar, blamed them for poppy cultivation intended for the drug trade and evicted some of them from their forest habitats. Even a cursory look at the history of violence in Manipur, including the current one, would show that its a battle between two ethnic groups of Manipur, and the religious aspect is peripheral. The mischievous attempt to project the violence as a Hindu-Christian battle, in which Christians, which tribal Kukis have converted to in the last century, are facing persecution of the worst kind is to give the rising, new India a bad name globally. It fits into the vastly jaundiced Western narrative, of which NYT is a prominent flagbearer, showcasing India to be currently passing through a nightmarish majoritarian phase. While reading the NYT article, one would assume that a particular community (read Christian Kukis) is at the receiving end of violence. The truth is that both communities (Christian Kukis and Hindu Meiteis) have been grievously hurt and brutalised, with one set of atrocities dwarfing the other. Also, to give the ongoing Manipur crisis a political colour is to turn a blind eye to a long history of violence in the state; in fact, since 2014, the situation in the region has seen a discernible improvement. For the first time in 75 years, it finds itself in the mainstream of the Centres development initiatives, and not on the margins. There was a time when the Manipur valley would find itself being forcibly blocked and cut off by insurgent groups from the rest of the country for months at a stretch. As for poppy cultivation and large-scale infiltration from Myanmar, especially since the tumultuous resumption of military rule in that country, these are open secrets in Manipur and have been confirmed by several independent, non-partisan sources. The fact is that the current violence in Manipur was the result of a court ruling in favour of granting Meiteis a tribal status and thus the resultant benefits that have long been enjoyed by the Kuki and other hill tribes. This, in itself, is a vindication that violence isnt a majoritarian project. The NYT, by brushing aside these obvious realities, brandishes both its ignorance and inherent anti-India bias. As for author Debasish Roy Chowdhury, he has had a palpable anti-India, anti-Modi predisposition for a while now. Last year, when there was no crisis in Manipur, he still condemned Modis India as a country Where Global Democracy Dies. And in his 2021 book, To Kill a Democracy: Indias Passage to Despotism, co-authored by John Keane, he refused to call India the worlds largest democracy. India is the worlds largest case of endangered democracy, he wrote disdainfully. Worse, he, among other equally ludicrous things, tried seeking Indian democracys legitimacy through the statement of Periyar EV Ramasamy, Tamil founder of the so-called Self-Respect movement, who wanted Brahmins to be driven away from this land (Tamil Nadu), directed his followers to burn the pictures of Nehru and Gandhi and the Constitution of India, and also advocated the beating and killing the Brahmins, besides burning their houses. The all-pervasive Islamo-missionary-Western narrative, blindly swallowed and pushed forward by Left-liberal Indian intellectuals, of diminishing religious freedom in India needs to be countered head on. First, lets go by numbers and numbers never lie. The growing minority population in India exposes the big majoritarian lie being pedalled by mythmakers of the West and their Indian field agents. While the Muslim population in India, between 1951 and 2011, grew by 4.4 percentage points to 14.2 per cent of the population, Hindus declined by 4.3 points to 79.8 per cent. Even in Gujarat, the so-called laboratory of Hindutva, the numbers have not seen any dip. In sharp contrast, the Hindu population in Pakistan and Bangladesh has seen a sharp decline in the past seven-and-a-half decades. The reality is theres no country in the world that has been culturally and civilisationally so welcoming and accommodative and legally and constitutionally, since 1947, so tilted in favour of minorities. Historically, India has provided refuge to all persecuted communities from Zoroastrians to Jews that have not just retained their traditional way of life but also flourished in the Indic ecosystem as never before. Post-Independence, too, the minorities have officially received equal, if not the preferential, first claim on resources treatment. In India, this first claim on resources, especially for the largest of minorities, is government agnostic; in fact, it continues with a greater zeal during the current Hindutva government at the Centre. Numbers again dont lie. So, in the last eight years of the Modi government, the Muslim communities, comprising just over 14 per cent of Indias population, received 31.3 per cent houses under the Pradhan Mantri Awas Yojana, 33 per cent of funds under the PM-Kisaan Samman Nidhi Yojana, and 36 per cent of loans under the Pradhan Mantri Mudra Yojana. Then there is a PM Shadi Shagun Yojana, exclusively for Muslim girls, under which they would get Rs 51,000 if they complete graduation before marriage. To add to them other monetary benefits for minorities, from scholarships for Muslim students to monthly stipends for moulvis, and the appeasement cycle becomes all-pervasive. Appeasement is still fine. But whats truly unsettling are the legal-constitutional discriminations against the majority community that continue unabated during the so-called majoritarian government in India. While Indias minorities are free to run their religious and educational institutions unhindered by State interference, Hindus having no such freedom find themselves being constitutionally-legally mandated to be treated as the second-class citizens in their own country Anand Ranganathan calls them the eighth-class citizens subject to state-sanctioned apartheid in his just-released book, Hindus in Hindu Rashtra. So, why does NYT fail to see whats so obvious, statistically and otherwise: That there is no discrimination, far less persecution, of minorities in India? In fact, if anything the majority community has been at the receiving end of political appeasement in favour of Muslims as well as legal-constitutional discrimination against Hindus. But, as the NYT logo suggests, the American newspaper prints only those news reports and analyses that it thinks are fit to print. No wonder, it was unabashedly pro-Hitler in the 1930s, serving as a sturdy fount of Dr Goebbelss propaganda, or accusing Poland of starting World War II by invading Germany, or, worse, downplaying the Nazi Holocaust, as Ashley Rindsberg writes in The Gray Lady Winked. The NYT management, in the name of printing all the news thats fit to print, chose to conceal the brutal, inhuman side of Nazis in the 1930s and 40s. Following the same template, it today prefers an autocratic Xi Jinping over a democratically-elected Narendra Modi. For it, Chinese hegemony is another name for democratic benevolence, and Indian pluralism is nothing but the worst of Hindu majoritarianism on display. (This is Part 1 of a two-part series. The second part will analyse how Indias majority community has been legally and constitutionally discriminated against in the past seven decades.) Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect Firstposts views. Read all the Latest News, Trending News, Cricket News, Bollywood News, India News and Entertainment News here. Follow us on Facebook, Twitter and Instagram. Barely a month and the Opposition alliance has started witnessing defiance and clash. The Arvind Kejriwal-led Aam Aadmi Party (AAP) Wednesday said it was a waste of time being part of the I.N.D.I.A alliance. This came close on heels of Congress announcement that it would go solo on all seven Lok Sabha seats in Delhi in 2024 polls. Reacting sharply on the Congress decision, AAP leader Dilip Pandey said, Since Congress is not willing to make any alliance in Delhi for (2024 Lok Sabha) polls, I guess then there is no point in being part of that I.N.D.I.A alliance which is meant to save democracy and Constitution. VIDEO | Since Congress is not willing to make any alliance in Delhi for (2024 Lok Sabha) polls, I guess then there is no point in being part of that INDIA alliance which is meant to save democracy and Constitution, says AAP leader Dilip Pandey. pic.twitter.com/BrTFHFrc8O Press Trust of India (@PTI_News) August 16, 2023 "If Congress don't want to form an alliance in Delhi, then it makes no sense to go for I.N.D.I.A alliance, it is a waste of time. The party's top leadership will decide whether or not to attend the next meeting of INDIA alliance," AAP spokesperson Priyanka Kakkar. #WATCH | On attending INDIA alliance meeting, AAP spokesperson Priyanka Kakkar says "...If they (Congress) don't want to form an alliance in Delhi, then it makes no sense to go for INDIA alliance, it is a waste of time. The party's top leadership will decide whether or not to pic.twitter.com/gLv4mg4dRf ANI (@ANI) August 16, 2023 Opposition's I.N.D.I.A bloc, consisting of 26 non-BJP parties, are slated to hold their third meeting in Mumbai on 25-26 August. The Congress on Wednesday announced plans to contest all seven Lok Sabha seats in New Delhi in the 2024. The decision was during a 4-hour long meeting attended by top party leaders including Malliarjun Kharge and Rahul Gandhi. Also Read: Congress will contest all 7 Delhi Lok Sabha seats in 2024 polls We have been asked to prepare for the upcoming Lok Sabha elections. It has been decided that we will contest on all 7 seats. Seven months are left and all the party workers have been asked to prepare for all seven seats," said Congress leader Alka Lamba following a party meeting. AAP's minister Saurabh Bhardwaj said, ...Our central leadership will decide on poll alliance...Our political affairs committee and INDIA parties will sit together and discuss this." Reacting on the Congress' decision, AAP stressed the need for talks before a formal announcement on the matter. Opposition parties have been working to work together and stitch an alliance ahead of 2024 Lok Sabha elections. In preparation for the upcoming Assembly polls, the BJP has called a meeting of its central election committee (CEC) at its Delhi headquarters today. The meeting is likely to be attended by Prime Minister Narendra Modi, BJP National President JP Nadda, Union Home Minister Amit Shah and Defence Minister Rajnath Singh, along with all the other CEC members. The CEC is responsible for finalising poll candidates and laying down election strategies and is BJPs top decision-making body. Sources told CNN-News18 that the meeting will focus on announcing some seats in the poll-bound states of Madhya Pradesh, Rajasthan and Chhattisgarh. It is important to note that two of these states Rajasthan and Chhattisgarh are currently ruled by Congress. #BreakingNews: BJP to hold CEC meeting in Delhi today evening; focus on announcing some seats in all 3 Election bound states; meeting to be held in presence of PM Modi, Amit Shah and JP Nadda@payalmehta100 shares more details | @toyasingh #BJP #PMModi #AmitShah pic.twitter.com/aketINsfnT News18 (@CNNnews18) August 16, 2023 JP Nadda will preside over the key CEC meeting today. Candidates from the seats in the two opposition-ruled states will be finalised today but their names would not be made public immediately. The meeting will also be attended by Madhya Pradesh Chief Minister Shivraj Singh Chouhan and state BJP chief V.D. Sharma, along with Chhattisgarh BJP chief Arun Sao and other party leaders from the two states. The Assembly polls are also crucial as they come months ahead of the crucial general elections slated for 2024. Congress will contest on all seven seats in the 2024 Lok Sabha elections, party leader Alka Lamba said on Wednesday. Her remarks came after three-hour long meeting attended by Rahul Gandhi and Mallikarjun Kharge. We have been asked to prepare for the upcoming Lok Sabha elections. It has been decided that we will contest on all 7 seats, Lamba said. Seven months are left and all the party workers have been asked to prepare for all seven seats, she added. As per reports, Congress will go solo and will not be forming alliance with any other party. The meeting between the Congress leaders and its Delhi unit took place at party headquarters in the national capital which was chaired by Congress President Mallikarjun Kharge. During the meeting, which was also attended by Delhi unit chief Chaudhary Anil Kumar, incharge Deepak Babaria, Alka Lamba, Haroon Yusuf, Ajay Maken among others, Rahul Gandhi told the party leaders that in all the seven seats in last Lok Sabha elections, Congress came second. Gandhi reportedly told party members that people have lot of expectation from the party. As per reports, the Delhi unit of Congress has indicated the leadership not to forge an alliance with AAP in the Lok Sabha elections in the national capital. Earlier in a post on X (formerly known as Twitter), Kharge said: In view of the Lok Sabha elections, a discussion was held with the leaders of Delhi Congress today. Re-energising of Delhi Congress is our priority, in which participation of all leaders and workers is necessary. We had made Delhi prosperous and happy, our struggle for the people of Delhi continues even further. Gandhi in a post on Facebook said, In leadership of Khargeji a meeting of Delhi Congress leaders took place. To strengthen the voice of people and progress of Delhi, Congress is dedicated to take every step." With inputs from agencies A recent study shows that most teachers think students are relying on Artificial Intelligence apps to get their schoolwork done. RM Technology, a company in the education tech field, conducted a survey and found that about two-thirds of teachers believe theyre regularly receiving assignments written by AI. Interestingly, nearly 9 per cent of teachers even confess that they cant distinguish between work done by their students and work produced by AI programs. In this survey of 500 high school teachers, it turns out that 41 per cent of them feel that there should be stronger rules in place for AI, and around 31 cent are in favour of government intervention to supervise its usage. Mel Parker, who used to be a school principal and now consults for RM Technology, has a clear stance: Teachers need government regulations to ensure safety. She also believes that there should be more comprehensive training in using rapidly advancing technology. They should understand how to engage students in conversations about ethical AI usage, what constitutes suitable application within educational settings, and how AI can aid learning. Its crucial for them to grasp how AI can enhance comprehension of conceptsdistinguishing between dishonesty and productive methods, she said. She expressed worry that students might exploit AI for cheating, particularly as over a third of the surveyed teachers acknowledge that students are more knowledgeable about AI than they are. Teachers havent been provided with the necessary tools to develop a comprehensive grasp of this. Consequently, they require assistance to identify such instances, she added Students have a different perspective Teachers concerns didnt resonate with students, as a parallel survey of pupils showed that 68% of them believe their grades have improved due to AI, and 49% think that not using AI would negatively affect their learning experience. Miya Crofts, a 17-year-old student revealed that she frequently uses AI tools for her studies. She explained, I use AI a lot for things like online homework and revision tools. If I need extra help and either dont want to bother my teacher or she cant provide the assistance I need, AI programs are there whenever I require them. Miya sees AI as a positive force since it offers assistance whenever necessary. However, she does have a concern: On the flip side, I think some students might start depending too heavily on it, eroding their own self-reliance. The immediate feedback and answers are great, but they might hinder independent thinking. Its like you can ask questions and immediately get answers, but youre not really engaging your own critical thinking, she added. However, Tito Thomson OReilly, another student, has a different approach and doesnt often use AI for his schoolwork. He highlights a significant drawback: It takes away the social interaction aspect from the equation. Its like asking a little robot a question and receiving an immediate answer. But theres no emotional connection, no teamwork; its just a straightforward response. Safety concerns Safety online is also a growing concern, as more students are becoming adept at using programs that surpass the knowledge of adults. Charlotte Ainsley, a consultant specializing in digital safeguarding, commented, Its crucial to acknowledge that AI is already an integral part of our daily lives. So, whenever youre on social media or consuming content, AI is usually behind it. Some of the algorithms and content can expose children to harmful material. If they sign up for a platform, lying about their age (which many children do), they might come across content thats inappropriate for their age. Charlotte believes the government has a significant role to play in AI regulation: We dont want to find ourselves in the same situation we did with social media. A company that was hired to monitor Facebook posts in East Africa has admitted that looking back, it was a mistake to work with the Meta-owned social media platform. Former employees of Sama, a company that took on the contract of this moderation work, have shared that they were deeply affected by being exposed to disturbing posts. Some of them are currently pursuing legal action against the company in Kenyan courts. Wendy Gonzalez, the CEO, stated that Sama will no longer engage in tasks related to filtering harmful content. When moderators couldnt take it anymore Several ex-workers have recounted their distress after encountering videos depicting violent acts such as beheadings and suicides. Sama operated this moderation hub from 2019. Daniel Motaung, a former moderator, revealed that the first gruesome video he witnessed was a live beheading. Hes currently suing both Sama and Meta, the owner of Facebook. Meta asserts that all its partner companies must provide continuous support. Sama claims they always had certified wellness counsellors available. Reflecting on the situation, Gonzalez remarked, You might ask, Do I regret it? Well, Id probably phrase it like this: armed with the knowledge I have now, including the toll it took on our main operations, I wouldnt have agreed to it. They also steer clear of any artificial intelligence work associated with weapons of mass destruction or police surveillance. Referring to the ongoing legal proceedings, Gonzalez opted not to comment on whether she believed the claims made by employees who asserted they had suffered from viewing distressing content. When asked about her general stance on the potential harm of moderation work, she noted that its a novel field that unquestionably requires thorough research and allocation of resources. A distinctive outsourcing company Sama stands out for what it does and is highly sought after by many emerging social media platforms. Their USP was they trained people from economically weaker sections of Nairobi and gave them technical, computing skills. Sama also gave them a job People from economically disadvantaged areas of Nairobi were earning $9 per day through data annotation. This involved labelling objects in driving videos, such as pedestrians and streetlights, to train artificial intelligence (AI) systems. Workers who were interviewed expressed that this income had been instrumental in helping them break free from poverty. The daily average wage in Kenya is about 5 dollars, with most people earning closer to the minimum wage of 3-4 dollars. She strongly believes that its vital for Africans to participate in the digital economy and contribute to the advancement of AI systems. Gonzales reiterated that the decision to take on the moderation work was driven by two key factors. First, the recognition that moderation is a crucial and necessary task to safeguard social media users from harm. Second, the importance of having African content moderated by African teams. When it comes to moderators compensation at Sama, they started at approximately 90,000 Kenyan shillings ($630) per month a respectable wage in Kenya, comparable to that of nurses, firefighters, and bank officers, Gonzales shared. When asked if she would undertake such work for that pay, she clarified that moderation wasnt her role within the company. Samas contribution to ChatGPT Sama also partnered with OpenAI, the company responsible for ChatGPT. An employee named Richard Mathenge, tasked with reviewing extensive volumes of text that the chatbot was learning from, and flagging any potentially harmful content, revealed that he had been exposed to disturbing material. Sama confirmed that they discontinued this work when their Kenyan staff expressed concerns about requests related to image-based material that wasnt part of the original contract. Gonzales stated that they promptly ceased this work. OpenAI responded by stating that they have their own ethical and wellness standards for their data annotators, recognizing the challenging nature of the work for their researchers and annotation workers worldwide. Gonzales views this type of AI work as another form of moderation a type of work that the company will not be engaging in again. Our focus lies in non-harmful computer vision applications, such as driver safety, drones, fruit detection, crop disease detection, and similar areas, she explained. She concluded with a strong assertion, Africa must have a voice in AI development. We cant perpetuate biases. We need input from individuals across the globe to contribute to building this universal technology. Apple is getting ready to start making it in Tamil Nadu, India, which is a big step towards catching up with their main manufacturing operations in China. Foxconn Technologys plant in Sriperumbudur is gearing up to roll out the latest iPhones shortly after theyve hit the shelves in China. The idea is to boost the number of new iPhones coming from India and make things more efficient to increase the volume of phones available at launch. Apple starts making iPhone 15 in India this week Apple, based in Cupertino, California, has been working on a project for a while now to spread out its manufacturing beyond China. They want to lessen the risk of supply chain issues for their some of their most important products, especially with all the trade uncertainties between the US and China. India, under Prime Minister Narendra Modi, has been looking to become a hub for manufacturing. Before the iPhone 14, Apple only did a tiny bit of iPhone assembly in India, lagging behind Chinas output by quite a few months. They managed to shrink that time gap a lot last year, and by the end of March, about 7 per cent of iPhones were made in India. This year, theyre aiming to get even closer to matching the shipment timing between India and China. How much they produce in India for the iPhone 15 depends on how smoothly the production lines at the Foxconn factory near Chennai ramp up and how easily they can get the imported components. Other suppliers to get in on the action soon Other suppliers like Pegatron Corp. and a Wistron Corp. factory being taken over by the Tata Group will also soon be putting together the iPhone 15. Apples been making some solid moves in India through their partners from Taiwan. Theyve taken advantage of incentives from the Modi administration to ramp up high-end manufacturing. This has actually helped them triple iPhone production to over $7 billion in India for the fiscal year ending in March. After opening its first retail stores in India back in April, Apple is pretty stoked about the growing market there. They see it as a great retail opportunity and a key spot for making their gadgets in the long run. Their iPhone sales in India shot up by double digits in the quarter through June, but theyre keeping the exact numbers under wraps for now. Major upgrades incoming The new iPhone, which is expected to be unveiled on September 12, is shaping up to be the most significant update in three years. Theyre really going all out on the camera system upgrades and even improving the processor for the Pro models. This new lineup is super important for boosting their sales since Apple recently reported declining sales for the third quarter in a row due to not-so-great demand in places like the US, China, and Europe. Saudi Arabia and the United Arab Emirates are diving headfirst into an intense global race for high-performance Nvidia chips, as they look to build their own AI systems and algorithms, a Financial Times report has revealed For those unaware, training, developing and deploying AI models require very high computing power, and need specialised processors. Nvidia currently makes some of the best AI processing chips. Saudi Arabia and UAEs AI dreams Saudi Arabia and UAE have made it no secret that theyre gunning to be the top dogs in AI. Theyre backing up their aspirations with ambitious plans to supercharge their economies. However, this AI push has also sparked concerns about the potential misuse of this technology by the autocratic leaders of these oil-rich nations. People in the know have revealed that Saudi Arabia has acquired around 3,000 of Nvidias H100 chips at $40,000 each. These chips were acquired through the public research institution, King Abdullah University of Science and Technology or KAUST, often stylised as Kaust. The UAE has also made significant strides, securing thousands of Nvidia chips. Theyve even rolled up their sleeves and developed their very own open-source large language model named Falcon. This feat was achieved at the state-owned Technology Innovation Institute in Masdar City, located in Abu Dhabi. Insiders close to Nvidia and its manufacturer, TSMC, have revealed that the chipmaker is gearing up to ship approximately 550,000 of their latest H100 chips globally in the year 2023, reports FT. Most of these chips are destined for US tech companies. Speaking of chips, Saudi Arabias King Abdullah University of Science and Technology (Kaust) is set to receive 3,000 of these specialized chips by the end of 2023. These chips are valued at a whopping $120 million in total. OpenAI on the other hand, reportedly trained its advanced GPT-3 model on 1,024 A100 chips (the predecessor to Nvidias latest chips) in just a little over a month. Taking things up a notch, Kaust is making some impressive moves. Theyre constructing a supercomputer named Shaheen III, which is expected to be operational this year. This powerhouse will be running 700 Grace Hoppers, which are Nvidias superchips designed for cutting-edge AI applications. Kausts plan is to use these chips to construct their very own LLMs. This creation will be similar to OpenAIs GPT-4. Saudis close ties to Chinese talent All of this is happening under the watchful eye of the Provable Responsible AI and Data Analytics lab at Kaust. Interestingly, this team is mainly composed of Chinese researchers. It turns out that many Chinese AI experts have chosen to call Kaust home due to their restrictions on studying and working in the US after graduating from Chinese universities on the US entity list. In the meantime, back in the UAE, theyve been making some serious waves in the AI scene. They were actually the first nation to set up an AI ministry back in 2017. Recently, theyve unveiled a Generative AI Guide as part of their commitment to solidifying their global standing as pioneers in the technology. Theyre also focused on creating regulatory frameworks to keep the darker sides of technology in check. The UAE is dead set on taking charge of its own computational power and talent. They want their own platforms and arent interested in relying on China or the United States for this crucial tech, sources have revealed. The Financial Times reports that sources close to Abu Dhabis thought process have pointed out that theyve got the financial capital, abundant energy resources, and a knack for attracting top-notch global talent. Interestingly, the most cutting-edge large language models (LLMs) are under the ownership of US giants such as Microsoft-backed OpenAI and Google. These groups are also the top buyers when it comes to Nvidias prized H100 and A100 chips. The UAEs Falcon model, which theyve made available online for free, was trained on a whopping 384 A100 chips over a span of two months earlier this year. The UAEs government is also backing these advancements fully. Theyve acquired a fresh batch of Nvidia chips to gear up for more applications related to large language models and cloud services. Concerns for human rights worry several, but not AI pioneers However, not everyone is without concerns. Western AI leaders and human rights experts are raising alarms about the potential absence of ethical guidelines and safety features in the software developed by these two countries. The worry is that the software might not have the safeguards that major tech companies are working hard to integrate into their technology. Both Saudi Arabia and the UAE have experienced a boost in their financial resources due to the windfalls from petrodollars following last years surge in energy prices. These two countries also oversee some of the worlds largest and most active sovereign investment funds. Recently, representatives from funds associated with Gulf states have been reaching out to AI startups in the western world. Their aim is to gain access to valuable code and expertise in large language models (LLMs), and in return, theyre offering computing resources. Sam Altman, the CEO of OpenAI, had some positive words to share during a visit to the region. He applauded Abu Dhabis foresight in recognizing the significance of AI. In a Q&A session held in the financial district of the city, he remarked that the Gulf region could indeed play a central role in the global conversation around emerging AI technology and its regulations. He also acknowledged that there had been discussions about AI in Abu Dhabi before it became a popular trend. Altman noted the excitement about the growing interest in AI and appreciated those who had been discussing it when many doubted its potential. Elon Musk, who clams to have an absolutist stance on free speech, seems to have instructed X to interfere with the flow of website traffic from the platform to websites he doesnt approve of. The tech moguls latest move involves the social media app X, which has intentionally slowed down the loading times of links leading to various news outlets like The New York Times and Reuters, as well as rival social media platforms like Facebook, Threads, and Bluesky. Musks dictat hurting news sites critical of him As a result of this intentional slowdown, the affected websites now take more time to load compared to other sites such as The Washington Post and USA Today. This tactic is impacting the financial performance of the targeted sites. Its worth noting that the speed at which a webpage loads plays a role in determining its search engine rankings. Sites that load quickly are favoured by search engines like Google, which tends to prioritize sites that load within 2.5 seconds or less. Early on Tuesday, a user on the Hacker News discussion forum was the first to report the delay. This anonymous user highlighted that when X users click on links to the mentioned websites, they experience a delay of at least five seconds before being redirected to the correct address via t.co. This is X link-shortening service designed to process links shared on its platform. Load times go up to 5 to 10 seconds Littledata, a website that monitors Google Analytics data, has revealed that as of September 2022, only websites loading in under 2.9 seconds managed to secure a spot in the top 20% of search results. Considering that both The Times and Reuters take five and ten seconds respectively to load, theres a possibility that this throttling action is significantly impacting these companies in a widespread manner. Interestingly, it now appears that Elon Musks professional assessment of certain websites is influencing which ones experience the impact. Musk has previously taken shots at The Times through a X post, criticizing its coverage of his business challenges and labelling it as propaganda. He even described its X feed as diarrhoea. Not the first time where X or Twitter is hindering external links Jack Dorsey, the former chief of X and owner of Bluesky, has also voiced his criticism of Musks leadership, especially after Musks acquisition of the company in October. Musks focus hasnt solely been on The Times; he also took aim at Substack after it introduced a new feature in April that could potentially make it a competitor to X. During that period, Musk made a code adjustment on X that prevented users from interacting with Substack or its content. Substacks co-founders, including Chris Best, Hamish McKenzie, and Jairaj Sethi, expressed their strong dissatisfaction with Xs decision to introduce a delay in loading Substack links. They told The Post, Substack was established precisely in response to this kind of behaviour exhibited by social media companies. Writers cant establish sustainable businesses if their connection with their audience relies on unreliable platforms that have demonstrated a willingness to implement changes that negatively impact their users. In a startling turn of events, three Bulgarian nationals, suspected to be spy agents of Russia, were arrested and formally accused in a high-stakes national security probe in the UK. These individuals are facing charges of possessing fraudulent identity documents with malicious intent, all while allegedly being operatives of the Russian security apparatus. Among the counterfeit materials confiscated are passports, identity cards, and sundry documents fabricated for several countries including the UK, Bulgaria, France, Italy, Spain, Croatia, Slovenia, Greece, and the Czech Republic. Astounded residents residing in the proximity of two of the suspected spies, who were known to be a couple, have shared anecdotes of their seamless integration into British society. They regaled in quintessentially British customs, such as indulging in hearty morning fry-ups at a local eatery, and pleasantly surprised their neighbours by proffering delectable confections. The defendants have been identified as Orlin Roussev, aged 45, hailing from Great Yarmouth, Norfolk, and the couple, Bizer Dzhambazov, aged 41, from Harrow in north-west London, and Katrin Ivanova, aged 31, also residing at the same Harrow address. According to reports, Ivanova and Dzhambazov had the habit of sharing culinary delights with their neighbours. Furthermore, the couple ran a community organization that provided valuable services to fellow Bulgarians, including acquainting them with the cultural nuances and social norms of British society. Dzhambazov, who served as a hospital driver, and Ivanova, a laboratory assistant employed by a private healthcare entity, were regarded as an ordinary pair leading an unassuming life in Harrow. An individual living near their previous Harrow residence shared insights, noting, They resided here for a span of two to three years before relocating before the onset of Covid-19. They were courteous and amiable. He was engaged in transporting medical samples, and she was more invested in the Bulgarian community endeavors. They identified themselves as Max and Kate, the names by which we knew them. Simon Carsini, proprietor of a Cafe in proximity, shared that the couple would casually frequent his establishment donning flip-flops and vests while indulging in a traditional Full English breakfast. Carsini mentioned, I consulted my chef about them, and he recognized them immediately. They would visit and order a hearty Super Breakfast along with four toasts, often occupying the window seats. Harrow, being a melting pot of diverse cultures, fosters an environment where anyone can seamlessly assimilate and lead a regular life. A glance at Dzhambazovs Facebook profile revealed his fondness for the rock band Queen. Furthermore, records from Companies House unveiled his ownership of a business named B.I Business Investment Ltd, which he established back in 2016. Ivanovas image surfaced, showcasing her engaged in dancing at a renowned Bulgarian restaurant situated in Palmers Green, London. Notably, local residents near the couples recent residence recounted witnessing police vehicles stationed in the Lidl car park opposite their abode for an extended period earlier in the year. During this time, forensics experts were observed entering and exiting their premises. The property owner, Sonal Thakrar, conveyed her astonishment upon entering their flat after news of the police inquiry emerged. She was taken aback by the sheer volume of alcoholic beverages present, likening it to a pub. Thakrar shared, I was completely taken aback. The flat resembled a pub due to the sheer quantity of alcohol present. Numerous bottles of whiskey and gin were strewn around. While its not uncommon for people to enjoy a drink, the extent of alcohol accumulation was unparalleled. The ambience wasnt particularly homely, and it didnt convey a pleasant atmosphere. All three defendants, including Roussev, made their appearance at the Old Bailey on July 31. Ivanovas hearing at the Old Bailey took place recently. As of now, they have not entered pleas in response to the charges and are being held in custody. The Met Police reported that a total of five individuals were arrested under the National Secrets Act in February. Subsequently, three among them were charged under Section 4 of the Identity Documents Act 2010. Roussevs history reflects his move to the UK in 2009, where he initially worked as a technician within the financial services sector. The trio is scheduled to stand trial at the Old Bailey in January. These arrests form part of a series of instances involving Russian espionage activities on UK soil. Notably, the attempted assassination of former double-agent Sergei Skripal and his daughter Yulia in Salisbury, Wiltshire, through the use of the deadly nerve agent Novichok, carried out by Russian operatives, remains a significant episode. The victims, along with Detective Nick Bailey who responded to the incident, were hospitalized and faced life-threatening situations. In the same year, a local resident named Dawn Sturgess, unrelated to the Skripals, tragically succumbed to exposure to the same nerve agent concealed in a perfume bottle in Wiltshire. Back in 2006, former Russian intelligence officer Alexander Litvinenko met his demise in London, having been poisoned by assassins affiliated with the Russian government. A serious dispute between Russian units stationed in the occupied Zaporizhzhia region has erupted into a violent confrontation, leading to the deaths of a minimum of 20 soldiers of President Putin. Reports indicate that these fatalities were the result of clashes among Russian fighters from Dagestan and a contingent of Chechen troops operating under the command of Ramzan Kadyrov, a key Putin associate and head of the Republic of Chechnya. The incident unfolded within the town of Mykhailivka, near Melitopol, where the two groups unexpectedly encountered each other. The initial disagreement between the factions escalated quickly, initially culminating in a physical altercation. Disturbingly, the situation rapidly deteriorated from there. According to reports, the spark that ignited the full-blown conflict was a stabbing incident that triggered a violent response. The soldiers escalated the situation by drawing their firearms, engaging in a barrage of gunfire and even employing grenades. Sources within the National Resistance Centre (NRC), an organization responsible for coordinating the activities of pro-Ukrainian movements in occupied regions, have shed light on the origins of this tragic conflict. The NRC disclosed that the clash was incited by troops hailing from Dagestan, a region situated in the southern part of Russia that experienced disproportionate effects due to the Russian armys conscription drive. The Dagestani fighters took offense at the presence of the Kadyrovites, Chechen troops known for their vociferous activity on social media platforms and their alleged involvement in propagandistic videos. This friction came to a head around 8pm local time, spiraling into a fierce confrontation, as per reports. An NRC statement indicated, During the altercation, one of the individuals discharged their weapon into the air from a small automatic firearm. Subsequently, amidst the skirmish, one of the combatants sustained fatal stab wounds. This tragic event subsequently led to an open conflict involving the deployment of grenade launchers, hand grenades, and automatic weapons. In the course of this impromptu warfare, approximately 20 Russian soldiers met their demise at the hands of their compatriots. The Dagestanis managed to overpower the Chechen faction, with over 40 soldiers reportedly sustaining injuries during the ordeal. This incident corroborates information released by the British Ministry of Defence, which indicated Chechen units involvement in the Zaporizhzhia region. This information aligns with Kadyrovs acknowledgment of his troops activities in the region, as reported in a recent intelligence update. The Chechen Vostok Akhmat Battalion, operating under the command of the Southern Military Districts 42nd Motor Rifle Division, featured prominently in Kadyrovs announcement. The town of Orikhiv, in which Kadyrov claims his forces were pivotal in thwarting Ukrainian counterattacks, is located merely 25 miles from Mykhailivka. This revelation comes as Ukrainian forces have been engaged in a counter-offensive, successfully pushing back Russian troops from pockets of territory along the eastern and southern front lines. Despite the gains achieved by the Ukrainian military, progress has been hampered by extensive minefields and fortified defenses laid out by Russian forces. These challenges were voiced by Deputy Defence Minister Hanna Maliar, who also conveyed that Ukrainian troops were making headway around the village of Staromaiorske, situated southwest of the Russian-held Donetsk. In a groundbreaking discovery, researchers from the Chinese Academy of Sciences (CAS) have unveiled an ultra-sensitive magnetic detector with the capability to detect minute traces of highly advanced submarines over vast distances. The findings were recently published in the peer-reviewed Journal of Ship Research (JSR). The detector offers a promising solution for submarine detection and tracking, marking a significant stride towards altering the status quo in ocean surveillance, reported The Eurasian Times. The research investigates the feasibility of detecting nearly imperceptible bubbles generated by swiftly moving nuclear-powered submarines. These bubbles are a consequence of the interaction between a submarines hull and surrounding water as it gains speed. Traditional methods of submarine detection hinge on two key phenomena: cavitation and Magnetic Anomaly Detection (MAD). Cavitation is the formation and subsequent implosion of bubbles created by the propellers and hull of a submarine as it moves through water. These bubbles generate distinctive acoustic signals that adversaries attempt to detect to ascertain the submarines location. Magnetic Anomaly Detection (MAD), another conventional technique, relies on identifying disruptions in Earths magnetic field caused by ferromagnetic materials, primarily steel, found in submarines. This detection method is employed by Anti-Submarine Warfare (ASW) aircraft, which deploy specialised buoys to locate submarines. The Chinese researchers, however, have introduced a novel approach. They have found that the cavitation bubbles emit Extremely Low Frequency (ELF) signals within an artificial electric field. These ELF signals possess remarkable characteristics, potentially surpassing the sensitivity of advanced magnetic anomaly detectors by several orders of magnitude. As the submarine moves, cavitation bubbles expand and venture away from the surface, where increased pressure causes them to implode violently, generating turbulence. This turbulence leads to the magnetohydrodynamic (MHD) effect, creating an electromagnetic signature. The strength of this electromagnetic field correlates with the turbulence intensity. Despite their faint nature, ELF signals can traverse significant distances through water and even penetrate the ionosphere, returning to Earths surface. This property has long been exploited by navies for submarine communication, with China boasting a massive antenna for transmitting ELF signals to its submarines. With inputs from agencies In yet another crime against minorities in Pakistan, a church has been vandalised and set ablaze in Faisalabad, after a Christian man was accused of blasphemy. According to media reports, homes of Christians have also been vandalised in the surrounding area of the church. The visuals of the incident have now gone viral. Watch: This is the real face of Islamic Republic of #Pakistan, a country of extremists and terrorists. A local #Christian family in Jaranwala, Faisalabad, Punjab has been accused of #blasphemy by local Muslims. Now local Muslims have attacked a #church and are destroying it. pic.twitter.com/DxEamRiVhC Faraz Pervaiz (@FarazPervaiz3) August 16, 2023 BREAKING: This morning there was A Radical Islamic mob attack on a Christian neighborhood in #Faisalabad, #Pakistan, after local Muslims accused a Christian of burning the Quran. #blasphemy The mob burned houses and churches in Issa Nagri quarter of Jaranwala, and most of the pic.twitter.com/9yv0WnOLtK Faraz Pervaiz (@FarazPervaiz3) August 16, 2023 After the incident, President Bishop of the Church of Pakistan Azad Marshall said, Words fail me as I write this. We, Bishops, Priests and lay people are deeply pained and distressed at the Jaranwala incident in the Faisalabad District in Pakistan. A church building is being burnt as I type this message. Bibles have been desecrated and Christians have been tortured and harrased having been falsely accused of violating the Holy Quran. We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland that has just celebrated independence and freedom. #CryWithUs #PrayWithUs Words fail me as I write this. We, Bishops, Priests and lay people are deeply pained and distressed at the Jaranwala incident in the Faisalabad District in Pakistan. A church building is being burnt as I type this message. Bibles have been desecrated and Christians have been pic.twitter.com/xruE83NPXL Bishop Azad Marshall (@BishopAzadM) August 16, 2023 With inputs from agencies A small township located in the southeastern part of Minnesota finds itself without a functioning police unit following the resignation of its police chief and officers due to unsatisfactory pay. With a population of 1,250, as recorded by the 2020 census, Goodhue faced the departure of its police chief, the sole full-time officer, and five part-time officers during a city council session on Monday. The assembly, initially planned to discuss potential wage hikes, ended up accepting the submitted resignations instead. Mayor Ellen Anderson Buck conveyed that the task of city protection will now fall under the jurisdiction of the Goodhue County Sheriffs Office after the current officers contracts expire later this month. During the Monday evening meeting, she expressed, Given the circumstances, it is not pertinent to engage in discussions concerning salary increments. The priority lies in securing alternative solutions in the absence of a dedicated police force. Rest assured, there will be continued police vigilance within the confines of Goodhue. That is an assured fact. As the officers contractual obligations conclude, the county sheriffs office will also assume responsibility for ongoing criminal cases. Anderson Buck disclosed plans for the eventual revival of the police department, referring to it as the ultimate objective. Nonetheless, she acknowledged the challenges of recruiting new officers, given the existing scarcity of law enforcement personnel. According to her, Minnesota currently faces a vacancy count of approximately 200 police positions. Were not the pioneers of this scenario, and we certainly wont be the last, she commented. Such occurrences are not an anomaly; they do transpire. US Representative Congressman Rich McCormick, who is part of the American Congressional delegation visiting India, on Wednesday, said that the India-US relationship is important to counter threats from China. We continue to develop trust given the fact that weve had a long history of coordinated efforts, but this is going to be something unique as we go into this next generation of threats, especially with the proximity to China and other countries. Developing a strong relationship strategically, economically and militarily so that we can trust each other into the future, McCormick said. McCormick also said that he is looking forward to his meeting with Prime Minister Narendra Modi today. The US Congressman also hailed Indias economic growth, saying that it will be interesting to see India become a more powerful ally. Last night we met with a bunch of businesses. Theres a huge military industry here in India that I think is going to be partnering with this in the future which is going to help not only the economy but also the strength of India. We spend roughly over 800 billion on our military, and Indias closer to 60 billion. But as India grows its economy which is gonna grow in tremendous ways in the next decade. Its gonna be interesting to see India become a more powerful ally. Its able to defend itself against anybody which is going to be great for both countries, said McCormick. Im really excited about today, were going to meet Prime Minister Modi and several other dignitaries and once again continue to advance the relationship between our two great countries, McCormick said in an exclusive interview with ANI. McCormick who represents Georgias 6th Congressional District in the United States House of Representatives also hailed the recent visit of PM Modi to America stating theres never been a better time for developing these relationships. I think theres never been a better time for developing these relationships. His (PM Modi) visit to America was special, its the second time hes been over there. Thats unique. The largest democracy in the world coming to visit America. And now were here in force to have a bipartisan group of congressmen to continue to develop this relationship that I think its going to be essential in the future, said McCormick. Highlighting the role of Indian-Americans, Rich said that they continue to benefit both countries. When you talk about the diaspora in the United States. Youre talking about the most successful demographic in the wealthiest country in the world. That only speaks well to Indias perseverance and productivity, creativity, hard work, intelligence, all the great things. Its a testimony to the Indian people, which I think is great for reputation, great for relationships. Look forward to developing that as we continue because it benefits both countries, he said. You have 200,000 students right now in America, from India, learning incredible skills and technologies that they can bring back here to this country to continue to build their industry which is needed in both countries when it comes to developing economies, he said. With inputs from ANI. Congress President Mallikarjun Kharge was held a meeting with the leaders of Delhi to discuss the 2024 Lok Sabha poll preparedness. Besides Kharge, former Congress president Rahul Gandhi, party general secretary (organisation) K.C. Venugopal, former Union minister Ajay Maken, Delhi unit chief Chaudhary Anil Kumar, in-charge Deepak Bawaria, Alka Lamba, J.P. Agarwal and several others were present. A party leader said that the meeting will focus on the poll preparedness for the crucial 2024 Lok Sabha elections next year. The Congress leaders will also discuss the strategy to make a comeback in all the seven seats of the national capital. The meeting comes in the wake of Congress supporting AAP in the Rajya Sabha on the Delhi Services Bill, which was passed by Parliament during the recently concluded Monsoon Session and President Droupadi Murmu later giving nod to it. Several leaders of Delhi, including Ajay Maken and Sandeep Dikshit had earlier opposed the idea of having any kind of alliance with the AAP in the national capital. Earlier, Congress held several strategy meetings with the leaders of Haryana, Maharashtra and several other states to discuss the poll preparations. External Affairs Minister S Jaishankar on Wednesday met US Congressional delegation and discussed the transformation underway in India. The two sides exchanged views on advancing the bilateral partnership between India and US. During the meeting, Jaishankar and US Congressional delegation discussed the global situation and collaboration between India and US on multilateral, regional and global issues. The delegation included Indian-American Congressman Shri Thanedar, US Congress Richard McCormick, and Indian-American Congressman Ro Khanna. Taking to X, formerly known as Twitter, Jaishankar stated, A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. Shared our aspirations and expectations for Amritkaal. Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues. Earlier in the day, US Congressman Richard McCormick, who is part of the American Congressional delegation visiting India, said that he is looking forward to his meeting with Prime Minister Narendra Modi today. Im really excited about today, were going to meet Prime Minister Modi and several other dignitaries and once again continue to advance the relationship between our two great countries, McCormick said in an exclusive interview with ANI. McCormick hailed PM Modis recent visit to the US stating theres never been a better time for developing these relationships. I think theres never been a better time for developing these relationships. His (PM Modi) visit to America was special, its the second time hes been over there. Thats unique. The largest democracy in the world coming to visit America. And now were here in force to have a bipartisan group of congressmen to continue to develop this relationship that I think its going to be essential in the future, said McCormick. Speaking about the India-US relationship and threats from China, the Congressman said that it is important to develop a strong relationship. We continue to develop trust given the fact that weve had a long history of coordinated efforts, but this is going to be something unique as we go into this next generation of threats, especially with the proximity to China and other countries. Developing a strong relationship strategically, economically and militarily so that we can trust each other into the future, McCormick said. Earlier on Monday, two members of the United States Congressional delegation visited the Mumbai headquarters of Western Naval Command, the sword arm of the Indian Navy. The delegation included Representatives RO Khanna and Deborah Ross accompanied by Vikram Krishnamoorthy, Under Secretary of the Ministry of External Affairs, India. According to a statement released by the Indian Navy, the delegation interacted with Vice Admiral Sanjay Bhalla, AVSM, NM, Chief of Staff, Western Naval Command (WNC) and other Flag and senior officers of the Command. During their visit, the delegation was given a presentation on the roles, responsibilities and activities of WNC and a tour of the indigenous destroyer INS Kochi. The delegation also visited Mazgaon Dock Shipbuilders Ltd., where they were apprised of the indigenous ship-building capabilities of MDL. The Australian government will invest over $100 million to make its fleet of F-35 fighter jets nearly invisible and to establish an aircraft coating at the Royal Australian Air Force base in Williamtown, New South Wales. The aircraft coating facility will use specialised paint on the fighter jets to ensure their effective camouflage from enemy radar systems. The new upgrade is expected to play a vital role in enhancing Australias air combat readiness. This will also be the first time when this particular paint to make aircraft invisible will be used outside the US. The facility will be constructed in partnership with BAE Systems Australia. Great news as our business in Australia welcomes an expansion of our capabilities to support F-35 for the Royal Australian Air Force, it said on X (formerly known as Twitter). The design for the coating facility is due to be finalised by the end of this year, with construction expected to start around mid-2024. It is expected to cost more than $100 million and involves more than 100 local jobs in the construction phase, the company said in a press release. It added that the establishment of the new facility will also generate up to 25 new local ongoing jobs, which will be integral to the larger team of around 360 local workers that already sustain the F-35As at Newcastle Airport. USAs light gray B-21 Raider In 2022, according to a report by Daily Mail, the US Air Force unveiled its new B-21 Raider jets in a very light gray colour that keeps it below the radar. Experts say that the new jets are critical for their low observable capabilities. Reports suggest that the formula of the invisible paints has been kept secret from Russia and China. The B-21 is more survivable and can take on these much more difficult threats, Deborah Lee James, the Air Force secretary said at the time. North Korea asserted Wednesday that a US soldier who bolted across the heavily armed Korean border last month did so after becoming disillusioned with the inequality of American society and racial discrimination in its Army. It was North Koreas first official confirmation of the detention of Pvt. Travis King, who had served in South Korea and sprinted into the North while on a civilian tour of a border village on July 18. He became the first American confirmed to be detained in the North in nearly five years. The official Korean Central News Agency, citing an investigation by relevant North Korean authorities, reported that King told them he decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda outlet and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. The report said North Koreas investigation into Kings illegal entry would continue. Verifying the authenticity of the comments attributed to King in North Koreas state media is impossible. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A US Defense Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the US had no way to verify North Koreas claims about King. The official said the Pentagon was working through all available channels to bring King back to the US. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didnt immediately express its intentions of accepting King as a refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Koreas description of King as an illegal entrant, rather than someone who voluntarily entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he wont provide it with high-profile U.S. intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of US-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the US, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to US officials. On Tuesday, North Korea slammed US-led plans for an open UN Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried out by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. King, 23, was among about 28,000 US troops stationed in South Korea as a deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. U.S. officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonorable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. Former Pakistan Prime Minister Imran Khans bail petitions were rejected by local courts in Islamabad on Wednesday. Khan sought bail in connection with the First Information Reports (FIRs) registered against him over violent protests, Dawn reported. The Anti-Terrorism Court (ATC) of Islamabad dismissed three bail petitions on Tuesday and Additional District and Sessions Judge (ADSJ) Mohammad Sohail rejected six petitions seeking pre-arrest bail for the former Prime Minister. The FIR was registered against Pakistan Tehreek-i-Insaf (PTI) Chairman in the Khanna and Barakahu Police Stations. The judge added that Imran Khans bail cannot be extended in light of the supreme court verdict, as per Geo News. The six cases were registered against the incarcerated PTI chief in Karachi Company, Ramna, Kohsar, Tarnool and Secretariat police stations of the federal capital. Judge Muhammad Sohail announced the verdict and said that it would be convenient if the former prime minister who was removed from power via a parliamentary vote last year, joined the investigation related to the cases. However, ADSJ Sohail extended the interim bail of Khans wife Bushra Bibi in a case related to the bogus receipt of Toshakhana gifts till September 7, reported Dawn. The PTI chief arrest in a corruption case on May 9 this year triggered violent protests with the party supporters attacking defence and military installations in many parts of the country. Hundreds of PTI workers and leaders were arrested for their alleged involvement in the riots while the authorities had accused the former premier of being the mastermind of the violent protests. Meanwhile, the ousted premier was again put behind bars at Attock Jail earlier this month after a trial court in Islamabad sentenced him to three years in prison and imposed an Rs100,000 fine after he was found guilty of concealing proceeds of Toshakhana (state depository) gifts that he received from foreign dignitaries as the prime minister of the country from 2018 to 2022. Subsequently, the Election Commission of Pakistan (ECP) disqualified him for five years from holding public office following his conviction. With inputs from PTI. Ukraine on Wednesday said Russia had attacked its grain storage facilities overnight, but a cargo ship left the Black Sea port of Odesa on the same day despite Moscows threat to target shipping after it abandoned an export deal. In the Russian capital, officials said authorities were considering reimposing stringent capital controls as the rouble showed the strains of Russias invasion of its neighbour, which has brought huge military spending and Western sanctions. The departure from Odesa of the Hong-Kong-flagged Joseph Schulte, trapped in the port since the day before Russia invaded Ukraine on February. 24 last year, followed he latest in a string of Russian attacks on the countrys grain export infrastructure. Overnight air strikes damaged silos and warehouses at Reni on the Danube river, a vital wartime route for food exports, Ukrainian officials said. They posted photos of destroyed storage facilities and piles of scattered grain and sunflowers. There was no comment from Moscow. Russia has made regular air strikes on Ukrainian ports and grain silos since mid-July, when it pulled out of the U.N.-backed deal for Ukraine to export grain. It has threatened to treat any ships leaving Ukraine as potential military targets. On Sunday it fired warning shots at a ship travelling towards Ukraine. Despite the threats, Ukraine last week announced a humanitarian corridor in the Black Sea to release cargo ships that have been trapped in its ports by a de facto Russian blockade, pledging full transparency to make clear they were serving no military purpose. A first vessel used the temporary corridor for merchant ships to/from the ports of Big Odesa, Deputy Prime Minister Oleksandr Kubrakov said on Facebook. Bernhard Schulte Shipmanagement (BSM), which owns the ship jointly with a Chinese bank, confirmed that the ship was en route to Istanbul. Kubrakov said it was carrying more than 30,000 metric tons of cargo in 2,114 containers, adding that the corridor would primarily be used to evacuate ships from the Black Sea ports of Chornomorsk, Odesa and Pivdennyi. Moscow has not indicated whether it would respect the shipping corridor, and shipping and insurance sources have expressed concerns about safety. Ukraine is a major grain and oilseeds exporter and the United Nations says its supplies are vital to developing countries where hunger is a growing concern. Neither Kubrakov nor the shipping company specified the cargo on board the Joseph Schulte but grain is rarely carried in containers. BATTLEFIELD GAIN The attacks on Ukraines grain followed its launch of a Western-backed counteroffensive in early June to try to dislodge Russian forces the territory they occupy in the south and east. Extensive Russian fortifications and minefields along the front line have made it hard for Ukrainian forces to break through, but they announced they had retaken another village on Wednesday, the first settlement they have declared recaptured since June 27. DANUBE PORTS Ukraine turned to its Danube river ports after Russia pulled out of the Black Sea grain deal seeking better terms for exports of its own food and fertilizer. The river ports, which had accounted for around a quarter of grain exports, have since become the main route out for Ukrainian grain, which is also sent on barges to Romanias Black Sea port of Constanta for shipment onwards. Earlier this month, Russia attacked Izmail Ukraines main inland port across the Danube River from Romania, sending global food prices higher as it ramped up its use of force to prevent Ukraine from exporting grain. A Russian warship on Sunday fired warning shots at a cargo ship in the southwestern Black Sea as it made its way northwards, the first time Russia has fired on merchant shipping beyond Ukraine since exiting the grain deal. Moscow said the ships captain had failed to respond to a request to halt for an inspection. Kyiv said the incident was a gross violation of international law. Turkey, which brokered the grain deal alongside the United Nations, has expressed hope that Russia will rejoin it this month. A senior UN official emphasised that the deal was vital to stabilise food prices on global markets to protect the most vulnerable, saying all efforts were being made to restart it. According to Kyivs deputy defence minister on Wednesday, Ukrainian soldiers have retaken the village of Urozhaine from Russian forces in the southeast and have set up camp nearby. Urozhaine liberated, Hanna Maliar said on the Telegram messaging app. Our defenders are entrenched on the outskirts. The village in the Donetsk region is a part of a collection of tiny rural communities that Ukraine has proclaimed freed since beginning a push against Russian forces that had taken over large portions of the south and east in early June. In a post on Telegram, the Russian defence ministry did not confirm losing the hamlet but instead claimed that its artillery and aeroplanes were engaging Ukrainian forces in the Urozhaine region. If the settlement is taken again, it will be a sign that Ukraine is moving forward with its offensive operation to cut the Russian occupiers in half as it moves south towards the Sea of Azov. Just over 90 kilometres (55 miles) separate Urozhaine from the Sea of Azov. Urozhaine is the only village Ukraine claims to have retaken since July 27, when it announced the recapture of adjoining Staromaiorske, a sign of the difficulty of the military operations. Kyiv claims that due of the huge Russian minefields and ready-made Russian defensive lines, its counteroffensive thrust is moving more slowly than it had hoped. The settlement of Staromlynivka, several kilometres to the south, which military analysts claim serves as a Russian stronghold in the region, would be more vulnerable to Ukrainian attack if Urozhaine were taken back. Nearly 5% of Ukraine is under Russian control, including the Crimean peninsula, the majority of the Luhansk area, and sizable portions of the Donetsk, Zaporizhzhia, and Kherson regions. (With agency inputs) Something very unusual happened in a faraway village in the jungles of Peru, Amazon. People there say that someone tried to kidnap a 15-year-old girl from the village. And you wont believe who they think is behind it aliens! But the government says its not aliens at all. Instead, they say its miners with jetpacks. This story might seem like its from a fairy tale, but its real. It all started in July in the Amazon rainforest in Northern Peru. The news comes from a Spanish-language news source called RPP Noticias. The villagers, who belong to a group called the Ikitu tribe, say theyve seen strange tall figures floating in the air. These figures were taller than six feet and cant be hurt by weapons. The leader of the village, Jairo Reategui Avila, thinks these figures are aliens. He said they look like the Green Goblin from Spider-Man. He even shot at one, but it didnt fall down it just went up and disappeared, prompting a scare in the entire village. The villagers asked the police to protect them. The police came and said that these tall figures are not aliens, but miners using special equipment jetpacks. A jetpack is a device that lets you fly using powerful engines. The police think these miners wanted to scare the villagers. RPP Noticias wrote about this later. But heres the thing: jetpacks are really noisy, expensive, and not easy to use. They also need a lot of fuel. No one has heard of miners using jetpacks before, not to mention illegal miners. However, some people who saw what happened insist that they really saw something strange. The story of the jetpacks becomes more believable when you listen to a teacher who saw the aliens try to take the girl on July 29. The teacher, Cristian Caleb Pacaya, said these figures might be using a kind of flying technology called jetpacks. Its true that the jungle area attracts miners who want to find gold and other valuable things. But they often do this illegally, hurting the environment and causing trouble. So, could these miners be using jetpacks? Its possible, but its hard to believe. US President Joe Biden and first lady Jill Biden will travel to Maui next week in the aftermath of the deadliest wildfires in the United States in more than a century, the White House announced on Wednesday. The Bidens will meet on Monday with survivors of the fires, as well as first responders and other government officials. They will see firsthand the impact of the wildfires and the devastating loss of life and land that has occurred on the island, as well as discuss the next steps in the recovery effort, White House press secretary Karine Jean-Pierre said in a statement on Wednesday. Biden and White House officials have signalled for days that a presidential visit was in the works as long as it would not disrupt search and recovery efforts. Hawaii Governor Josh Green has informed the White House that Bidens visit early next week should be fine. Gov Green opened a main road so drivers can travel east to west on Maui during limited hours as of Wednesday. We will have, of course, our National Guard responsible on the side of the road so that no one goes into the impact zone, where teams are still searching for fatalities following last weeks wildfires, Green said on ABCs Good Morning America. What I can tell you is people are holding up, he said, adding, Were just grateful for everyones outpouring of support. And though the workload is extraordinary and our hearts are broken, we will get through it. We just are still kind of in the thick of doing recovery. Maui County released the names of two people killed in the wildfire that all but incinerated the historic town of Lahaina Tuesday evening, as the death toll rose to 106. A mobile morgue unit arrived on Tuesday to help Hawaii officials working painstakingly to identify remains, as teams intensified the search for more dead in neighbourhoods reduced to ash. The US Department of Health and Human Services deployed a team of coroners, pathologists and technicians along with exam tables, X-ray units and other equipment to identify victims and process remains, said Jonathan Greene, the agencys deputy assistant secretary for response. Its going to be a very, very difficult mission, Greene said. And patience will be incredibly important because of the number of victims. The county said in a statement Lahaina residents Robert Dyckman, 74, and Buddy Jantoc, 79 were among the dead, the first people so named. A further three victims have been identified, the county wrote, and their names will be released once the county has identified their next of kin. Vietnamese exporters have renegotiated higher prices for around 500,000 metric tons of rice, two trade sources said, as global prices climbed to 15-year highs following Indias ban on overseas sales last month. This is the first confirmation of rice prices climbing in the wake of Indias surprise ban with importers paying more for one of the worlds most widely consumed staples amid tightening supplies. Importers, including Indonesia and Philippines have paid between $30 and $80 a ton above deals signed at around $550 a ton for fragrant Vietnamese rice before India banned white rice exports in July, the Singapore-based traders said. This gives sellers additional income of around $15 million to $40 million compared with prices agreed before the Indias curbs. Buyers have agreed to pay higher prices for some of cargoes which they bought for August shipment, said one trader at an international trading company. He added that around 200,000 tons of rice has been shipped this month while 300,000 tons is yet to be loaded at Vietnamese ports. Global rice prices have jumped by around 20% to 15 year highs since India, which accounts for 40% of world supplies, banned non-basmati white rice exports last month. Indias decision has reduced 10 million tons or 20% of the supplies from the international market. Republican Presidential candidate Vivek Ramaswamy has set an ultimatum until which America will defend Taiwan following which China can invade the island country without facing any major consequences from the US. He set a deadline of 2028, the year till which the US will try to acquire semiconductor independence under his presidency, following which the country will stop involving in Chinas affairs in terms of Taiwan. Xi Jinping should not mess with Taiwan until we have achieved semiconductor independence, until the end of my first term when I will lead us there, he said during an interview with radio host Hugh Hewitt. He added, After that, our commitments to Taiwan our commitments to be willing to go to military conflict will change after that because thats rationally in our self-interest. That is honest. That is true, and that is credible. We are dependent on a tiny island nation off the southeast coast of China for our entire modern way of life in the United States of America. I think many Americans need to internalize that fact. We would not live a modern lifestyle if it were not for the global semiconductor supply chain, specifically leading-edge advanced semiconductors that come from Taiwan, he continued to say. Thats a great vulnerability for us, especially as we enter a period where I believe that China is likely to make a move to annex Taiwan in the next decade. I think thats a real threat that we face. And our naval shortcoming or naval capacity shortcoming is one of the big vulnerabilities that makes that more likely to happen, the GOP hopeful has said. The US is currently operating on a One China Policy where it acknowledges Beijings claim over Taiwans independence being unsettled. It however maintains close ties with Taipei and deters China from making any advances in the island nation. Beijing, on the other hand, has made territorial claims on Taiwan and considers it as part of China. Rakon Limited (NZX: RAK ), a high technology manufacturer of frequency control and timing solutions for the telecommunications, space and defence, and positioning sectors, has provided the attached Chairs speech, Chief Executive Officers speech and slide presentation for the 2023 Annual Shareholders Meeting. Key highlights from the speeches include: Rakon Chair Lorraine Witten says In FY23 we delivered on our plan including our strategy for top line growth to be above 15% and achieved 16% revenue growth in our core business. We achieved all of our FY23 growth plan milestones and paid our first dividend of 1.5 cents per share on 8th August 2023. The Board anticipates that even in the current macro-economic environment, and during the investment and execution of our three year business plan, this level of dividend is sustainable. We have adjusted operations and reduced costs as we navigate short-term market uncertainty. Over the last few quarters we have been improving our global processes, reducing operating expenses and reconfiguring parts of our organisation that will result in $6 million of cost savings with a full year impact from FY25 onwards, says Ms Witten. Chief Executive Officer, Sinan Altug says As announced in July, we are now anticipating slower drawdown of telecommunication customers stockpiled inventory, elongating the timeline for inventory normalisation. This represents a potential risk of up to $10 million to FY23 guidance. We're in continuous dialogue with our customers and anticipate providing an updated EBITDA projection at the half-year results, if not sooner is confident of a return to normal customer inventory levels by the end of FY24. Rakons medium to longer-term growth fundamentals and drivers are strong. Weve been rigorously driving our growth roadmap that emphasises the importance of not only amplifying our core business but also further expanding our product and technology leadership, penetrating new markets, and epitomising world-class manufacturing. In FY23, we've channelled approximately $24 million into fortifying our strategy, and we're set to invest another $19 million in the current financial year. The capital we're deploying has an estimated Return on Investment for our four key investment areas that ranges from an impressive 100% to 175% and potentially increasing our Serviceable Addressable Market by over $1.5 billion to almost $5 billion once we complete the three year plan, says Mr Altug The 2023 Annual Meeting of Shareholders of Rakon Limited will be held at 11.00am on Wednesday 16 August 2023 in the Newmarket Room, Ellerslie Event Centre, Ellerslie Racecourse, 80 Ascot Avenue, Remuera, Auckland, New Zealand and online via https://meetnow.global/nz -ENDS- Contact: Investor and media relations Nick Laurent investors@rakon.com +64 21 240 7541 www.rakon.com About Rakon Rakon is a global high technology company and a world leader in its field. The company designs and manufactures advanced frequency control and timing solutions. Its three core markets are Telecommunications, Positioning and Space and Defence. Rakons products are found at the forefront of communications where speed and reliability are paramount. Its products create extremely accurate electric signals which are used to generate radio waves and synchronise time in the most demanding communication applications. Rakon has three manufacturing plants, six research and development centres, and sixteen customer support offices worldwide. Founded in Auckland in 1967, Rakon is proud of its New Zealand heritage. It is a public company listed on the New Zealand stock exchange, NZX, ticker code RAK. 1Non-GAAP disclosures Refer to note 4 of the FY2023 audited consolidated financial statements for an explanation of how Non-GAAP Financial Information is used, including a definition of Underlying EBITDA and reconciliation to net profit after tax (NPAT) Comments from our readers No comments yet Add your comment: Your name: Your email: Not displayed to the public Comment: Comments to Sharechat go through an approval process. Comments which are defamatory, abusive or in some way deemed inappropriate will not be approved. It is allowable to use some form of non-de-plume for your name, however we recommend real email addresses are used. Comments from free email addresses such as Gmail, Yahoo, Hotmail, etc may not be approved. Anti-spam verification: Type the text you see in the image into the field below. You are asked to do this in order to verify that this enquiry is not being performed by an automated process. Related News: Steel & Tube FY23 Results Announcement MCY - Record generation and significant business growth ATM - FY23 Results and Annual Report AOF - Governance Changes - New Chair and two new directors BIF acquires shares in Scentian Bio Comvita achieve B Corp certification MOV - Change in results announcement date to 30 August 2023 August 18th Morning Report August 17th Morning Report SEK - Details of Presentation and Q&A of Interim Results Cruise, the self-driving car manufacturer, caused significant traffic disruption in San Francisco last Friday as ten of its controversial robotaxis came to a standstill due to the loss of their wireless signals. This incident couldnt have occurred at a worse time. Many residents of San Francisco remain deeply displeased with the recent decision by Californian regulators to allow autonomous vehicle companies to expand their operations within the city. Consequently, the timing was particularly unfortunate for Cruises vehicles to become immobile. Videos of the event depicted Cruise cars standing still on San Francisco streets, their blinkers activated, causing lines of conventionally driven vehicles to come to a halt behind them. A Friday evening Twitter post, accompanied by multiple videos of the Cruise-induced traffic slowdown, bore the caption, Self-driving operations suffered a major setback in North Beach. The post also included a wow, WTF! exclamation for emphasis. @Cruise self-driving operations had a complete meltdown earlier in North Beach. We overheard on the scanner that all Cruise vehicle agents were tied up at the time (not literally) and so North Beach was going to get a delayed response. But wow, WTF!pic.twitter.com/D89xrSxAdu FriscoLive415 (@friscolive415) August 12, 2023 Subsequently, in response to the post, Cruise attributed the slowdown to a large music festival in the vicinity, asserting that the festival had consumed all available bandwidth in the city. Cruise stated in its response, Connectivity to our vehicles was delayed due to wireless bandwidth constraints caused by the music festival. We are actively investigating and working on solutions to prevent a recurrence of this issue. We apologize to those affected. While this explanation is plausible, it underscores the necessity for meticulous preparation, especially when introducing driverless cars to a city already harboring reservations. Ensuring reliable wireless connectivity should be a priority, particularly before events like Outside Lands, where bandwidth might be stretched thin by performances from artists such as Janelle Monae and Kendrick Lamar. Furthermore, the concept of a car functioning solely with an internet connection raises questions about its viability during emergencies, service outages, or in rural areas. A concerned resident, Jeffrey Bilbrey of San Franciscos North Beach neighborhood, brought attention to another critical aspect. Traffic slowdowns are not merely inconvenient but can also pose dangers. Bilbrey articulated, Emergency vehicles cannot navigate through if needed. The path for these vehicles is obstructed. He continued, We managed perfectly well before their arrival. We dont require their presence. CrossBeats introduced its Groov Buds in India. This follows the release of the Crossbeats Ignite Stellr in June. These earbuds are incredibly light, weighing around 4g each. Theyre equipped with powerful 13mm Graphene drivers that enhance the sound quality. With SnapCharge technology, these earbuds charge quickly and offer up to 55 hours of battery life on a single charge. They use USB Type C for charging. They feature Quad Microphones with ClearComm technology for effective environmental noise cancellation (ENC). The earbuds fit snugly in the ears, and they have Hi-Response Sensors, along with support for Qualcomm aptX adaptive audio. For gamers, the Groov Buds offer an extreme low latency gaming mode with a 40ms delay. The earbuds also come with Multi-Touch Controls using Feather Touchpads. The Groov Buds are designed to withstand water and sweat, thanks to their IPX5 rating. Quick specifications: CrossBeats Groov Buds Form factor: In-ear earbud Driver size: 13mm Graphene Drivers Environmental Noise Cancelling Bluetooth v5.3 Low Energy Codecs: AAC/SBC/HSP/HFP/A2DP/AVRCP ClearComm Tech, Built-in Equalizers, HyperBass Sound modes: aptX Adaptable, 3D Surround Audio, Game/Music Modes Gaming: 40ms Low Latency Microphone: 4 mics, x2 on each earbud Battery: 43mAh (each buds); 380mAh (case) Total Playtime: Up to 55hrs Charging time: 60mins (SnapCharge); Type-C charging; Charging indicator Controls: Touch controls Hands-free calling, Voice assistants IPX5 Dust & Water Resistant Light weight: 4g/bud Pricing and availability The CrossBeats Groov Buds are available in black color and are priced at Rs. 1499. They can be bought from Crossbeats official website or through various partner platforms like Amazon.in, Flipkart, Myntra, and Tata CLiQ. Commenting on the launch, Abhinav Agarwal, Co-founder, CrossBeats said: The Economic Community of West African States (ECOWAS), led by Nigerian President Bola Tinubu said on August 10 that no option had been taken off the table, in terms of addressing the coup in Niger. Tinibu made this statement at the conclusion of the second emergency ECOWAS summit on the situation in Niger. ECOWAS also ordered the activation of a force on standby to intervene militarily in Niger. A supporter of Niger's National Council for the Safeguard of the Homeland demonstrates in Niamey. [Photo: AFP/Getty Images] Social movements and left organizations in West Africa and internationally have been urging against any military intervention from foreign powers since the coup took place. On July 30, just days after the coup, ECOWAS had issued an ultimatum saying it would take all measures necessary, including the use of force, if the ousted president and his government were not restored by Sunday, August 6. The blocs push for the reinstatement of President Mohamed Bazoum has been echoed by imperialist forces such as France and the United States. The coup and the installation of the National Council for the Safeguard of the Homeland (CNSP) has been accompanied by a popular uprising which demands an end to French military presence and imperialist economic policies such as the imposition of the CFA franc and the exploitative extraction of Nigers rich natural resources. Niger is the European Unions second-largest supplier of uranium. The CNSP which took power on July 26 has strongly condemned the threats lodged against it by ECOWAS as well as its non-military actions such as imposing a no-fly zone and freezing Nigers assets in its central and commercial banks. The CNSP has also been vocal in opposing Western demands for a reversal of the coup. from the Peoples Dispatch / Globetrotter News Service Almost 700 workers employed at the Ekapa diamond mines in South Africas Northern Cape province began an indefinite strike on August 7. Organized by the National Union of Metalworkers of South Africa (NUMSA), workers have put down their tools in rejection of starvation wages. On August 10, as workers were picketing outside the mines, formerly owned by the De Beers mining company, police deployed water cannons and fired tear gas in an attempt to disperse them. Police then proceeded to arrest 43 workers, NUMSA regional organizer Tshepo Mokhele, who was present at the picket, told Peoples Dispatch. The workers were granted bail on August 11. Diamond miners walked out on an indefinite strike at Ekapa, in Kimberley, South Africa, on Monday (7 August) to support their calls for a 17 per cent pay hike. NUMSA issued a 48-hour strike notice to Ekapa on August 4. The company threatened to lock out workers if they went ahead with the action, the union said in a statement on August 7. The striking workers, which include permanent, contractual, and trainee workers, represent over half of the 1,200 workers employed at the mine. Among the key demands being raised by the workers is a 17 percent wage increase and an entry level salary of R17,500 ($930.2). Workers have also sought a one-off payment of ex gratia funds amounting to R10,000 ($530.1). According to NUMSA, the current entry level salary is R5,700 ($302.9) per month. For context, the cost of an average household food basket for the month of July, as calculated by the Pietermaritzburg Economic Justice and Dignity group, stood at R5,082.94 ($270). from the Peoples Dispatch / Globetrotter News Service HAIKOU, Aug. 15 (Xinhua) -- The finals of the 2023 National Youth Space Innovation Competition were launched on Monday in Wenchang City of south China's Hainan Province, providing teenagers with an opportunity to share their wisdom on aerospace innovation. Speaking at the finals, Fang Xiangming, deputy Party chief of the China Aerospace Science and Technology Corporation (CASC), said that young people are not only the hope of the country's future, but also an important force to promote innovation. Fang expressed the hope that the innovative thinking and creative practice of the participating students will bring new ideas, new methods and new technologies to the aerospace industry. As a city that is striving to build itself into a high-tech innovation highland and an aerospace industry center, Wenchang will use the event as an opportunity to strengthen the selection and training of innovative talents, said Liu Chong, mayor of the city. Hosted from Aug. 14 to 16, the finals have attracted nearly 3,000 young contestants from across the country. by Daya Gamage President Ranil Wickremesinghe is currently endeavouring to implement the 13th Amendment of the Constitution, which could lead to the establishment of a federal structure, much to the delight of Washington policymakers. US policy towards Sri Lankas ethnic conflict has long been guided by the comforting notion that Tamil self-government within a decentralised Sri Lankan state would satisfy the legitimate needs of that minority community and shield it from Sinhalese oppression. Newly opened US embassy in Colombo, Sri Lanka [Photo Credit: Special Arrangement] Washington policymakers projected onto Sri Lanka their panacea for ethnic discordfederalismwithout prescribing mechanisms to protect democracy in devolved jurisdictions and prevent them from being used as bases for renewed militancy. Washington advocating federalism since the early 1980s concealed the fact that federalism can perpetuate inequality and inconsistent legal protections across the country. Most notoriously, U.S. federalism sanctioned systems of law and law enforcement that protected slavery, racial segregation, and minority disenfranchisement for nearly two centuries. Federalism also contributed to a separatist civil war that killed 750,000 combatants plus an estimated 50,000 civilians. The U.S. Civil War became inevitable when the federal government insisted that preserving the Union took priority over Southern states right to continue practicing slavery and that separatism was not a right under the political contract that created the nation. Sri Lanka never engaged in a debate within itself to find out that in the US the sharing of governmental authority between the centre and the states still poses serious problems. In recent years, for example, more than a dozen Republican-led states refused to expand Medicaid under the Affordable Care Act, denying health-care coverage to many low- income families. During the Covid-19 pandemic, the national public health response was hobbled by disjointed and sometimes counterproductive policies followed by state governments, costing tens of thousands of avoidable deaths. Much to the detriment of American democracy, in the wake of the 2020 presidential election, some state governments devised policies to constrain voting and even to override the popular vote. Such abuses have led some scholars to conclude that American federalism is dysfunctional. And, those in Sri Lanka who advocate federal structure need to comprehend these factors. Activating the 13th Amendment fully and devolution of power seems to have returned to the national agenda with President Wickremesinghe taking a lead role. He undertook a similar endeavour as the prime minister in 2001-2004 during the Bush Administration with its Secretary of State Gen. Colin Powell and his deputy Richard Armitage playing a significant role during the Norwegian-initiated peace talks. Washington believed that the Tamil community (accounting for 12% of the Sri Lankan population) had fewer economic and employment opportunities when compared to the advantaged 74% Sinhalese majority and it would benefit from a federal system. Washington policymakers arrived at this determination way back in the 1980s, long before the signing of the infamous Indo-Sri Lanka Accord. That determination governed the mindset of the policymakers and lawmakers in the U.S. through 2009 and to date. Classified 1984/1986 US Documents Advocating Federalism In June 1984, the Directorate of Intelligence (CIA) and the State Departments Near East and South Asia Bureau (NEA) jointly prepared a document called Failure to Share Political Power with Minority Groups. Declaring President Jayewardenes commitment to his Sinhalese-Buddhist constituency at the height of the July 1983 communal riots, it said by the general election of 1956 Sinhalese-dominated parties had gained control of the government and driven the small Tamil parties out of the mainstream political life. Another document dated September 02, 1986 and authored jointly by the CIA and the NEA noted that northern insurgency had politicised Sri Lankas Sinhalese and Tamil communities. The ethnic rivalry is at the heart of the conflict, the document says, adding that the Tamils believe with some adjustments they need some devolution of power to their districts and that they are victims of political and economic discrimination, suggesting that Washington refrain from providing military assistance to the Sri Lanka administration, as it noted even in another document that Washington shouldnt get involved in a battle between two ethnic communities. These three documents laid the foundation for the subsequent structure of Washingtons foreign policy toward Sri Lanka all the way until the end of the separatist Eelam War IV in May 2009 and well beyond. Washington sentiments Washington sentiments were amply reflected in this 1984 (once) classified document. This June 1984 document had the most revealing sentiments that played a major role in subsequent years during Washingtons intervention in Sri Lankas national issues, one of which was the proposal for a federal system in Sri Lanka solely and exclusively focusing on minority Tamil issues. Washingtons initial (1984) understanding was that a federal structure would extensively satisfy the Tamil demands. The document states, Tamil demands probably would be satisfied by a federal structure that would guarantee Tamils control over security and economic development where they comprise the majority of the population. This belief was notably expressed by State Department Foreign Service Officers (FSOs) at frequent intervals in subsequent years when Washington intervened in Sri Lankan national affairs; in keeping with this agenda the USAID in 2005, with active participation of top officials of the US Embassy in Colombo, continuously for three months, convened nationwide public seminars with the assistance of civil society groups underscoring the merits of federalism. The June 1984 classified intelligence assessment expressed fear that if Washington was seen associating with a regime that battles a minority group it could damage the U.S. prestige in the region and in parts of the Third World and that highly politicised Tamil minority in Sri Lanka might even turn to the Soviet Union for support. (It is with this rationale that Washington deeply engaged during the 2002-2004 peace talks that it believed could bring favourable acceptance in the international community). In 2023, President Wickremasinghe seems to be bringing back the scenario to which he was engaged in as prime minister in advocating the implementation of the 13th Amendment. The June 1984 Intelligence Assessment further declares Tamil demands probably would be satisfied by a federal structure that would guarantee Tamils control over security and economic development where they comprise the majority of the population meaning the North-East region of Sri Lanka. The document opined that Washington believed the Tamils have become convinced that they should have an autonomous homeland with economic and security control. What the June 1984 document says about the United States refusal to extend military assistance to the (American-friendly) Jayewardene regimes request to combat the LTTE terrorism and its total blocking of the supply of military gear to the subsequent Rajapaksa regime during (2006-2009) its military offensive against the separatist movement led to Washingtons strict belief that such military equipment could be used for repressive measures against the Tamils., and that other avenues need to be found such as devolution of power and setting up a federal structure. The following are from Sri Lanka: The Challenge of Communal Violence, a joint intelligence assessment by the Directorate of Intelligence (CIA) Office of Near Eastern and South Asia Bureau of the State Department. June 1984 Secret document subsequently declassified: 1. President Jayewardenes failure to deal with the demands of Sri Lankas Tamil minority 18 percent of the population has brought the Tamils to the brink of open insurrection. In our judgment, Jayewardene, through his political maneuvering since his election in 1977, has contributed to the deterioration of communal relations by failing to share political power with minority groups 2. Tamil demands probably would be satisfied by a federal structure that would guarantee Tamils control over security and economic development where they comprise the majority of the population. 3. The Tamils, according to Embassy and scholarly reports, have become convinced that they should have both an autonomous homeland and control over security forces and access to more economic development projects. 4. We believe the frustrations of the last year have convinced even moderate Tamils they must press for a separate homeland with the hope of achieving at least a federal relationship with Colombo. Subsequent US Manipulation for a Federal System In early 2012, under the auspices of the Office of the Under Secretary-General of the United Nations (Political Affairs) B. Lynn Pascoe, attended by many professionals that included President Barack Obamas close confidante and information czar Prof. Cass Sustein and his wife Dr. Samantha Power, the U.S. Presidents human rights-war crimes-genocide crusader in the National Security Council, to start a process of restructuring several developing Third World nations constitutional arrangements to promulgate federalism as an answer to ethnic minority grievances. The Under-Secretary-General (Political) B. Lynn Pascoe was a retired career diplomat from the US State Department. Since the early 2012-process commenced a number of closed-door meetings and seminars at which the partition of UN member states has been discussed. Most of the meetings have been held under the direction of the UN Interagency Framework for Coordination on Preventive Action (the Framework Team or FT). The control of the FT fell into the domain of the under-secretary-general of Political Affairs Jeffrey Feltman, who took over from Pascoe in June 2012.The UN slot in the Department of Political Affairs, for decades, has always been assigned to a retired American Foreign Service officer (FSO), and it is the second most influential position next to the Secretary-General. When a former American FSO occupies the Number Two slot of the UN, the State Department has extensive leverage over the operation of the United Nations, and it has been seen that both branches the Department of Political Affairs and the US State Department work together to achieve common objectives. As much as the state department and its representative US ambassador to UN- maintain jurisdiction over the Human Rights Commission in Geneva under internal UN arrangement, during this period, the Under-Secretary (Political) Jeffrey Feltman oversaw the functioning of UNHRC. When the process commenced in 2012, Sri Lanka, apart from Nepal, was also a target for the identity federalism engineers. To promote a serious devolution to the peripheral regions whether one calls it federal structure or otherwise Dr. Samantha Power, who initially attended the Framework Team in early 2012 with the UN Department of Political Affairs, travelled to Sri Lanka in November 2015. UN Under-Secretary-General (Political) Jeffrey Feltman travelled to Sri Lanka for talks in July 2017, during the Sirisena-Wickremesinghe administration. Illegality of the Indo-Lanka Accord and 13th Amendment First, there is a reasonable argument to be made that the bilateral accord the Indo-Sri Lanka Accord of 1987 that mandated the devolutionary restructuring of the Sri Lankan government was illegal from the very inception. But the 13th Amendment was imposed on the country under duress rather than being legislated through democratic debate. What is less debatable is that the Indian airdrop and intimidatory diplomatic communications from New Delhi to Colombo prior to the IPKF were violative of at least the spirit of Article 2(4) of the United Nations Charter. That UN Article enjoins all member states to refrain in their international relations from the threat or use of force against the territorial integrity or political independence of any State. Both the Security Council and the General Assembly have adopted numerous resolutions that contain implicit or explicit references to Article 2(4), condemning, deploring or expressing concern about acts of aggression or the launching of armed intervention. A number of resolutions have included calls for withdrawing troops from foreign territories. In addition, Article 51 of the Vienna Convention on the Law of Treaties states that an expression of a states consent to be bound by [a] treaty which has been procured by coercion of its representative through acts or threats directed against him shall be without legal effect. Similarly, Article 52 of the same Convention provides that a treaty is void if its conclusion has been procured by the threat or use of force in violation of the principles of international law embodied in the Charter of the United Nations. Some Indian commentators have argued that Sri Lanka cannot withdraw from the 1987 Accordand by extension the Amendmentby reason of the Vienna Convention because neither Sri Lanka nor India are signatories to the Convention. The United States has never ratified the Vienna Convention, but its Department of State as early as 1971 acknowledged that the Convention constituted the authoritative guide to current treaty law and practice, even for non-parties. Despite being a non-signatory, the U.S. Government has frequently brought cases before the International Court of Justice (ICJ) based on alleged violations of the Vienna Convention. In short, neither India nor the USG has standing under international law to press Sri Lanka to honour commitments imposed on it illegally. The Thirteenth Amendment was enacted in the Sri Lanka Constitution as a result of this illegal Indo-Sri Lanka Accord of 1987. What has been outlined above is that Washington policymakers and lawmakers endeavoured from early 1980s to impose a federal structure on Sri Lanka, and current Ranil Wickremesinghe presidency is succumbing to US pressure. Further, Sri Lankan lawmakers need to be apprised of the illegal entry of the 1987 Indo-Lanka Accord and its by-product the 13th Amendment. Quiz Answer Key and Fun Facts 1. DR Congo The Democratic Republic of the Congo lies to the west of Uganda, and the two countries share a 950 km (590 mi) land border, extending from the south where the two countries make a tripoint with Rwanda, north up to where the two countries make a tripoint with South Sudan. Along the border, the two countries share portions of Lake Edward and Lake Albert, two of the smaller lakes in the African Great Lakes district. 2. South Sudan To the north of Uganda lies South Sudan, the two countries sharing a 475 km (295 mi) border. In the late 18th and early 19th century, the entire region was under British colonial rule, and they created the administrative division that would become the border between Sudan and Uganda. When both nations gained independence in 1956 (Sudan) and 1962 (Uganda), the border largely remained the same (except for the Nile River boundary). South Sudan gained independence from Sudan in 2011. 3. Kenya Kenya lies on Uganda's eastern border, extending 814 km (506 mi) from South Sudan down to Lake Victoria, where the watery border line continues straight south until meeting the portion 'owned' by Tanzania. Kenya was part of the East Africa Protectorate under the British Empire in 1895, with the region of Kenya known from 1920 as the Kenya Colony. In December of 1963, the colony became the Commonwealth realm of Kenya just over a year after Uganda gained independence. And then one full year later (Dec. 1964) it became the Independent Republic of Kenya, effectively severing its British governmental ties, albeit remaining a member nation of the British Commonwealth. The beautiful Kenyan landscape was the inspiration for Disney's animated "The Lion King" (1994) and was also where much of the footage was filmed for the follow-up live action film (2019). Kenya lies on Uganda's eastern border, extending 814 km (506 mi) from South Sudan down to Lake Victoria, where the watery border line continues straight south until meeting the portion 'owned' by Tanzania.Kenya was part of the East Africa Protectorate under the British Empire in 1895, with the region of Kenya known from 1920 as the Kenya Colony. In December of 1963, the colony became the Commonwealth realm of Kenya just over a year after Uganda gained independence. And then one full year later (Dec. 1964) it became the Independent Republic of Kenya, effectively severing its British governmental ties, albeit remaining a member nation of the British Commonwealth.The beautiful Kenyan landscape was the inspiration for Disney's animated "The Lion King" (1994) and was also where much of the footage was filmed for the follow-up live action film (2019). 4. Tanzania Tanzania is Uganda's neighbour to the south, sharing a 396 km (246 mi) land border extending to the west of Lake Victoria until reaching Rwanda. Before achieving independence in 1964, Tanzania was part of the territory of German East Africa until the British took control of the territory in 1922 under a League of Nations mandate, which later became a United Nations Trust Territory. In 1961, Tanganyika (the mainland portion of today's Tanzania) gained independence from the UK, and just a year later, became a republic within the Commonwealth of Nations. In 1964, Tanganyika united with the island nation of Zanzibar to become Tanzania. Tanzania is also the home of Africa's highest mountain, Mount Kilimanjaro, at 5,895 m (19,340 ft). 5. Rwanda Rwanda is located at the extreme southwest corner of Uganda, sharing a 169 km (105 mi) border running east/west between Tanzania and the DR Congo. Rwanda was colonized by Germany as part of German East Africa in 1897, then later the region was governed by Belgium when they took control in 1916 (along with Burundi). Rwanda-Urundi existed under a League of Nations mandate (still under Belgian rule) from 1922 and continued as such under UN mandate following World War II. Racial tensions between the Tutsi and Hutu peoples led to the Rwandan Revolution in 1959, which ultimately culminated in Rwandan independence in 1962. Political unrest has continued to plague the nation of Rwanda, with a hard history that includes a military coup in 1973, and civil war in the 1990s, which included the attempted genocide of the Tutsi minority. 6. Kampala The name Kampala originated from the location of a British settlement (Fort Lugard) atop a hill. Located within the lands of the King of the Baganda (Bantu) people. The British referred to the site as the "hill of the impala," which were prominent in the region. In the native language, this translated as "Akasozi ke'Empala," which was eventually shortened to simply "Kampala." Under the British protectorate, these lands were claimed as "Crown lands," and grew into a township in the early 1900s. The site grew slowly, and then more quickly once the railway connected Kampala to Mombasa Port in 1931. And then when Uganda gained its independence in 1962, Kampala became the capital of the new country, (moving from Entebbe), and achieving city status at the same time. In 2019, the population of Kampala was estimated to be 1.65 million, with an expected growth of about 5% per year. 7. Murchison Falls In 1864, explorer Samuel Baker became the first European to see these beautiful falls, which are on the Victoria (White) Nile at the apex of Lake Albert. At the time of its 'discovery,' Baker named the falls for Roderick Murchison, who was the president of the Royal Geographical Society. Under the Idi Amin government of the 1970s, the falls were renamed Kabalega Falls, after a former king of the Bantu kingdom of Bunyoro. Murchison Falls tumble down 43 meters (141 ft) into a narrow gorge that empties into Lake Albert. 8. Mount Stanley Mount Stanley is Africa's third-highest mountain at 5,109 m (16,763 ft). Straddling the border of Uganda and the Democratic Republic of the Congo along the Rwenzori mountain range, it is the highest point of both countries. As you may have surmised, Mount Stanley is named for British explorer Sir Henry Morton Stanley, who famously located missionary (and explorer) David Livingstone (in 1871), who had been 'missing' for six years. Mount Stanley is located within the Rwenzori Mountains National Park, which was named a UNESCO World Heritage Site in 1994. Mount Stanley is Africa's third-highest mountain at 5,109 m (16,763 ft). Straddling the border of Uganda and the Democratic Republic of the Congo along the Rwenzori mountain range, it is the highest point of both countries.As you may have surmised, Mount Stanley is named for British explorer Sir Henry Morton Stanley, who famously located missionary (and explorer) David Livingstone (in 1871), who had been 'missing' for six years.Mount Stanley is located within the Rwenzori Mountains National Park, which was named a UNESCO World Heritage Site in 1994. 9. Victoria Nile The Victoria Nile is the section of the White Nile that travels from the northern part of Lake Victoria (west of Jinja) to Lake Albert, on the western border of Uganda. Over the course of its travels, the Victoria Nile travels in a northerly direction for approximately 480 km (300 mi), over the Nalubaale and Kiira dams at Owen Falls, through Lake Kyoga in the center of the country, then north and west to Lake Albert where it forms the Murchison (Kabalega) Falls as it enters the lake. Some also call the section of the river after Lake Kyoga the Kyoga Nile. 10. Albert Nile The Albert Nile extends from the northern extremity of Lake Albert (AKA Lake Mwitanzige), flowing north approximately 210 km (130 mi) to the border of South Sudan at Nimule. There it becomes the Mountain Nile. Throughout its length (in Uganda), it is a navigable river. Other names for the Albert Nile exist, and in its Ugandan section, it is also commonly called the Mobutu Nile. Once it crosses into South Sudan, it is also known as the Al-Jabal River. 11. Lake Victoria Lake Victoria is the largest of Africa's Great Lakes, and the second-largest freshwater lake in the world (by area), after North America's Lake Superior. Measuring 69,484 km2 (26,828 sq mi) in area, Lake Victoria is shared by Uganda, Kenya, and Tanzania, with approximately 45% of the lake within Uganda's recognized border. Lake Victoria is extremely important to Uganda, for a number of reasons. Primary among them are hydroelectric power (water flowing from Lake Victoria along the Victoria Nile), fisheries (over two million Ugandans directly or indirectly employed), transportation (commercial and travel link between the three countries), climate moderation, and its biodiversity. The first European to set eyes on the lake was British explorer John Hanning Speke in 1858. He named it for Queen Victoria, of course. The lake has many different names in varying local dialects, and has, of course, been an important resource for centuries for the local African (mostly Bantu) tribes. 12. Lake Edward Lake Edward (AKA Rwitanzigye) straddles the border between the DRC and Uganda. It has an area of 2,325 km2 (898 sq mi), with just under a third of the lake (29%) within Ugandan borders. It is considered to be the smallest of the African Great Lakes. While there are a number of rivers that feed into Lake Edward, it only has one river exiting the lake at the north - the Semliki River - flowing towards Lake Albert. Lake Edward was named by Henry Morton Stanley in honour of Queen Victoria's son Albert Edward, the Prince of Wales (later Edward VII). The lake was renamed "Lake Idi Amin" from 1973 until 1979, after which the name reverted to Lake Edward. The lake also carries the local names of Rwitanzigye and Rweru. Lake Edward (AKA Rwitanzigye) straddles the border between the DRC and Uganda. It has an area of 2,325 km2 (898 sq mi), with just under a third of the lake (29%) within Ugandan borders. It is considered to be the smallest of the African Great Lakes. While there are a number of rivers that feed into Lake Edward, it only has one river exiting the lake at the north - the Semliki River - flowing towards Lake Albert.Lake Edward was named by Henry Morton Stanley in honour of Queen Victoria's son Albert Edward, the Prince of Wales (later Edward VII). The lake was renamed "Lake Idi Amin" from 1973 until 1979, after which the name reverted to Lake Edward. The lake also carries the local names of Rwitanzigye and Rweru. 13. Lake George Lake George is a small (in comparison) lake connected to Lake Edward by the 32 km (20 mi) Kazinga Channel. The lake is 250 km2 (97 sq mi) in area, and is fully within Uganda, located to the northeast of Lake Edward. When it was first 'discovered' by Henry Morton Stanley in 1875, and he initially believed it to be part of Lake Albert, consequently naming it Beatrice Gulf (after Queen Victoria's daughter). It wasn't until Stanley reached Lake Edward in 1888 that he realized that it was a separate lake, and he gave it the updated name, Lake George (after Prince Edward's son, who would become George V). The local name, Lake Katunguru, describes the shape of the lake in a number of the dialects in the region, meaning 'onion.' 14. Lake Albert Lake Albert, AKA Lake Mwitanzige (and also Lake Mobutu Sese Seko for a time), is the seventh-largest of the African Great Lakes, with an area of approximately 5,300 km2 (2,000 sq mi). Just like Lake Edward, Lake Albert straddles the border between the DRC and Uganda, although this one is more evenly divided between the two nations, with 46% of it within Uganda. It is a long lake, stretching roughly 160 km (99 mi) long from the southwest to the northeast, with a width of 30 km (19 mi). It has two main inflowing rivers: the Semliki River (from Lake Edward to the southwest); and the Victoria Nile (from Lake Victoria to the southeast, through Lake Kyoga). Lake Albert's outflowing river is the Albert Nile, which extends north to the border with South Sudan. 15. Lake Kyoga Lake Kyoga is a large, shallow lake in the center of Uganda. It has an area of 1,720 km2 (660 sq mi), but it only has an average depth of about 4 m (13 ft), and its deepest point is just 5.7 m (under 19 ft). For this reason it is not considered one of the African Great Lakes, even though it is part of the same lake system. The lake is roughly midway along the Victoria Nile between Lake Victoria and Lake Albert. As shallow as it is, the lake has large areas of water lilies and swampy shorelines of papyrus and water hyacinth. It is an irregularly-shaped lake, with many arms and a complex system of streams and rivers surrounding it. Source: Author reedy This quiz was reviewed by FunTrivia editor agony before going online.Any errors found in FunTrivia content are routinely corrected through our feedback system. Excluding acquisition effects, total Group sales grew by 8.6% at constant exchange rates. The Western Wind market shows cautious signs of recovery, as our customers order new blade moulds which we expect to translate into material sales in due course while the Chinese Wind market performs solidly, albeit remains very competitive. Marine and other industrial markets continue to experience strong growth with an increase in demand for PET structural foam for industrial applications, particularly in Europe and North America. Composite Materials achieved net sales of CHF 160.8 million for the first half of 2023. This represents an increase of 21.0% at constant exchange rates compared to the first half of 2022. Excluding acquisitions, Composite Materials sales increased 6.1% at constant exchange rates driven by market share gains with recycled PET in all Western material markets based on the successful ramp up of our Mexican and Indian manufacturing sites. Kitting recorded net sales of CHF 71.1 million for the first half of 2023. This is an increase of 10.4% at constant exchange rates compared to the first half of the prior year. The year-on-year increase is due to new projects with Western customers primarily in India and North America. Kitting sales are expected to receive additional momentum once new blade moulds come online for Western wind customers. The European Kitting footprint has been successfully adjusted, with the relocation of operations from Denmark to Spain and Turkey. Manufacturing Solutions saw an increase of its first half of 2023 net sales by 5.1% at constant exchange rates compared to prior year to CHF 30.7 million. The mould mix significantly changed in 2023 versus 2022 with many more mould systems sold to Western customers as they are tooling-up for the next generation of bigger turbines. Key financial figures in CHF million H1 2023 H1 2022 Change in reported CHF Change @ constant HY 2022 rates Net sales by markets: Composite Materials 1) 160.8 141.4 13.7% 21.0% Kitting 71.1 71.6 -0.7% 10.4% Man. Solutions 30.7 32.4 -5.0% 5.1% Elimination -18.0 -20.2 -10.5% -4.8% Total continued operations 244.6 225.3 8.6% 17.6% Aerospace 0.0 9.6 -100.0% -100.0% Total Group 244.6 234.8 4.2% 12.8% Composite Materials (excl. acq. effects) 113.3 114.1 -0.7% 6.1% Total Group (excl. acq. effects) 197.1 197.9 -0.4% 8.6% Operating profit 2) 13.0 19.8 Operating profit margin 5.3% 8.4% Adjusted operating profit 13.6 4.6 Adjusted operating profit margin 5.6% 2.0% Profit for the period 4.4 16.6 Operating cash flow 12.5 -13.1 Capital expenditures 5.5 6.7 Net debt 78.0 104.2 Equity in % of total assets 33.8% 34.0% 1) Composite Materials sales contain Structural profiles sales of CHF 47.5 million in 2023 and CHF 27.4 million in 2022 for a period of 2 months (May, June) following the acquisition end of April 2022. 2) 2022 includes gain on sale of the Aero business of CHF 18.3 million. Adjusted operating profit more than doubled Gurit reached an operating profit of CHF 13.0 million with an operating profit margin of 5.3%. Excluding divestment effects, restructuring and impairment charges, the adjusted operating profit significantly improved to CHF 13.6 million with an adjusted operating profit margin of 5.6%, compared to CHF 4.6 million or 2.0% in the first half of the prior year. The adjusted operating profit margin has improved to above 10% in the businesses without the 2022 acquired Structural Profiles business, which ran a sizeable loss in its Danish operations while the promising Indian site will ramp-up during H2 2023. The profit improvement stems mainly from a more favourable product mix in the Manufacturing Solutions business, better performance in the newly set-up PET operations and cost saving measures undertaken in 2022 and 2023. Earnings per share are CHF 1.54 in H1 2023 (H1 2022: CHF 3.61 which includes CHF 3.91 gain per share from the sales of the Aero business). Cash Flow and Balance Sheet Gurit significantly improved the cash flow generation from operating activities to CHF 12.5 million compared to CHF -13.1 million in the first half of the previous year. This increase is due to a higher operating profit in 2023 and many working capital improvements made in H2 2022 and 2023. Capital expenditures amounted to CHF 5.5 million during H1 2023 compared to CHF 6.7 million for the first half of the previous year. Major growth capacity investments particularly in structural profiles, were made at the now fully operational site in Chennai, India. Gurit could also significantly reduce the net debt by CHF 26.2 million from CHF 104.2 million in June 2022 to CHF 78.0 million in June 2023. Outlook After a better than expected first half performance, Gurit anticipates the good business environment in Marine & Industrial to continue, while the company expects short-term uncertainties to remain in Wind. Gurit raises the adjusted operating profit guidance for the full year of 2023 on the operating profit margin from a range of 2-5% so far to 3-6% and expects net sales in the range of CHF 460-490 million. Gurit acquires the remaining 40% share of the Structural Profiles business As reported earlier, Gurit acquired 60% of Fiberline Composites A/S in May 2022. Immediately after this first acquisition step Gurit started setting-up the business also in India as part of its Chennai campus. This operation will go in full ramp-up in H2 2023. The existing Danish operation has been hurt by high cost and low customer demand in 2022 and markedly in 2023 and creates a significant loss in H1 2023 and the entire year. In order to accelerate the improvement also in the Danish operations, Gurit has signed a share purchase agreement with the Thorning family over the residual 40% of the shares on 15.8.2023, earlier than originally anticipated, to gain full control over the business. The closing took place at the same date at a purchase price of no more than EUR 28.15 million, which includes a cash consideration and a longer term earn-out. The agreement with the minority shareholder will enable Gurit to accelerate the turn-around of the business and fully leverage all synergies of the group. Organizational change in the Board of Directors Effective 16.8.2023 Rudolf Hadorn has resigned from the Board of Directors of Gurit for private reasons. He will fully dedicate himself to pursue his various private business activities. The Board of Directors thanks Rudolf Hadorn for the almost 16 years of service as a CEO and member of the Board of Directors and wishes him all the best. Board Member Philippe Royer was elected by the Board of Directors as Chairman of Gurit until the next Annual General Meeting in 2024. Gurit obtains A-Rating for its ESG Performance Gurits Sustainability performance has been awarded with an A rating from MSCI for the first time in July 2023, ranking Gurit within the top tier of all rated companies in the specialty chemicals sector. It indicates the companys practices of managing ESG risks and opportunities are well-aligned with shareholder interests and is an important recognition of Gurits execution of its sustainability strategy. Online publication of Half Year Results and media/analyst online conference On Wednesday, 16 August 2023, Gurit Management will discuss the results of the First Half-Year 2023 interim report in a public webcast at 09:00 AM CEST. The presentation will be held in English and will be accessible at www.gurit.com/Investors/Webcasts Under the same link an archived version of the public webcast will be made available. Analysts and journalists are invited to participate in the Q&A conference call upon prior registration via investor@gurit.com The half-year report 2023 is available under the following link: https://www.gurit.com/investors/reports The Ukrainian military plans to obtain and use 200,000 UAVs in 2023. Average cost for each UAV is $2,500, with some UAV types costing less than $1,000 while a smaller number cost over $10,000 each. The majority of these UAVs are basically loitering munitions that are sent out to areas where surveillance UAVs have spotted a lot of targets, usually enemy troops and vehicles. These UAVs have video cameras and a link to operators who view the video on a tablet or via goggles containing small video screens while the operators have a form of handheld game controller to maneuver the UAV and select a target for the UAV to collide with and explode. While many of these have already been used in a variety of effective ways, loitering munitions have one tremendous advantage over earlier forms of firepower. Compared to bullets or artillery shells, a single loitering munition is much more likely to hit a target. In wartime it takes hundreds of artillery shells to cause one casualty. For rifle and machine-gun bullets, the number of bullets fired per casualty caused can be up to 100,000. Loitering munitions are much more effective because the operator scans the terrain below looking for a target. When one is found, the loitering munition attacks and almost always kills or wounds at least one soldier. Loitering munitions can be lost to enemy action. While small targets for riflemen or machine-gunners on the ground, they can be hi by ground fire. A more effective, and increasingly common way to defeat loitering munitions is EW (Electronic Warfare), specifically electronic jamming of the munitions control signal. As is common in combat, a jammer is not always available when you need it. In some ways, loitering munitions are not as useful as artillery or bullets. Many artillery shells and bullets are not fired at a specific target or with the intent of causing casualties. This is what happens with suppressive fire, artillery or rifle fire is directed at an area to discourage the enemy from entering or moving into position to fire at your troops. You can consider a loitering munition overhead as a form of suppressive fire on the troops below. In that respect a loitering munitions does what snipers have been doing for over a century, forcing troops to stay out of sight or the known sniper will get you. This was common during World War I, when trench warfare made snipers useful for keeping enemy troops from observing the terrain between the trenches of opponents. In the 21st century more troops had access to affordable and very effective scopes for their rifles or even machine-guns. The American marines officially recognized this as a combat specialty and designated most snipers as scout-snipers' ' because their more useful skills involved observing as well as accurate shooting. In armies with a lot of well-trained troops, about ten percent are designated and equipped to be snipers or sharpshooters. The latter is a soldier with a talent for accurate shooting but is not trained and equipped as a sniper. Professional soldiers in general are more likely to use individual, well aimed shots versus automatic fire, also known as spray and pray (that you hit something). You can see how this works in Ukraine, where the Ukrainian troops are better trained in the accurate use of rifle fire. It was Ukrainian troops who frequently used commercial quadcopters equipped with grenade or small bomb carry and release mechanisms. The Ukrainian soldiers often bought commercial UAVs for this and spent hours at a time sending out their quadcopter to search for targets. The quadcopter would have to frequently land to recharge. That demonstrates another 21st century development; the proliferation of electronic devices an infantryman can and often will take with him into combat. NATO nations learned from these Ukrainian experiences and have sent Ukraine what the Ukrainian say they need. For example, a month after the Russians invaded, the United States agreed to send Ukraine a large quantity of weapons, many of them specifically requested. One of these was called Phoenix Ghost, a system that was rapidly developed and built in the United States by Aevex Aerospace for the U.S. Air Force from specifications supplied by Ukraine. The air force revealed that the Phoenix Ghost was a project already in development before the Russians invaded. Ukrainians had already developed and built some innovative new weapons or modifications for existing ones the Americans were working on. The air force does not develop ground-based loitering munitions but does develop ones carried by aircraft. The Ukrainians made some suggestions which were included in the existing air force design and that resulted in the Phoenix Ghost, which went into production immediately. In other words, Phoenix Ghost is a bespoke (custom made to user specifications) UAV (Unmanned Aerial Vehicle) developed and manufactured in record time. The primary new feature of this loitering munition was its longer (six hour) flight endurance. The U.S. sent Ukraine over 1,200 of these loitering munitions in the first year of the war. For a long time, all the public knew about Phoenix Ghost was that it was similar to the American Switchblade loitering munition that was also being sent to Ukraine. The input from Ukrainian engineers was essential because many of the most effective Soviet-era weapons engineers were Ukrainian. That meant Ukraine had a tradition of pragmatic and innovative weapons development that was mobilized after the 2014 Russian seizure of Crimea and part of Donbas. The capabilities of the Ukrainian engineers were not exactly a state secret, it just wasnt newsworthy. Foreigners familiar with weapons development knew about the Ukrainian skills and those who visited Ukraine for whatever reason, like American and other NATO military advisors, got a closer look at what those Ukrainian engineers could do. Those skills became even more important after the invasion began and suddenly engineers and scientists in other fields began applying their skills to rapidly develop new weapons and equipment to protect Ukraine from the Russians. After the invasion began the capabilities of the Ukrainian engineers became part of the reporting on how the Ukrainians stopped and turned back the Russian attack. That enabled the Ukrainian proposal for Phoenix Ghost to be taken seriously and rapidly implemented. The Ukrainian specified COTS (Commercial Off the Shelf) components and kept in touch via the high-speed Internet links provided by Starlink. The rumors of Phoenix Ghost indicate it is an improvement over Switchblade and mainly meant to be a more effective loitering munition. The Russians eventually experienced what the Ghost could do by examining the damage and reports from their troops. Aevex Aerospace, the firm that developed and built Phoenix Ghost is itself a recent development, founded in 2017 and specializing in projects very similar to the Phoenix Ghost. Another American firm, AeroVironment, developed the Switchblade loitering munition and similar systems. Aevex is similar to AeroVironment, which has been around since 1971 and created many innovative commercial and military UAV designs. Switchblade is little-known to the general public but extremely popular with American troops fighting in small units, especially in remote areas. Switchblade was first revealed in 2005 and the Ukrainians are receiving at least a hundred of the Switchblade 300 plus some of the larger Switchblade 600s, which appears to be closer in weight-class and performance as Phoenix Ghost. Switchblade 300 is a small UAV fired from its storage container. Switchblade was sent to Afghanistan in 2009 for secret field testing. This was very successful and the troops demanded more, and more, and more. Switchblade completed development later in 2009 and was initially thought useful only for special operations troops. In 2011, after a year of successful field testing, the army ordered over a hundred Switchblades for troop use and since then has ordered a lot more. While Switchblade was developed for the army, the marines apparently noted the success that soldiers and SOCOM (Special Operations Command) had with this system and ordered them as well. Switchblade was very popular with troops in Afghanistan and with SOCOM in all sorts of places they wont discuss in detail. Switchblade is still used and thousands have been ordered and many of them used. There have been several upgrades The original 2009 Switchblade was a lightweight and expendable (used only once) UAV that could also be equipped with explosives. The Switchblade is launched from its shipping and storage tube, at which point wings flip out, a battery-powered propeller starts spinning and a vidcam begins broadcasting images to the controller. The Switchblade is operated using the same controller as the larger (two kg) Raven UAV. A complete Switchblade system (missile, container, and controller) weighed 5.5 kg (12.1 pounds). Moving at up to a kilometer a minute, the original Switchblade can stay in the air for 20-40 minutes, depending on whether or not it was armed with explosives. Switchblade can operate up to ten kilometers from the operator. The armed version can be flown to a target and detonated, having about the same explosive effect as a hand grenade. Thus, Switchblade enables ground troops to get at an enemy taking cover in a hard to see location. Technically a guided missile, the use of Switchblade as a reconnaissance tool encouraged developers to refer to it as a UAV. But because of the warhead option, and its slow speed, Switchblade also functions like a rather small cruise missile. The troops were particularly enthusiastic about the armed version because it allowed them to more quickly take out snipers or a few enemy gunmen in a compound full of civilians. Switchblade has been so successful that the army ordered several upgrades and the updated original Switchblade was renamed Switchblade 300. The new version appeared in 2016. It is heavier (2.7 kg) with 15 minutes endurance and a 10-kilometer range. The sensor has night vision and is stabilized. The 300 can lock onto a target and track it. The 300 comes with optional accessories, like a six-pack launcher that is used as part of base defense. This was first used for base protection in 2019 and proved effective. One or more of these six packs are placed near the base perimeter and power is maintained with a solar panel. The base security commander can order a Switchblade to be launched from the six-pack and then control it in search for potential targets. Switchblade 300 is also capable of being used from a helicopter or larger UAV and controlled from the helicopter or by the operator of the larger UAV (like a Reaper). In 2020 AeroVironment, the company that developed the unlikely, but popular, Switchblade loitering munition, introduced a third version; Switchblade 600. While the original Switchblade weighed one kilogram (2.2 pounds), the latest Switchblade is ten times heavier at 23 kg (50 pounds), can stay in the air for 40 minutes and be controlled up to 80 kilometers from the operator. Top speed is 180 kilometers an hour and more economical cruise speed is closer to 150 kilometers an hour. The heavier warhead can destroy most tanks, although some modern tank designs include protection from top attack. Switchblade 600 was requested by the U.S. Army for longer range surveillance missions and the option to hit specific small targets, like a building or enemy position. Unlike the earlier Switchblades, the 600 uses a tablet controller with more options, including manipulating the more powerful vidcam. Video transmitted back to the operator can be saved and passed on. The operator also has a wave off feature in which a quick tap on the controller screen can cause the 600 to abort an attack and be available for another try. The 600 can also be programmed to carry out a mission without operator control. This means there is no control signal for enemy electronic warning systems to detect or jam. In this case when time is up the 600 self-destructs. The 600 can be carried into a remote area and used quickly. Its most likely use in the Ukraine is against the locomotives of Russian military supply and troop transport trains operating near the border or inside Ukraine. The U.S. Navy also requested a version of Switchblade, for reconnaissance only, that could be launched from ships or submerged (at periscope depth) submarines. In this case the sub would have a communications mast on the surface to receive data from what was called the Blackwing. This version is a little heavier, at 1.8 kg (four pounds). The size of the Blackwing is designed to fit into existing navy countermeasure launchers. Without a warhead Blackwing has endurance of about an hour and uses encrypted digital communications compatible with current navy systems. When released from a submarine countermeasures launcher, the Blackwing container pops to the surface and the Blackwing is ejected into flight like the other Switchblades. The U.S. Navy has bought at least 150 Blackwings, starting in 2016. Armed versions of Blackwing are available but these have shorter endurance. For subs, reconnaissance is the most important item. Switchblade is not a unique concept, as these loitering munitions have been around for decades. What Switchblade provided was a design that met the needs of combat troops, especially special operations personnel or small groups of Ukrainians seeking to halt Russian supply trucks. Since Switchblade entered service and its popularity became widely known, similar systems have appeared, trying to provide features that Switchblade lacked but the troops would appreciate. Ukraine had already developed a loitering munition of its own, but these are not as efficient as Switchblade. The Ukrainians ended up developing and building many different loitering munitions, a process that continues. At the same time Ukrainian neighbor and ally Poland also developed the Warmate loitering munition and sent them off to Ukraine. Warmate is a 5.3 kg (12 pound) conventional UAV that carries a 1.4 kg (three-pound warhead). Warmate has an endurance of 70 minutes and top speed of 150 kilometers an hour and can be controlled 15 kilometers from the operator. While portable, Warmate requires five minutes to assemble and needs a road or catapult device to be launched. Ukraine developed its own loitering munition, Silent Thunder, in 2019. This is a 9.5 kg (28 pound) UAV with a variety of different 3.5 kg (7.7 pound) warheads. It takes fifteen minutes to ready Silent Thunder for use and it has a duration of 60 minutes and top speed of 150 kilometers an hour. It can be controlled up to 30 kilometers from the operator. Silent Thunder is reusable if no warhead is carried. Silent Thunder is a complex system to use and that limits its effectiveness. In 2019 an Israeli firm introduced the Firefly, a loitering munition UAV, which is portable enough for infantry to carry and continually reuse. There is also the option to replace one of the two batteries with an explosive warhead and turn Firefly into a guided weapon. Another major advantage of Firefly is that it operates like a helicopter, not a fixed-wing aircraft. Being able to hover is a major advantage for loitering munitions used by infantry. Firefly seems to have addressed all (or most) of the user criticisms of earlier lightweight loitering munition systems. Firefly was developed by Rafael, the same firm that developed and builds the Spike family of ATGMs (anti-tank guided missiles). Much of the tech in Firefly was based on what is already used in Spike systems. In particular, Firefly has a guidance system that can track and attack a moving target. This can be critical for infantry using such a weapon because these targets are elusive in the first place and, without a UAV, the infantry would not have spotted dangers like snipers or moving troops at all. Firefly is a dual rotor miniature helicopter and those dual (on top of each other) rotors make it stable in winds that would make a similar-sized fixed-wing or quad-copter UAV unusable. The .4 kg (one pound) warhead replaces the second battery to halve the normal 30 minutes of flight time. The operator uses a small tablet device that is mostly a touch screen and a Firefly controller. Firefly can be controlled up to 500 meters in a built-up (or forested) area or up to 1,500 meters in line-of-sight (nothing between Firefly and operator) mode. Firefly returns to the operator and explosives are deactivated if the control signal is lost. The operator can press an icon on the screen to get Firefly to return immediately, abort an attack or carry out a high speed (19 meters/62 feet a second) attack on a target. The target can be moving, as in a sniper changing firing positions out of sight of the operator. This is accomplished using the ability of the Firefly guidance system to remember the shape of a target and follow it. The Firefly warhead would be most often used against troublesome targets like snipers or hidden machine-guns. Even without the warhead Firefly would be able to locate such lethal adversaries and enable the infantry to avoid them. Firefly can also be launched and operated from a moving vehicle. The big advantage Firefly has over similar loitering munitions like the 40mm Pike and GLAUS, as well as Switchblade, is reusability. Carry one Firefly and just use it as a UAV for a dozen or more times. The relative simplicity of Firefly compared to Switchblade, and to similar designs like GLAUS and Pike based on 40mm grenade shells, makes it a better system that is also cheaper when you take into account the reusability. Russia is also using its new Zala loitering munition in Ukraine. Zala carries a two kg (4.4 pound) explosive charge. Zala is a delta shaped (1.2 meter/3.8 feet wingspan) UAV with a three kg (6.6 pound) payload that is used mainly for explosives plus a vidcam to locate the targets and dive on it. It is carried and launched from a catapult on a truck. Endurance is 30 minutes and top speed is 130 kilometers an hour. Zala has been available since 2017 and has apparently been tested in Syria. With the recent history of loitering munitions, the appearance of Phoenix Ghost is not surprising. As the Israelis have discovered, when you are facing constant threat of attack, innovative and rapidly developed weapons are a necessity. Country United States of America US Virgin Islands United States Minor Outlying Islands Canada Mexico, United Mexican States Bahamas, Commonwealth of the Cuba, Republic of Dominican Republic Haiti, Republic of Jamaica Afghanistan Albania, People's Socialist Republic of Algeria, People's Democratic Republic of American Samoa Andorra, Principality of Angola, Republic of Anguilla Antarctica (the territory South of 60 deg S) Antigua and Barbuda Argentina, Argentine Republic Armenia Aruba Australia, Commonwealth of Austria, Republic of Azerbaijan, Republic of Bahrain, Kingdom of Bangladesh, People's Republic of Barbados Belarus Belgium, Kingdom of Belize Benin, People's Republic of Bermuda Bhutan, Kingdom of Bolivia, Republic of Bosnia and Herzegovina Botswana, Republic of Bouvet Island (Bouvetoya) Brazil, Federative Republic of British Indian Ocean Territory (Chagos Archipelago) British Virgin Islands Brunei Darussalam Bulgaria, People's Republic of Burkina Faso Burundi, Republic of Cambodia, Kingdom of Cameroon, United Republic of Cape Verde, Republic of Cayman Islands Central African Republic Chad, Republic of Chile, Republic of China, People's Republic of Christmas Island Cocos (Keeling) Islands Colombia, Republic of Comoros, Union of the Congo, Democratic Republic of Congo, People's Republic of Cook Islands Costa Rica, Republic of Cote D'Ivoire, Ivory Coast, Republic of the Cyprus, Republic of Czech Republic Denmark, Kingdom of Djibouti, Republic of Dominica, Commonwealth of Ecuador, Republic of Egypt, Arab Republic of El Salvador, Republic of Equatorial Guinea, Republic of Eritrea Estonia Ethiopia Faeroe Islands Falkland Islands (Malvinas) Fiji, Republic of the Fiji Islands Finland, Republic of France, French Republic French Guiana French Polynesia French Southern Territories Gabon, Gabonese Republic Gambia, Republic of the Georgia Germany Ghana, Republic of Gibraltar Greece, Hellenic Republic Greenland Grenada Guadaloupe Guam Guatemala, Republic of Guinea, Revolutionary People's Rep'c of Guinea-Bissau, Republic of Guyana, Republic of Heard and McDonald Islands Holy See (Vatican City State) Honduras, Republic of Hong Kong, Special Administrative Region of China Hrvatska (Croatia) Hungary, Hungarian People's Republic Iceland, Republic of India, Republic of Indonesia, Republic of Iran, Islamic Republic of Iraq, Republic of Ireland Israel, State of Italy, Italian Republic Japan Jordan, Hashemite Kingdom of Kazakhstan, Republic of Kenya, Republic of Kiribati, Republic of Korea, Democratic People's Republic of Korea, Republic of Kuwait, State of Kyrgyz Republic Lao People's Democratic Republic Latvia Lebanon, Lebanese Republic Lesotho, Kingdom of Liberia, Republic of Libyan Arab Jamahiriya Liechtenstein, Principality of Lithuania Luxembourg, Grand Duchy of Macao, Special Administrative Region of China Macedonia, the former Yugoslav Republic of Madagascar, Republic of Malawi, Republic of Malaysia Maldives, Republic of Mali, Republic of Malta, Republic of Marshall Islands Martinique Mauritania, Islamic Republic of Mauritius Mayotte Micronesia, Federated States of Moldova, Republic of Monaco, Principality of Mongolia, Mongolian People's Republic Montserrat Morocco, Kingdom of Mozambique, People's Republic of Myanmar Namibia Nauru, Republic of Nepal, Kingdom of Netherlands Antilles Netherlands, Kingdom of the New Caledonia New Zealand Nicaragua, Republic of Niger, Republic of the Nigeria, Federal Republic of Niue, Republic of Norfolk Island Northern Mariana Islands Norway, Kingdom of Oman, Sultanate of Pakistan, Islamic Republic of Palau Palestinian Territory, Occupied Panama, Republic of Papua New Guinea Paraguay, Republic of Peru, Republic of Philippines, Republic of the Pitcairn Island Poland, Polish People's Republic Portugal, Portuguese Republic Puerto Rico Qatar, State of Reunion Romania, Socialist Republic of Russian Federation Rwanda, Rwandese Republic Samoa, Independent State of San Marino, Republic of Sao Tome and Principe, Democratic Republic of Saudi Arabia, Kingdom of Senegal, Republic of Serbia and Montenegro Seychelles, Republic of Sierra Leone, Republic of Singapore, Republic of Slovakia (Slovak Republic) Slovenia Solomon Islands Somalia, Somali Republic South Africa, Republic of South Georgia and the South Sandwich Islands Spain, Spanish State Sri Lanka, Democratic Socialist Republic of St. Helena St. Kitts and Nevis St. Lucia St. Pierre and Miquelon St. Vincent and the Grenadines Sudan, Democratic Republic of the Suriname, Republic of Svalbard & Jan Mayen Islands Swaziland, Kingdom of Sweden, Kingdom of Switzerland, Swiss Confederation Syrian Arab Republic Taiwan, Province of China Tajikistan Tanzania, United Republic of Thailand, Kingdom of Timor-Leste, Democratic Republic of Togo, Togolese Republic Tokelau (Tokelau Islands) Tonga, Kingdom of Trinidad and Tobago, Republic of Tunisia, Republic of Turkey, Republic of Turkmenistan Turks and Caicos Islands Tuvalu Uganda, Republic of Ukraine United Arab Emirates United Kingdom of Great Britain & N. Ireland Uruguay, Eastern Republic of Uzbekistan Vanuatu Venezuela, Bolivarian Republic of Viet Nam, Socialist Republic of Wallis and Futuna Islands Western Sahara Yemen Zambia, Republic of Zimbabwe Israel continues to discover more offshore natural gas deposits and is pumping more of it into pipelines to Israel and then to export customers like Egypt and Jordan. European countries are looking for more natural gas suppliers because the war in Ukraine has cut off Russian oil and gas exports to Europe. Building a pipeline to Europe would be time-consuming and expensive but the European nations need the energy and are willing to invest in pipeline bringing Israeli natural gas to Europe. More natural gas deposits are found off the Israeli coast each year means more revenue for the Israeli economy. Israel expects these natural gas deposits to continue making Israel independent of any other oil or natural gas suppliers. This is expected to last several decades and that is a major plus for the Israeli economy. In the West Bank, two Palestinian gunmen who opened fire on Israelis troops, were shot dead by Israeli forces who were there to arrest a Palestinian suspect and search his home for weapons. August 14, 2023: In Germany, the first of three Israeli Drakon-class submarines was launched. This is a new class of submarine with a larger sail that can hold two or more ballistic missiles. Drakon is a slightly larger version of Israels current Dolphin-class submarines. The first Drakon wont be ready for service until 2027. August 13, 2023: In southern Syria (near Damascus) an Israeli airstrike was carried out against Iranian (Hezbollah) targets. No casualties were reported. Large fires could be seen and explosions heard for several hours. These attacks are a regular occurrence because Iran continues to try and get enough missiles into Syria so they can launch regular missile attacks on Israel. Such attacks must involve lots of missiles to get past the Israeli missile defenses and so far these Israeli air strikes on Iranian missile shipments have delayed the Iranian attacks. Today's Israeli airstrike was the 22nd so far this year. August 12, 2023: The United States provides Israel with $3.3 billion dollars a year in military aid. Most of this is spent on purchasing American military equipment and services. In return Israel shares military technology and intelligence with the United States as well as details on Israeli upgrades to American weapons. Because of this American and Israeli cooperation both nations benefit from improved military systems. Israeli improvements are often based on combat experience, which Israel has plenty of because it has many enemies in the region and few allies. Israel has the most powerful military in the region even though it has only 165,000 troops on active duty, with 465,000 trained reserves who can be called up on short notice. Some reservists are called on regularly for short periods. Israeli troops have better training, weapons and equipment than their adversaries and that includes superior information. Israeli intelligence operations use modern equipment, some of it developed in Israel as well as a large number of reliable informants who simply report on what is going on in their area. Israeli espionage is a separate operation that relies more on technical means to monitor what hostile nations are up to. Israel also has a unique problem with some of its neighbors. For example, Palestinian Arabs in the West Bank and Gaza want Israel destroyed and all Jews out of the Middle East. That is what is reported in Arab-language media. In their English language media Arabs are more accommodating. Many Israelis understand Arabic and need only tune into Arabic-language radio or television to monitor the current state of Arab attitudes towards Israel. Arabs have been hostile towards Christians, Jews and other non-Moslems in the region for centuries. Arab leaders often encourage this, often as cover for the widespread corruption found in Arab ruled states. Arab leaders in the West Bank and Gaza are currently dealing with another outbreak of popular anger at this corruption. Whenever there is a corruption scandal in Israel, some observe that Israel is turning into a Middle Eastern country. Israelis attribute their larger and more successful economy and better educated population to Israel being more Western and less Middle Eastern. August 11, 2023: In the West Bank an army search in a refugee camp was met by Palestinian gunfire. The soldiers fired back and that left one Palestinian dead and four wounded. This sort of violence has increased in the last year, mainly because Hamas and some other radical groups have established themselves in the West bank. That has meant more violence and 160 West Bank Palestinians have died so far in 2023, most of them while attacking Israeli security forces. The Palestinians insist the number of dead is 2014, but that includes casualties from Palestinian groups fighting each other. At Ben Gurion airport an Iranian Jew was sent back to Iran after he admitted that Iranian intelligence only allowed him out of Iran to visit family in Israel if he agreed to collect some information and make a report when he returned to Iran. Israeli airport screening is unique in that it is more thorough but less time consuming than in other nations. Israel keeps track of known or suspected hostile foreigners who might try to enter the country. August 10, 2023: In the West Bank a Palestinian gunman was shot dead during a brief gunbattle before dawn. Israeli troops were seeking to arrest him. Meanwhile, Mahmoud Abbas, the president of the West Bank government, fired most of the local Palestinian leaders because the Palestinian government is increasingly unpopular because of the growing corruption. Elsewhere in the West Bank a Palestinian man stabbed two Israelis at a bus stop then dropped his knife and fled in his car. August 9, 2023: At an Israeli crossing into Gaza, border guards found ten quadcopters a Palestinian worker in Israel was trying to smuggle into Gaza for terror groups to use for scouting locations before attacks. This discovery could lead to another cancellation of Palestinian work permits. In early 2022 Israel blocked the 12,000 Gaza Palestinians with Israeli work permits from entering Israel. This was an extreme measure but given the recent resumption of attacks on Israel from Gaza, and Hamas denying responsibility, Israel was using economic and political pressure as well as retaliatory attacks on Hamas military facilities after each new attack from Gaza. Hamas insists these attacks are the work of rogue Islamic terror groups. Thats a lie for foreign journalists because Gazans and Israelis know that Hamas runs a police state where rouge actions by armed groups are not allowed and Hamas will respond quickly, violently and aggressively against those who dare to ignore Hamas rules. At the start of 2022 Israel agreed to issue work permits for up to 10,000 Gazans, in addition to several thousand permits already issued. When Gazans took part in a terrorist campaign against Israel in 2000 the number of work permits was reduced became some of the permit holders agreed to join terror groups and try to kill Israelis inside Israel. Hamas was already in Gaza and took control of Gaza in 2007 by promising less corruption and more attacks on Israel. Eventually only a handful of entry permits were provided to Gazans. Hamas no longer wants to use a work permit system to organize terror attacks inside Israel because the unemployment rate in Gaza reached 50 percent in 2021 and many Hamas leaders realized that most unemployed Gazans blame Hamas for the lack of Israeli work permits. In response Israel agreed to take a chance and again issue more work permits. Since 2000 Israel has brought in West Bank and foreign workers to replace the Gazans. The foreigners were non-Moslems from distant countries and the West Bank workers knew that they could be replaced by the more expensive workers, who were also more difficult to work with because few understood Arabic or Hebrew, although many understood English. The foreign workers are in Israel for specified terms and as those contracts end and are not renewed more jobs can be offered to Gazans. The Gaza and West Bank economies have stagnated and more Palestinians have become dependent on foreign aid just to survive, all because of the Hamas and Fatah policy that Israel must be destroyed. By 2006 Israel had defeated the terror campaigns and kept subsequent terrorist efforts out of Israel, where the economy grew faster than any other in the region. Israel needs workers, Gazans want jobs and Hamas does not want a revolt led by the unemployed. Current unemployment in Israel has been between three and four percent. Despite the risks, terror groups in Gaza will use threats to Palestinians to persuade Palestinians with permits to work in Israel to assist in smuggling items for the terror groups. August 6, 2023: In northwestern Syria (Hama province) an Israeli air-strike against the SSRC (Scientific Studies and Research Center) facility at Masyaf. This attack killed a Syrian scientist who specialized in developing precision weapons. Israel has been monitoring and occasionally attacking Masyaf for years. Since 2017 Iran has been actively assisting Syria in expanding the Masyaf operation, resuming the manufacture of chemical weapons and moving more of that to underground bunkers that are resistant to airstrikes. The recent attacks destroyed all the entrances to the underground facilities and apparently collapsed some or all of the underground spaces. Israel makes it clear that such attacks will continue as long as Syria and its patron Iran try to attack Israel and openly call for the destruction of Israel. August 5, 2023: In Tel Aviv, two local Arabs who had been recruited and armed by a radical Gaza group Hamas, opened fire on two security guards who appeared to suspect the Arabs were looking for trouble. The Arab gunmen wounded one of the security guards before the other one opened fire and killed one of the attackers. The second Arab gunman fled and is being sought by local police. This incident was related to recent clashes between Israeli settlers and Palestinians in the West Bank. That incident left one Palestinian dead. That was followed by Palestinian calls for attacks inside Israel. Israel security forces are seeking to reduce the tension between Jewish settlers and local Palestinians in the West Bank. Palestinian radical groups, like Hamas in Gaza, have established a presence in the West Bank and are distributing guns to local Palestinians willing to attack Israelis. Israeli intelligence has informants in the West Bank who provide details of the Hamas efforts and Israeli troops carry out raids on locations where the guns are stored. This sometimes results in a gunbattle between the Israeli troops and the armed Palestinians. August 4, 2023: In Gaza, Hamas mobilized hundreds of armed personnel to confront and suppress widespread demonstrations protesting the growing economic problems in Gaza and the inability of Hamas to do anything about it. The reduced the number of protests but did not prevent some from taking place. Continuing rocket attacks against southern Israel have resulted in Israel blocking supplies from entering Gaza. The problem is that Hamas is more concerned about attacking Israel than looking after the welfare of Gaza residents. August 3, 2023: In southern Syria (near Damascus) an Israeli airstrike hit Hezbollah targets. The warehouses containing Iranian munitions exploded and lit up the night sky. Four Syrian soldiers were killed and four wounded. Two members of an Iran-backed militia were also killed. The was the 21st Israeli airstrike in Syria this year. August 2, 2023: The United States army purchased two Iron Dome batteries and were pleased with their performance in tests. As a result the Americans was to begin production of the Iron Dome Tamir missile in the United States. The Americans have developed a truck-mounted mobile Iron dome system to provide protection for army units wherever they are. July 31, 2023: In the Black Sea, an Israeli cargo ship defied the Russian naval blockade and entered Ukrainian waters, followed by four other cargo ships. An American P8 maritime surveillance aircraft flew overhead searching for any Russian naval activity. The Russian threat was not backed up by the presence of any warships, because doing so would make the Russian ships targets for Ukrainian anti-ship missiles or small, fast unmanned bomb boats. July 30, 2023: In the north, across the border in Lebanon there is increasing violence in Palestinian refugee camps s Palestinian radicals seek to establish themselves in the camps and often use guns to get their way. This is opposed by other armed Palestinians opposed to radicals operating in the camps. This has led to gunfire and some civilians have been wounded, including two children. Outside the camps, the Iran-backed Hezbollah militia has been more active on the Israeli border, demanding that Israelis leave some border areas. Hezbollah threatens to use force if the Israelis dont comply. This could start another war between Hezbollah and Israel. Lebanon does not want that because another war would be Israeli artillery and airstrikes against Hezbollah forces on or near the border. This would endanger Lebanese civilians living near the border. July 17, 2023: In southern Syria (near Damascus) an Israeli airstrike was carried out against Iranian (Hezbollah) targets. One Syrian militiaman and two Iranians were killed. Fires could be seen and explosions heard for several hours. July 12, 2023: Police in Azerbaijan foiled a plot to attack the Israeli embassy, and arrested two Afghan men involved in the plot. Ten days later Mossad (Israeli foreign intelligence) uncovered an Iranian plot to murder Israelis working in Cyprus. This was accomplished by identifying and capturing the Iranian assassin. When interrogated by Mossad, the Iranian man revealed that he was part of a network the Iranian IRGC (Islamic Revolutionary Guard Corps) Quds Force was seeking to form in Cyprus. Quds is the section of the IRGC that specializes in foreign operations involving espionage and assassinations. Mossad and Quds have confronted each other in several foreign nations over the last few decades. Quds is usually the loser because Quds is usually engaged in schemes to kill Israelis and the local police will act if the foreign killers are pointed out. When Mossad discovered this plot, they sent agents into Iran to capture and extract the Iranian who organized this Quds effort. Israeli interrogators discovered that the Iranian effort in Cyprus was extensive, but now they had names of the people involved and had them arrested by Cypriot authorities. The Quds operation in neighboring Azerbaijan was about Israel and Azerbaijan establishing formal diplomatic relations and opening embassies in both nations. Azerbaijan has other reasons to prefer dealing with Israel rather than Iran. About a quarter of Iran's population is Azeri (a Turkic people). Persia and its successor Iran controlled almost all Azeri-populated territory until Russia seized part of it in the 19th century. For a while after World War I, and since the 1991 dissolution of the Soviet Union, the Russian Azeris were independent. While the Iranian Azeris are generally loyal to Iran, they are still Turks and speak a different language than the ethnic Iranians. Seeing Azerbaijan allying itself with Israel, for whatever reason, does not sit well with Iran, but there's not a lot it can do about this. Iran was not able to provide the weapons or other military assistance the Azeris needed to overcome the Armenian threat, so the Israelis were called in, and later Turkey as well. The Azeris have proved to be good allies. They have detected and destroyed Iran sponsored terrorism efforts, like one that was planning to attack Israelis in Azerbaijan. Iran was believed behind this plot, and Azerbaijan did not appreciate it. Azerbaijan has bought several billion dollars worth of Israeli weapons over the last fifteen years. Iran might try again in Cyprus, because thats what Quds Force does. Quds is often successful if their local efforts do not involve killing Israelis. Even in those cases the Quds operation may be compromised by Mossad, which will tell local police about what Quds is up to. The IRGC is not pleased with all this Mossad interference, especially when it involves Mossad agents operating inside Iran. Quds is also dismayed at their inability to operate inside Israel. The best Quds can do is get some operatives into nations bordering Israel, including the Palestinian West Bank. Quds supporters in the West Bank dont last long because Israeli police and soldiers often stage raids in the West Bank to capture Palestinians planning attacks against Israel. Quds is safer in Lebanon or Syria, but not in Jordan or Egypt. Iran is not on good terms with Egypt or Jordan. Mossad will often warn Jordan or Egypt if there is new Iranian activity in their countries. Despite all these setbacks, Iran has increased its efforts to carry out attacks inside Israel. The IRGC is taking the lead with its Quds Force, which specializes in attacks against foreign enemies. Shin Bet, the Israeli domestic intelligence service, recently arrested two West Bank Palestinians who had been recruited, via the Internet, by Quds Force as part of an effort to establish a network of Palestinians in the West Bank who had been trained and organized by Quds to carry out attacks against Israelis using rockets launched from the West Bank. This Quds network would also provide Iran with information on the West Bank. Such a network has not yet been established, in large part because Shin Bet detects Quds activities and often monitors them rather than immediately shutting them down. That was the case with the two recently arrested Palestinians, who had been sent to Turkey where Quds could provide training. Shin Bet waited until it had identified other Palestinians working for Quds. HOUSTON, Aug. 15, 2023 (GLOBE NEWSWIRE) -- Targa Resources Corp. (NYSE: TRGP) ("Targa" or the "Company") announced today that representatives from the Company will participate in investor meetings at the following investor conferences: Seaport Research Partners Annual Summer Investor Conference on Wednesday, August 16, 2023, via video conference; and Citi One-on-One Midstream / Energy Infrastructure Conference on Tuesday, August 22 and Wednesday, August 23, 2023, in Las Vegas, NV. A copy of the slides used for the conference meetings will be available in the Investors section of the Company's website at www.targaresources.com, or by going to https://www.targaresources.com/investors/events. About Targa Resources Corp. Targa Resources Corp. is a leading provider of midstream services and is one of the largest independent midstream infrastructure companies in North America. The Company owns, operates, acquires and develops a diversified portfolio of complementary domestic midstream infrastructure assets and its operations are critical to the efficient, safe and reliable delivery of energy across the United States and increasingly to the world. The Companys assets connect natural gas and NGLs to domestic and international markets with growing demand for cleaner fuels and feedstocks. The Company is primarily engaged in the business of: gathering, compressing, treating, processing, transporting, and purchasing and selling natural gas; transporting, storing, fractionating, treating, and purchasing and selling NGLs and NGL products, including services to LPG exporters; and gathering, storing, terminaling, and purchasing and selling crude oil. Targa is a FORTUNE 500 company and is included in the S&P 500. For more information, please visit the Companys website at www.targaresources.com. Regulation FD Disclosures We use any of the following to comply with our disclosure obligations under Regulation FD: press releases, SEC filings, public conference calls, or our website. We routinely post important information on our website at www.targaresources.com, including information that may be deemed to be material. We encourage investors and others interested in the company to monitor these distribution channels for material disclosures. Forward-Looking Statements Certain statements in this release are forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended, and Section 21E of the Securities Exchange Act of 1934, as amended. All statements, other than statements of historical facts, included in this release that address activities, events or developments that the Company expects, believes or anticipates will or may occur in the future, are forward-looking statements, including statements regarding our projected financial performance and capital spending. These forward-looking statements rely on a number of assumptions concerning future events and are subject to a number of uncertainties, factors and risks, many of which are outside the Companys control, which could cause results to differ materially from those expected by management of the Company. Such risks and uncertainties include, but are not limited to, weather, political, economic and market conditions, including a decline in the price and market demand for natural gas, natural gas liquids and crude oil, the impact of pandemics or any other public health crises, commodity price volatility due to ongoing or new global conflicts, actions by the Organization of the Petroleum Exporting Countries (OPEC) and non-OPEC oil producing countries, the impact of disruptions in the bank and capital markets, including those resulting from lack of access to liquidity for banking and financial services firms, the timing and success of business development efforts and other uncertainties. These and other applicable uncertainties, factors and risks are described more fully in the Companys filings with the Securities and Exchange Commission, including its most recent Annual Report on Form 10-K, and any subsequently filed Quarterly Reports on Form 10-Q and Current Reports on Form 8-K. The Company does not undertake an obligation to update or revise any forward-looking statement, whether as a result of new information, future events or otherwise. Contact the Company's investor relations department by email at InvestorRelations@targaresources.com or by phone at (713) 584-1133. Sanjay Lad Vice President, Finance & Investor Relations Jennifer Kneale Chief Financial Officer Lafayette, Aug. 15, 2023 (GLOBE NEWSWIRE) -- Lafayette, Colorado - Encore Data Products, Inc. and AVID Products have teamed up on an Early Learner Headset Sweepstakes that will provide two lucky educators with nearly $1000 worth of AVID's AE-25 Early Learner Headsets for Grades Pre-K to 2nd Grade. The AVID AE-25 is an award-winning early learner headset/headphones with noise-canceling microphone for clear communication and a mic management system when not needed, prolonged comfort ear pads that provide passive noise reduction for improved focus, 3.5mm plug type and providing best-in-class safety that includes 85dB sound limiting to protect young ears. Were ecstatic to have the opportunity to provide educational and technological resources to students and education across the country to help them succeed in the classroom, said an Encore Data Products representative. The Sweepstakes details are as follows: The Sweepstakes is open between August 1-31, 2023. Participants can enter the Sweepstakes by completing the Encore Data Products website form. Program participation is open to nonprofit charitable organizations classified as a 501(c)(3) public charity by the Internal Revenue Service; a K-12 school or public library; or a K-12 public, private, charter, or parochial school as listed by the U.S. Department of Educations National Center for Education Statistics (NCES). It is also open regionally to all 50 states across America. Participants may submit one entry per individual, but multiple entries from a school site, school district or education agency are allowed. Entries should be submitted online. Encore asks entrants to share their organization contact information and agree to publication of that information should they be a randomly selected winner. Encore will randomly select TWO winners. Each winner will receive fifty AVID AE-25 Early Learner Headsets for Grades Pre-K to 2, valued at almost $1000. Encore will verify winners as part of the qualifying agency. All shipments will go to that school, district, or education agency. After August 31, 2023, Encore will notify winners by email and share their stories on the website. No purchase is necessary to enter or win. We offer school classroom headphones, cases, document and web cameras, podcasting equipment, docking stations, and cables of all kinds in addition to charging options, said an Encore Data Products representative. We cordially invite you to call us, visit us at a conference, or browse our online catalog if you'd like to learn more about what we have to offer your institution or discover our future goals. Established in 1953, AVID Products is a 100 percent employee-owned audio company committed to empowering your learning journey through mindful, innovative, and accessible audio solutions. With over 70 years of experience in the audio industry, AVID continues to develop award-winning, innovative solutions from learning to tele-health to travel, that keep us moving, growing, and connected. Encore Data Products is a national supplier of technology accessories and audio video solutions, supplying the education, health, fitness, hospitality, business, and government industries. With an ever-expanding product selection from top brands, free shipping options, competitive pricing, and easy ordering, Encore Data Products enables customers to maximize their investment in audio visual equipment and peripherals needed to enrich their learning, health, and business environments. For more information on the companys services and products, customers may call 866-926-1669, visit their official website or contact Sabrina Manno of Encore Data Products. Recent news: Encore Data Products Participates in ISTE, the Largest Yearly EduTech Conference in America https://www.youtube.com/watch?v=7I53Qq4GU2I ### For more information about Encore Data Products, contact the company here: Encore Data Products Sabrina Manno 866-926-1669 sabrina.manno@encoredataproducts.com https://www.encoredataproducts.com/ 1729 Majestic Drive, Suite 2 Lafayette, Colorado 80026 New York, Aug. 15, 2023 (GLOBE NEWSWIRE) -- We are advised by GlobalBanks that journalists and other readers should disregard the news release, GlobalBanks develops International Banking with Unbiased Account Opening Solutions issued August 15, 2023, over GlobeNewswire. Chicago, Aug. 15, 2023 (GLOBE NEWSWIRE) -- The Military Radars Market by Mobility, Application (Military, Commercial, Law Enforcement, Federal Law Enforcement), Mode of Operation, Size, System, and Region (North America, Europe, APAC, Middle East and Rest of the World) - Forecast to 2027", The UGV payloads market includes payloads like sensors, Lasers, cameras, motor encoders, articulated arms, radars, GPS antennas, and other payloads, which covers payloads like ammunition mount, power supplies, etc. Download PDF Brochure: https://www.marketsandmarkets.com/pdfdownloadNew.asp?id=51422570 Military Radars Market Report Scope: Report Metric Details Estimated Market Size USD 13.5 billion Projected Market Size USD 17.1 billion Growth Rate 4.7% Market size available for years 20192027 Base year considered 2021 Forecast period 2022-2027 Key Market Players in Military Radars Market Raytheon Technologies Corporation (US), Lockheed Martin Corporation (US), Israel Aerospace Industries (Israel), Thales Group (France), and Leonardo S.P.A. (Italy). Browse in-depth TOC on "Military Radars Market Trends, Growth Drivers" 305 Tables 61 Figures 364 Pages Military Radars Market Dynamics Driver: Significant investments by governments Opportunity: Development of low-cost and miniaturized radars Restraint: High investments in early phases Challenge: Stringent cross-border trading policies Challenges: Scarce intellectual assets Major ship and aircraft manufacturers are using new military radars According to predictions, the market for Active Electronically Scanned Array (AESA) Antennas will be the largest in terms of value. This market is expanding as a result of more people using AESA antennas because of how quickly and easily they operate. The antenna is made up of a number of tiny antennas, each with its own feed. By adjusting the phase of the radio waves sent and received by each of the antenna's numerous radiating elements, the beam is electronically guided. The segment with the biggest market share during the predicted period is Long Range (200-500 km). Due to the rising demand for long-distance tracking and precise target localization in the battlefields, the Long Range (200-500 km) segment is predicted to have the biggest market share by value. Long-range radars can cover areas up to 500 km away and are thought to have an instrumental range of 200 km. Long range radars are necessary for the operation of air surveillance systems, military radars, air mapping systems, weapon control systems, and missile guidance systems. Get 10% Customization on this Report: https://www.marketsandmarkets.com/requestCustomizationNew.asp?id=51422570 During the forecast period, a higher CAGR is anticipated for the multi-band segment. The primary objective of multi-band radar signal processing is to increase signal resolution. A multi-band signal processing system uses a combination of many frequency bands. One of the primary factors influencing the use of multi-band radars is the rising desire for improved radar signals. The multiband surveillance radars may now explore applications that need great precision thanks to recent advances in photonic technologies. By adjusting to diverse operational criticalities in the field, multiband radar systems provide flexibility in surveillance tasks. Defense applications are increasingly using single beam source unit designs for multiband transmitter and receivers because they simplify production while also lowering cost and overall size. Comparing these multi-band radar systems to other military radar system types, they are demonstrating greater reliability. For the coordinated detection and tracking of moving target objects, multi-band radars are employed. The Asia Pacific market is projected to witness the highest CAGR from 2020 to 2025 The Military Radars Industry is anticipated to grow at the highest CAGR during the forecast period in Asia Pacific. The expansion of this region can be linked to China, India, and Japan's growing spending in air defense systems. After the US, China has the second-largest defense spending in the world. It is a developing nation with rapid expansion in the transportation and defense sectors. Through incentives, the Chinese government additionally promotes industrial firms to implement innovative technologies in their facilities. For instance, China is still concentrating on long-term, state-directed planning initiatives like "Made in China 2025," which aims to replace foreign technology with domestically produced technology. Related Reports: Military Antenna Market by Component (Reflectors, Feed Horn, Feed Networks, Low Noise Block Converter (LNB)), Frequency Band (HF, VHF, UHF SHF, AND EHF), End Use (OEM and Aftermarket), Type, Application, Platform and Region (2021-2026) The United States, along with a lot of other foreign investors, have since mid-2022 seen Somalia as safe enough for expensive investments. There are still problems investors have to deal with. While the Somali government has achieved a level of stability that encourages more investments, they have to understand that this stability has not eliminated al Shabaab or Somalias traditional corruption and clan loyalty even though it has achieved, for the moment, a nominally democratic government. In mid-2021 the elected parliament finally approved a new 75-member cabinet. There was something for everyone, including a senior job for a former Islamic terrorist who was one of the founders of al Shabaab and is now working in the government religious affairs department. During the proceedings there were several al Shabaab mortar shells landing near the Parliament compound. There were no casualties. Its been a long and tedious process to reach June 2021 when the new parliament met and approved the new prime minister selected by the president. This formation of a new government came after several years of efforts to overcome clan and warlord objections to democracy in general. The elections were held and results certified in May 2021, producing a parliament and new president. The prime minister was the one who actually f0rms a government by filling dozens of key jobs with candidates that will not cause disputes in parliament over who got what. Somalia is still dominated by the power of the clans and blind loyalty to clan even when it harms national unity. Overcoming this factionalism in a new democracy long dominated by clans is always very difficult. So far it appears that a majority of Somali leaders are willing to give a clean government a chance to work in Somalia. The key test was forming the new government successfully. The stability came at a price because the United States, which supplies most of the foreign aid, agreed to funnel nearly all of it through the central government, which would then distribute the money to where it was needed the most for economic, security or political reasons. This gradually ran into problems as the clan loyalties of central government ministers became more of a factor in who got what and how much of that went to the minister for services rendered. Many Americans who have long worked in Somalia (as soldiers, aid workers or investors) warned that this would happen. At the same time the U.S. government remains hostile to the independent Somali states of Puntland and Somaliland in the north. These two independent Somali states have enjoyed a degree of peace and prosperity since the 1990s because they declared themselves independent. However, all is not perfect up there. Puntland and Somaliland have been having some internal problems but much less so than in Somalia. Northern Somalia has been better governed since breaking away from Somalia in the 1990 to form Puntland (2.5 million people) and Somaliland (3.5 million). The other two-thirds of the Somali population live in the south, which has been in perpetual chaos since 1990 with a lasting central government established only recently, and demand that Puntland and Somaliland surrender their independence and rejoin the rest of Somalia. The north refuses because they recognize the problems the south still has, even if the American government does not. While the official U.S. government position is that Somalia is safe and stable enough for the north to rejoin, the northern Somalis and many Americans with long experience in Somalia side with the northerners. Despite that, some northerners back reunification but so far they are a minority holding public rallies backing reunification. The northern governments see this as another southerner threat and attack the separatists. The separatists had the support of some northern clan leaders and became another dispute the northern governments have to resolve, which they tend to do more frequently than the southern governments. The difference between the northern and southern governments is that the northerners have been more amenable to compromise and less eager to use clan loyalty as an excuse to lie, cheat and steal as a government official. The north is not free of corruption, just more realistic and disciplined about it. During 2022 the Somali state suffered 613 civilian deaths and nearly a thousand wounded because of al Shabaab attacks. Losses among the security forces were a bit less. This violence continued in 2023 as al Shabaab attacked efforts to hold national elections. Powerful clans maintain armed militias and an informant network among clan members. This is why the media regularly report the government or peacekeepers consulting clan elders, negotiating with al Shabaab (or another clan). Often al Shabaab will have to deal with the clan elders because al Shabaab has found that making an enemy of a powerful clan is bad for business. An example lies in the south, on the Kenyan border, where al Shabaab has found its operations disrupted because of disputes with the powerful Marehan clan. Most situations where al Shabaab have problems doing business is because they have run afoul of a powerful, and usually heavily armed, clan. Al Shabaab tries to intimidate clans into cooperating but failing that al Shabaab must either fight, make a deal or move somewhere else. Somalia is a patchwork of areas which al Shabaab tries to avoid because of these powerful clan organizations. These clans are usually the ones with clan elders who can negotiate with al Shabaab. Government security forces have also been more successful in coming to the aid of clans threatened by al Shabaab. Then there is the corruption. Somalia has massive problems with corruption, which explains why the latest annual Transparency International Corruption Perception Index showed that Somalia is still the most corrupt nation in the world. Somalia continued to be as corrupt as it has been during the last decade, with a corruption score of 12, which is why Somalia is stuck at the bottom of the list. Transparency International measures corruption on a 1 (most corrupt) to 100 (not corrupt) scale. The nations with the lowest scores are currently Yemen (score of 17). Syria (13), South Sudan (13) and Somalia (12). The least corrupt nation is currently Denmark, with a CPI of 90, followed by Finland and New Zealand, each with 87. While the Middle East has a lot of corruption, there are exceptions. In the Persian Gulf the UAE (United Arab Emirates) is the least corrupt nation in the region, followed by Israel. Both Somalia and UAEs corruption score have not changed much since the 2011 Arab Spring revolution when it was 8 for Somalia and 68 for the UAE. The UAE achieved the most favorable corruption score in the region because it has long depended on foreign trade to survive and, to make money in that business, you must be known as an honest trading partner. The UAE is also different in that it is a federation of formerly independent emirates that realized the wisdom of joining forces. Laws and customs vary somewhat among the emirates and some are more gangster than others. Overall, the UAE is a place where foreigners feel comfortable doing business. The UAE has also partnered with Turkey to provide foreign aid to Somalia. This has proved very difficult to carry out and Somalia is not a place most foreigners want to do business in. Somalia is also a federation of seven (including separatist Somaliland and Puntland in the far north) clan-dominated regions that have never achieved the degree of unity and prosperity of the UAE. August 15, 2023: Kenya revealed that at least 30 Kenyan policemen or soldiers were killed during ten roadside bomb attacks that occurred during three weeks in June. These attacks took place in Mandera, Garissa and Lamu counties, which are on the Somali border. Most of the attacks were the work of al Shabaab but a few were carried out by groups that did not identify themselves and may have been al Shabaab factions that failed to take credit for an attack. August 12, 2023: The AU (African Union) peacekeeper force will be reduced by another 3,000 troops by the end of September. Many Somalis oppose the departures because they doubt that the Somali security forces are capable of taking over. Peacekeepers from other African countries have played a crucial role in defeating al Shabaab and reducing areas where the Islamic terrorists could freely operate. This enabled Somalia to create its own army and police forces. The peacekeeping force withdrawal will be completed by the end of 2024 and it will be up to the Somalis to meet their security needs with Somali forces. There were many reasons for withdrawing the peacekeepers and one was that it was very dangerous to be a peacekeeper in Somalia. Peacekeeper duty in Somalia was much more dangerous than anywhere else. At least 3,500 peacekeepers have been killed in Somalia over the past 16 years. The EU (European Union) and United States pay for the peacekeeping force and nearly $200 million has been disbursed for death and disability benefits during that period. Thats in addition to the $200 million a year cost of operating the peacekeeper force. That is provided by the UN via contributions by the U.S. and EU (European Union). The UN approves the size and duration of the peacekeeper force annually. The peacekeepers have been in Somalia since 2007 at a cost of over three billion dollars. So far about 3,500 peacekeepers have been killed and at least as many permanently disabled from their wounds. The African Union (AU) pays for medical care, including long term care for some of the wounded. For years the AU played down the high casualty rates in Somalia, reporting less than a third of the actual deaths. The growing number of corruption scandals involving missing death benefits and other compensation led to the actual loss statistics being revealed. There are sometimes problems with soldiers not being paid during peacetime in their home countries. Too much of this sometimes sparks a rebellion or insurrection over missing pay and other grievances. Despite this there was never a problem obtaining peacekeepers for duty in Somalia, paid for by the AU and a long list of African and Western donors. Somalia is the most dangerous peacekeeping duty in the world. About 300,000 men served as peacekeepers in Somalia, receiving an average annual compensation of $9,100 each. Officers, NCOs and privates all receive different amounts and peacekeeping duty pays better than their regular pay when back home. In most countries, peacekeeping duty is relatively safe. This was not the case in Somalia, where about three percent of peacekeepers were killed or badly (disabled) wounded. The first AU peacekeepers (from Uganda) arrived in March 2007 and these 8,000 troops were supposed to be gone within six months. That force did not disappear by the end of 2007 but kept growing and quickly reached 22,000, most of them soldiers plus a few thousand police, trainers and administrators. Uganda and Burundi supplied most of them with most of the rest coming from Kenya and Ethiopia. The peacekeeper force made a difference, but in the face of massive corruption in the Somali government and various Somali communities that demanded help, the operation proved far more expensive and time-consuming than expected. Peacekeepers are due to leave because the best they can do is reduce the violence and disunity, while UN donors are not willing to waste money on that when there are other disaster zones that can make better use of the limited foreign aid. Because of this the UN extends the Somali peacekeeping force on a yearly basis. Currently there are about 19,000 peacekeepers in Somalia and they remained for so long because the UN believed Somalia would quickly regress back to a disaster zone without them. Currently the Somali security forces are supposed to consist of 13,900 personnel. That is what the UN is supplying cash and equipment for. With all the corruption and shoddy record keeping in Somalia, it is difficult for outsiders to verify how many security personnel Somalia actually has. Kanya contributed nearly 4,000 soldiers to the peacekeeping force in Somalia and will continue to have thousands of troops and police along its Somali border after all the peacekeepers are gone. Al Shabaab and various other Somali outlaws continue to raid into northern Kenya. Somali marauders have been raiding into what is now Kenya for centuries and that problem continues. August 11, 2023: In the north (autonomous Somaliland) nine policemen were ambushed and killed by members of a militia opposed to the current government. Somaliland is undergoing a political crisis because of the recent disputed presidential election. August 10, 2023: August 9, 2023: About 200 kilometers north of Mogadishu an al Shabaab suicide bomber tried to enter a tea shop and kill a senior political officials. Security guards intercepted the bomber who detonated the explosives prematurely, killing two security guards and three civilian bystanders. Just outside Mogadishu, six people were killed and twelve wounded when the bus they were in encountered a roadside bomb. No one took credit for the bomb, which may have hit the wrong target, civilian rather than military, target. August 8, 2023: North of Mogadishu (Middle Shabelle and Hiran regions) Somali army commandos killed 25 al Shabaab members, including a known leader. The troops also destroyed 16 vehicles, including four rigged as car bombs. In nearby Lower Shavelle, a roadside bomb killed six and wounded twelve. July 31, 2023: In the last four days, Somali troops operating in Galmudug and nearby areas killed at least 160 al Shabaab gunmen and cleared many areas of all al Shabaab presence. July 19, 2023: In the north (Galmudug and Middle Shabelle) American air support in the form of missile armed UAVs, supported Somali troops that are seeking out and eliminating remaining al Shabaab groups. Al Shabaab is seeking to disrupt the upcoming elections. July 17, 2023: In the north (Somaliland) the National Election Commission announced the schedule for the national elections in Somaliland. This is more aspirational than actual because there are still disagreements among local clans as well as opposition by al Shabaab and ISIL (Islamic State in Iraq and the Levant). These two radical groups do not have a major fighting force but do have enough armed and determined men to disrupt any election efforts in the two autonomous areas of Puntland and Somaliland. There are also some problems in the south, especially in Galmudug, Hirshabelle, Jubaland, and Southwest states where efforts to plan for national elections are disrupted by unresolved local problems. The last time Somalis were unified it was accomplished forcibly by colonial powers in the late 19th century. That lasted until the 1960s. At that point colonial governments were being turned over to local officials, most of them democratically selected. The election process was not always democratic but that was a problem left for the locals to sort out. Somalis did that by attacking neighbors and fighting each other. By the early 1990s Somalia was a perpetual war zone with local warlords fighting each other and foreign aid groups seen as a source of income by the warlords. The foreign aid groups demanded that peacekeepers be sent in and that caused some unity in Somalia, but no peace because the warlords united to fight the foreign invaders calling themselves peacekeepers. The peacekeepers left and stayed away for over a decade. The UN had ignored the practical advice British colonial administrators had developed in the late 19th century when they described the best way to deal with violent Somalis was to "shoot on sight, shoot first, shoot to kill, keep shooting." Not unexpectedly, post-colonial Somalia proved unable to govern itself because when the Somalis had no foreigners to fight and plunder, they went after each other. Most Somalis agree that a national government selected by individuals voting for their preferences is a reasonable solution if it can be implemented. That is still a work in progress, as the difficulties in north demonstrate. The current plan is to hold truly national elections (including Puntland and Somaliland) in 2026. If that can be done, Somalia will truly be a national state united by a voting system that includes all Somalis. That may be an impossible dream, but at the moment it is the goal. July 9, 2023: In the south (Lower Juba) the army located and attacked an al Shabaab camp, killing about 40 al Shabaab members. July 6, 2023: In the south (Lower Juba) al Shabaab used a roadside bomb to kill about a dozen Somali soldiers. Selbyville, Delaware, Aug. 15, 2023 (GLOBE NEWSWIRE) -- Electro Optical Systems Market is expected to surpass USD 20 billion by 2032, as per a recent study by Global Market Insights Inc. According to the report, the industry growth is driven by the rapid advancements in technology and increasing security concerns across various industries. Electro Optical Systems combine optics and electronics to generate, detect, and manipulate light, enabling a wide range of applications including surveillance, targeting, remote sensing, and imaging. Additionally, the adoption of non-imaging systems, such as laser-based target designators, is increasing in the defense and military sectors. As the cross-border tensions rise, these systems are used for target acquisition & tracking, threat detection & countermeasures, and C3 operations to provide situational awareness to the defense team. Increasing product usage for ensure protection of military personnel and assets will push the electro optical systems market growth. Request for a sample of this research report @ https://www.gminsights.com/request-sample/detail/6073 Higher operational efficiency of sensors to promote market development In terms of components, the market is divided into lasers, sensors, cameras, photodetectors, and others. The sensors segment of electro optical systems market is predicted to witness high product demand from 2023 to 2032. The high-performance sensor components such as infrared detectors, image intensifiers, and laser rangefinders are widely adopted across defense, aerospace, and industrial sectors. These sensors provide enhanced detection capabilities, improved sensitivity, and longer detection ranges, thereby bolstering the operational efficiency. Furthermore, the integration of sensor fusion technologies, such as the combination of thermal and visible imaging, offers enhanced situational awareness, leading to increased adoption of electro optical sensors across various applications. Easy tracking by non-imaging systems to push industry growth Based on systems, the industry is segmented into imaging and non-imaging. The electro optical systems market share from the non-imaging segment is expected to observe significant growth through 2032. Non-imaging systems, such as laser-based target designators and range finders, play a crucial role in target identification, guidance, and tracking. These systems offer precise and accurate targeting capabilities, contributing to enhanced operational efficiency and reduced collateral damage. The growing need for precision strikes in defense and military applications is propelling the adoption of non-imaging systems. Make an inquiry for purchasing this report @ https://www.gminsights.com/inquiry-before-buying/6073 Growing defense sector in APAC region to influence product demand Regionally, Asia Pacific electro optical systems market value is slated to gain momentum during 2023 and 2032. Rapid economic growth, increasing defense budgets, and the presence of major manufacturing firms in countries like China, India, and South Korea are contributing to the regional market expansion. There is a surge in infrastructural development, especially in the aerospace and defense sectors, leading to a higher demand for electro optical systems. Moreover, the rising security concerns and geopolitical tensions in the Asia Pacific region are pushing the adoption of advanced surveillance and reconnaissance systems, thereby shaping the market outlook. Some of the major players prevailing in the global electro optical systems market are Thales Group, Teledyne FLIR LLC, Northrop Grumman Corporation, BAE Systems PLC, L3Harris Technologies, Inc., and Lockheed Martin Corporation. These firms are focusing on M&As and collaborations to expand their service base and gain profit from wider customer range. For instance, in June 2023, Thales Group proposed an acquisition of Tesserent, one of Australia and New Zealands largest cybersecurity companies. The union of Thales and Tesserent will produce an expert provider of much-needed cybersecurity services in Australia and New Zealand. Browse Our Reports Store - GMIPulse @ https://www.gminsights.com/gmipulse About Global Market Insights Global Market Insights Inc., headquartered in Delaware, U.S., is a global market research and consulting service provider, offering syndicated and custom research reports along with growth consulting services. Our business intelligence and industry research reports offer clients with penetrative insights and actionable market data specially designed and presented to aid strategic decision making. These exhaustive reports are designed via a proprietary research methodology and are available for key industries such as chemicals, advanced materials, technology, renewable energy, and biotechnology. NEW ORLEANS, Aug. 15, 2023 (GLOBE NEWSWIRE) -- Former Attorney General of Louisiana, Charles C. Foti, Jr., Esq., a partner at the law firm of Kahn Swick & Foti, LLC (KSF), announces that KSF has commenced an investigation into Bank of America Corporation (NYSE: BAC). On July 11, 2023, the Consumer Financial Protection Bureau announced that an investigation found that the Company had harmed hundreds of thousands of customers across multiple product lines over a period of several years through a series of illegal practices including double charging insufficient fund fees, withholding reward bonuses and opening accounts without customers' knowledge or permission. As a result, the Company was ordered to pay over $100 million to customers and another $90 million in penalties, as well as a separate $60 million fine ordered by the Office of the Comptroller of the Currency for violating laws regarding overdraft fees. KSFs investigation is focusing on whether Bank of Americas officers and/or directors breached their fiduciary duties to its shareholders or otherwise violated state or federal laws. If you have information that would assist KSF in its investigation, or have been a long-term holder of Bank of America shares and would like to discuss your legal rights, you may, without obligation or cost to you, call toll-free at 1-833-938-0905 or email KSF Managing Partner Lewis Kahn (lewis.kahn@ksfcounsel.com), or visit https://www.ksfcounsel.com/cases/nyse-bac/ to learn more. About Kahn Swick & Foti, LLC KSF, whose partners include former Louisiana Attorney General Charles C. Foti, Jr., is one of the nations premier boutique securities litigation law firms. KSF serves a variety of clients including public institutional investors, hedge funds, money managers and retail investors in seeking recoveries for investment losses emanating from corporate fraud or malfeasance by publicly traded companies. KSF has offices in New York, California, Louisiana and New Jersey. To learn more about KSF, you may visit ksfcounsel.com. Contact: NEW ORLEANS, Aug. 15, 2023 (GLOBE NEWSWIRE) -- ClaimsFiler, a FREE shareholder information service, reminds investors that they have until September 26, 2023 to file lead plaintiff applications in a securities class action lawsuit against AT&T Inc. (NYSE: T), if they purchased the Companys securities between March 1, 2020 and July 26, 2023, inclusive (the Class Period). This action is pending in the United States District Court for the District of New Jersey. Get Help AT&T investors should visit us at https://claimsfiler.com/cases/nyse-t-2/ or call toll-free (844) 367-9658. Lawyers at Kahn Swick & Foti, LLC are available to discuss your legal options. About the Lawsuit AT&T and certain of its executives are charged with failing to disclose material information during the Class Period, violating federal securities laws. The alleged false and misleading statements and omissions include, but are not limited to, that: (i) the Company owns lead-wrapped cables nationwide that are highly toxic and harmful to Company employees and non-employees alike; (ii) the hazards caused by the lead cables pose not only health risks to employees and the public, but also potentially significant risks to the Company from litigation, regulatory measures, and reputational harm; and (iii) as a result, AT&Ts statements about its business, operations, and prospects, were materially false and misleading and/or lacked a reasonable basis at all relevant times. The case is Brazinsky v. AT&T Inc., et al., No. 23-cv-04064. About ClaimsFiler ClaimsFiler has a single mission: to serve as the information source to help retail investors recover their share of billions of dollars from securities class action settlements. At ClaimsFiler.com, investors can: (1) register for free to gain access to information and settlement websites for various securities class action cases so they can timely submit their own claims; (2) upload their portfolio transactional data to be notified about relevant securities cases in which they may have a financial interest; and (3) submit inquiries to the Kahn Swick & Foti, LLC law firm for free case evaluations. To learn more about ClaimsFiler, visit www.claimsfiler.com. Westford, USA, Aug. 15, 2023 (GLOBE NEWSWIRE) -- According to SkyQuest, growth in e-commerce and logistics activities propels demand within the commercial vehicle rental and leasing market . Rental and leasing services offer adaptable and specialized solutions to cater to the rising need for freight transport and last-mile deliveries, aligning with the industry's evolving requirements. Browse In-Depth TOC on the "Commercial Vehicle Rental and Leasing Market" Pages - 165 Tables - 62 Figures - 74 Urbanization encourages companies to opt for rental and leasing services to mitigate congestion and environmental concerns. Flexible fleet management and access to modern, energy-efficient vehicles contribute to expanding the commercial vehicle rental and leasing market, aligning with sustainable urban mobility trends. Get a sample copy of this report: https://www.skyquestt.com/sample-request/commercial-vehicle-rental-and-leasing-market Prominent Players in the Commercial Vehicle Rental and Leasing Market Enterprise Holdings, Inc. Avis Budget Group, Inc. Ryder System, Inc. LeasePlan Corporation N.V. Hertz Global Holdings, Inc. Penske Truck Leasing Co., L.P. Europcar Mobility Group SA ALD Automotive Sixt SE Budget Truck Rental, LLC Northgate plc ARI Fleet Management LeasePlan USA National Car Rental United Rentals, Inc. Emkay, Inc. Thrifty Car Rental Advantage Rent A Car Nippon Rent-A-Car Browse summary of the report and Complete Table of Contents (ToC): https://www.skyquestt.com/report/commercial-vehicle-rental-and-leasing-market Business Use Segment is Expected to Grow the Market Due to its Adaptability and Cost-Effectiveness for Enterprises Business use segment experiences rapid growth in the commercial vehicle rental and leasing market due to its adaptability and cost-effectiveness for enterprises. Businesses can efficiently manage fleet requirements, optimize costs, and cater to varying transportation needs, driving the segment's accelerated expansion. Asia Pacific is the fastest-growing commercial vehicle rental and leasing region due to its dynamic economic growth, burgeoning e-commerce sector, and increasing urbanization. The high demand for flexible and scalable transportation solutions for logistics and business activities contributes to the region's rapid market expansion. Light Commercial Vehicle Segment is Expected to Dominate the Market Due to its Versatility The dominance of the light commercial vehicle segment in the commercial vehicle rental and leasing market is due to its versatility and suitability for various business needs. These vehicles cater to the growing demand for urban deliveries, last-mile logistics, and diverse commercial applications, driving their prominent position in the market. North America has established dominance in the commercial vehicle rental and leasing market due to its mature transportation industry, strong economic growth, and thriving e-commerce landscape. Robust logistics infrastructure and a diverse range of business activities contribute to the region's prominent position in the market. A comprehensive analysis of the major players in the commercial vehicle rental and leasing market has been recently conducted in a report. The report encompasses various aspects, including collaborations, mergers, innovative business policies, and strategies, providing valuable insights into key trends and breakthroughs in the market. Furthermore, the report scrutinizes the market share of the top segments and presents a detailed geographic analysis. Lastly, the report highlights the major players in the industry and their endeavors to develop innovative solutions to cater to the growing demand. Speak to Analyst for your custom requirements: https://www.skyquestt.com/speak-with-analyst/commercial-vehicle-rental-and-leasing-market Key Developments in the Commercial Vehicle Rental and Leasing Market In 2022, Hertz Corporation collaborated with Ufodrive to expand its electric vehicle rental fleet, aiming to establish North America's largest fleet and enhance its global EV offerings. Recently, GoAir introduced automobile rental services across 100 locations in India, including 25 domestic network airports, as part of its comprehensive offering of Value Added Services (VAS). Key Questions Answered in Commercial Vehicle Rental and Leasing Market Report What specific growth drivers are projected to impact the market during the forecast period? List the top companies in the market and explain how they have achieved their positions of influence. In what ways do regional trends and patterns differ within the global market, and how these differences shape the market's future growth? Related Reports in SkyQuests Library: Global Autonomous Truck Market Global Off-Highway Electric Vehicle Market Global Hyper Car Market Global Automotive Blockchain Market Global Silicone Sealants Market About Us: SkyQuest Technology is leading growth consulting firm providing market intelligence, commercialization and technology services. It has 450+ happy clients globally. Address: 1 Apache Way, Westford, Massachusetts 01886 Phone: USA (+1) 617-230-0741 Email: sales@skyquestt.com Company Announcement 16 August 2023 Announcement No. 26 NKT A/S Q2 2023 Interim Report: 26% organic growth and improved operational EBITDA NKT CEO Claes Westerlind says: - In Q2 2023, we built upon the strong first quarter of the year by continuing the substantial growth in revenues and earnings across all business lines. During the quarter, we grew our high-voltage order backlog to a new record level which demonstrated our leading market position. We are proud to remain a key enabler of a safe and sustainable renewable transition and look forward to continue growing NKT in line with our strategic ambitions. Thank you to our shareholders for the strong support on the recently concluded rights issue which is a key contribution to our growth journey. Financial highlights EURm Q2 2023 Q2 2022 H1 2023 H1 2022 Revenue* 468 381 890 700 Organic growth 26% 11% 30% 9% Operational EBITDA 58.0 41.3 114.9 79.6 Operational EBITDA margin* 12.4% 10.8% 12.9% 11.4% * Std. metal prices 2023 financial outlook The financial outlook was updated in Company Announcement No. 25 of 15 August 2023. Revenues (std. metal prices) are expected to be approx. EUR 1.8-1.9bn and operational EBITDA is expected to be approx. EUR 215-245m (previously approx. EUR 200-230m). Continued double-digit growth in both revenues and operational EBITDA In Q2 2023, NKTs revenues (in std. metal prices) grew by EUR 87m compared to Q2 2022, corresponding to 26% organic growth. The improvement was driven by increased revenues in all three business lines. Correspondingly, operational EBITDA increased to a record-high EUR 58.0m in Q2 2023, up by EUR 16.7m from Q2 2022. The improved financial performance was mainly driven by continued strong execution and utilization of expanded capacity in Solutions, as well as solid performance in Applications. The all-time high level of execution of projects in the high-voltage order backlog in Solutions combined with continued recovery in Applications lifted the earnings level. The improvement in Service & Accessories was more moderate due to limited offshore repair work conducted in Q2 2023. In Q2 2023, the high-voltage order backlog took another step up to a new record-high level of EUR 7.6bn (EUR 7.0bn in std. metal prices). Projects awarded in the quarter included two turnkey high-voltage power cable projects for the Biscay Gulf Interconnector between France and Spain and for East Anglia THREE in the UK, respectively. NKT was also awarded the contract for offshore export power cables for Baltic Power, the first major wind farm in Poland. Teleconference NKT A/S hosts a teleconference for investors and financial analysts at 10:00am CET on 16 August 2023. The presentation to be used during the call will be available before the start of the teleconference. To attend, please register and access on investors.nkt.com Contacts Investor Relations: Michael Nass Nielsen, Head of Investor Relations, Tel.: +45 2494 1654 Media Relations: Louise Westh Naldal, Head of Group Communications, Tel.: +45 2982 0022 Attachments Pune, India, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The global chemical, biological, radiological, nuclear, and explosives or CBRNE defense market is anticipated to achieve a valuation of USD 24.25 billion by 2028, displaying a Compound Annual Growth Rate (CAGR) of 6.39% within the period from 2021 to 2028. The market's expansion is expected to be propelled by international agreements and favorable regulations that promote the development of CBRNE solutions. These insights are presented in the report titled "CBRNE Defense Market, 2021-2028" by Fortune Business Insights. Notably, the market was valued at USD 15.18 billion initially. The markets growth is also ascribable to the increasing technological innovations by key players. The growing demand for safety measures and the rising geopolitical unrest amongst several countries is likely to uptick technological innovations in CBRNE defense weapons and favor the market growth. Get a Sample Research PDF Brochure: https://www.fortunebusinessinsights.com/enquiry/request-sample-pdf/cbrne-defense-market-106142 List of Key Players Profiled in the CBRNE Defense Market Report: Argon Electronics (U.K.) Avon protection Plc (U.K.) Battelle (U.S.) Blucher Gmbh (U.S.) Teledyne FLIR Systems, Inc. (U.S.) Nexter NBC sys (Germany) Karcher Futuretech Gmbh (Germany) Murtech, Inc. (U.S.) HDT Global (U.S.) Saab Ab (Sweden) CQC (U.K.) Leidos Holding Plc (U.S.) QinetiQ (U.K.) Report Scope & Segmentation: Report Coverage Details Forecast Period 2021-2028 Forecast CAGR 6.39% 2028 Value Projection USD 24.25 Billion Market Size in 2021 USD 15.78 Billion Historical Data 2017-2019 No. of Pages 150 Report Coverage Revenue Forecast, Company Profiles, Competitive Landscape, Growth Factors and Latest Trends Segments Covered By Purpose Analysis By Application Analysis Regions Covered North America Europe Asia Pacific South America Middle East and Africa CBRNE Defense Market Growth Drivers Dynamic Development in the Nature of Warfare, and Utility of CBRNE Threats by Terrorist Groups to Propel the Market Growth Favorable Regulations and International Agreements for the Development of CBRNE Solutions to Drive the Market Growth Browse Detailed Summary of Research Report with TOC: https://www.fortunebusinessinsights.com/cbrne-defense-market-106142 CBRNE defense market pertains to safeguarding against chemical, biological, radiological, nuclear, and explosive threats, encompassing strategies and technologies to mitigate risks posed by these hazards. This field focuses on developing solutions that can effectively counter and manage potential incidents involving such hazardous materials. Segmentation- On the basis of purpose, the market is segmented into simulation & training, decontamination, protection, and detection. On the basis of application, the market is bifurcated into military and civil and law enforcement. Geographically, the market is categorized into Latin America, Asia Pacific, North America, Europe, and the Middle East & Africa. COVID-19 Impact- The market has experienced a limited impact of the coronavirus outbreak. It was expected that the countries such as India, China, Russia, the U.S., and the U.K. will cut defense budgets to curb the spiraling effect of the COVID-19 pandemic on their national economic structures. However, the defense budgets have increased significantly during the pandemic, as reported by the Stockholm International Peace Research Institute (SIPRI). The institution estimated a collective expenditure of more than USD 2 trillion in 2021 on defense by the governments globally. Hence, the market is likely to prosper in the coming years. Inquire Before Buying This Research Report: https://www.fortunebusinessinsights.com/enquiry/queries/cbrne-defense-market-106142 Report Coverage- It incorporates Porters Five Forces Analysis and SWOT analysis for accurate market prediction. It includes the list of key players profiled in the market. It highlights key trends of the market. It showcases information on different market segments such as purpose, application, and others. It describes the impact of the coronavirus pandemic. Drivers & Restraints- International Agreements and Favorable Regulations to Boost Market Growth The dynamic warfare nature development and the use of CBRNE threats by terrorist groups are likely to amplify the market growth. As per the United Nations Office of Counter-Terrorism (UNOCT), the prospects of accessibility to CRBNE materials by terrorists and their supporters greatly threaten global security and peace. This emphasizes governments to invest and upscale research and development of CBRNE defense systems, which is expected to aid market growth. The international agreements and favorable regulations for CRBNE defense solutions development are likely to boost the global CBRNE defense market growth. Furthermore, the demand for CBRN defense specialist schools is expected to complement the markets growth. However, the lack of strict production standards and low investments may hamper the markets growth in the forthcoming years. Regional Insights- High Military Budgets to Fuel Growth in North America North America is projected to dominate the global CBRNE defense market share during the forecast period. High military budgets and the rising safety measure adoption to protect armed forces is the key factors driving the regions growth. Latin America is projected to experience significant growth due to the growing focus on the fortification of border security in Mexico. For instance, the Mexican government deployed 27,000 security and military forces in 2019. Asia Pacific is estimated to register the highest CAGR in the coming years. The increasing geopolitical unrest between neighboring countries is likely to force governments to tighten their national security. This is anticipated to drive the market growth significantly. Additionally, the increasing defense budgets of India and China to fortify their national security will stimulate market growth. Europe is expected to witness substantial growth in the coming years due to the presence of CBRNE defense solution manufacturers including Avon Protection Plc and Argon Electronics. Competitive Landscape- Key Players Adopt Ingenious Growth Strategies to Accumulate Growth The market is dominated by Teledyne FLIR Systems, a worldwide pioneer in advanced sensing technologies for industrial and defense applications. The company emphasizes acquisitions, mergers, and other growth strategies to improve its market reach. The key players in the market emphasize on research and development to introduce advanced solutions. They adopt growth strategies such as partnerships, mergers, new product launches, technological advancements, and others. For instance, Teledyne FLIR Systems Inc. acquired Endeavor Robotic Holdings Inc. in March 2019 to strengthen its CBRNE portfolio. Ask for Customization: https://www.fortunebusinessinsights.com/enquiry/ask-for-customization/cbrne-defense-market-106142 Detailed Table of Content: Introduction Research Scope Market Segmentation Research Methodology Definitions and Assumptions Executive Summary Market Dynamics Market Drivers Market Restraints Market Opportunities Key Insights Key Industry Developments Mergers, Acquisitions and Partnerships Latest Technological Trends Porters Five Forces Analysis Quantitative Insights- Impact of COVID-19 Pandemic on CBRNE Defense Market Impact of COVID-19 on Global CBRNE Defense Market Steps Taken by Industry/Companies/Governments to Overcome the Impact Potential Opportunities Due to COVID-19 Outbreak Global CBRNE Defense Market Analysis, Insights and Forecast, 2017-2028 Market Analysis, Insights and Forecast By Purpose Detection Protection Decontamination Simulation and Training Market Analysis, Insights and Forecast By Application Military Civil and Law Enforcement Market Analysis, Insights and Forecast By Region North America Latin America Asia pacific Europe The Middle East & Africa North America CBRNE Defense Market Analysis, Insights and Forecast, 2017-2028 Market Analysis, Insights and Forecast By Purpose Detection Protection Decontamination Simulation and Training Market Analysis, Insights and Forecast By Application Military Civil and Law Enforcement Market Analysis By Country The U.S. Canada Latin America CBRNE Defense Market Analysis, Insights and Forecast, 2017-2028 Market Analysis, Insights and Forecast By Purpose Detection Protection Decontamination Simulation and Training Market Analysis, Insights and Forecast By Application Military Civil and Law Enforcement Market Analysis By Country Mexico Brazil Rest of Latin America Asia Pacific CBRNE Defense Market Analysis, Insights and Forecast, 2017-2028 Market Analysis, Insights and Forecast By Purpose Detection Protection Decontamination Simulation and Training Market Analysis, Insights, and Forecast By Application Military Civil and Law Enforcement TOC Continued...! Speak to Our Expert: https://www.fortunebusinessinsights.com/enquiry/speak-to-analyst/cbrne-defense-market-106142 Industry Developments- October 2020: The U.S. Army and Teledyne FLIR Systems Inc. signed a contract worth USD 36 million for the modification in support of the Nuclear, Biological and Chemical Reconnaissance Vehicle Sensor Suite Upgrade (NBCRV SSU) program. Read Related Insights: Electronic Warfare Market to Hit USD 37.60 Billion by 2029 | At a CAGR of 5.56% Defense IT Spending Market Size to Hit USD 110.89 Billion by 2027 | At a CAGR of 4.38% Military Aircraft Market to Hit USD 58.03 Billion by 2026 | With a CAGR of 3.08% About Us: Fortune Business Insights delivers accurate data and innovative corporate analysis, helping organizations of all sizes make appropriate decisions. We tailor novel solutions for our clients, assisting them to address various challenges distinct to their businesses. Our aim is to empower them with holistic market intelligence, providing a granular overview of the market they are operating in. Address: Fortune Business Insights Pvt. Ltd. 9th Floor, Icon Tower, Baner, Mahalunge Road, Baner, Pune - 411045, Maharashtra, India. Phone: US: +1 424 253 0390 UK: +44 2071 939123 APAC: +91 744 740 1245 Email: sales@fortunebusinessinsights.com Pune, India, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The global resin market size was USD 533.55 billion in 2022 and is projected to grow from USD 559.2 billion in 2023 to USD 787.31 billion in 2030 at a CAGR of 5.0% during the forecast period. Resin is typically a solid or highly viscous material obtained from plants or artificially produced in a lab. The increasing need for polypropylene in the automotive and construction sectors is expected to drive the markets growth. Fortune Business Insights presents this information in their report titled "Global Resin Market, 20232030." Get a Sample Research PDF: https://www.fortunebusinessinsights.com/enquiry/request-sample-pdf/resin-market-101746 List of Key Players Profiled in the ResinMarket Report: DuPont (U.S.) Arkema (France) BASF SE (Germany) INEOS Holdings Ltd. (U.K.) Hexion (U.S.) Invista (U.S.) LyondellBasell (Netherlands) Mitsubishi Engineering-Plastics Corporation (Japan) Mitsui Chemicals (Japan) Nova Chemicals (Canada) Qenos (Australia) Radici Group (Italy) SABIC (Saudi Arabia) Repsol (Spain) Sumitomo Chemical Co. Ltd. (Japan) Teijin Limited (Japan) Tosoh Corporation (Japan) Toray Group (Japan) Report Scope & Segmentation: Report Attributes Details Forecast Period 2023-2030 Forecast CAGR 5.0% 2030 Value Projection USD 787.31 Billion Market Size in 2022 USD 533.55 Billion Historical Data 2019-2021 No. of Pages 280 Report Coverage Revenue Forecast, Company Profiles, Competitive Landscape, Growth Factors and Latest Trends Segments Covered By Thickness By Type By Application Regions Covered North America Europe Asia Pacific Latin America Middle East and Africa Resin Market Growth Drivers The market is growing rapidly due to increasing demand from several industries such as automotive, construction, packaging, and medical. Growing demand for flexible packaging from a wide range of end-user industries is expected to influence market growth. Browse Detailed Summary of Research Report with TOC: https://www.fortunebusinessinsights.com/industry-reports/resin-market-101746 Segments: Dominant Role of Polyethylene (PE) and Polypropylene (PP) in Market Driven by Automotive and Healthcare Industries Based on type, the market is segmented into polyurethane, acrylonitrile butadiene styrene (ABS), polyethylene (PE), polystyrene, polypropylene (PP), polyethylene terephthalate (PET), polycarbonate, polyvinyl chloride (PVC), polyamide, and others. PE (polyethylene) and PP (polypropylene) are the dominant materials in this segment, primarily driven by increased demand from the automotive and healthcare industries. These materials possess desirable properties, including mold-ability, chemical, electrical, impact, and heat resistance. Packaging Sector Poised for Rapid Growth, Ensuring Product Safety and Market Appeal Based on end-use industries, the market is segmented into agriculture, automotive & transportation, building & construction, packaging, consumer goods/lifestyle, electrical & electronics, and others. The packaging industry is projected to experience the highest growth among various end-use sectors in the forecast period. Several industries require effective packaging to ensure product safety, convenience, and market appeal, contributing packaging segments rapid expansion. Geographically, the market is studied across North America, Europe, Asia Pacific, Latin America, and the Middle East & Africa. COVID-19 Impact: Raw Material Shortages and Decreased Production Output Hampered Market During Pandemic The COVID-19 pandemic and resulting lockdowns had a profound impact on the supply chain of producers, leading to limited availability of products and resources. Manufacturers faced challenges due to shortages of raw materials caused by trade and transportation restrictions, particularly in countries such as China and India. These limitations hindered manufacturing activities and resulted in decreased production output for companies. Get a Quote Now: https://www.fortunebusinessinsights.com/enquiry/get-a-quote/resin-market-101746 Report Coverage: The report offers: Major growth drivers, restraining factors, opportunities, and potential challenges for the market. Comprehensive insights into regional developments. List of major industry players. Key strategies adopted by the market players. Latest industry developments include product launches, partnerships, mergers, and acquisitions. Drivers & Restraints: Digital Transformation and Changing Consumer Preferences Drive Growth in Plastic Packaging Demand Pharmaceutical and electrical & electronics industries have embraced digital platforms to enhance customer support, service, and product sales, contributing to the increased demand for plastic packaging. Simultaneously, the food & beverage sector favors flexible packaging, driven by the growing popularity of convenience foods such as frozen and ready-to-eat meals. These developments are anticipated to propel the packaging solutions market, leading to a surge in demand for plastic packaging materials. On the contrary, fluctuation in oil prices may stifle the resin market growth. Regional Insights Asia Pacific Leads Plastic Market, with China and India as Key Contributors Asia Pacific emerged as the frontrunner recording a market value of USD 268.20 billion in 2022. This dominant position is expected to be sustained in the coming years, primarily driven by the presence of major plastic-producing and consuming nations such as China and India. In Europe, the market growth for resin is predominantly fueled by the automotive industry's escalating demand for plastics. The increasing consumption of plastics in automotive applications has become a significant factor driving the plastic market's expansion in the region. Competitive Landscape Fragmented Competitive Landscape Drives Expansion of High-Performance Polymers Market The markets competitive landscape for high-performance polymers and compounds is characterized by fragmentation, with multiple key players vying for the resin market share. These players are actively expanding their production capabilities to cater to the growing demand and enhance their regional presence. Ask for Customization: https://www.fortunebusinessinsights.com/enquiry/ask-for-customization/resin-market-101746 Detailed Table of Content: Introduction Research Scope Market Segmentation Research Methodology Definitions and Assumptions Executive Summary Market Dynamics Market Drivers Market Restraints Market Opportunities Key Insights Key Market Trends in the Global Market Key Developments: Mergers, Acquisition, Partnership, etc. Latest Technological Advancement Insights on Regulatory Scenario Porters Five Forces Analysis Qualitative Insights Impact of COVID-19 on Global Resin Market Supply Chain Challenges Steps taken by Government/Companies to overcome this impact Potential opportunities due to the COVID-19 outbreak TOC Continued...! Speak to Our Expert: https://www.fortunebusinessinsights.com/enquiry/speak-to-analyst/resin-market-101746 Key Industry Development: August 2022 - Ashland, a global additive and specialty chemicals manufacturing company, declared a strategically important expansion of Viatel, a bioresorbable polymer manufacturing and R&D site at the National Science Park in Mullingar, Ireland. Read Related Insights: Plastic Market Size, Share & COVID-19 Impact Analysis, and Regional Forecast, 2022-2029 Bioplastics Market Size, Share & COVID-19 Impact Analysis, and Regional Forecast, 2021-2028 Epoxy Resin Market Size, Share & COVID-19 Impact Analysis, and Regional Forecast, 2023-2028 About Us: Fortune Business Insights delivers accurate data and innovative corporate analysis, helping organizations of all sizes make appropriate decisions. We tailor novel solutions for our clients, assisting them to address various challenges distinct to their businesses. Our aim is to empower them with holistic market intelligence, providing a granular overview of the market they are operating in. Address: Fortune Business Insights Pvt. Ltd. 9th Floor, Icon Tower, Baner, Mahalunge Road, Baner, Pune - 411045, Maharashtra, India. Phone: US: +1 424 253 0390 UK: +44 2071 939123 APAC: +91 744 740 1245 Email: sales@fortunebusinessinsights.com Pune, India, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The membrane filtration market size is expected to grow robust during the forecast period. Membrane filtration is the separation process of molecules of different characteristics and sizes. The process is driven by force, which is a difference in pressure between two sides of the membrane. The membrane technology increases the quality of the products and decreases manufacturing costs. The demand for membrane filtration technology is increased due to its significant hazardous microorganisms eliminating application. Fortune Business Insights mentioned this in a report titled Membrane Filtration Market, 2023-2030. Get a Sample Research PDF Brochure: https://www.fortunebusinessinsights.com/enquiry/request-sample-pdf/membrane-filtration-market-107206 Membrane filtration is a separation process that utilizes a porous membrane to separate particles and molecules based on their size and molecular weight. It is commonly used in various industries, including water treatment, pharmaceuticals, and food processing, to remove contaminants, concentrate solutions, and purify liquids. Membrane filtration is a versatile separation technique that involves the use of a semi-permeable membrane to selectively separate particles and molecules based on their size, shape, and charge. The membrane acts as a barrier, allowing the passage of certain substances while retaining others. This process is widely employed in various industries, such as water and wastewater treatment, pharmaceutical manufacturing, biotechnology, and food and beverage processing. Major Key Companies Covered in Membrane Filtration Market are: Alfa Laval India Pvt Ltd, DuPont De Nemours Inc. Veolia Gesellschaft fur Entstaubungsanlagen (GEA) Group Ag Minnesota Mining and Manufacturing Company (3M) Nitto Denko Corporation Koch Separation Systems Synder Filtration Aqua Membranes Pall Corporation Report Scope & Segmentation: Report Attribute Details Forecast Period 2023-2030 Historical Data 2019-2021 Report Coverage Revenue Forecast, Company Profiles, Competitive Landscape, Growth Factors and Latest Trends Segments Covered By Type By Application By Material By Geography Regions Covered North America Europe Asia Pacific South America Middle East and Africa Browse Detailed Summary of Research Report with TOC: https://www.fortunebusinessinsights.com/membrane-filtration-market-107206 The membrane filtration market can be categorized into several types, including microfiltration (MF), ultrafiltration (UF), nanofiltration (NF), and reverse osmosis (RO), each with different pore sizes and separation capabilities. MF is used for the removal of suspended solids, bacteria, and some viruses, while UF is effective in separating larger molecules, colloids, and macromolecules. NF is employed for the removal of divalent ions, organic compounds, and colorants, while RO is utilized for desalination, removal of monovalent ions, and purification of water from various sources. The membrane filtration market offers several advantages over traditional separation methods, such as its ability to operate at lower pressures, energy efficiency, scalability, and the potential for automated operation. It provides a reliable and cost-effective solution for achieving high-quality product purification, concentration, and separation, making it a valuable tool in numerous industrial processes and applications. Segments- By type, the market is divided into reverse osmosis, ultrafiltration, microfiltration, and nanofiltration. By application, the market is segmented into dairy products, drink & concentrates, wines & beer, pharmaceuticals, and others. By material, the market is classified into polymeric and ceramic. Geographically, the market is studied across North America, Europe, Asia Pacific, South America, and the Middle East and Africa. COVID-19 Impact- Disruptions in Trade and Logistics Supply During Pandemic Hampered Market Growth The COVID-19 pandemic has moderately hampered the membrane filtration market in 2020. The serious worldwide disruptions in production, trade, and logistics supply due to COVID-19 affected the market growth. The COVID-19 pandemic negatively affected the demand and supply of raw materials, with low labor availability. Due to lockdowns, beverage manufacturers and brewers have postponed their investment and purchase plans, including investments. There was decreased demand for products during the pandemic. Inquire Before Buying This Research Report: https://www.fortunebusinessinsights.com/enquiry/queries/membrane-filtration-market-107206 Report Coverage- The report offers: Major growth drivers, restraining factors, opportunities, and potential challenges for the market. Comprehensive insights into regional developments. List of major industry players. Key strategies adopted by the market players. The latest industry developments include product launches, partnerships, mergers, and acquisitions. Drivers & Restraints- Rising Demand for Membrane Filtration Technology to Boost Market Growth The increasing demand for membrane filtration technology in the food and beverage sector boosts the membrane filtration market growth during the forecast period. Food and beverage companies are increasing their focus on food safety due to the rising consumer demand for safe, high-quality food products. The rising momentum of membrane filtration technology in the waste-water treatment industry propels market growth during the forecast period. Lack of awareness among consumers regarding membrane filtration technologies, with high installation and maintenance costs, could hamper the market growth during the forecast period. Regional Insights- Increasing Growth of Dairy and Brewery Industries to Drive Market in Europe Europe is estimated to hold the largest membrane filtration market share during the forecast period. The market growth is attributed to the increasing growth of the dairy and brewery industries in the European region. The rising pharmaceutical industries growth is also driving the membrane filtration market in the region during the forecast period. Asia Pacific is expected to hold the highest CAGR in the market during the forecast period due to the rising demand for dairy products and increasing consumption of healthy and quality foods in the region. Competitive Landscape- Growing Technology Adoption by Key Players to Boost Market Growth The market is integrated with several major competitors, such as Alfa Laval India Pvt Ltd, Veolia, and Minnesota Mining and Manufacturing Company (3M). These major companies adopt different advanced technologies to position themselves in the market. Acquisitions and mergers between key competitors also drive market growth during the forecast period. Ask for Customization: https://www.fortunebusinessinsights.com/enquiry/ask-for-customization/membrane-filtration-market-107206 Notable Industry Development- September 2022 Gesellschaft fur Entstaubungsanlagen (GEA) Group Ag, a German firm specializing in the food and beverage industry, launched its intelligent software solution GEA Smart Filtration, which can be monitored through the application for membrane filtration plants. September 2019 American company, DuPont De Nemours, Inc., acquired BASF, a German national chemical company, to broaden its portfolio and ability to design integrated solutions for industrial, waste-water treatment, and drinking water applications globally. Read Related Insights: Specialty Fertilizers Market to Reach USD 41.78 Billion by 2030 | Fortune Business Insights Controlled Release Fertilizers Market to Worth $3,862.2 Million By 2026 | Fortune Business Insights About Us: Fortune Business Insights delivers accurate data and innovative corporate analysis, helping organizations of all sizes make appropriate decisions. We tailor novel solutions for our clients, assisting them to address various challenges distinct to their businesses. Our aim is to empower them with holistic market intelligence, providing a granular overview of the market they are operating in. Address: Fortune Business Insights Pvt. Ltd. 9th Floor, Icon Tower, Baner, Mahalunge Road, Baner, Pune - 411045, Maharashtra, India. Phone: US: +1 424 253 0390 UK: +44 2071 939123 APAC: +91 744 740 1245 Email: sales@fortunebusinessinsights.com Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "J-Beauty Products Market Size, Share & Trends Analysis Report By Product (Skincare, Haircare, Color Cosmetics), By Type (Conventional, Organic), By Distribution Channel, By Region, And Segment Forecasts, 2023 - 2030" report has been added to ResearchAndMarkets.com's offering. The global J-beauty products market size is anticipated to reach USD 45.01 billion by 2030. The market is expected to grow at a CAGR of 3.9% from 2023 to 2030 The increasing skincare concerns and increasing demand for organic and natural cosmetics and personal care products are driving the market's growth. The COVID-19 pandemic had a negative impact on the J-beauty products industry's growth. The beauty industry as a whole faced challenges during the pandemic, including supply chain disruptions, reduced consumer spending, and changing consumer priorities. Restrictions on international travel and lockdown measures in different countries affected the production and distribution of J-beauty products. Many manufacturers faced difficulties in sourcing ingredients, packaging materials, and components, leading to delays and supply shortages. J-Beauty Products Market Report Highlights Based on product, the skincare segment accounted for the largest revenue share of 46.6% in 2022. This can be attributed to growing beauty and wellness consciousness among consumers and the increasing aging population Based on type, the conventional segment dominated the market and held a share of 90.8% in 2022. This can be attributed to the low cost and easy availability of conventional J-beauty products. The organic segment is expected to grow at a CAGR of 6.0% over the forecast period Based on distribution channel, the hypermarkets/supermarkets segment dominated the market with a share of 34.6% in 2022. The hypermarkets/supermarkets offer a personalized experience to the consumers and provide discounts and other benefits which makes them a popular choice among consumers Asia Pacific held a market share of 69.4% in 2022 due to the increasing penetration of J-beauty products and increasing consumer spending on skincare products. North America is anticipated to expand at a CAGR of 4.8% over the forecast period Mergers & acquisitions, agreements, R&D activities, new product launches, and expansions are the key strategies being adopted by the companies. For instance, in August 2022, Rey Nakajima launched a new J-beauty brand REY TOKYO in Germany. The brand was created by combining ancient beauty ingredients from Japan and the latest technology from Germany. Competitive Landscape Recent Developments & Impact Analysis, By Key Market Participants Company Categorization Participant's Overview Financial Performance Product Benchmarking Company Heat Map Analysis Strategy Mapping Company Profiles Shiseido Co.,Ltd. mandom corp. Unilever L'Oreal Groupe (Nihon L'Oreal) Procter & Gamble Company Kao Corporation Lion Corporation POLA ORBIS HOLDINGS INC. FANCL CORPORATION CANMAKE KOSE Corporation Key Attributes: Report Attribute Details No. of Pages 80 Forecast Period 2022 - 2030 Estimated Market Value (USD) in 2022 $33.11 billion Forecasted Market Value (USD) by 2030 $45.01 billion Compound Annual Growth Rate 3.9% Regions Covered Global Key Topics Covered: Chapter 1 Methodology and Scope Chapter 2 Executive Summary Chapter 3 J-beauty Products Market: Industry Outlook 3.1 Market Introduction 3.2 Penetration and Growth Prospect Mapping 3.3 J-beauty Products Market: Value Chain Analysis 3.3.1 J-beauty Products Market: Market Dynamics 3.3.2 Market Driver Analysis 3.3.3 Market Restraint Analysis 3.3.4 Market Opportunity Analysis 3.3.5 Market Challenges Analysis 3.4 J-beauty Products Market - Porter's Five Forces Analysis 3.5 J-beauty Products Market - Market Entry Strategy 3.6 J-beauty Products Market - Impact of COVID 19 Chapter 4 J-beauty Products Market: Consumer Behavior Analysis 4.1 Demographic Analysis 4.2 Consumer Trends & Preferences 4.3 Factors Influencing Buying Behavior 4.4 Consumer Product Adoption Trends 4.5 Key Observations & Findings Chapter 5 J-beauty Market: Product Estimates And Trend Analysis 5.1 J-beauty Products Market: Product Movement Analysis And Market Share, 2022 and 2030 5.2 Skincare 5.2.1 J-beauty Products Market Estimates And Forecasts For Skincare, 2017 - 2030 (USD Million) 5.2.2 Haircare 5.2.3 Color Cosmetics 5.2.4 Others Chapter 6 J-beauty Market: Type Estimates And Trend Analysis 6.1 J-beauty Products Market: Type Movement Analysis And Market Share, 2022 and 2030 6.2 Conventional 6.3 Organic Chapter 7 J-beauty Products Market: Distribution Channel Estimates And Trend Analysis 7.1 J-beauty Products Market: Distribution Channel Movement Analysis And Market Share, 2022 and 2030 7.2 Specialty Stores 7.3 Hypermarkets/Supermarkets 7.4 E-commerce 7.5 Others Chapter 8 J-beauty Products Market: Regional Estimates And Trend Analysis 8.1 Global J-beauty Products Market: Regional Movement Analysis And Market Share, 2022 and 2030 For more information about this report visit https://www.researchandmarkets.com/r/m392on About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Europe Glamping Market - Focused Insights 2023-2028" report has been added to ResearchAndMarkets.com's offering. The European glamping market is on an impressive trajectory, projected to reach a valuation of approximately $1.72 billion by the year 2028, up from its $860 million worth in 2022, marking a remarkable compound annual growth rate (CAGR) of 12.3% This comprehensive report delves into the nuances of consumer preferences and the growing adoption of glamping across Europe. Combining the allure of both functionality and aesthetics, glamping has emerged as an attractive option for luxury travelers seeking a unique and comfortable outdoor experience. The rising trend of staycations, even among glamping enthusiasts, has not only reshaped camping paradigms but has also opened up a previously untapped market for opulent accommodations. Additionally, market leaders are strategically navigating the landscape through alliances, acquisitions, and collaborations, aiming to tap into the European glamping market and its burgeoning demand for innovative roofing solutions. While the UK holds its position as the largest glamping market, the emergence of dynamic glamping destinations in Eastern and Central European countries, such as Belgium, Croatia, and Slovenia, is invigorating the region's glamping sector. These destinations are breathing new life into the market, fueled by tourism's pivotal role in driving GDP growth in these nations. These countries are also taking the sustainability route to reduce environmental pressure and increase economic benefits by tending to eco-travelers. Demographics and profiling potential glamper segments in the region give a well-rounded insight into how the glamping market will do going forward. The outdoor sector has witnessed huge and sustained changes over the past few years, which are expected to continue during the forecast period. With the industry having matured, it is expected to witness many challenges. Delivering authenticity, expanding audience groups, and shifting from the mainstream lifestyle sectors will become incumbent to appeal. Experience is still going to be the most important. Mobile bookings will become more evident in an industry that has largely lagged. Much of Europe perceives camping as a social experience more than a back-to-nature experience. It is the middle-class idea of vacation and is, for the most part, extremely simple. But that is changing due to an increased interest in glamping, with many people showing interest in the activity. It has become a new way of renewing accommodation for certain accommodations across European countries. As millennials enter their peak earning years and others have a higher disposable income since the post-recession days, the concept is expected to grow. Many end-users have not been camping but might choose to glamp instead. The growth of Airbnb also turns the pointer toward a trend where consumers require experiences that are offbeat, authentic, and approachable, breaking the traditional hotel model. Glamping is particularly gaining popularity among those belonging to ABC1 markets and higher social groups. However, camping enthusiasts tend to scoff at the luxury attached to glamping. The lack of knowledge and the minimum basics that combine the safety and the novelty of the luxurious experience also contribute to this. While these challenges are expected to stall the market's growth to some extent, it is unlikely that glamping will slow down any time soon. As venues offering camping experiences have increased, startups have also popped up to serve the growing niche. Key Attributes: Report Attribute Details No. of Pages 101 Forecast Period 2022 - 2028 Estimated Market Value (USD) in 2022 $0.86 Billion Forecasted Market Value (USD) by 2028 $1.72 Billion Compound Annual Growth Rate 12.2% Regions Covered Europe MARKET STRUCTURE Market Dynamics Competitive Landscape of Europe Glamping Key Vendors Other Prominent Vendors LIST OF VENDORS Key Vendors Bushtec Safari Sawday's Canopy & Stars Eco Retreats Huttopia Wigwam Holidays Other Prominent Vendors Arena Campsites BIGHEAD Bond Fabrications Chateau Ramsak Concierge Camping The Forge The Glamping Orchard Killarney Glamping Lanzarote Retreats Long Valley Yurts REPORT HIGHLIGHTS Accommodation (Revenue) Cabins Safari Tents Yurts Treehouses Tipis Others Land Ownership (Revenue) Private Land Public Land Area (Revenue) Rural Urban Size (Revenue) 4-Person 2-Person Others End-User (Revenue) Consumers Events For more information about this report visit https://www.researchandmarkets.com/r/8nzz4g About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Nuclear Medicine Global Market Report 2023" report has been added to ResearchAndMarkets.com's offering. This comprehensive report provides valuable insights into the global nuclear medicine market, including market size, growth trends, regional analysis, and key developments. Market Overview: The global nuclear medicine market grew from $6.79 billion in 2022 to $7.71 billion in 2023 at a compound annual growth rate (CAGR) of 13.6%. The market is projected to reach $12.34 billion in 2027 at a CAGR of 12.5%. The nuclear medicine market includes sales of various diagnostic and therapeutic services, such as bone density scanning, cardiac PET perfusion, and cardiac PET sarcoid. Key Drivers and Trends: The increasing prevalence of cardiovascular diseases (CVDs) and cancer is expected to propel the growth of the nuclear medicine market. Nuclear cardiac imaging can help diagnose cardiac diseases more quickly, while nuclear medicine therapy is used to treat cancer. Product innovation is another key trend in the market, with major companies focusing on developing new and innovative products to strengthen their position. Regional Analysis: North America was the largest region in the nuclear medicine market in 2022, while Asia-Pacific is expected to be the fastest-growing region in the forecast period. The report covers key regions such as Asia-Pacific, Western Europe, Eastern Europe, North America, South America, the Middle East, and Africa. Key Questions Answered: Where is the largest and fastest-growing market for nuclear medicine ? How does the market relate to the overall economy, demography and other similar markets? What forces will shape the market going forward? The nuclear medicine market global report answers all these questions and many more. Key Players Bracco Imaging S.P.A. Lantheus Medical Imaging Inc. NTP Radioisotopes SOC Ltd Eckert & Ziegler Group Jubilant Pharma LLC Australian Nuclear Science & Technology Organization Cardinal Health Inc GE Healthcare Curium Pharma7 Advanced Accelerator Applications Nordion Inc. The Institute for radioelements Eczacibasi-Monrol Siemens Healthineers AG Cambridge Isotope Laboratories Inc. Reasons to Purchase: Gain a comprehensive understanding of the nuclear medicine market with in-depth analysis of current and future scenarios. Identify growth segments and investment opportunities. Stay ahead of the competition with forecast data and analysis. Benchmark performance against key competitors Key Attributes: Report Attribute Details No. of Pages 200 Forecast Period 2023 - 2027 Estimated Market Value (USD) in 2023 $7.71 billion Forecasted Market Value (USD) by 2027 $12.34 billion Compound Annual Growth Rate 12.5% Regions Covered Global For more information about this report visit https://www.researchandmarkets.com/r/xl4iph About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Global Carrier Aggregation Solutions Market Size, Share & Industry Trends Analysis Report By Deployment, By Application, By Spectrum Band (Licensed and Non-licensed), By Cell-Type, By Frequency Band, By Regional Outlook and Forecast, 2023 - 2029" report has been added to ResearchAndMarkets.com's offering. The global carrier aggregation solutions market is projected to reach a size of $10.9 billion by 2029, with a compound annual growth rate (CAGR) of 19.6% during the forecast period. The market growth is driven by the increasing focus on smart city initiatives by governments globally, leading to the adoption of carrier aggregation solutions in various smart city-enabled services. The emergence of cutting-edge technologies like artificial intelligence (AI) and the Internet of Things (IoT) has resulted in a surge in the number of connected devices, further driving the demand for carrier aggregation solutions. Market Drivers: Smart City Initiatives: The market is capturing a promising share from smart city initiatives driven by governments worldwide. Smart city-enabled services, such as smart lighting, connected transportation, city analytics & sustainability, air quality monitoring, and ultra-specific location technology, are becoming increasingly common. The deployment of carrier aggregation solutions is spreading as a result of the rising demand for smart city services. Rising Deployment of 5G Networks: The introduction of carrier aggregation 5G standalone networks, which combine highly effective 5G air interfaces with 5G cores, has significantly enhanced mobile users' experiences. Commercial 5G networks, even during their rollout, have improved mobile data connectivity. The evolution of wireless infrastructure, including 5G standalone networks, is driving the demand for carrier aggregation solutions. Increasing Volume of Mobile Data Traffic: The growth in mobile data traffic on a global basis is a major factor driving the demand for carrier aggregation solutions. The increasing number of internet users, broadband-capable devices, and bandwidth-intensive applications have contributed to the rise in mobile data traffic. Carrier aggregation solutions help solve this problem by increasing the capacity of cellular networks and improving data connectivity. Market Restraints: Significant Interoperability Issues: Presently, carrier aggregation solutions face various interoperability issues. The deployment of carrier aggregation requires the use of a separate sideband and software support, which can lead to compatibility problems between different systems and equipment. These interoperability challenges may hinder the widespread adoption of carrier aggregation solutions. Market Segmentation: The global carrier aggregation solutions market is segmented based on deployment, application, spectrum band, cell-type, and frequency band. Deployment: The market is segmented into 4G/LTE and 5G & others. The 4G/LTE segment accounted for the highest revenue share in 2022, driven by the widespread use of LTE networks for residential and commercial purposes. Carrier aggregation systems for 4G/LTE enable high data rates by combining two or more carriers using different or the same frequency bands. Application: The market is divided into mobile broadband connectivity, smart city, industrial IoT, maritime communications, satellite communication, and others. The industrial IoT segment witnessed considerable growth in 2022, driven by the importance of IoT in automation technology, creating functional and responsive system designs. Spectrum Band: The market is segmented into licensed and non-licensed bands. The licensed segment accounted for the highest revenue share in 2022, providing secure and dedicated frequency bands that offer flexibility and consistency in operations. Cell-Type: The market is divided into picocells, microcells, and femtocells. The picocells segment experienced remarkable growth in 2022, playing a crucial role in providing high-speed internet access to large crowds during events like stadiums, concerts, and festivals. Frequency Band: The market is classified into low-band, mid-band, and high-band. The high-band segment recorded a significant revenue share in 2022, as high-band frequencies offer ultra-high data rates and low-latency communication, making them attractive for high-capacity applications like mobile broadband and virtual reality. Regional Analysis: The North American region accounted for the highest revenue share in the market in 2022, driven by the widespread adoption of high-speed data connections, increased penetration of smartphone users, and significant spending by mobile network operators in the region. The deployment of 5G networks in North America has also contributed to the demand for carrier aggregation solutions. Key Market Players Broadcom, Inc. Verizon Communications, Inc. Cisco Systems, Inc. Telefonaktiebolaget LM Ericsson Huawei Technologies Co., Ltd. (Huawei Investment & Holding Co., Ltd.) Nokia Corporation ZTE Corporation Qualcomm, Inc. (Qualcomm Technologies, Inc.) Anritsu Corporation Rohde & Schwarz GmbH & Co. KG For more information about this report visit https://www.researchandmarkets.com/r/vekm3o About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment Chris Caldwell United Renewables CEO in conversation with Professor Likierman former Dean of the London Business School DOUGLAS, Isle of Man, Aug. 16, 2023 (GLOBE NEWSWIRE) -- In today's ever-evolving business arena, staying ahead requires more than mere adaptation; it demands the art of acceleration. Christopher Caldwell, the mastermind behind the Conversations on Climate podcast, takes a deep dive into this dynamic terrain. The key to triumph lies not in just keeping pace, but in pressing the "go faster" button and embracing insights from the creme de la creme of the industry. Introducing the Conversations on Climate Newsletter: Unveiling the Minds of Business Titans. Step into the realm of brilliance with the Conversations on Climate Newsletter, your conduit to learning from the brightest luminaries and business leaders worldwide. Crafted to complement and support the Conversations on Climate podcast , this newsletter is a treasure trove of wisdom that unlocks the true potential of both your personal and professional journey. Navigating Unprecedented Challenges with Invaluable Guidance In a world brimming with unprecedented challenges and opportunities spurred on by climate change, businesses are on the hunt for innovative avenues to flourish whilst making a positive impact. The Conversations on Climate Newsletter serves as a powerful reservoir, offering an exclusive window into the minds of those at the forefront of transformation. Through captivating interviews, illuminating articles, and in-depth analyses, the newsletter is intricately aligned with the Conversations on Climate podcast, and is meticulously crafted to arm you with the knowledge and inspiration needed to elevate your business prowess. Why Choose the Conversations on Climate Newsletter and Podcast series? Expert Insights: Learn from industry experts, thought leaders, and visionaries who are shaping the business landscape. Their experiences and strategies can serve as a blueprint for your own success. Learn from industry experts, thought leaders, and visionaries who are shaping the business landscape. Their experiences and strategies can serve as a blueprint for your own success. Business Innovation: Stay ahead of the curve by exploring innovative solutions that align business growth with environmental stewardship. Discover how sustainable practices can drive profitability and positive change. Stay ahead of the curve by exploring innovative solutions that align business growth with environmental stewardship. Discover how sustainable practices can drive profitability and positive change. Comprehensive Coverage: From renewable energy to green technologies, sustainable supply chains to circular economy models, both the newsletter and the podcast cover a wide range of topics that impact businesses today and in the future. How to Access the Conversations on Climate Newsletter: Signing up for this exclusive newsletter is simple, join the growing community of business leaders committed to accelerating their success while making a positive contribution to the world. Don't miss out on the opportunity to tap into the wisdom of the best and brightest. Press the " go faster " button for your business by subscribing to the Conversations on Climate Newsletter today. About Conversations on Climate Conversations on Climate is a platform dedicated to fostering meaningful discussions on climate change, sustainability, and their impact on businesses. The Conversations on Climate Newsletter provides business professionals with insights, interviews, and thought-provoking content from experts and leaders in various industries. Through this platform, professionals can discover innovative ways to drive business success while contributing to a more sustainable future. About Christopher Caldwell: Christopher Caldwell is the visionary CEO and founder of United Renewables, a cutting-edge climate tech startup pioneering innovative solutions to combat greenhouse gas emissions. The Conversations on Climate podcast has garnered esteem within professional circles due to its feature of in-depth interviews with eminent climate change experts. "An alumnus of Yale University and London Business School, Caldwell's passion for sustainability drives him to make a real impact on the world. About United Renewables: United Renewables is a renowned leader in the renewable energy sector, committed to driving a global energy transition. The company specialises in delivering cutting-edge renewable energy solutions to island communities, empowering small communities and businesses to embrace sustainable practices. Caldwell is also a growing authority in the digital climate debate, as a thought leader and host of the podcast Conversations on Climate. For more information and access to this exceptional episode, visit the Conversations on Climate Podcast on Youtube or Podbean platforms. For all related articles. Conversations on Climate is a joint production of United Renewables and the London Business School Alumni Energy Club. Conversations on Climate brings world-leading thinkers from business and academia together to share their expertise on the subject of climate change. Guests include Sir Andrew Likierman , Julio Dal Poz , Professor Jean-Pierre Benoit , Professor Ioannou , Tara Schmidt , Professor Dan Cable , Professor Zoe Chance , Professor Lynda Gratton , Tom Gosling , Eduardo Famini Silva , Dr. Linda Yueh , Yariv Cohen , and Francois Ortalo-Magne. All previous episodes can be found here. Related articles can be found here. CONTACT Isabella Hawke Sales and Marketing Consultant COMPANY United Renewables PHONE +447624457139 EMAIL IIhawke@unitedrenewables.co.uk WEB unitedrenewables.co.uk/resources A photo accompanying this announcement is available at https://www.globenewswire.com/NewsRoom/AttachmentNg/8ba86d47-80e7-44cc-9bdb-860cd96ae8d1 Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Custom Procedure Kits Market" report has been added to ResearchAndMarkets.com's offering. The global custom procedure kits market size is anticipated to reach USD 15.30 billion by 2030 to expand at a CAGR of 10.95% from 2023 to 2030. Key drivers which are anticipated to contribute to custom procedure kits market growth include rising chronic diseases, an increasing number of non-surgical & surgical treatments, high unmet medical needs in emerging & low-growth economies, rising geriatric population, for instance, according to WHO, by 2030 one in every six people globally will be aged 60 years and above. Furthermore, the introduction of minimally invasive and noninvasive surgeries has led to an increase in the number of surgeries being performed in a year. Patients prefer non-invasive or minimally invasive surgical procedures, owing to the high threat of infections with invasive surgical procedures. In addition, noninvasive surgical treatment requires a shorter hospital stay, thus saving time and medical costs. Furthermore, a rise in the number of road accidents, an aging population, and growing healthcare expenditure in emerging economies are likely to boost the number of surgeries being performed in the forecast period. Key players are involved in adopting strategies, such as partnerships, mergers & acquisitions, and new product launches, to strengthen their foothold in the custom procedure kits market. For instance, in September 2022, Teleflex Incorporated announced the acquisition of Standard Bariatrics, Inc. to expand its surgical portfolio with various stapling technology which is used in bariatrics procedures. Such advancements are anticipated to contribute to the custom procedure kits market growth. Companies Mentioned: Molnlycke Health Care Medline Industries Teleflex Incorporated Owens & Minor Medtronic Cardinal Health McKesson Corporation Smith's Medical (ICU Medical, Inc.) Terumo Cardiovascular Systems Corporation Santex S.p.A. Custom Procedure Kits Market Report Highlights Based on product, the market has been segmented into disposable and reusable. The reusable segment is expected to be expected at the highest CAGR of 11.32% during to forecast period. The increasing frequency of hospital admission due to the high prevalence of chronic diseases, and fatal injuries as well as the aging population is anticipated to fuel more disposable waste. Thus, to lower the environmental effect, healthcare providers would slowly shift towards reusable kits. Based on procedures, others are expected to grow at the highest CAGR of 11.71% during to forecast period. Key drivers which are anticipated to fuel the segment growth include growing demand for aesthetic appearance in the population, as well as increasing medical tourism. Based on the end-user, the hospitals segment held the largest share of around 45.79%, in terms of revenue. This is due to the increasing number of hospitals globally, and rising government funding for hospitals. For instance, the Australian government provides 41% of the public hospital funding and 24% of the private hospital funding. Asia Pacific is expected to expand at the highest CAGR of 12.45% during to forecast period owing to various factors such as growth in medical facilities, the presence of a large population suffering from chronic disorders, and the launch of new products. Key Attributes: Report Attribute Details No. of Pages 110 Forecast Period 2022 - 2030 Estimated Market Value (USD) in 2022 $6.67 Billion Forecasted Market Value (USD) by 2030 $15.3 Billion Compound Annual Growth Rate 10.9% Regions Covered Global Key Topics Covered: Chapter 1 Methodology and Scope Chapter 2 Executive Summary Chapter 3 Custom Procedure Kits Market Variables, Trends & Scope 3.1 Market Lineage Outlook 3.1.1 Parent Market Outlook 3.1.2 Ancillary Market Outlook 3.2 Penetration & Growth Prospect Mapping 3.3 User Perspective Analysis 3.3.1 Consumer Behavior Analysis 3.3.2 Market Influencer Analysis 3.4 List of Key End Users 3.5 Market Dynamics 3.5.1 Market Driver Analysis 3.5.1.1 Benefits Of Customization 3.5.1.2 Decrease In Procurement Time And Costs 3.5.1.3 Constant Increase In The Number Of Surgeries Being Performed Worldwide 3.5.1.4 Increase In The Prevalence Of Chronic Diseases 3.5.2 Market Restraints Analysis 3.5.2.1 Lack Of Standardization And Effective Supply Chain Management 3.5.2.2 Intense Competition & Customer Perception 3.5.3 Market Opportunities Analysis 3.5.3.1 Increasing Demand For Custom Procedure Kits In Veterinary Field 3.5.3.2 Technological Advancements In Hospital Gowns Fabric 3.6 Custom Procedure Kits: Market Analysis Tools 3.6.1 Industry Analysis - Porter's Five Forces 3.6.2 Swot Analysis, By PEST 3.7 Impact Of COVID-19 On Market Chapter 4 Custom Procedure Kits Market: Segment Analysis, By Product, 2018 - 2030 (USD Million) Chapter 5 Custom Procedure Kits Market: Segment Analysis, By Procedure, 2018 - 2030 (USD Million) Chapter 6 Custom Procedure Kits Market: Segment Analysis, By End Use, 2018 - 2030 (USD Million) Chapter 7 Custom Procedure Kits Market: Regional Market Analysis, By Product, By Procedure, and By End Use, 2018 - 2030 (USD Million) Chapter 8 Custom Procedure Kits Market - Competitive Analysis 8.1 Recent Developments & Impact Analysis, by Key Market Participants 8.2 Company Categorization 8.3 Vendor Landscape 8.4 Company Profiles For more information about this report visit https://www.researchandmarkets.com/r/y8htz6 About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment New York, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Reportlinker.com announces the release of the report "Active Pharmaceutical Ingredients (APIs) Market Report 2023-2033" - https://www.reportlinker.com/p06485130/?utm_source=GNW The Active Pharmaceutical Ingredients (APIs) Market Report 2023-2033: This report will prove invaluable to leading firms striving for new revenue pockets if they wish to better understand the industry and its underlying dynamics. It will be useful for companies that would like to expand into different industries or to expand their existing operations in a new region. Active Pharmaceutical Ingredients Market is being Transformed by Increasing Demand for Generics Generics play a vital role in improving healthcare access, particularly in developing countries where brand-name drugs can be costly. Many governments actively promote the use of generic drugs to reduce healthcare expenditures and enhance access to medications for their citizens. The aging global population increases the demand for medications for chronic conditions, with generic drugs often being prescribed for such cases. Growing middle-class populations in emerging economies drive increased healthcare expenditures, resulting in a higher demand for affordable medications. Governments and regulatory bodies have actively encouraged the use of generics and facilitated easier approval processes, further stimulating demand. High Manufacturing Cost will Limit the Growth Potential The high manufacturing cost associated with active pharmaceutical ingredients may hamper API market growth. The raw materials used are API-specific, so the quality of the raw material components used in the API development process is critical. Designing a new chemistry for each API project is tedious and complicated. Furthermore, the drug substance manufacturing process is a complex mechanism involving a wide range of chemical and biological processes. The API manufacturers often employ set regulations to determine the size and strength of an API in a certain medication. These factors drive the cost of API manufacturing processes and may hinder API market growth for the foreseeable future. What Questions Should You Ask before Buying a Market Research Report? How is the Active Pharmaceutical Ingredients Market evolving? What is driving and restraining the Active Pharmaceutical Ingredients Market? How will each Active Pharmaceutical Ingredients submarket segment grow over the forecast period and how much revenue will these submarkets account for in 2033? How will the market shares for each Active Pharmaceutical Ingredients submarket develop from 2023 to 2033? What will be the main driver for the overall market from 2023 to 2033? Will leading Active Pharmaceutical Ingredients Markets broadly follow the macroeconomic dynamics, or will individual national markets outperform others? How will the market shares of the national markets change by 2033 and which geographical region will lead the market in 2033? Who are the leading players and what are their prospects over the forecast period? What are the Active Pharmaceutical Ingredients projects for these leading companies? How will the industry evolve during the period between 2023 and 2033? What are the implications of Active Pharmaceutical Ingredients projects taking place now and over the next 10 years? Is there a greater need for product commercialisation to further scale the Active Pharmaceutical Ingredients Market? Where is the Active Pharmaceutical Ingredients Market heading and how can you ensure you are at the forefront of the market? What are the best investment options for new product and service lines? What are the key prospects for moving companies into a new growth path and C-suite? You need to discover how this will impact the Active Pharmaceutical Ingredients Market today, and over the next 10 years: Our 267-page report provides 98 tables and 167 charts/graphs exclusively to you. The report highlights key lucrative areas in the industry so you can target them NOW. It contains in-depth analysis of global, regional and national sales and growth. It highlights for you the key successful trends, changes and revenue projections made by your competitors. This report tells you TODAY how the Active Pharmaceutical Ingredients Market will develop in the next 10 years, and in line with the variations in COVID-19 economic recession and bounce. This market is more critical now than at any point over the last 10 years. Forecasts to 2033 and other analyses reveal commercial prospects In addition to revenue forecasting to 2033, our new study provides you with recent results, growth rates, and market shares. You will find original analyses, with business outlooks and developments. Discover qualitative analyses (including market dynamics, drivers, opportunities, restraints and challenges), cost structure, impact of rising Active Pharmaceutical Ingredients prices and recent developments. This report includes data analysis and invaluable insight into how COVID-19 will affect the industry and your company. Four COVID-19 recovery patterns and their impact, namely, V, L, W and U are discussed in this report. Segments Covered in the Report Therapeutic Application Oncology Anti-diabetics Pulmonology Immuno-suppressants Neurology Cardiology Ophthalmology Orthopedic Others Synthesis Type Synthetic Biotech Manufacturer Merchant API Captive API Drug Type Branded Generic In addition to the revenue predictions for the overall world market and segments, you will also find revenue forecasts for four regional and 20 leading national markets: North America U.S. Canada Europe Germany UK France Spain Italy Rest of Europe Asia Pacific China Japan India Australia South Korea Rest of Asia Pacific Latin America Brazil Mexico Rest of Latin America MEA GCC South Africa Rest of MEA Leading companies profiled in the report Aarti Drugs Ltd. Aurobindo Pharma Biocon Cipla Inc. Divis Laboratories Limited Dr. Reddys Laboratories Ltd. EUROAPI HIKAL Ltd. Merck and Co., Inc. Teva Pharmaceutical Industries Ltd. Overall world revenue for Active Pharmaceutical Ingredients Market, 2023 to 2033 in terms of value the market will surpass US$235 billion in 2023, our work calculates. We predict strong revenue growth through to 2033. Our work identifies which organizations hold the greatest potential. Discover their capabilities, progress, and commercial prospects, helping you stay ahead. How will the Active Pharmaceutical Ingredients Market, 2023 to 2033 report help you? In summary, our 260+ page report provides you with the following knowledge: Revenue forecasts to 2033 for Active Pharmaceutical Ingredients Market with forecasts for therapeutic application, synthesis type, manufacturer, and drug type, each forecast at a global and regional level discover the industrys prospects, finding the most lucrative places for investments and revenues. Revenue forecasts to 2033 for five regional and 20 key national markets See forecasts for the Active Pharmaceutical Ingredients Market, 2023 to 2033 market in North America, Europe, Asia-Pacific, Latin America, and MEA. Also forecasted is the market in the US, Canada, Mexico, Brazil, Germany, France, UK, Italy, China, India, Japan, and Australia among other prominent economies. Prospects for established firms and those seeking to enter the market including company profiles for 10 of the major companies involved in the Active Pharmaceutical Ingredients Market, 2023 to 2033. Read the full report: https://www.reportlinker.com/p06485130/?utm_source=GNW About Reportlinker ReportLinker is an award-winning market research solution. Reportlinker finds and organizes the latest industry data so you get all the market research you need - instantly, in one place. __________________________ TORONTO, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Green Shift Commodities Ltd. (TSXV: GCOM and OTCQB: GRCMF), (Green Shift, GCOM or the Company) is pleased to announce that it has signed a definitive purchase and sale agreement (the Agreement) dated August 15, 2023, to acquire (the Transaction) an existing option (the Option) to purchase a 100% interest in the Armstrong Lithium Project (the Armstrong Project or the Project). The Project consists of 90 contiguous claims totaling ~1,800 ha, located in the Seymour-Crescent-Falcon lithium belt, ~55 km northeast of the town of Armstrong and ~245 km from Thunder Bay in Ontario, Canada. Highlights Expands GCOMs lithium portfolio into the mining friendly jurisdiction of Ontario, Canada. Adds a third project in a known lithium belt, recognized for its recent exploration successes including the development of the nearby Seymour Project owned by Green Technology Metals Ltd. ( GT1 ). ). Potential upside of critical metals Molybdenum, Copper, Silver with untested exploration upside. Opportunistic acquisition with compelling acquisition terms. Trumbull Fisher, CEO and Director of GCOM commented, While our core focus will remain in South America, we are excited to announce the signing of today's Agreement, which brings another promising lithium project into our portfolio, which we expect to quickly advance. We believe that the Armstrong Project will contribute to our presence in a mining-friendly jurisdiction, providing critical mass to our operations. Like our other projects, we see exploration potential and are eager to commence exploration activities. Ontario is an exciting place to be not only for mining but in particular lithium, with the province seeing ~$25B in government subsidies for EV battery plants in 2023 alone, and this being a very low-cost entry into the most prospective lithium exploration belt in the province. Terms of the Sale and Purchase Agreement Pursuant to the Transaction, GCOM has acquired an existing Option to purchase a 100% interest in the Armstrong Project for consideration comprised of (i) 1,500,000 common shares of GCOM (the Common Shares) to be issued on the closing date of the Transaction; and (ii) CAD$60,000 in cash, payable within five business days after the date upon which GCOM has first completed one or more equity offerings for gross proceeds of a minimum of CAD$5,000,000 in the aggregate. In order to exercise the Option, GCOM has agreed to assume the remaining obligations under the original option agreement, including: (i) 100,000 Common Shares issuable immediately upon closing of the Transaction; (ii) $15,000 in cash payable on or before November 21, 2023; and (iii) $20,000 in cash payable on or before November 21, 2024. In addition, in respect of the first financing that the Company completes following the exercise of the Option, the Company has agreed to grant the optionor the right to participate in such financing and subscribe for a maximum of 100,000 Common Shares upon the same terms as the financing. Completion of the Transaction is conditional upon the approval of the TSX Venture Exchange (the TSXV) and the satisfaction of certain other closing conditions customary in transactions of this nature. The Common Shares issued in connection with the Transaction will be subject to a hold period expiring four months and one day from the date of issuance. There are no finders fees payable in connection with the Transaction and each of the vendors of the Option and the optionor is an arms-length party with respect to the Company. About the Armstrong Project The Armstrong Project resides in the Seymour-Crescent-Falcon lithium trend which is known to host thirteen spodumene-bearing pegmatites along a 26 km trend between the South Aubrey and the Falcon East pegmatite occurrences. Located near the town of Armstrong, significant infrastructure exists nearby including an airport, and rail. The Armstrong Project is road accessible and workable year-round. Figure 1: Armstrong Project in Ontario, Canada The Project property is within a general area that has been highly focused on the exploration of lithium, however the Armstrong Project appears to have had little or no lithium focused exploration. The neighbouring properties are held by GT1 and Antler Gold Inc. (Figure 2). Both neighboring properties have lithium in drilling and GT1s Seymour Project boasts a mineral resource estimate of 9.9 Mt @ 1.04% Li2O, with 5.2 million tonnes @ 1.29 % Li2O and remains open along strike and down dip. (GT1 website - Seymour Project) Figure 2: Armstrong Project and Adjacent Properties Previous work on the Armstrong Project includes lake sediment work that confirms the presence of various base and precious metals including gold, silver, platinum, palladium, copper, nickel, cobalt, chromium, lead and Zinc. The Project has seen little work in recent times and requires a systematic sampling and mapping program. While the entire property appears to be prospective, traversing and sampling the dikes and faults are expected to be a focus of the Company. The sharp contrast in the first vertical derivative magnetics (Figure 3) is a plausible location for alteration. Another prospective area shows a cluster of pegmatites immediately to the Northwest of the Project property boundary which may be a focus for further exploration work. Figure 3: Armstrong Project Magnetics Technical Disclosure and Qualified Person The scientific and technical information contained in this news release was reviewed and approved by Peter Mullens (FAusIMM), Executive Chairman of the Company, who is a Qualified Person in accordance with National Instrument 43-101 - Standards of Disclosure for Mineral Projects. Changes to the Board of Directors The Company also announces that Dr. Richard Spencer has resigned from the Board of Directors in order to focus on other business and personal matters. GCOM would like to thank Mr. Spencer for his contributions to the Company over his 16-year tenure, holding roles such as CEO, Chairman and Director and wish him the best success going forward. About Green Shift Commodities Ltd. Green Shift Commodities Ltd. is focused on the exploration and development of commodities needed to help decarbonize and meet net-zero goals. The Company is advancing the recently acquired Rio Negro Project in Argentina, a district-scale project in an area known to contain hard rock lithium pegmatite occurrences that were first discovered in the 1960s with little exploration since. The Company is developing the Berlin Deposit in Colombia. Apart from uranium, for clean nuclear energy, the Berlin Deposit contains battery commodities including nickel, phosphate, and vanadium. Phosphate is a key component of lithium-ion ferro-phosphate (LFP) batteries that are being used by a growing list of electric vehicle manufacturers. Nickel is a component of various lithium-ion batteries, while vanadium is the element used in vanadium redox flow batteries. Neodymium, one of the rare earth elements contained within the Berlin Deposit, is a key component of powerful magnets that are used to increase the efficiency of electric motors and in generators in wind turbines. For further information, please contact: Green Shift Commodities Ltd. Trumbull Fisher Director and CEO Email: tfisher@greenshiftcommodities.com Tel: (416) 917-5847 Website: www.greenshiftcommodities.com Twitter: @greenshiftcom LinkedIn: https://www.linkedin.com/company/greenshiftcommodities/ Forward-Looking Statements This news release includes certain forward looking statements. Forward-looking statements consist of statements that are not purely historical, including statements regarding beliefs, plans, expectations or intensions for the future, and include, but not limited to, statements with respect to: closing of the closing of the Acquisition; the approval of the TSXV; the completion of future exploration work and the potential results of such test work; the future direction of the Companys strategy; and other activities, events or developments that are expected, anticipated or may occur in the future. These statements are based on assumptions, including that: (i) the ability to achieve positive outcomes from test work; (ii) actual results of exploration, resource goals, metallurgical testing, economic studies and development activities will continue to be positive and proceed as planned, (iii) requisite regulatory and governmental approvals will be received on a timely basis on terms acceptable to Green Shift (iv) economic, political and industry market conditions will be favourable, and (v) financial markets and the market for uranium, battery commodities and rare earth elements will continue to strengthen. Such statements are subject to risks and uncertainties that may cause actual results, performance or developments to differ materially from those contained in such statements, including, but not limited to: (1) changes in general economic and financial market conditions, (2) changes in demand and prices for minerals, (3) the Companys ability to source commercially viable reactivation transactions and / or establish appropriate joint venture partnerships, (4) litigation, regulatory, and legislative developments, dependence on regulatory approvals, and changes in environmental compliance requirements, community support and the political and economic climate, (5) the inherent uncertainties and speculative nature associated with exploration results, resource estimates, potential resource growth, future metallurgical test results, changes in project parameters as plans evolve, (6) competitive developments, (7) availability of future financing, (8) the effects of COVID-19 on the business of the Company, including, without limitation, effects of COVID-19 on capital markets, commodity prices, labour regulations, supply chain disruptions and domestic and international travel restrictions, (9) exploration risks, and other factors beyond the control of Green Shift including those factors set out in the Risk Factors in our Management Discussion and Analysis dated May 1, 2023 for the fiscal year ended December 31, 2022 and other public documents available on SEDAR at www.sedar.com. Readers are cautioned that the assumptions used in the preparation of such information, although considered reasonable at the time of preparation, may prove to be imprecise and, as such, undue reliance should not be placed on forward-looking statements. Green Shift assumes no obligation to update such information, except as may be required by law. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. Photos accompanying this announcement are available at: https://www.globenewswire.com/NewsRoom/AttachmentNg/6d9db7d4-a264-47d8-9bd4-7b3c859d19b0 https://www.globenewswire.com/NewsRoom/AttachmentNg/e71c4e17-b38d-49c4-8f53-ca1a900b525e https://www.globenewswire.com/NewsRoom/AttachmentNg/103ff51e-02ef-4475-bb89-b615f53e579e Highlights: Alaska Energy Metals Corporation ( Alaska Energy Metals or the Company ) has purchased a historical dataset that cost more than US$30 million to create. or ) has purchased a historical dataset that cost more than US$30 million to create. The data, which was collected by various companies from 1995 to 2014, includes: drill hole logs and assay information, several types of airborne and ground geophysical surveys, and geological mapping and thousands of soil and rock samples with assays. The information will greatly accelerate exploration of the Nikolai nickel project. The price AEMC has paid is approximately 5% of the estimated cost to replicate the data. Public Relations, Investor Relations and Advisory contracts have been signed. Stock options have been granted. VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Alaska Energy Metals Corporation (TSX-V: AEMC, OTCQB: AKEMF) is pleased to announce it has purchased an exclusive database of historical information concerning the Nikolai project in Alaska. The Company purchased the data from private company Alaska Critical Metals (ACM) for $1,050,000 and 2,000,000 Alaska Energy Metals shares at a deemed price of $0.50 per share. The shares are subject to a hold period; half to be released after six months and half to be released after one year. The purchase agreement is effective August 16, 2023, and is subject to acceptance by the TSX Venture Exchange. Alaska Energy Metals President & CEO Gregory Beischer commented: A strong return on investment will be realized almost immediately from this data purchase. Thousands of metres of drilling are represented, including holes in the Eureka Zone exploration target area. This additional information will significantly accelerate our work. The geophysical surveys will be invaluable for guiding our drill programs toward higher-grade zones of mineralization. I am pleased that this information has been well-preserved and well-organized and that we have been able to purchase it. This move to purchase the data will provide an immediate benefit to our exploration program and to our shareholders. The cost we have paid to purchase the data is roughly 5% of the cost to replicate the data. This is a great step forward! Data Purchase The Nikolai project was first explored by major nickel producer INCO Ltd in the late 1990s. Control of the project passed to Nevada Star Resources Ltd. (Nevada Star), which carried out exploration with Anglo American (Canada) Ltd from 2004 to 2006. At the time, the project had been renamed the MAN project. Subsequently, Nevada Star changed its name to Pure Nickel Inc., a TSX-V listed public company (Pure Nickel). Further exploration was conducted by Pure Nickel in a joint venture agreement funded by Japanese company ITOCHU from 2008 to 2013. All information generated by the explorers between 1995 and 2014 was retained in a comprehensive database by Pure Nickel, which subsequently sold the data to Alaska Critical Metals. In total, it is estimated by Alaska Energy Metals that it cost the various companies more than US$30 million to execute the exploration programs and generate the purchased information. Alaska Energy Metals estimates that the cost to replicate the data set would exceed US$40 million. The data, collected by various companies from 1995 to 2014, includes: Drill hole logs and assay information for all holes drilled by the various companies. Several types of airborne geophysical surveys, including: Frequency domain airborne EM VTEM airborne ZTEM airborne SPECTREM Magnetic Susceptibility LiDAR with Digital Elevation Model Several types of ground geophysical surveys, including: MaxMin PEM/TEM WalkMag Geological mapping Thousands of soil and rock samples with assays. Alaska Energy Metals plans to begin using the purchased information immediately to refine drilling plans Public Relations, Investor Relations and Advisory Contracts Final Edit Media & Public Relations Inc: Alaska Energy Metals Corporation is pleased to announce it has partnered with Final Edit Media & Public Relations Inc. (Final Edit), a boutique public relations and strategic communications advisory firm, to provide consulting services to the Company. Final Edit is a strategic communications firm that builds, enhances, protects, and maintains the reputations of companies across North America. Final Edit is highly specialized in the generation of credibility and trust through delivering communications strategies spanning earned, owned and paid media, in addition to content development and social strategy. Final Edit will be paid a fee of $10,000 per month for a one-year term. Final Edit provides guidance to a range of companies across private and public industry sectors, which allows them to truly and authentically earn the trust of their stakeholders. For more information, please contact Sarah Mawji at sarah@finaleditpr.com. Omni8: For clarification and correction to an announcement made by the Company in a news release dated July, 6 2023, Alaska Energy Metals is pleased to announce that it has retained Omni8 Communications Inc. of Vancouver, British Columbia (Omni8) to provide certain digital marketing services to the Company and help develop its corporate international market awareness. The firm will be paid $10,000 per month for a one-year term. Omni8 are experts in branding, messaging, digital marketing, and content creation. Omni8s principals have spent over a combined 50 years working in the Canadian and International capital markets and digital marketing industry and possess the ability to combine the art of public company communications with the science of digital marketing. The services will include helping Alaska Energy Metals develop a corporate marketing strategy and provide marketing and public relations advisory services, help coordinate marketing, news flow and events, making introductions to Omni8s network of media contacts, internet and social media marketers and other such providers, as well as to assist with various other public relations efforts. For further information on Omni8 please visit www.omni8media.com. Canid Capital: Alaska Energy Metals is pleased to announce that it has retained Canid Capital Corporation ("Canid"). Canid is a consultancy firm specializing in capital markets strategies, sales, and communications. Their team comprises seasoned professionals with extensive experience in investor relations, financial analysis, and strategic marketing. Canid will disseminate information on behalf of Alaska Energy Metals to registered financial professionals in North America with the goal of increasing awareness. Canid will also provide relationship management and other strategic capital market advisory services. Canid has been engaged for an initial term of 12 months starting August 7, 2023. Canid will be paid a monthly fee of $7,000 per month for a one year term and will be granted 200,000 stock options. Emerging Equities Inc.: Emerging Equities Inc., of Calgary, Alberta has been engaged to provide advisory services to the Company. Services will include: ongoing strategic advice, market assessment, financial advice, develop fund raising alternatives, introduce potential funding parties including syndicate members for a brokered private placement, review and prepare written materials. Emerging Equities will be paid $110,000 and be granted 300,000 stock options. Commodity Partners Inc.: The Company has retained Commodity Partners of Vancouver, BC to to provide capital markets advisory services, including: introducing the Company to prospective investors and counterparties to merger & acquisitions transactions, advising on capital structuring, investor presentation, preparation of financial modeling, strategic financing advice, alternatives evaluation and positioning of the Company. Additionally, advice will be provided on financial aspects of any financings, mergers, acquisitions, and any other such strategic transactions contemplated by the Company, and other customary investment banking services. Commodity Partners will be paid $9,000 per month and will be granted 300,000 stock options. Stock Option Grant The Companys Board of Directors has authorized a grant of 2,250,000 stock options to employees, directors, consultants and advisors to the Company. The options are to be granted with a strike price equal to the closing market price of Alaska Energy Metals shares on August 16, 2023 and will have a five-year term. About Alaska Energy Metals Alaska Energy Metals Corporation is focused on delineating and developing a large polymetallic exploration target containing nickel, copper, cobalt, chrome, iron, platinum, palladium and gold. Located in development-friendly central Alaska near existing transportation and power infrastructure, the project is well-situated to become a significant, domestic source of critical and strategic energy-related metals. ON BEHALF OF THE BOARD Gregory Beischer Gregory Beischer, President & CEO FOR FURTHER INFORMATION, PLEASE CONTACT:Gregory A. Beischer, President & CEO Toll-Free: 877-217-8978 | Local: 604-638-3164 Sarah Mawji, Public Relations Final Edit Media and Public Relations Email: sarah@finaleditpr.com Some statements in this news release may contain forward-looking information (within the meaning of Canadian securities legislation), including, without limitation, the intended use of proceeds. These statements address future events and conditions and, as such, involve known and unknown risks, uncertainties, and other factors which may cause the actual results, performance, or achievements to be materially different from any future results, performance, or achievements expressed or implied by the statements. Forward-looking statements speak only as of the date those statements are made. Although the Company believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in the forward-looking statements. Factors that could cause the actual results to differ materially from those in forward-looking statements include regulatory actions, market prices, and continued availability of capital and financing, and general economic, market or business conditions. Investors are cautioned that any such statements are not guarantees of future performance and actual results or developments may differ materially from those projected in the forward-looking statements. Forward-looking statements are based on the beliefs, estimates and opinions of the Company's management on the date the statements are made. Except as required by applicable law, the Company assumes no obligation to update or to publicly announce the results of any change to any forward-looking statement contained or incorporated by reference herein to reflect actual results, future events or developments, changes in assumptions, or changes in other factors affecting the forward-looking statements. If the Company updates any forward-looking statement(s), no inference should be drawn that it will make additional updates with respect to those or other forward-looking statements. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. This news release does not constitute an offer for sale, or a solicitation of an offer to buy, in the United States or to any U.S Person (as such term is defined in Regulation S under the U.S. Securities Act of 1933, as amended (the 1933 Act)) of any equity or other securities of the Company. The securities of the Company have not been, and will not be, registered under the 1933 Act or under any state securities laws and may not be offered or sold in the United States or to a U.S. Person absent registration under the 1933 Act and applicable state securities laws or an applicable exemption therefrom. Sewickley, Pennsylvania, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The Kelley Financial Group is excited to announce that Forbes named Micah Kelley a Top Next-Gen Wealth Advisor for 2023. Micah Kelley is a Partner and Chartered Retirement Planning Counselor at The Kelley Financial Group. For 15 years his areas of focus have included giving advice on: retirement planning, wealth management planning, small business retirement planning, and education planning strategies. According to Forbes, The average age of a financial advisor is 56, with some 20% of the workforce indicating that they are five years or less away from retirement. As a true visionary in the financial industry, Micah has solidified his position as a trusted partner for individuals, families, and businesses seeking to secure their financial legacies. The Forbes "Next-Gen Wealth Advisor" recognition reinforces the dedication to continuous growth, innovation, and unwavering client advocacy. The group has over 85 years of combined financial planning experience. Each advisor on the team is licensed and qualified to counsel on matters pertaining to their individual expertise. They believe in building long-term relationships, based on knowledge and integrity. ABOUT THE COMPANY The Kelley Financial Group is a team of financial advisors in Pittsburgh, each with varying backgrounds and specialties. They work in conjunction with each other, and strategic partners, to produce a holistic methodology. They believe this holistic approach provides the maximum benefit to clients. Micah and The Kelley Financial Group are committed to assisting their clients along the journey to financial freedom. They understand that comprehensive financial planning allows them to uncover and understand the true needs, goals, and concerns of each client. Emphasizing the importance of comprehensive financial planning, they strive to positively impact their clients lives; demonstrating this by continually communicating with clients throughout any situation. The firm helps clients take control of their current finances by assisting them to make a budget, manage their debt, plan for emergency funds, and set aside for future goals, but they can also help with much more. The following is a non-inclusive list of areas The Kelley Financial Group offers expertise in: Retirement Planning Plan for retirement Setting up IRA contributions Roth conversions Efficient portfolio withdrawal strategies Small Businesses Family Finances Planning for special needs care Charitable Giving Estate Planning Planning for elderly care Setting up a wealth transfer Wealth Management Education Planning Planning for college Investments Set up a financial portfolio Analyze retirement funds Social Security Insurances Select a life insurance plan Their goal, as financial advisors, is to help clients pursue their financial goals and help make their lives more financially efficient. To do this, they utilize comprehensive wealth management and financial planning tools customized to their clients needs and goals. Forbes- Micah Kelley ### Media Contact Author Name: Micah Kelley Company Name: The Kelley Financial Group Local Address: 1605 Carmody Ct #301 Sewickley, Pennsylvania 15143 Phone Number: (412) 528-1920 Company email: info@kfg1.com Website Url: https://www.thekelleyfinancialgroup.com/ Attachment Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Artificial Intelligence In Precision Medicine Market Size, Share & Trends Analysis Report By Technology (NLP, Deep Learning), By Component (Software, Service), By Therapeutic Application (Neurology, Oncology), And Segment Forecasts, 2023 - 2030" report has been added to ResearchAndMarkets.com's offering. The global artificial intelligence in precision medicine market size is expected to reach USD 14.5 billion by 2030 The increasing demand for digital healthcare and clinical health records drives the market for AI in precision medicine. The demand for Electronic Medical Records (EMRs) in healthcare is considerably growing due to advancements in healthcare IT. One of the important applications for delivering timely medical services digitally is Electronic Medical Records (EMRs) accessed, managed, and generated by authorized workers inside a healthcare institution. Furthermore, the usage of EMRs is expanding quickly, necessitating greater development and easier applications, which is accomplished through the medical industry's cutting-edge IT sector. According to the Henry J. Kaiser Family Foundation, a nonprofit healthcare organization located in the U.S., 45% of U.S. residents believe that have enhanced the quality of care in the medical industry. The increasing popularity of wearables in precision medicine is revolutionizing healthcare and empowering individuals to manage their well-being actively. Smartwatches, fitness trackers, and biosensors are among the wearable devices that are in high demand. These devices offer real-time data collection on various health indicators, making them invaluable tools. Wearables provide a wealth of information by continuously monitoring vital signs, physical activity, sleep patterns, and other biometric data. This comprehensive understanding of an individual's health status allows healthcare providers to personalize treatment plans and interventions to meet their needs. The COVID-19 pandemic has accelerated the adoption of AI in the healthcare sector. AI applications have significantly improved various healthcare services, from precision medicine and population health to chronic disease management and medical imaging. The utilization of AI in managing COVID-19 has played a key role in expanding the market growth. An example is the development of a COVID-19 mortality risk calculator by a Johns Hopkins School of Public Health team, which utilized AI to guide public health initiatives and allocate resources for prevention, such as N-95 masks. Artificial Intelligence In Precision Medicine Market Report Highlights Based on technology, the deep learning segment dominated the market in 2022 owing to the advancements in the data center The software segment dominated the market due to the significant adoption of AI-powered software solutions for precision medicine by institutions, providers, and patients is expected to drive the segment's growth Based on therapeutic applications, the oncology segment dominated the market in 2022 On the other hand, the neurology segment is expected to grow at the fastest growth rate from 2023 to 2030 North America dominated the global market in 2022 owing to the strong presence of key players, technological advancement, and high investment in R&D in the region In March 2023, Enlitic, Inc. announced the launch of Enlitic Curie 1.3, an AI-based platform that hosts Curie|ENCOG and Curie|ENDEX applications. This launch makes it easier for radiology departments to improve department-wide workflows In May 2022, Granulate Cloud Solutions Ltd., a real-time continuous optimization software development company based in Israel, was acquired by Intel Corp. This acquisition will assist data center and cloud customers optimize and compute workload performance while lowering cloud and infrastructure costs Competitive Analysis GE Healthcare Sanofi NVIDIA Corporation Intel Corporation Mierosoft IBM Alphabet Inc. (Google Inc.) BioXcel Therapeutics INC Enlitic, Inc. AstraZeneca Key Topics Covered: Chapter 1 Methodology and Scope Chapter 2 Executive Summary 2.1 Market Outlook Chapter 3 AI in Precision Medicine Market Variables, Trends & Scope 3.1 Penetration & Growth Prospect Mapping, 2022 3.2 Regulatory Framework 3.3 Market Dynamics 3.3.1 Market driver analysis 3.3.2 Market restraints analysis 3.4 AI in Precision Medicine: Market Analysis Tools 3.4.1 Industry analysis - Porter's 3.4.2 SWOT analysis, By PEST 3.5 Impact of COVID - 19 Chapter 4 AI in Precision Medicine Market: Segment Analysis, By Technology, 2017 - 2030 (USD Million) 4.1 Definitions & Scope 4.2 Technology Market Share Analysis, 2022 & 2030 4.3 AI in Precision Medicine Market, by Technology, 2017 to 2030 4.4 Market Size Forecasts and Trend Analysis 4.4.1 Deep Learning 4.4.2 Querying Method 4.4.3 Natural Language Processing) 4.4.4 Context-Aware Processing Chapter 5 AI in Precision Medicine Market: Segment Analysis, By Component, 2017 - 2030 (USD Million) 5.1 Definitions & Scope 5.2 Component Market Share Analysis, 2022 & 2030 5.3 AI in Precision Medicine Market, by Component, 2017 to 2030 5.4 Market Size Forecasts and Trend Analysis 5.4.1 Hardware 5.4.2 Software 5.4.3 Services Chapter 6 AI in Precision Medicine Market: Segment Analysis, By Therapeutic Application, 2017 - 2030 (USD Million) 6.1 Definition and Scope 6.2 Therapeutic Application Market Share Analysis, 2022 & 2030 6.3 Market Size & Forecasts and Trend Analyses, 2017 to 2030 6.3.1 Oncology 6.3.2 Cardiology 6.3.3 Neurology 6.3.4 Respiratory Chapter 7 AI in Precision Medicine Market: Regional Market Analysis, 2017 - 2030 (USD Million) 7.1 Definition & Scope 7.2 Regional Market Share Analysis, 2022 & 2030 7.3 Regional Market Snapshot 7.4 Market Size, & Forecasts, Volume and Trend Analysis, 2017 to 2030 For more information about this report visit https://www.researchandmarkets.com/r/m026pf About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. MOUNTAIN VIEW, Calif., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Lily AI, ("Lily'' or the "Company), a retail technology platform specializing in AI solutions for retailers and brands, today announced Ahmed Naiem has joined the Companys executive team as President and Chief Revenue Officer, reporting directly to the Companys Co-Founder and CEO, Purva Gupta. As Lily AIs first president, Naiem will have responsibility for its growth strategy and expanding its commercial presence across North America and Europe. The new position at Lily underscores the rapid growth the Company is experiencing and increased demand from brands and retailers looking to leverage AI to improve both customer experience and business efficiency. Our growth has accelerated significantly over the past 12 months and Ahmeds global retail and e-commerce expertise will allow us to expand our reach. Ahmed brings an incredible wealth of high-level relationships across the fashion, beauty, and luxury world, said Gupta. His track record of growth will make him a valuable addition to our executive team as we scale our business. We are excited to see the impact he will have on Lily AIs growth objectives in the coming months and years. Naiem is an ecommerce technology and retail industry veteran with over 20 years experience growing leading multinational organizations. He has extensive knowledge of the entire retail ecommerce ecosystem with high-level expertise in strategy, sales, marketing, and client operations on a global scale, having worked closely with the worlds largest retail brands across 6 continents. Since 2014, he served as the Chief Commercial Officer at ESW (formerly eShopWorld), an Asendia Group owned company, where he worked with brands including Nike, Estee Lauder, Victoria's Secret, and J.Crew. During his tenure, he was an integral part leading the growth of ESW from its early stage to over $1 billion in revenue. I am thrilled to join Lily AI at this exciting moment as it continues to post record growth and shape the future of retail through its disruptive technology, said Naiem. Purva and the entire team have done an incredible job building Lily AI as a leader in the intersection of AI and retail over the last 7 years and partnering with some of the worlds leading retailers. Lily continues to transform the way consumers discover and engage with their favorite brands by enabling an unparalleled customer centric ecommerce experience that has resulted in significant growth, operational scale and efficiency for brands and retailers. I look forward to an exciting road ahead. About Lily AI Lily AI is a female-founded retail AI company empowering retailers and brands by bridging the gap between merchant-speak and customer-speak. Leveraging computer vision, natural language processing, machine learning, and vertical-specific large language models (LLMs), Lily AI enhances customer shopping experiences by injecting consumer-centric language throughout the retail technology ecosystem. Interoperable with leading eCommerce platforms, Lily AI maximizes existing tech investments to deliver upwards of 9-figure revenue lift through improved product attribution, enhanced discovery, and higher customer conversion. Learn more at www.lily.ai. Media Contact: Berns Communications Group Carly Burns cburns@bcg-pr.com A photo accompanying this announcement is available at https://www.globenewswire.com/NewsRoom/AttachmentNg/a7d21449-3f48-465d-a4e2-c0f6cbbbca60 Dublin, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The "Tobacco Market Size, Share & Trends Analysis Report By Product (Smokeless Tobacco, Cigarettes, Cigars & Cigarillos, NGPs, Kretek), By Distribution Channel (Supermarket/Hypermarket, Online), By Region, And Segment Forecasts, 2023 - 2030" report has been added to ResearchAndMarkets.com's offering. The global tobacco market is on a trajectory to achieve remarkable growth, with an anticipated size of USD 1049.9 billion by the year 2030, reflecting a steady Compound Annual Growth Rate (CAGR) of 2.1%. This expansion is being propelled by a series of factors that collectively underscore the resilient nature of the industry. A surge in demand from developing nations, complemented by the widespread adoption of Next-generation Products (NGPs), is decisively steering the market's upward momentum. Moreover, major tobacco companies' strategic initiatives encompassing vigorous marketing campaigns and product discounts are fostering an environment conducive to industry growth. Notably, the consumption of tobacco through conventional cigarettes stands as the pivotal driving force behind this thriving market. The global landscape is marked by the prevalence of over a billion smokers, with a significant majority, more than 80% residing in middle-income countries as per the World Health Organization's 2022 report. In the face of governmental efforts to curtail smoking rates through cessation policies, the market persists, driven by the inelastic demand for tobacco products. Additionally, the introduction of NGPs by industry leaders stands as another key catalyst poised to propel market expansion throughout the forecast period. The industry, segmented on the basis of products, was dominated by cigarettes. However, NGP is projected to be the fastest-growing segment during the forecast period. Products, such as oral nicotine products, e-cigarettes and vape, are witnessing an increased proliferation, especially among youths and millennials. Furthermore, major tobacco companies are stepping up their strategies to incorporate the growing trend of NGPs. For instance, in November 2022, Philip Morris International introduced a tobacco heating system known as BONDS by IQOS, which utilizes heat instead of combustion to release the flavors of tobacco. This innovative technology significantly reduces the emission of harmful chemicals, boasting a remarkable 95% reduction when compared to traditional cigarettes. The product is now available in the Philippines and is specifically designed to cater to adult smokers, offering them a range of tobacco tastes to choose from. The supermarket/hypermarket segment accounted for the largest share in 2022 and is expected to maintain its dominance over the forecast period to a high number of stores across the world, as well as the convenience they offer. Tobacco Market Report Highlights The NGP segment is projected to register the fastest CAGR of 4.5% from 2023 to 2030 due to all the major companies diversifying their product portfolios to include more smoke-free and heated tobacco offerings The supermarket/hypermarket segment held the largest revenue share of 50.4% in 2022. Consumers prefer these stores as they offer considerable discounts and offers and are present in close proximities to the users Asia Pacific dominated the global market in 2022 on account of the presence of a large target population Furthermore, the incremental growth of the working class and the stress associated with their jobs are driving the tobacco consumption The global market is characterized by the presence of major global players and a few small-scale regional players Competitive Landscape Recent developments & impact analysis, by key market participants Company Categorization Participant's Overview Financial Performance Product Benchmarking Company Market Share Analysis, 2022 (%) Company Heat Map Analysis Strategy Mapping Company Profiles Scandinavian Tobacco Group A/S Swedish Match AB Altria Group, Inc. KT&G Corp. Imperial Brands Philip Morris Products S.A. British American Tobacco Japan Tobacco Inc. China Tobacco. ITC Limited Key Attributes: Report Attribute Details No. of Pages 80 Forecast Period 2022 - 2030 Estimated Market Value (USD) in 2022 $867.55 billion Forecasted Market Value (USD) by 2030 $1049.9 billion Compound Annual Growth Rate 2.1% Regions Covered Global Key Topics Covered: Chapter 1. Methodology and Scope Chapter 2. Executive Summary 2.1. Market Outlook 2.2. Product Outlook 2.3. Distribution Channel Outlook Chapter 3. Tobacco Market Variables, Trends & Scope 3.1. Market Lineage Outlook 3.2. Industry Value Chain Analysis 3.2.1. Raw Material Outlook 3.2.2. Manufacturing and Technology Outlook 3.2.3. Profit Margin Analysis 3.3. Market Dynamics 3.3.1. Market Driver Analysis 3.3.2. Market Restraint Analysis 3.3.3. Market Opportunities 3.3.4. Market Challenges 3.4. Industry Analysis - Porter's Five Forces Analysis 3.5. Market Entry Strategies Chapter 4. Buyer Behavior Analysis 4.1. Buyer Trends and Preferences 4.2. Factors Affecting Buying Decision 4.3. Buyer Product Adoption 4.4. Observations & Recommendations Chapter 5. Tobacco Market: Product Estimates & Trend Analysis 5.1. Product Movement Analysis & Market Share, 2022 & 2030 5.2. Smokeless 5.3. Cigarettes 5.4. Cigars & Cigarillos 5.5. Next Generation Products 5.6. Kretek Chapter 6. Tobacco Market: Distribution Channel Estimates & Trend Analysis 6.1. Distribution Channel Movement Analysis & Market Share, 2022 & 2030 6.1.1. Supermarket/Hypermarket 6.1.2. Convenience Stores 6.1.3. Tobacco Shops 6.1.4. Online Chapter 7. Tobacco Market: Regional Estimates & Trend Analysis 7.1. Regional Movement Analysis & Market Share, 2022 & 2030 For more information about this report visit https://www.researchandmarkets.com/r/wk5rjd About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Attachment Los Angeles, CA, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Unreal Deli Inc., a trailblazing force in the plant-based sandwich meat sector, has announced the addition of Jeffrey Harris as a Strategic Advisor in its growing stable of industry pros. Mr. Harris is the co-founder and former Chief Executive Officer and Chairman of the Board of Plant Power Fast Food, one of the nations fastest growing 100% plant-based fast-food restaurant chains. Harris has deep experience in rapidly scaling a company in the plant-based space according to Unreal Deli company officials. They went on to state that he has already helped the company secure well-known industry titans in the consumer-packaged goods sphere while simultaneously laying the groundwork for a capitalization strategy to support the companys long term growth objectives. Unreal Deli Founder and CEO Jenny Goldfarb shot to prominence in a 2019 episode of Shark Tank when her company won an investment from Shark Mark Cuban that was twice the size of her original request. Cuban subsequently made a second investment in the fledgling company which has since skyrocketed in growth. Unreal Deli products are now sold at over 3000 retail outlets in the US including Whole Foods Market, Sprouts Farmers Market, Ralphs, Albertsons, and Publix as well as in hundreds of restaurants and food service outlets nationwide. Goldfarb said of Harris His ability to build a circle of trust with team members and key investment partners has been instrumental in our recent growth and Im happy to share that through his relationships in the impact investor community, he was instrumental in bringing in a key investment partner. Jeffreys strategic planning, inclusive communication style and long-term strategic thinking have already had an extraordinary impact on our organization. States Harris, I wanted to be part of scaling a company that is going to make a real difference in the world. Jenny and her team have built a powerful brand thats poised for accelerated growth in the rapidly expanding plant-based sandwich meat sector. And I love the fact that their products are packed full of actual veggies. Speaking of Goldfarb, Harris continued, Jennys intention to reduce animals in the food chain and decrease the carbon and methane emissions associated with animal agriculture by revolutionizing the sandwich meats category with delicious, healthy, cruelty-free plant-based options is simply brilliant. This really is the next frontier. In addition to his work with Plant Power, Harris spent two decades as a US Senior Regional Manager at Harman Professional, a division of Harman International. There, he achieved outstanding success leading teams involved in the sales of concert sound systems, stadium & arena sound systems, recording studio equipment, conference room technology, system control and performance lighting, realizing an average of $20M in annual sales. He now consults with start-up and early-stage companies in the plant-based, climate tech and sustainability sectors. About Unreal Deli: Headquartered in Woodland Hills, California, Unreal Deli is a cutting-edge plant-based deli meats company that creates premium clean-label products using whole vegetables and protein rich grains. Current products include Unreal Steak Slices, Unreal Cornd Beef and Unreal Roasted Turkey. The company plans to release a fourth product this year and will be making a major announcement within coming months regarding a significant expansion in their retail distribution. Founded on the principles of health, sustainability and compassion, Unreal Delis recipes have garnered widespread recognition and acclaim, positioning them as a key player in the rapidly expanding plant-based food segment. Attachments Bridgeport, CT and Atlanta, GA, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Sutton Dental & Braces, a Benevis-supported dental practice, is pleased to announce a reopening event for its Bridgeport, Connecticut, practice as it welcomes a permanent, full-time dentist to the office. The event is open to the community to attend at the office located at 144 Boston Ave., Bridgeport, CT, from 10 a.m. to 1 p.m. ET on Wednesday, August 23. Families are welcome to attend and participate in childrens activities such as free face painting, balloons, and story time. The practice recently welcomed Dr. Nhuoc Lan Victoria Nguyen on July 31 as a permanent dentist for the Bridgeport office. Previously, other Sutton Dental & Braces dentists rotated through the practice to continue providing care to patients. Despite not having a full-time doctor, the office provided its patients with over 4,100 appointments over the past year. I am excited to join Benevis as I believe in its mission to provide a dental home and superior care to children and their families in underserved communities, said Dr. Nguyen. In particular, I am proud to serve the Bridgeport community, which includes almost 103,000 children who are covered by Medicaid, indicating we can do more to serve local kids and their families for their dental needs as oral care is healthcare too. The reopening event comes just before the local school system welcomes children back for the upcoming school year, so that more local children and families can attend. With the school year restarting, it is the perfect time to make sure children are up to date on their dental appointments and oral care. It is recommended for children to see a dentist twice a year for preventable care benefits. Now that the Bridgeport office has Dr. Nguyen there permanently, the practice can expand service to additional families. For more information or to schedule an appointment, call Sutton Dental & Braces at (203) 502-7656 or visit their website, SuttonDentalGroup.com. Dr. Nguyen received her DDS degree from New York University and her Bachelor of Science degree from the University of Toronto. About Sutton Dental & Braces Sutton Dental & Braces is one of Connecticuts leaders in Medicaid and affordable dental care for children and their families. Supported by Benevis, Sutton Dentals five locations serve over 11,000 children on Medicaid annually. Sutton Dental and its doctors are committed to improving lives by providing high-quality dental care in a fun, compassionate environment. To learn more about Sutton Dental, visit www.SuttonDentalGroup.com. About Benevis Benevis is a leading dental healthcare delivery organization for practices focused on delivering life-changing oral care and orthodontics to underserved communities. Through comprehensive care and operational services that expand access to dentistry, Benevis has a 20-year history of providing the highest quality care to approximately 5 million children and adults. Its network reaches more than 100 locally branded dental offices across the U.S. that deliver treatment through 1.2 million visits each year. Benevis also advocates for programs and legislation that ensure all families have access to the oral healthcare they need and deserve. For more information, visit Benevis.com. Attachments MILWAUKEE, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Aspiring entrepreneurs with a drive to revolutionize industries and make a lasting impact are invited to apply for the prestigious Nathan DeLadurantey Scholarship for Entrepreneurs. Established by esteemed consumer rights attorney Nathan DeLadurantey, this scholarship aims to empower and reward visionary students pursuing business degrees in the United States. Offering a one-time award of $1,000, the scholarship encourages innovative thinking and creative problem-solving to address pressing challenges in industries across the globe. The scholarship's mission is rooted in fostering a passion for entrepreneurship and encouraging the brightest minds to devise transformative business ideas. "Our goal is to support and nurture the next generation of business leaders who can identify the shortcomings in various industries and develop groundbreaking solutions to bring about positive change," said Nathan DeLadurantey, the founder and managing partner of DeLadurantey Law Office, LLC. Criteria and Application Process To be eligible for the Nathan DeLadurantey Scholarship for Entrepreneurs, applicants must meet the following criteria: Be a current undergraduate student pursuing a business degree at a university in the United States or a high school student with confirmed enrollment in a business degree program. Submit a creative essay of under 1000 words in response to the following prompt: "Identify an industry in the world that you believe is in dire need of improvement, and explain how a new and innovative business idea could revolutionize this industry for the better." The deadline to apply for the scholarship is December 15, 2023. Aspiring entrepreneurs are encouraged to visit the official scholarship website, https://nathandeladuranteyscholarship.com, for further information and submission details. Empowering Future Visionaries The Nathan DeLadurantey Scholarship for Entrepreneurs seeks individuals with a keen understanding of the challenges faced by various industries. Whether it's healthcare, technology, education, or any other sector, the scholarship aims to attract applicants with innovative ideas to effect positive change. Nathan DeLadurantey himself has dedicated over a decade to advocating for consumer rights, and through this scholarship, he endeavors to make a lasting impact on the entrepreneurial landscape. A Distinguished Bio: Nathan DeLadurantey Nathan DeLadurantey, a prominent consumer rights attorney, founded DeLadurantey Law Office, LLC, with a commitment to providing effective legal representation to individuals and families affected by auto fraud and other consumer issues. Holding a law degree from Oak Brook School of Law and admitted to practice in Wisconsin and the United States District Court for the Eastern District of Wisconsin, Nathan's expertise in auto fraud law, lemon law, and consumer protection is widely recognized. An active member of prestigious legal associations, including the National Association of Consumer Advocates, Nathan remains deeply engaged in his profession. His reputation as a sought-after speaker on auto fraud and lemon law topics has earned him recognition in various media outlets. Announcing the Winner After a thorough evaluation process, the winner of the Nathan DeLadurantey Scholarship for Entrepreneurs will be announced on January 15, 2024. The recipient will receive a one-time award of $1,000 to support their academic journey and entrepreneurial endeavors. About Nathan DeLadurantey Scholarship for Entrepreneurs The Nathan DeLadurantey Scholarship for Entrepreneurs was established to encourage and empower ambitious students with a passion for entrepreneurship. By offering financial support and recognition, the scholarship aims to foster innovation and visionary thinking in addressing global industry challenges. With the inaugural award set to take place in January 2024, the scholarship looks forward to inspiring future business leaders and change-makers. Pune, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The SNS Insider report projected that the Drug Addiction Treatment Market , valued at approximately USD 16.97 billion in 2022, is anticipated to attain USD 29.26 billion by 2030, exhibiting a CAGR of 7.05% throughout the forecast span spanning 2023 to 2030. Market Overview Drug addiction treatment is a comprehensive and multifaceted approach aimed at helping individuals overcome the physical, psychological, and social challenges associated with substance abuse. It involves a range of interventions and therapies tailored to the specific needs of each person, with the ultimate goal of achieving sobriety, preventing relapse, and promoting overall well-being. For certain addictions, medications are used in conjunction with therapy to reduce cravings, alleviate withdrawal symptoms, and maintain abstinence. Methadone, buprenorphine, and naltrexone are common medications used in MAT. Market Analysis The drug addiction treatment market has been experiencing significant growth in recent years, driven by a combination of societal, technological, and healthcare advancements. This dynamic landscape is witnessing an upsurge in demand for effective treatments and interventions to combat drug addiction. Research and development in pharmacotherapy have yielded innovative medications that aid in the treatment of drug addiction. Medications such as buprenorphine, methadone, and naltrexone have shown efficacy in managing withdrawal symptoms and cravings, thereby increasing the success rates of recovery. The continuous refinement of these medications and the development of new ones are driving market growth. Funding for research, treatment centers, and public awareness campaigns has significantly boosted the growth of the market. Get a Sample Report of Drug Addiction Treatment Market@ https://www.snsinsider.com/sample-request/3445 Impact of Recession on Drug Addiction Treatment Market Growth The impact of a recession on the drug addiction treatment market is multifaceted. While negative effects such as decreased funding and reduced access to care are significant concerns, there is potential for positive outcomes such as innovation, increased focus on outpatient care, collaboration, and heightened awareness. Addressing these challenges and opportunities requires a concerted effort from policymakers, healthcare providers, and society as a whole to ensure that those battling addiction receive the support and treatment they need, even during challenging economic times. Drug Addiction Treatment Market Report Scope: Report Attributes Details Market Size in 2022 US$ 16.97 Bn Market Size by 2030 US$ 29.26 Bn CAGR CAGR of 7.05% From 2023 to 2030 Base Year 2022 Forecast Period 2023-2030 Historical Data 2020-2021 Drug Addiction Treatment Market: Key Segmentation By Treatment (Therapy, Medication, Others) By Types (Opioid Addiction, Benzodiazepine Addiction, Barbiturate Addiction, Others) By Route of Administration (Oral, Parenteral, Others) By Distribution Channel (Hospital Pharmacy, Retail Pharmacy, Online Pharmacies, Others) By End-Users (Hospitals, Specialty Clinics, Others) Key Regional Coverage North America (US, Canada, Mexico), Europe (Eastern Europe [Poland, Romania, Hungary, Turkey, Rest of Eastern Europe] Western Europe] Germany, France, UK, Italy, Spain, Netherlands, Switzerland, Austria, Rest of Western Europe]), Asia Pacific (China, India, Japan, South Korea, Vietnam, Singapore, Australia, Rest of Asia Pacific), Middle East & Africa (Middle East [UAE, Egypt, Saudi Arabia, Qatar, Rest of Middle East], Africa [Nigeria, South Africa, Rest of Africa], Latin America (Brazil, Argentina, Colombia Rest of Latin America) Key Growth Drivers The rising prevalence of drug-addicted individuals is a primary element driving market revenue growth. Impact of the Russia-Ukraine War The Russia-Ukraine war has introduced a host of challenges to the drug addiction treatment market, affecting treatment availability, quality, and demand. However, it also presents opportunities for innovation, international collaboration, and advocacy to improve addiction treatment services in the midst of adversity. As the situation continues to evolve, adapting and responding to these challenges will be crucial in ensuring that individuals struggling with addiction receive the care and support they need. Do you have any specific queries or need any customization research on Drug Addiction Treatment Market, Enquiry Now@ https://www.snsinsider.com/enquiry/3445 Key Regional Developments In North America, the drug addiction treatment market has seen a significant shift towards a more comprehensive and holistic approach. While traditional methods like detoxification and counseling remain crucial, there's a growing emphasis on medication-assisted treatment (MAT) using drugs like methadone, buprenorphine, and naltrexone. The Asia-Pacific region presents a unique set of challenges and opportunities in the market. The stigma associated with addiction often hinders individuals from seeking help, leading to a higher proportion of unmet treatment needs. Key Takeaway from Drug Addiction Treatment Market Study The Detoxification and Hospital & Clinics segments are poised to dominate the market, fueled by advancements in detoxification protocols, medication-assisted treatments, and comprehensive care approaches. As the healthcare industry continues to evolve, these segments hold the promise of providing individuals grappling with addiction a renewed sense of hope, empowerment, and the opportunity for a healthier and more fulfilling life. Recent Developments Related to Drug Addiction Treatment Market In a groundbreaking move that promises to redefine addiction treatment and recovery, WHOOP Unite and Ashley Addiction Treatment have joined forces in an innovative partnership aimed at leveraging cutting-edge technology and research to transform patient care. Affect Therapeutics, a pioneering digital health company, has recently announced a significant milestone by securing $16 million in funding to further enhance and expand its innovative application aimed at addressing drug and alcohol addiction. Table of Contents 1. Introduction 2. Research Methodology 3. Market Dynamics 4. Impact Analysis 5. Value Chain Analysis 6. Porters 5 forces model 7. PEST Analysis 8. Drug Addiction Treatment Market Segmentation, By Treatment 9. Drug Addiction Treatment Market Segmentation, By Types 10. Drug Addiction Treatment Market Segmentation, By Route of Administration 11. Drug Addiction Treatment Market Segmentation, By Distribution Channel 12. Drug Addiction Treatment Market Segmentation, By End-Users 13. Regional Analysis 14. Company profile 15. Competitive Landscape 16. Use Case and Best Practices 17. Conclusion Buy Single-User PDF of Drug Addiction Treatment Market Report@ https://www.snsinsider.com/checkout/3445 (For more information or need any customization research mail us at info@snsinsider.com) About Us: SNS Insider is one of the leading market research and consulting agencies that dominate the market research industry globally. Our company's aim is to give clients the knowledge they require in order to function in changing circumstances. In order to give you current, accurate market data, consumer insights, and opinions so that you can make decisions with confidence, we employ a variety of techniques, including surveys, video talks, and focus groups around the world. New York, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Reportlinker.com announces the release of the report "Digital Map Market Size & Share Analysis - Growth Trends & Forecasts (2023 - 2028)" - https://www.reportlinker.com/p06484001/?utm_source=GNW The Digital Map Market size is expected to grow from USD 22.53 billion in 2023 to USD 42.23 billion by 2028, at a CAGR of 13.39% during the forecast period (2023-2028). Growth in the application of the advanced navigation system in the automotive industry, the surge in demand for Geographic Information Systems (GIS), and increased adoption of connected devices and the internet are some of the major factors driving the growth of the digital map market. Key Highlights In recent years, digital map technology has found a potential place in various industries such as energy & power, automobile, logistics, transportation, government, construction, telecommunication, and others, offering advanced GIS, map analytics, and real-time tracking systems. Logistics and transportation sectors are one of the most crucial sectors deploying advanced digital map solutions to augment their operations through reduced up-time and finding effective delivery routes. Additionally, digital map technology offers end-to-end map solutions such as location information, real-time update, technology collaboration, and analytics for better geo-mapping outputs. As the internet and connected devices such as smartphones, tablets, and interactive displays are experiencing higher adoption for map-based applications among the global population, the market for digital maps is expected to grow at a healthy rate in the coming years. Moreover, from automotive vehicles to home appliances, the world is moving towards connected devices which provide real-time data tracking and location-based operation solution to consumers, driving the markets growth significantly. According to Forbes, the Internet of Things (IoT) connected devices installed base worldwide is expected to reach 75.44 billion devices by 2025, which in turn will bring a broad range of lucrative growth opportunities for the market throughout the forecast period. However, the rise in the overall complexity of the integration of traditional maps with modern GIS systems might be a factor that could restrain the markets growth. Digital Map Market Trends Surge in Demand for GIS and GNSS to Influence the Adoption of Digital Map Technology Geographic Information Systems (GIS) and Global navigation satellite systems (GNSS) are potent technologies in digital map solutions. As the GIS and GNSS vendors are developing mobile-based geospatial sensor platforms, digital map solutions are becoming more advanced with vector development and map simulation techniques. Expanding telecom networks and wireless connectivity is leveraging GIS-powered maps to gain user accessibility and real-time map execution. Data analytics is also one of the emerging areas to augment the application of GIs with spatiotemporal data and multidimensional connectivity. Moreover, GIS technology advances digital mapping capability with simulation forecasting, planning, landscape analysis, mobility management, and object tracking, which is anticipated to fuel the growth of digital map solutions in the forecast period. Various Global Navigation Satellite Systems (GNSS) deployed (such as GLONASS) or under development in recent times (such as BeiDou and Galileo) would reinforce the impact of satellite positioning in the daily lifestyles of people. Hence, there lies a massive growth in the applications and requirements for consumer-level positioning in cars, other vehicles, and smartphones. Moreover, the market is witnessing various product innovations and launches by key players as part of its strategy to improve business and their presence to reach customers and meet their requirements for multiple applications. For instance, in September this year, Aurora Insight declared the launch of a space-based radio frequency interference (RFI) monitoring service that could alert GNSS signal vulnerabilities or interferences worldwide. Aurora thus takes a unique approach to interference monitoring by delivering organizations with a global layer of data that informs GNSS customers of potentially harmful interference. The map-based tool can also help keep organizations informed about the ever-changing wireless environment while delivering a holistic view of the global state of interference. As per the European GNSS Agency, last year, the installed base of global navigation satellite system (GNSS) devices worldwide stood at around 6.5 billion units and is thus predicted to rise to about 10.6 billion devices by the end of 2031. Last year, the global navigation satellite system (GNSS) market was valued at approximately 150.5 billion USD. Hence, with the overall rise in the installed base of global navigation satellite system (GNSS) devices globally, the market is expected to witness exponential growth throughout the forecast period. Asia-Pacific is the Fastest Growing Region for Digital Map Market Asia-Pacific is one of the fastest-growing regions in the Market. It is primarily due to the rise in the E-commerce sector, considerably requiring digital maps is expected to be one of the other major driving forces for this Market. Companies like Flipkart and Alibaba, major e-commerce players, have invested in Global Positioning System (GPS) tracking and geographic information system (GIS) solutions. Alibaba invested in the location platform PlaceIQ, which uses location as a utility to identify and model consumer behavior. Countries including India, China, Singapore, Japan, Australia, and South Korea have seen a significant shift in technological adoption in recent years. Increased utilization of digital map solutions for e-commerce applications, mobile data coverage, urban planning, supply chain & logistics management, and environmental monitoring in all these Asian countries will influence the adoption of digital maps in the coming years. Moreover, the gaming companies in the region are some of the primary adopters of advanced AR technologies globally. The introduction of sophisticated games being played in virtual environments is one of the major application areas for GIS-based systems. Though China dominates the gaming sector, Japan is the third largest Market according to game revenue and generates over USD 14 million a year. The growth of the automotive sector in the region is expected to be one of the primary drivers of the Market in the future. Leading the next wave of change in automated vehicles, players like Nissan, Toyota, and Honda have already developed technology similar to Level-2 ADAS systems. This is one of the major areas of application for HD Map solutions offered by players like TomTom BV, which now have a major presence in countries like South Korea and Japan. Furthermore, China Mobile, a telecom company, has announced to release of over 47 mobile ends supporting 5G networks, including AR/VR, developed by 34 partners, including Huawei, Samsung, Vivo, and Oppo. China Mobile has also mentioned that 10 million people are already using the 5G network, and the figure is expected to reach 600 million by 2025 in the country. This is expected to aid the regions adoption of GIS-based location solutions. Digital Map Industry Overview As some regional and global players dominate the market with their technological expertise in digital map solutions, the global market for digital maps is expected to be consolidated. Collins Bartholomew Ltd, Digital Map Products, Inc., Digital Mapping Solutions, DMTI Spatial, E.S.R.I. Business Information Solutions, Inc., Google L.L.C. (Alphabet Inc.), HERE Technologies, Lepton Software, Mapbox, MapData Services Pty Ltd, Maxar Technologies (DigitalGlobe), ThinkGeo L.L.C., and TomTom International B.V.B.V. are some of the major players present in the current market. However, all these players are involved in competitive strategic developments such as partnerships, new product innovation, and market expansion to gain leadership positions in the global digital map market. November 2022 - A.G.I. members U.N.L. Global and Genesys International declared a strategic partnership to create a hyperlocal Location Technology Platform powered by a high-accuracy 3D digital map of India. The alliance would bring advanced Geospatial and mapping solutions to the entire Indian market. July 2022 - Magic Leap, Inc., and NavVis, a global leader in reality capture and digital factory solutions, declared a strategic partnership to provide large-scale Augmented Reality (A.R.A.R.) applications in complex enterprise environments. Integrating Magic Leaps advanced spatial computing platform with NavViss mobile mapping systems and spatial data platform, the two companies target to augment the overall usage of A.R.A.R. applications across various key industries, including automotive manufacturing, retail, and the public sector. Additional Benefits: The market estimate (ME) sheet in Excel format 3 months of analyst support Read the full report: https://www.reportlinker.com/p06484001/?utm_source=GNW About Reportlinker ReportLinker is an award-winning market research solution. Reportlinker finds and organizes the latest industry data so you get all the market research you need - instantly, in one place. __________________________ PHOENIX, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Freestar , the leading monetization partner for content publishers, e-commerce sites, and app developers, is thrilled to announce its exceptional achievement of being named to the prestigious Inc. 5000 list for the fifth consecutive year. Its three-year revenue growth rate of 241% allowed the company to remain in the top 2,500 each year after earning the No. 1 ranking in 2019. This remarkable feat is a testament to the company's unwavering dedication to organic growth, industry-leading technology offerings, and world class customer service. By consistently adapting to shifting market trends and proactively addressing the evolving needs of its publishers, the company has achieved sustained growth that sets it apart as an industry leader. Freestar's success can be attributed to the continual expansion of the company's Ideal Ad Stack technology, incorporating machine learning and other emerging technologies to maximize revenue, its commitment to quality over quantity, and the customer-centric approach the company has become known for. This significant milestone underscores our teams continuous commitment and dedication to our publishers and their success, added Freestar CEO Kurt Donnell, We remain committed to pushing boundaries, delivering exceptional value to our clients, and helping our employees continue to grow personally and professionally." Headquartered in Phoenix, AZ, Freestar was No. 59 on the Inc. 5000 list in the state of Arizona and No. 193 in the Advertising & Marketing category. Freestar proudly represents some of the largest publishers on the internet, including Smithsonian, Reuters, Groupon, and Fanatics. For more information on working with Freestar, please visit Freestar.com or email marketing@freestar.com. About Freestar In an ever-changing industry full of buzzwords and empty promises, Freestar simplifies the challenges of monetizing websites and apps. Leveraging the ideal mix of programmatic header bidding, private marketplace deals, and a unified analytics platform, Freestars team of monetization experts help publishers, e-commerce sites and apps unleash their true revenue potential. Freestars ever expanding family of publisher and app developer partners reach over 70% of all U.S. internet users each month and provide unique opportunities for advertisers to reach their target audiences efficiently at scale. For more information, visit www.freestar.com. More about Inc. and the Inc. 5000 Methodology Companies on the 2023 Inc. 5000 are ranked according to percentage revenue growth from 2019 to 2022. To qualify, companies must have been founded and generating revenue by March 31, 2019. They must be U.S.-based, privately held, for-profit, and independentnot subsidiaries or divisions of other companiesas of December 31, 2022. (Since then, some on the list may have gone public or been acquired.) The minimum revenue required for 2019 is$100,000; the minimum for 2022 is $2 million. As always, Inc. reserves the right to decline applicants for subjective reasons. Growth rates used to determine company rankings are calculated to four decimal places. About Inc. Inc.Business Media is the leading multimedia brand for entrepreneurs. Through its journalism,Inc. aims to inform, educate, and elevate the profile of our community: the risk-takers, the innovators, and the ultra-driven go-getters who are creating our future. Inc.s award-winning work reaches more than 50 million people across a variety of channels, including events, print, digital, video, podcasts, newsletters, and social media. Its proprietary Inc. 5000 list, produced every year since 1982, analyzes company data to rank the fastest-growing privately held businesses in the United States. The recognition that comes with inclusion on this and other prestigious Inc. lists, such as Female Founders and Power Partners, gives the founders of top businesses the opportunity to engage with an exclusive community of their peers, and credibility that helps them drive sales and recruit talent. For more information, visit www.inc.com . Media Contact Lauren Goveo VP, Marketing Freestar lauren.goveo@freestar.com 602.320.5613 Deerfield Beach, Fla., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Southeast Toyota Finance (SET Finance), the captive finance company for 177 Toyota dealers throughout Alabama, Florida, Georgia, North Carolina and South Carolina, has been ranked as No. 1 in dealer satisfaction among Captive Mass Market Automotive Finance Lenders for Prime Credit in the J.D. Power 2023 Dealer Financing Satisfaction Study. We are honored to have been ranked as the number one automotive captive finance lender and owe this achievement to the strong relationships we have built with Southeast Toyota Distributors (SET) and our SET dealers. said Bill Shope, President of SET Finance. Our highest priority is to provide industry leading service to our dealer partners as well as innovative, relationship-based programs focused on their success. We deliver this through the commitment of our dedicated associate team. The 2023 U.S. Dealer Financing Satisfaction Study is based on responses from 3,552 auto dealer financing professionals. The report measures auto dealer satisfaction in five factors: finance provider offerings; funding process; credit staff relationship; sales representative relationship; and e-contracting. SET Finance ranked No. 1 across all factors in 2023, with Overall Dealer Satisfaction 83-points (on a 1,000 point scale) above the No. 2 ranked lender. As the first auto finance company established in the United States for an import car manufacturer, SET Finance, part of World Omni Financial Corp, has offered direct financing exclusively to Toyota dealers and their consumers since its inception in 1981. Providing a wide range of financing and leasing options, the company's focus has always been twofold: to support its customers and get them behind the wheel of their dream vehicle while providing solutions for Toyota dealers in the region to better serve their customers. SET Finance consistently ranks at the top of its class in both dealer and customer satisfaction. ### About J.D. Power J.D. Power is a global leader in data and analytics, advisory services and consumer insights. A pioneer in the use of big data, artificial intelligence (AI) and algorithmic modeling capabilities to understand consumer behavior, J.D. Power has been delivering incisive industry intelligence on customer interactions with brands and products for more than 50 years. The worlds leading businesses across major industries rely on J.D. Power to guide their customer-facing strategies. J.D. Power has offices in North America, Europe and Asia Pacific. To learn more about the companys business offerings, visit JDPower.com/business. About Southeast Toyota Finance Southeast Toyota Finance (part of World Omni Financial Corp.) is a captive financial services company driven to delivering an exceptional dealership and customer experience for Toyota customers in the Southeast. The company offers a broad range of products and services to the 177 Toyota dealers in Alabama, Florida, Georgia, North Carolina, and South Carolina. Southeast Toyota Finance is the leading provider of finance and lease options for new Toyota vehicles within its footprint, consistently ranking at the top in market share and dealer satisfaction. The company is committed to strong dealer relationships and customer service, providing finance, retail and lease programs, college graduate and military rebate programs that drive customer and dealer loyalty. The companys portfolio of dealer loans includes inventory financing, real estate, and construction loans. Southeast Toyota Finance is a subsidiary of JM Family Enterprises, Inc., a privately held company with $18 billion in revenue and more than 5,000 associates, which is headquartered in Deerfield Beach, Florida. Attachment Charleston, SC, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Eric Hendrickson has always loved to build people up. While serving over twenty-one years in the Air Force, hes helped countless people. Damage control has never been good enough for Eric, though. After reading Dr. Thomas Joiners Interpersonal Theory of Suicidality, Eric wondered what it would be like if we flipped the theory on its head. Rather than focusing on limiting negatives, what if we simply helped people grow fulfilling, connected, effective lives? The result of his quest is Upgrade Training for Life, a powerful new self-help book released by Palmetto Publishing. Drawing on a substantial body of research, Eric has sought to piece together a systematic approach for understanding the issues we face in life, making sense out of them, and implementing healthy strategies to help us achieve new goals. Eric likens the journey of growth to developing a character in a role-playing game. If there are setbacks, why not define where we are trying to go in our life and level up to tackle lifes problems with a better set of skills? Rather than just hammering away at what is in front of us, Eric encourages readers to reflect on their values, decide where they want to go, develop the skills needed for the journey, and grow relationships so you can go on the adventure of your life with clear purpose and great companions. Upgrade Training for Life is available for purchase online at Amazon.com and Barnes and Noble.com. About the Author: Eric Hendrickson is a retired Lieutenant Colonel who has developed the ability to quickly assess intense, chaotic environments and come up with the best course of action for meeting goals. Eric is passionate about helping people grow their unique abilities, and he writes to raise up talented individuals to leave their positive mark on the world. Attachment LONDON, Aug. 16, 2023 (GLOBE NEWSWIRE) -- According to The Business Research Companys analysis, the global electrical and electronics market exhibited impressive growth, ascending from $3454.94 billion in 2022 to $3739.37 billion in 2023, reflecting a robust compound annual growth rate (CAGR) of 8.2%. Projections point towards a continued upward trajectory, with the market poised to reach $4986.91 billion by 2027, sustaining a CAGR of 7.5%. This remarkable expansion can be attributed to the swift surge in electronics technology innovations, which is consistently fueling demand for more advanced and faster electrical and electronics products. In this dynamic landscape, TBRC reports play an invaluable role in offering comprehensive insights into market trends, growth patterns, and emerging opportunities within the electrical and electronics industry. These reports equip businesses with the knowledge required to make informed strategic decisions and navigate the evolving market effectively, fostering sustainable growth and competitive advantage. Secure a competitive advantage with The Business Research Company's top eleven market reports in the electrical and electronics industry: 1. Battery Separators Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/battery-separators-global-market-report As indicated by TBRC's latest report, the battery separators market is anticipated to witness a substantial expansion, reaching a valuation of $9.31 billion by 2027, exhibiting an impressive compound annual growth rate (CAGR) of 16.6%. The forthcoming growth of the battery separator market is anticipated to be propelled by the robust surge in consumer electronics and electric vehicle demands. 2. Building-integrated Photovoltaics Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/building-integrated-photovoltaics-global-market-report According to TBRC's report, the building-integrated photovoltaics market is poised for substantial growth, projected to attain a valuation of $40.2 billion by 2027. This growth trajectory reflects a remarkable compound annual growth rate (CAGR) of 24.5%. The anticipated expansion of the building-integrated photovoltaics market is intrinsically linked to the escalating demand for zero-energy buildings. 3. Camera Modules Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/camera-modules-global-market-report As outlined in TBRC's report, strategic alliances and collaborations emerge as predominant trends among companies in the camera module market. This trend can be attributed to the substantial number of camera module manufacturers present in the industry, all of whom are keen to diversify and tap into fresh market segments. 4. Car Air Purifier Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/car-air-purifier-global-market-report According to insights from TBRC's report, the car air purifier market is projected to expand substantially, reaching a valuation of $4.3 billion by 2027. This expansion is poised to demonstrate an impressive compound annual growth rate (CAGR) of 22.5%. The notable escalation of pollution levels in the ambient environment is anticipated to serve as a major impetus for the advancement of the car air purifier market in the foreseeable future. 5. Chemical Sensors Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/chemical-sensors-global-market-report As highlighted in TBRC's report, Artificial Intelligence (AI) is emerging as a notable trend within the realm of the chemical sensors market. The integration of Artificial Intelligence (AI) is progressively gaining traction in chemical sensors, offering valuable support for the identification and quantification of the diverse array of chemicals present in the environment. The noteworthy capability of cutting-edge AI algorithms to seamlessly mesh with chemical sensors, facilitating material advancements and resilient additive manufacturing technologies, is indeed remarkable. 6. Chillers Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/chillers-global-market-report Anticipated as per TBRCs market analysis, the chillers market is projected to expand, reaching a valuation of $10.88 billion by 2027. This trajectory signifies a notable compound annual growth rate (CAGR) of 4.8%. The surge in new commercial construction ventures is foreseen to play a pivotal role in driving the advancement of the chillers market. 7. Circuit Protection Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/circuit-protection-global-market-report Foreseen in accordance with market projections, the circuit protection market is poised for expansion, aiming to attain a valuation of $82.4 billion by 2027. This progression signifies a substantial compound annual growth rate (CAGR) of 12.8%. The escalating demand for smartphones, PCs, laptops, and tablets is anticipated to be a pivotal driver propelling the expansion of the circuit protection market. 8. Commercial Printing Services Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/commercial-printing-services-global-market-report A notable trend gaining traction within the commercial printing service market is the adoption of green commercial printers. Commercial printing service providers are increasingly embracing green commercial printers, which utilize environmentally friendly paper, inks, varnishes, chemicals, and also implement waste reduction and reuse practices. 9. Consumer Electronics Packaging Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/consumer-electronics-packaging-global-market-report As indicated by TBRC's report, the expansion of the consumer electronics packaging market is being fueled by the rising need for paper and paperboard in packaging solutions. Paper and paperboard are commonly employed in packaging due to their eco-friendly and biodegradable characteristics. This not only aligns with environmental considerations but also contributes to reducing shipping expenses for used electronics. 10. Crystal Oscillators Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/crystal-oscillators-global-market-report Anticipated as per market analysis, the crystal oscillators market is poised to expand, reaching a valuation of $3.88 billion by 2027. This growth trajectory reflects a notable compound annual growth rate (CAGR) of 6.3%. The escalating adoption of smartphones and tablets is foreseen as a pivotal driver propelling the expansion of the crystal oscillators market. 11. Dashboard Camera Global Market Report 2023 https://www.thebusinessresearchcompany.com/report/dashboard-camera-global-market-report The introduction of dual-camera dash cams is becoming increasingly favored within the dashboard cameras market, as per TBRCs analysis. Dual dash cams have garnered attention for their capability to simultaneously capture video footage of both the road ahead and the interior of the vehicle cabin. This twofold recording capacity not only offers enhanced coverage but also provides deeper insights compared to a single front-facing camera. Learn More About The Business Research Company The Business Research Company is a market intelligence firm pioneering in company, market, and consumer research. With offices all around the world, TBRC has specialist consultants in a variety of fields, including manufacturing, healthcare, financial services, chemicals, and technology. DALLAS, TX, Aug. 16, 2023 (GLOBE NEWSWIRE) -- CIEN+, an inclusive marketing and research firm specializing in Cultural Intelligence, proudly announces its second consecutive inclusion in the prestigious Inc. 5000 ranking of the fastest-growing private companies in the United States. This recognition, alongside CIEN+'s certified minority-owned and women-owned status, highlights not only the company's dedication to innovation, but its commitment to inclusion as a driver for business growth. The Inc. 5000 list offers a window into the thriving landscape of the economy's most vibrant segment: independent businesses. CIEN+ has not only secured a position within this elite group but has done so standing shoulder-to-shoulder with some of the nation's most dynamic enterprises across all industries nationwide. "Our journey extends beyond accolades it's about challenging conventional thinking and elevating the role demographics shifts play as a competitive advantage for our clients," says Liliana Gil Valletta, Co-Founder, and Chief Executive Officer of Culture+ Group, parent company to CIEN+. To us, inclusion is not a program, it is the mindset that inspired us into existence over a decade ago. Staying true to that purpose and mindset will continue to guide us as we grow in size and impact for years to come concludes Gil Valletta. The firm is a trusted partner to some of the worlds most iconic Fortune 100 brands, who seek to better understand how to tap into the power of high-growth diverse market segments. The teams unique capabilities converging the power of data and culture in research, strategy consulting, training, and marketing, continues to set them apart as a sought-after partner to the C-suite and as thought-leaders in the industry. "Building one of Americas fastest-growing companies is a testament to the power of purpose and innovation in action," said Enrique Arbelaez, Co-Founder of Culture+ Group and CIEN+. This recognition motivates us to stay true to our commitment to drive and influence inclusive business strategies while continuing to anticipate the needs and aspirations of our clients concludes Arbelaez. Headquartered in Dallas, Texas with satellite offices across the U.S. and Latin America, CIEN+ is part of the Culture+ Group, a newly created conglomerate of Cultural Intelligence companies offering a portfolio of business solutions designed to anticipate market dynamics and empower clients with the tools and know-how to unlock the full creative and business potential. To learn more about CIEN+ visit www.cien.plus. About CIEN+ CIEN+ is a Cultural Intelligence marketing and consultancy firm specialized in inclusive market research, business strategy, training, and marketing solutions for companies that want to tap into the full power of diverse consumer markets. Headquartered in Dallas, Texas, and with satellite offices across the U.S. and Latin America, the firm was founded in 2010 by two corporate executives turned entrepreneurs, Lili Gil Valletta and Enrique Arbelaez, who created the company they wish to hire, intersecting the power of data and culture for brands to drive societal impact and business growth. CIEN+ is part of the Culture+ Group family of companies, a one-of-its-kind independent and minority-owned ecosystem of companies 100% designed to unlock the power of Cultural Intelligence in business. The firm is a certified Minority Business Enterprise (MBE), NMSDC Corporate Plus , Woman-owned Business Enterprise (WBE), and Historically Underutilized Businesses (HUB), and has been recognized by the Inc. 5000 as one of Americas Fastest-Growing Private Companies, Top Agency 100 by MM+M and PRWeek Most Influential in Healthcare, among others. For more information visit: www.cien.plus. About Inc. and the Inc. 5000 Methodology - Companies on the 2022 Inc. 5000 are ranked according to percentage revenue growth from 2018 to 2021. To qualify, companies must have been founded and generating revenue by March 31, 2018. They must be U.S.-based, privately held, for-profit, and independent - not subsidiaries or divisions of other companies - as of December 31, 2021. (Since then, some on the list may have gone public or been acquired.) The minimum revenue required for 2018 is $100,000; the minimum for 2021 is $2 million. As always, Inc. reserves the right to decline applicants for subjective reasons. Growth rates used to determine company rankings were calculated to four decimal places. The top 500 companies on the Inc. 5000 are featured in Inc. magazine's September issue. The entire Inc. 5000 can be found at http://www.inc.com/inc5000. About Inc. The world's most trusted business-media brand, Inc. offers entrepreneurs the knowledge, tools,connections, and community to build great companies. Its award-winning multiplatform content reaches more than 50 million people each month across a variety of channels including websites, newsletters, social media, podcasts, and print. Its prestigious Inc. 5000 list, produced every year since 1982, analyzes company data to recognize the fastest-growing privately held businesses in the United States. The global recognition that comes with inclusion in the 5000 gives the founders of the best businesses an opportunity to engage with an exclusive community of their peers, and the credibility that helps them drive sales and recruit talent. The associated Inc. 5000 Conference & Gala is part of a highly acclaimed portfolio of bespoke events produced by Inc. For more information, visit www.inc.com.For more information on the Inc. 5000 Conference & Gala, visit http://conference.inc.com/. Attachment NEW YORK, Aug. 16, 2023 (GLOBE NEWSWIRE) -- The Klein Law Firm announces that a class action complaint has been filed on behalf of shareholders of KeyCorp (NYSE: KEY) alleging that the Company violated federal securities laws. Class Period: February 27, 2020 to June 9, 2023 Lead Plaintiff Deadline: October 3, 2023 No obligation or cost to you. Learn more about your recoverable losses in KEY: https://www.kleinstocklaw.com/pslra-1/key-lawsuit-loss-submission-form?id=43566&from=3 KeyCorp NEWS - KEY NEWS CLASS ACTION CASE DETAILS: The filed complaint alleges that KeyCorp made materially false and/or misleading statements and/or failed to disclose that: (i) Key downplayed concerns with its liquidity while overstating the effectiveness of its long-term liquidity strategy; (ii) Key overstated its projected net interest income (NII) for the second quarter and full year of 2023, as well as related positive NII drivers, while downplaying negative NII drivers; (iii) as a result, Key was likely to negatively revise its previously issued NII guidance; (iv) all the foregoing, once revealed, was likely to negatively impact Keys business, financial results, and reputation; and (v) as a result, defendants public statements were materially false and/or misleading at all relevant times. WHAT THIS MEANS TO YOU AS A SHAREHOLDER: If you have suffered a loss in Key you have until October 3, 2023 to petition the court for lead plaintiff status. Your ability to share in any recovery doesn't require that you serve as a lead plaintiff. NO COST TO YOU: If you purchased Key securities during the relevant period, you may be entitled to compensation without payment of any out-of-pocket fees. HOW TO PROTECT YOUR FINANCIAL INTERESTS: For additional information about the KEY lawsuit, please contact J. Klein, Esq. by telephone at 212-616-4899 or click this link: https://www.kleinstocklaw.com/pslra-1/key-lawsuit-loss-submission-form?id=43566&from=3. ABOUT KLEIN LAW FIRM J. Klein, Esq. represents investors and participates in securities litigations involving financial fraud throughout the nation. The Klein Law Firm is a boutique litigation firm with experience in a wide range of areas including securities law, corporate finance and commercial litigation. Since 2011, our experienced attorneys have achieved superior results for our clients with a personalized focus. Attorney advertising. Prior results do not guarantee similar outcomes. CONTACT: J. Klein, Esq. 535 Fifth Avenue 4th Floor New York City, NY 10017 jk@kleinstocklaw.com Telephone: (212) 616-4899 www.kleinstocklaw.com Wilmington, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Wilmington, Delaware - Ferdinand Mehlinger, an accomplished entrepreneur and visionary leader, has recently shared how his journey from a fledgling startup to a thriving multi-million dollar empire was shaped by the guidance and mentorship of a billionaire ex-Navy SEAL. The strategic partnership between Mehlinger and his mentor has not only revolutionized his business approach but also highlighted the crucial role of mentorship in achieving remarkable success. Mehlinger, known for his innovative approach to business, embarked on his entrepreneurial journey with an ambitious startup aimed at disrupting conventional industry norms. However, it was his fortuitous encounter with a billionaire mentor, who also happened to be an ex-Navy SEAL, that propelled his venture to unprecedented heights. "I consider myself incredibly fortunate to have crossed paths with my mentor. His insights, derived from his experiences as a Navy SEAL and a shrewd businessman, have been instrumental in transforming my startup into a multi-million dollar digital marketing agency," remarked Mehlinger. Drawing upon his mentor's military background, Mehlinger emphasized the significance of time management in achieving sustainable success. The mentor's rigorous training in the Navy SEALs, where every second can make a life-or-death difference, taught him the value of optimizing every moment. Under his mentor's tutelage, Mehlinger implemented efficient time management practices that streamlined his operations, enhanced productivity, and ultimately led to exponential growth. In addition to time management, Mehlinger's mentor instilled a profound understanding of financial responsibility. The mentor's disciplined approach to financial decision-making, honed through years of managing complex military operations, guided Mehlinger's financial strategies. By prioritizing resource allocation, minimizing wastage, and making calculated investments, Mehlinger managed to mitigate risks and ensure sustainable growth in an unpredictable business landscape. One of the most transformative lessons shared by Mehlinger's mentor was the concept of scaling offers. Drawing parallels from his SEAL experience of adapting tactics to varying situations, the mentor stressed the importance of diversifying products and services. This approach empowered Mehlinger to tailor his offerings to a wider audience, effectively catering to diverse needs and preferences. As a result, Mehlinger's startup swiftly evolved from a niche player to a dominant force in the market, capitalizing on multiple revenue streams. What truly set Mehlinger's journey apart was his mentor's insistence on shifting from a service-based model to a product-centric approach. The mentor's belief in the scalability and passive income potential of products resonated deeply with Mehlinger. Embracing this philosophy, Mehlinger revamped his business model, creating proprietary products that not only generated consistent revenue but also expanded his brand's reach. Reflecting on this pivotal shift, Mehlinger shared, "My mentor taught me that products can have a broader impact and provide a lasting value proposition. This change was pivotal in our growth trajectory, allowing us to reach new markets and establish a stronger brand presence." The partnership between Mehlinger and his mentor serves as a testament to the transformative power of mentorship in the business world. The guidance imparted by seasoned individuals, particularly those with diverse backgrounds like ex-Navy SEALs, can provide invaluable perspectives that reshape traditional approaches and lead to extraordinary success. As Mehlinger's multi-million dollar empire continues to flourish, he remains dedicated to passing on the knowledge he gained from his mentor to aspiring entrepreneurs. Through speaking engagements, workshops, and mentorship programs, Mehlinger aims to inspire others to embrace unconventional strategies and unleash their full potential. Ferdinand Mehlinger is an entrepreneur, business leader, and visionary thinker renowned for his innovative approach to business strategy. With a passion for challenging industry norms, Mehlinger embarked on his entrepreneurial journey, aiming to disrupt conventional markets. His transformation from a startup founder to the head of a multi-million dollar empire stands as a testament to the power of strategic mentorship and the implementation of unconventional business philosophies. ### For more information about Ferdinand Mehlinger SEO Expert, contact the company here: Ferdinand Mehlinger SEO Expert Ferdinand Mehlinger 9804122781 ferdinand@bluoo.digital 1207 Delaware Ave #483 Wilmington, DE 19806 BUCHAREST, ROMANIA, Aug. 16, 2023 (GLOBE NEWSWIRE) -- New data from CyberGhost VPN, a leading online privacy and security provider, exposes a significant knowledge gap on revenge porn and what to do should you fall victim to this distressing and rapidly increasing crime. CyberGhost VPN is calling for increased awareness and education on revenge porn, in order to help prevent such incidents occurring in the first place and to empower victims to seek support. Respondents unaware of how to seek help The CyberGhost survey, carried out among a sample of internet users over 18 years old, focused on understanding attitudes towards and actions taken around revenge porn. Revenge porn is the distribution of sexually explicit images or videos without the consent of the individual depicted. Over 20% of respondents said they knew someone who had been a victim of revenge porn, while over 8% had been a victim themselves. Strikingly, some respondents highlighted that they encountered revenge porn during their time at school, not just from individuals they had been in a romantic relationship with, but also as a deliberate strategy employed by fellow students for the purposes of bullying or causing embarrassment. In other cases the perpetrator did not actually send the explicit images, but used the threat of doing so as a method of coercion and control. Victims who reported their experience to law enforcement received mixed responses. While some reported that they had been able to successfully bring charges against their harasser, others noted delays to proceedings and a lack of information or support. Globally, 62% of respondents replied that they did not know what to do if they were to become a victim of revenge porn. In the US, there was an even greater lack of awareness regarding what action to take should this happen to them, with 72% of respondents saying they wouldnt know what to do. A stronger legal stance in the US Concerningly, 46.2% of respondents in the US were not aware that revenge porn is illegal despite the fact that nearly all 50 states have laws that outlaw nonconsensual pornography, or revenge porn. Most states make it a criminal offense to disseminate intimate images of someone if the defendant acted with intent to harm. In New York City, a law passed in 2017 goes even further, making it a crime to even threaten to send revenge porn. Victims can also go to family court and get a civil restraining order directing offenders to destroy the victims intimate images in their possession, or face arrest if they continue to distribute them. There are also plans to make revenge porn a federal crime through the proposed Stopping Harmful Image Exploitation and Limiting Distribution (SHIELD) Act, which would criminalize the non-consensual distribution of nude or sexually explicit images, including threatening to do so. Under the legislation, reintroduced in 2023 by U.S. Senators Amy Klobuchar and John Cornyn, offenders could be imprisoned for up to five years. The need for social change Whilst ensuring adequate legal provision for prosecuting these crimes is important, the findings of the CyberGhost VPN survey also demonstrate a critical need for better education and support for victims of revenge porn. A spokesperson for CyberGhost VPN said: "The lack of awareness regarding the illegality of revenge porn and of how to seek justice if you are a victim is deeply concerning. Legal developments in this area have progressed, helping to provide victims with clearer avenues by which to seek justice, yet more still needs to be done socially to combat this issue. Educational initiatives emphasizing the importance of consent, digital privacy, and the legal ramifications of engaging in revenge porn can play a crucial role in helping to prevent it in the first place. Similarly, equipping people with the knowledge of how to better protect themselves online, including how to safeguard their personal information, use secure platforms, and navigate privacy settings effectively, is vital in helping to foster a safer digital environment for all. Rise in revenge porn drives increased awareness of digital privacy Despite a lack of awareness about what to do should you become a victim of revenge porn, the survey results did demonstrate that people had increased the amount of attention they paid to their online privacy as a result of the rise in revenge porn and similar malicious activities. Over 56% of respondents said their increased awareness of this type of crime had made them more cautious about their digital privacy and what they were willing to share online. In particular, nearly 47% said that they took care not to share account logins with others, including for social media, cloud storage and other accounts and 46% said that they were consciously restricting how much they shared online, including their location, age and details about their personal life. Other measures people were using to look after their privacy online included using strong, unique passwords which they updated regularly, using encrypted messaging apps, making sure to logout of social media accounts and apps, and using privacy software including VPNs and ad-blockers. Support for victims The following steps are recommended for those who become a victim of revenge porn: Gather evidence: Take screenshots of all websites or platforms where your private content is being shared, including original or manipulated images, your requests for removal, and any communication with the person responsible. This evidence will be useful for future legal action. Take screenshots of all websites or platforms where your private content is being shared, including original or manipulated images, your requests for removal, and any communication with the person responsible. This evidence will be useful for future legal action. Request content removal: Contact the hosting site directly to request the quickest possible removal of your content. While social media platforms like Facebook, Instagram, and Twitter are not legally responsible for user-generated content, they typically have policies against non-consensual porn. Report your content on these platforms to have it blocked, and also ask for the removal of the images from search engine results such as Google and Bing. Contact the hosting site directly to request the quickest possible removal of your content. While social media platforms like Facebook, Instagram, and Twitter are not legally responsible for user-generated content, they typically have policies against non-consensual porn. Report your content on these platforms to have it blocked, and also ask for the removal of the images from search engine results such as Google and Bing. Know your legal rights: Research the laws in your jurisdiction regarding revenge porn, defamation, copyright infringement, and invasion of privacy. For example, in the United States, the Cyber Civil Rights Initiative provides an overview of revenge porn laws by state, including information on sextortion and https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes. Research the laws in your jurisdiction regarding revenge porn, defamation, copyright infringement, and invasion of privacy. For example, in the United States, the Cyber Civil Rights Initiative provides an overview of revenge porn laws by state, including information on sextortion and https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/deepfakes. Involve law enforcement: With your evidence and legal knowledge, contact local law enforcement or consult with a lawyer to seek legal assistance in removing or deleting the content and holding the perpetrator accountable. With your evidence and legal knowledge, contact local law enforcement or consult with a lawyer to seek legal assistance in removing or deleting the content and holding the perpetrator accountable. Consider hiring a lawyer: An experienced attorney can help navigate the legal process, protecting your rights and increasing the likelihood of achieving a satisfactory outcome. They can assist with filing necessary reports, obtaining court orders to remove the illicit content, and potentially pursuing criminal charges or civil lawsuits against the person responsible. An experienced attorney can help navigate the legal process, protecting your rights and increasing the likelihood of achieving a satisfactory outcome. They can assist with filing necessary reports, obtaining court orders to remove the illicit content, and potentially pursuing criminal charges or civil lawsuits against the person responsible. Seek emotional support: Dealing with non-consensual porn can be traumatic, so it's important to reach out for emotional support if needed. Contact crisis helplines or therapists who specialize in sexual trauma for assistance. In the United States, the CCRI's 24-hour crisis hotline is available, and the organization also provides a directory of country-specific resources for victims outside the US. The National Domestic Violence Hotline also provides specific advice on revenge porn. CyberGhost VPN has long been recognized as a champion of internet privacy and their Privacy Hub contains useful educational resources on many aspects of privacy and cybersecurity. Anyone who has concerns about revenge porn or other online harassment can visit the company's website: https://www.cyberghostvpn.com/en_US/privacyhub/sexting-revenge-porn/ for further advice and assistance. Notes to Editor Please contact Charlotte Scott at CyberGhost VPN at charlotte.scott@cyberghost.com. About CyberGhost VPN Founded in 2011, CyberGhost VPN is dedicated to building a future where privacy online is a basic human right. The companys VPN platform is one of the most reliable in the world, delivering a secure and private online experience to millions of people globally. Just one subscription covers and protects up to 7 devices simultaneously, and their superior network of 10Gbps servers provides customers with excellent speeds and unlimited bandwidth. With an impressive server fleet covering more than 90 countries and over 115 different locations, CyberGhost is a popular choice for those looking for a reliable VPN provider for streaming and gaming. CyberGhost has been part of Kape Technologies since 2017. To learn more about CyberGhost, visit www.cyberghostvpn.com. About the survey CyberGhosts survey was carried out using the survey platform Survey Monkey in June 2023 using a global sample of 1055 people in English, French, German and Spanish. The sample size included respondents aged 18 to 65. 58% of respondents identified as female, 40% male and less than 1% non-binary and transgender. The survey asked questions relating to peoples attitudes and knowledge of both sexting and revenge porn. New York, NY, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Zion Market Research has published a new research report titled Marine Valve Remote Control System Market By Type (Hydraulic, Electric, Pneumatic, And Electro-Hydraulic), By Application (Ocean Vessels And Offshore Vessels), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2023 2030 in its research database. According to the latest research study, the demand of global Marine Valve Remote Control System Market size & share in terms of revenue was valued at USD 369.41 million in 2022 and it is expected to surpass around USD 552.36 million mark by 2030, growing at a compound annual growth rate (CAGR) of approximately 5.16% during the forecast period 2023 to 2030. What is Marine Valve Remote Control System? How big is the Marine Valve Remote Control System Industry? Marine Valve Remote Control System Report Coverage & Overview: The maritime industry has significantly contributed to global trade endeavors. Additionally, value remote control systems find application in numerous sectors, including marine and offshore industries . In addition to this, a marine valve remote control system plays a vital role in ensuring safe operations on both vessels and offshore units, as marine and offshore activities are susceptible to challenges posed by the ocean's harsh environment. The rising demand for tailored solutions and automated monitoring of ships has greatly driven the need for value remote control systems within the marine and offshore sectors. The report on the marine valve remote control system market serves as an essential guide, offering insights into growth drivers, challenges, limitations, and opportunities within the global market landscape. This comprehensive industry report covers geographical markets and provides an in-depth analysis of the competitive landscape. It encompasses various analytical perspectives such as cash flow analysis, profit ratio analysis, market basket analysis, market attractiveness analysis, PESTEL analysis, SWOT analysis, Porters five force analysis, and value chain analysis. Furthermore, the report delves into the investor and stakeholder domain, equipping companies with data-driven insights to make informed decisions. Get a Free Sample PDF of this Research Report for more Insights with a Table of Content, Research Methodology, and Graphs - https://www.zionmarketresearch.com/report/marine-valve-remote-control-system-market (A free sample of this report is available upon request; please contact us for more information.) Our Free Sample Report Consists of the Following: Introduction, Overview, and in-depth industry analysis are all included in the 2023 updated report. The COVID-19 Pandemic Outbreak Impact Analysis is included in the package About 220+ Pages Research Report (Including Recent Research) Provide detailed chapter-by-chapter guidance on Request Updated Regional Analysis with Graphical Representation of Size, Share, and Trends for the Year 2023 Includes Tables and figures have been updated The most recent version of the report includes the Top Market Players, their Business Strategies, Sales Volume, and Revenue Analysis Zion Market Research research methodology (Please note that the sample of this report has been modified to include the COVID-19 impact study prior to delivery.) Global Marine Valve Remote Control System Market: Growth Dynamics The Rising Imperative of Automation in the Maritime Sector Driving Global Market Dynamics The increasing demand for predictive maintenance and automation within the maritime industry is poised to significantly drive the marine value remote control system market's growth in the foreseeable future. This surge is attributed to the growing emphasis on sea route-based trading activities and the transformative impact of the Industry 4.0 revolution , leading to substantial market expansion worldwide. Technological advancements have propelled a surge in product demand. Furthermore, marine valve remote control systems deliver exceptional performance and heightened process control, playing a pivotal role in shaping global market trends. The upsurge in power transmission initiatives and augmented investments in oil and gas reserves exploration activities will further bolster the growth of the market landscape. Notably, innovations in product offerings are anticipated to exert a substantial influence on the market's proliferation across the global arena. For example, Automation Technology Inc, a significant manufacturer and supplier of valve automation products and solutions, introduced the Zero Emission Electro-Hydraulic Actuator Solution to mitigate the carbon footprint associated with pipeline operations. This innovative step is expected to act as a catalyst for market expansion on a global scale. Directly Purchase a copy of the report with TOC @ https://www.zionmarketresearch.com/buynow/su/marine-valve-remote-control-system-market Report Scope Report Attribute Details Market Size in 2022 USD 369.41 million Projected Market Size in 2030 USD 552.36 million CAGR Growth Rate 5.16% CAGR Base Year 2022 Forecast Years 2023-2030 Key Market Players Emerson, Arkay Electronics & Marine Systems Private Limited, Pleiger Maschinenbau, Hoppe Marine, Nantong Navigation Machinery Group Company Limited, Navim Group, ShipServ, SCANA Korea Hydroric Co. Ltd, LESER Valves, NODIC, iflowvalves, Skarpenord AS, Davis Valve, Wartsila, Thomasnet, CSSC, NUOVACEVA Automation, NAKAKITA, AZoM, and KSB. Key Segment By Type, By Application, and By Region Major Regions Covered North America, Europe, Asia Pacific, Latin America, and the Middle East &, Africa Purchase Options Request customized purchase options to meet your research needs. Explore purchase options Marine Valve Remote Control System Market: Segmentation Analysis The global marine valve remote control system market is sectored into type, application, and region. In type terms, The marine valve remote control system market worldwide is categorized into four segments: hydraulic, electric, pneumatic, and electro-hydraulic. Notably, the pneumatic segment emerged as a prominent contender, commanding almost 66% of the global market share in 2022. This segment is anticipated to exhibit the most rapid Compound Annual Growth Rate (CAGR) in the upcoming years. The projected expansion of this segment can be attributed to its adeptness in safeguarding valves and actuators, contributing to its growth momentum in the foreseeable future. Based on the application, The worldwide marine valve remote control system sector is divided into two segments: ocean vessels and offshore vessels. Notably, the offshore vessels segment made a significant contribution to the global industry's market share in 2022 and is projected to assert its dominance on a global scale in the forthcoming years. The anticipated growth of this segment throughout the projected timeline can be attributed to a notable increase in the exploration of oil and gas reservoirs worldwide. Moreover, the flourishing offshore segment owes much of its success to the substantial contribution of oil and gas reservoir activities. The global Marine Valve Remote Control System market is segmented as follows: By Type Hydraulic Electric Pneumatic Electro Hydraulic By Application Ocean Vessels Offshore Vessels Browse the full Marine Valve Remote Control System Market By Type (Hydraulic, Electric, Pneumatic, And Electro-Hydraulic), By Application (Ocean Vessels And Offshore Vessels), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2023 2030 Report at https://www.zionmarketresearch.com/report/marine-valve-remote-control-system-market Competitive Landscape Some of the main competitors dominating the global Marine Valve Remote Control System market include - Emerson Arkay Electronics & Marine Systems Private Limited Pleiger Maschinenbau Hoppe Marine Nantong Navigation Machinery Group Company Limited Navim Group ShipServ SCANA Korea Hydroric Co. Ltd LESER Valves NODIC iflowvalves Skarpenord AS Davis Valve Wartsila Thomasnet CSSC NUOVACEVA Automation NAKAKITA AZoM KSB Key Insights from Primary Research: As per the analysis shared by our research analyst, the global marine valve remote control system market is projected to expand annually at the annual growth rate of around 5.16% over the forecast timespan (2023-2030) In terms of revenue, the global marine valve remote control system market size was evaluated at nearly $369.41 million in 2022 and is expected to reach $552.36 million by 2030. The global marine valve remote control system market is anticipated to record massive growth over the forecast period owing to the escalating need for predictive maintenance and automation in the marine sector. In terms of type, the hydraulic segment is slated to register the fastest CAGR over the analysis period. On the basis of application, the offshore vessels segment is predicted to dominate the segmental surge over the forecast period. Region-wise, the North American marine valve remote control system market is projected to register the highest CAGR during the assessment timeline. Have Any Query? Ask Our Experts: https://www.zionmarketresearch.com/inquiry/marine-valve-remote-control-system-market Key Offerings: Market Size & Forecast by Revenue | 20232030 Market Dynamics Leading Trends, Growth Drivers, Restraints, and Investment Opportunities Market Segmentation A detailed analysis By Type, By Application, and By Region Competitive Landscape Top Key Vendors and Other Prominent Vendors Request for Customization on this Report as per your requirements - https://www.zionmarketresearch.com/custom/7447 (We tailor your report to meet your specific research requirements. Inquire with our sales team about customising your report.) Regional Analysis: The Middle East & Africa holds a significant portion of the global market share throughout the analysis period. Anticipated to maintain its dominance in global market revenue share from 2023 to 2030, the Middle East & Africa garnered a substantial 60% of the global marine valve remote control system market share in 2022. The region's notable market expansion during this evaluation period is attributed to its prominent contribution to global oil production and crude oil exports. Countries like Saudi Arabia, Iraq, Iran, UAE, Kuwait, and Qatar are experiencing a rise in product demand, a factor expected to bolster the regional market's growth. Moreover, the marine valve remote control system sector in North America is poised to achieve the fastest Compound Annual Growth Rate (CAGR) within the assessment period. The industry's momentum in this region is driven by several factors, including the presence of key product manufacturers in countries like the U.S., along with increased investment in marine and offshore activities. Additionally, the surge in oil and gas production and exploration endeavors in the U.S. is set to further augment the regional market's size. By Region North America U.S. Canada Rest of North America Europe France UK Spain Germany Italy Rest of Europe Asia Pacific China Japan India South Korea Rest of Asia Pacific The Middle East & Africa Saudi Arabia South Africa Rest of the Middle East & Africa Latin America Brazil Argentina Rest of Latin America FREQUENTLY ASKED QUESTIONS What is the Marine valve remote control system? Which key factors will influence global marine valve remote control system market growth over 2023-2030? What will be the value of the global marine valve remote control system industry during 2023-2030? What will be the CAGR value of the global marine valve remote control system market during 2023-2030? Which region will record the highest rate of growth in the marine valve remote control system industry globally? Which are the major players leveraging the global marine valve remote control system market growth? To know an additional revised 2023 list of market players, request a brochure of the report: https://www.zionmarketresearch.com/requestbrochure/marine-valve-remote-control-system-market Browse Other Related Research Reports from Zion Market Research: Medical Robotics Systems Market By Product & Service (Instrument & Accessories, Robotic Systems, And Services), By Application (Laparoscopy, Orthopedic Surgery, Pharmacy Applications, Physical Rehabilitation, External Beam Radiation Therapy, Neurosurgery, And Other Applications), By End User (On-Premise Solutions, Cloud-Based Solutions, Hospitals, Ambulatory Surgery Centers, Rehabilitation Centers, And Other End Users), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/medical-robotic-systems-market Gynecology Devices Market By Products (Gynecological Endoscopy Devices, Endometrial Ablation Devices, Fluid Management Systems, Female Sterilization & Contraceptive Devices, Hand Instruments, And Diagnostic Imaging Systems), By End Users (Hospitals, Diagnostic Centers, And Clinics), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/gynecology-devices-market Healthcare Payer Services Market By Service (BPO Services, ITO Services, And KPO Services), By Application (Claims Management Services, Integrated Front Office Service & Back Office Operations, Member Management Services, Provider Management Services, Billing & Accounts Management Services, Analytics & Fraud Management Services, And HR Services), By End-Use (Private Payers And Public Payers), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/healthcare-payer-services-market Ear Infection Treatment Market By Type (Inner Ear, Middle Ear, Outer Ear), Pathogen (Bacteria, Viruses, Others), By Treatment (Drugs, Surgery, Others), By End-User (Hospitals, Clinics, Others), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/ear-infection-treatment-market Peripheral Stent Implants Market By Product (Bare Metal Stents (BMS), Covered Stents, And Drug Eluting Stents (DES)), By Artery Type (Carotid Artery Stents, Fem-Pop Artery Stents, Iliac Artery Stents, And Infrapop Artery Stents), By End-User (Hospitals And Ambulatory Surgical Centers/Outpatient), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/peripheral-stent-implants-market Non-Alcoholic Steatohepatitis Biomarkers Market By Biomarker Type (Serum Biomarkers, Biomarker Panels, Fibrosis Biomarkers, And Imaging Biomarkers), By End-Users (Hospitals, Diagnostic Centers, And Others), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/non-alcoholic-steatohepatitis-biomarkers-market Global Hyperautomation Market By Organizational Size (Small & Medium Enterprise, And Large Enterprise), By Technology Type (Biometrics, Natural Learning Generation, Context-Aware Computing, Chatbots, Robotic Process Automation, And Machine Learning), By Industry Vertical (BFSI, Retail, IT & Telecom, Education, Automotive, Manufacturing, And Healthcare & Life Science), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/global-hyperautomation-market Global Gel Implants Market By Application (Cosmetic Surgery And Reconstructive Surgery), By Product (Silicone Gel Implants And Gummy Bear Implants), By End User (Specialty Clinics, And Hospitals), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/global-gel-implants-market Retrovirus Testing Market By Test Type (Infectivity Assay, Product-Enhanced Reverse Transcriptase (PERT) Assay, Co-Cultivation Assay, Transmission Electron Microscopy, Radio-Immune Assay, Western Blot Analysis, Immunofluorescence, Serological Tests), By Technique Type (High-Throughput Screening, Enzyme-Linked Immuno-Sorbent Assay (ELISA), Polymerase Chain Reaction (PCR)), By Sample Type (Blood, Serum, Body Fluids, Cells), By End-User (Hospitals, Clinics, Diagnostic Laboratories & Centers), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/retrovirus-testing-market Hand Sanitizer Dispenser Market By Type (Portable And Fixed), Modality (Automatic And Manual), By End User (B2B And B2C), By Price Point (Standard And Mass), By Distribution Type (Supermarket/Hypermarket, Online Stores, Convenience Stores, And Others), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/hand-sanitizer-dispenser-market Body Fat Reduction Market By Procedure (Surgical, Non-Surgical), By Gender (Male, Female), By Service Provider (Hospitals, Clinics, Medical Spas, Others) And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/body-fat-reduction-market Global Skin Care Products Market By Product Type (Cream, Lotions, Others), By Demographic (Male, Female), By Age Group (Generation X, Millennial, Generation Z), By Sales Channel (Supermarket/Hypermarket, Specialty Stores, Department Stores, Beauty Stores, Pharma And Drug Stores, Online Sales Channel), And By Region - Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/global-skin-care-products-market Swab And Viral Transport Medium Market By Type (Swab Type, Transport Medium), By Application (Viral Infection Diagnosis, Others), By End User (Hospitals And Clinics, Microbiology Laboratories, Diagnostic Laboratories, Others), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/swab-viral-transport-medium-market Hand Wash Station Market By Portability (Permanent, Portable), Material (Ceramics, Alloys, Others), By End User (Community, Commercial), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/hand-wash-station-market Hair Mask Market By Nature (Natural, Synthetic, Organic), By End User (Household, Commercial), By Distribution Channel (Online, Offline), And By Region - Global And Regional Industry Overview, Market Intelligence, Comprehensive Analysis, Historical Data, And Forecasts 2022 2028: https://www.zionmarketresearch.com/report/hair-mask-market About Zion Market Research: Zion Market Research is a leading market research organization offering industry expertise and scrupulous consulting services to clients for their business development. The reports and services offered by Zion Market Research are used by prestigious academic institutions, start-ups, and companies globally to measure and understand the changing international and regional business backgrounds. Our clients/customers conviction on our solutions and services has pushed us in delivering always the best. Our advanced research solutions have helped them in appropriate decision-making and guidance for strategies to expand their business. Follow Us on LinkedIn: https://www.linkedin.com/company/zion-market-research/ Follow Us on Twitter: https://twitter.com/zion_research Follow Us on Facebook: https://www.facebook.com/zionmarketresearch Follow Us on Pinterest: https://www.pinterest.com/zionmarketresearch/ Follow Us on YouTube: https://youtu.be/Y0Yfi7N8zSs Contact Us: Zion Market Research USA/Canada Toll Free: 1 (855) 465-4651 Newark: 1 (302) 444-0166 India: +91 7768 006 007, +91 7768 006 008 Skype no: +13479038971, +17187054574 Skype no. United Kingdom: +442032894158 Web: https://www.zionmarketresearch.com/ Blog: https://zmrblog.com/ Still, Looking for More Information? OR Want Data for Inclusion in Magazine, Case Study, or Media? LIVERMORE, Calif., Aug. 16, 2023 (GLOBE NEWSWIRE) -- UNCLE Credit Union, is proud to announce it has been awarded the prestigious Top Workplace designation for the second year in a row. This recognition, bestowed by the San Francisco Chronicle and Hearst Communications, reaffirms UNCLE Credit Unions commitment to fostering a positive and engaging work environment for its employees. This achievement is especially significant as it reflects the employee feedback survey administered by Energage Partners, a purpose-driven company that helps organizations turn employee feedback into useful business intelligence and credible employer recognition. The recognition highlights UNCLE Credit Unions unwavering commitment to creating a workplace culture that promotes collaboration, innovation, and employee well-being. "We are thrilled to be recognized as a Top Workplace for the second consecutive year," said Harold Roundtree, CEO and President. "This achievement is a testament to the incredible talent and dedication of our team members. We believe a positive work environment is crucial to driving success, and this recognition further validates our efforts in creating a workplace that fosters growth, teamwork, and employee satisfaction." As UNCLE Credit Union celebrates this achievement, it remains committed to continuously improving its workplace culture and nurturing an environment that empowers employees to thrive. As part of improving workplace culture, UNCLE has implemented the CARE initiative, which is an acronym for communication, accountability, respect, and efficiency. The goal of the CARE initiative is to help build comradery and overall employee satisfaction throughout the organization by implementing an agreement that employees maintain a level of professionalism and respect for their peers, regardless of title or position. About UNCLE Credit Union Established in 1957, UNCLE Credit Union is a $700+ million, full-service financial institution serving approximately 34,000 members. The organization offers the benefits of credit union membership with a full array of financial services to anyone who lives, works, worships, or attends school in Alameda, Contra Costa, San Joaquin, and Stanislaus counties. UNCLE has five financial centers and one sales office located in the San Francisco Bay Area and the Central Valley, as well as 5,600 branches and more than 30,000 surcharge-free ATMs available through participation in the CO-OP Shared Branching Network. In addition, members have access to a wide spectrum of mobile and online banking services. UNCLE Credit Union is renowned for its community involvement, professional and personalized service, and unwavering commitment to helping members achieve their financial goals. To learn more, visit www.unclecu.org. CONTACT: Michele Milz 925.724.2188 mmilz@unclecu.org A photo accompanying this announcement is available at https://www.globenewswire.com/NewsRoom/AttachmentNg/71594fd9-25e4-4138-84d8-d70c078a394c ALBUQUERQUE, N.M., Aug. 16, 2023 (GLOBE NEWSWIRE) -- The Sustainable Forestry Initiative (SFI) is delighted to launch its New SFI Urban and Community Forest Sustainability Standard and recognize the contributions of its partners in the standard development process during the International Society of Arboriculture 2023 Annual International Conference. This marks a new and important chapter in SFIs mission to advance sustainability through forest-focused collaboration. Finally, a standard exists for urban and community forests, and we have an opportunity to make a difference for millions of people across North America, and potentially globally. Im so proud to be at the ISA International Conference to celebrate the new SFI Urban and Community Forest Sustainability Standard and thank all our partners for their contributions to get us to this point, said Kathy Abusow, President and CEO, SFI. More than two years ago, SFI and its partners - American Forests, Arbor Day Foundation, the International Society of Arboriculture, the Society of Municipal Arborists, and Tree Canada, saw a need and an opportunity to provide leadership in urban and community forestry and raise the profile of their importance as green infrastructure and nature-based solutions to human health, disparity, and environmental sustainability. The new SFI Urban and Community Forest Sustainability Standard will contribute to the resiliency and sustainability of communities, large and small, using trees and forests as natural solutions to improve community health and address the challenge of climate change. As the global credentialing organization for arborists and urban foresters, we understand the importance and value of this new standard, said Caitlyn Pollihan, CEO and Executive Director, ISA. Standards and their associated certifications are effective at increasing engagement and improving performance. ISA certification and qualification holders will be key subject matter experts for the organizations looking to achieve this new SFI Urban and Community Forest Sustainability Standard. The new SFI Standard is appropriate for organizations that own, manage, or are responsible for urban and community forests. SFI and its partners look forward to raising awareness and promoting the new SFI Standard to achieve certifications among government organizations (municipalities, counties, states, provinces), Indigenous Peoples, community groups, healthcare organizations, universities, corporate campuses, and many others. The new SFI Urban and Community Forest Sustainability Standard is a great complement to the Arbor Day Foundations Tree City USA program as it inspires continued growth, sophistication and management of trees in our cities and towns. Urban forests provide countless social, economic and environment benefits for communities and maintaining the vitality of these resources is essential, said Dan Lambe, President, Arbor Day Foundation. Urban forests provide many social and economic benefits including improved health and well-being, social cohesion and accessibility, outdoor learning environments, reduced air pollution and improved urban design. They are also critical for climate change resilience and to promote nature-based solutions. Considering the value of the benefits and how many people around the world live in cities and towns, maintaining the vitality of these resources is essential. This new standard recognizes the tremendous value urban and community forests have in storing carbon, abating stormwater, filtering air and water, providing wildlife habitat and creating jobs. Our urban forests not only contribute to local economies, theyre critical infrastructure to mitigate climate change, improve quality of life, cool cities and save lives. By providing a standard that gives benchmarks and guideposts, any best practices brought forward will benefit all urban forests consistently, fortifying the health and resilience of urban and community tree canopy into the future, said Jad Daley, President and CEO, American Forests. The Society of Municipal Arborists, as the professional membership association for the people who make or support daily tree planting and care decisions in communities, is thrilled to support SFIs new Urban and Community Forestry Sustainability Standard. Our members are on the front lines of urban forestry work and everyday, their decisions impact millions of trees and people. The SFI Standard will take their work to new heights while also providing an opportunity to acknowledge the amazing work being done, said Leslie Berckes, Executive Director, Society of Municipal Arborists. With over 80% of Canadians living in urban areas, and the increasing impacts of climate change on our communities, the need for heathy urban forests is more important than ever. Local governments and organizations of all sizes will benefit from SFIs new Urban and Community Forestry Sustainability Standard, as it provides clear guidance and best practices to maintain and enhance urban trees and forest with long-term capacity-building in mind. Tree Canada is proud to be part of this watershed moment that will certainly contribute to more resilient ecosystems, healthier people and greener communities, said Nicole Hurtubise, Chief Executive Officer, Tree Canada. The standard development process included two public comment periods, multiple pilots, and a red team review by an external group of experts. Thank you to our partners: American Forests, Arbor Day Foundation, the International Society of Arboriculture, the Society of Municipal Arborists, and Tree Canada, as well as the SFI Urban and Community Forest Sustainability Standard Development Task Group, who collaborated to develop the Standard. Trees are key to healthier, happier, safer communities and standards are key to more sustainable urban forests. This is an inflection point in urban and community forestry. It has been an honor to be a part of developing this new tool, said Paul Johnson, Senior Director Urban and Community Forest, SFI. Quick Facts Forest certification has existed for decades; however, a standard has not yet existed for urban and community forests. Standards and their associated certifications are effective at increasing engagement and improving performance. They are sector-developed guidelines for the appropriate planning, management, and care of a resource and their associated benefits and risks. Standards do not merely provide a roadmap to sustainable management; they also allow organizations to prove their efforts through third-party certification. The SFI Urban and Community Forest Sustainability Standard promotes sustainable urban and community forests based on 16 objectives. Organizations from all facets of the urban and community forest sector may seek certification, including governmental organizations (i.e., municipalities, counties, states, provinces), non-governmental organizations, Indigenous Peoples, community groups, healthcare organizations, educational organizations, corporate organizations, and others. Urban forests increase our quality of life by promoting mental well-being and encouraging physical activity. They reduce air pollution, cool temperatures in the summer and protect biodiversity. More than 80% of Americans and Canadians live in cities. About the Sustainable Forestry Initiative The Sustainable Forestry Initiative (SFI) advances sustainability through forest-focused collaborations. We are an independent, non profit organization that leverages four interconnected pillars of work: standards, conservation, community, and education. SFI works with the forest sector, conservation groups, academics, researchers, brand owners, resource professionals, landowners, educators, local communities, Indigenous Peoples, and governments. Collaborating with our network, we leverage SFI-certified forests and products as powerful tools to help solve sustainability challenges such as climate action, conservation of biodiversity, education of future generations, and sustainable economic development. Learn more: forests.org Media Contact Christine Leduc Vice-President, Communications Sustainable Forestry Initiative media@forests.org 249-361-5173 A photo accompanying this announcement is available at https://www.globenewswire.com/NewsRoom/AttachmentNg/0008bc30-55a0-4359-930a-92612ba81373 Orange, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Orange, California - Napolin Accident Injury Lawyer Orange County, a firm offering personal injury law services in Orange, CA, which offers the services of a motorcycle or truck accident lawyer near me Orange residents can rely on, has recently release a blog post on how they can help motorcycle accident victims. Riding a motorcycle in California is dangerous with the high-speed, heavily congested highways of the state. Motorcyclists dont have the protection of seat belts or enclosed vehicle structures and motorcycle accidents often result into catastrophic injuries and damage. Accident victims need the help of a motorcycle accident lawyer because most of them who suffer head injuries or broken bones are eligible for compensation as long as they were not the cause of the accident. Alexander D. Napolin from Napolin Accident Injury Lawyer Orange County says, When you or a family member has suffered an injury in an accident, the first thing you should do is focus on healing and well-being. Let us handle the rest. Our lawyers are experienced and aggressive when it comes to motorcycle accident claims. If we believe you have a case, we will not hesitate to take it to trial. We provide information on the legal rights of consumers. We also utilize contingency fee billing, which implies that clients are not charged until there is a successful recovery. Alexander D. Napolin is also an experienced injured child lawyer near me Orange residents can go to. Parents may find it terrifying to find their kid injured. Luckily, children have bodies that are more flexible compared to the bodies of adults, which is why they are less likely to experience permanent health problems due to an accident, such as a car accident. Nevertheless, if the child injury is severe, it can affect the quality of their life for the rest of their life. Parents of children injured in an accident are advised to consult with a personal injury lawyer who understands children. Attorney Napolin has substantial experience in working with kids and their families when he handled the case of children catastrophically hurt in the Childrens Dental Group, Anaheim lawsuits. Unique issues can affect the children and their injuries can result into severe mental suffering that can affect the kids for the rest of their lives. Thus, they need a serious advocate who is passionate in getting best possible outcome for the case. Getting the proper compensation for current and future expenses can help alter the physical and emotional impact on the children. Napolin Accident Injury Lawyer Orange County can also help with workplace accident cases. Workplace injury is covered by workers compensation but the claims process in California can be complex, and workers may not get the full compensation they are entitled to. This is where the workplace accident lawyer comes in. They fully understand the workers compensation system and can help the client navigate the claims process. They can also help in considering other possible options, such as personal injury claims, to make sure the client will get the full compensation they deserve. They can offer guidance, support, and legal representation throughout the entire claims process, and they are always available to answer the questions of their clients. Launched in 2011 by Alexander D. Napolin, Napolin Accident Injury Lawyer Orange County has developed the reputation of being one of the leading personal injury law firms in Orange, CA and nearby areas. The firm has gained a lot of successes in the cases they have handled due to the one-of-a-kind strategy of Alexander D. Napolin. After offering legal help to accident victims for more than 10 years, the firm has established a record of success for thousands of accident injury cases. https://www.youtube.com/watch?v=A3pr0KF-pe4&t=1s When in need of the services of a personal injury lawyer or a work injury lawyer Orange residents can trust, people can visit the Napolin Accident Injury Lawyer Orange County website or contact them on the telephone or through email. They are open from 8:00 am to 5:00 pm, from Monday to Friday. ### For more information about Napolin Accident Injury Lawyer Orange County, contact the company here: Napolin Accident Injury Lawyer Orange County Alexander D. Napolin 714-451-2006 hurt@napolinlaw.com 2230 West Chapman Avenue, Ste. 234 Orange, California 92868 Bahrains Ministry of Youth Affairs and ThinkSmart for Development and Training have organised multiple workshops related to their projects Forsati and SmartCoders at Bahrain Youth City 2030. Events and Programs Director at the Ministry of Youth Affairs, Abdulkareem Almeer said: "We are proud to collaborate with different entities for Youth City 2030 with the aim of benefiting the Bahraini youth. ThinkSmart is one of the entities that we have collaborated with to provide three training workshops in the Science and Technology Center. We are sure that the outcome of these workshops will be amazing as these workshops are in high demand from the participants." Youth City 2030 is a comprehensive capacity and skills development initiative that revolves around empowering the youth of Bahrain, recognising them as a driving force in the country. Launched initially in 2010 by the Ministry of Youth Affairs, the annual event has become a prominent training initiative for young people in Bahrain to further qualify them and provide them with all the possibilities to unlock their creative energies. This years edition takes place from August 1 to 31 at Exhibition World Bahrain in Zallaq. ThinkSmart Group CEO Ahmed Al Hujairy said: It is a great chance for us to participate in Youth City under the Ministry of Youth Affairs. Our projects are powered by Microsoft and Tamkeen and aim to help the young Bahrainis to reach their maximum potential." ThinkSmarts General Manager Aakash Munjal said: We are happy to be a part the Youth City. This is a huge opportunity for our young students to learn more about Forsati and SmartCoders Projects and what they provide. We are always committed to offering our students a unique career development journey from training to certification, followed by job training through internships. ThinkSmart for Development and Training is a Leading ICT training provider with over two decades of training experience in the Gulf. - TradeArabia News Service Vancouver, August 16, 2023 - Starcore International Mines Ltd. (TSX: SAM) ("Starcore" or the "Company") announces that it has entered into a Share Exchange Agreement with EU Gold Mining Inc. ("EU Gold"), a private company holding mineral property interests in Cote d'Ivoire, whereby Starcore will acquire all of the issued and outstanding shares of EU Gold in exchange for Starcore shares. The Share Exchange Starcore will be issuing 7,883,333 shares to acquire the EU Gold shares. This will represent approximately 12.4% of the post-acquisition issued and outstanding shares of Starcore. Included in the shares issued to EU Gold shareholders will be 3,000,000 shares of Starcore issued to current management and directors of Starcore who hold an interest in EU Gold. (See "Other" below.) The calculation of shares to be issued was based on two-thirds of one Starcore share for each one EU share, with a VWAP for Starcore at $0.15 applied to EU Gold shares. As at the date of the Share Exchange Agreement, EU Gold had approximately $630,000 of working capital to be used for its first-year exploration program. Why EU Gold The acquisition of EU Gold is Starcore's gateway into a project more commonly known as the Kimoukro Gold Project located in the West African country of Cote d'Ivoire (the "Kimoukro Project"). By acquiring EU Gold, Starcore assumes all of the rights and obligations contained in a Mineral Property Option Agreement that EU Gold entered into with K Mining SARL ("K Mining"), an Ivorian gold exploration company in Abidjan, Cote d'Ivoire. K Mining owns four gold exploration permit applications covering 830 km2, which includes the Kimoukro Project which covers 14.48 km2. With the Share Exchange, EU Gold will become a wholly-owned subsidiary of Starcore, giving Starcore the sole and exclusive right and option (the "Option") to acquire from K Mining all of its right, title and interest in and to the Kimoukro Project. The Option calls for the following consideration: (i) payment to K Mining of an aggregate of $400,000; (ii) issue to K Mining of 8,666,667 shares of Starcore; and (iii) incur an aggregate of US$3,750,000 of expenditures on the Kimoukro Project (collectively the "Option Price"), in accordance with the following schedule: pay $400,000 to the K Mining as to: $100,000 on or before 12 months from February 17, 2023 (the "Effective Date"); an additional $150,000 on or before 24 months following the Effective Date; and an additional $150,000 on or before 36 months following the Effective Date; issue 8,666,667 shares of Starcore to be held in escrow and released as to: one-third within 12 months following the Effective Date; one-third within 24 months following the Effective Date; and the balance of one-third within 36 months following the Effective Date; and incur at least US$3,750,000 of expenditures on the Kimoukro Project as to: at least US$750,000 on or before 12 months following the Effective Date; an additional US$1,500,000 on or before 24 months following the Effective Date; and an additional US$1,500,000 on or before 36 months following the Effective Date; The Kimoukro Project is burdened with a 2% Net Smelter Royalty, which Starcore has the right to purchase on the basis of $1 million for each 1% of royalty. The Kimoukro Gold Project Ivory Coast The Kimoukro gold project (the "Property") is located in the Lac region of central Ivory Coast, some 40 km south of the capital, Yamoussoukro. The property is easily accessible by the A4 paved road, which crosses the property passing from the Kimoukro village; a mid-tension power grid runs parallel to the road. The area is flat. The vegetation is savannah and little forest; cocoa plantations and small-scale agriculture support the local economy. Artisanal mining is widespread in the area and covers over 1 sq km within the property. The geological context is of a Paleoproterozoic greenstone belt, part of the Birimian orogeny of West Africa. The Fetekro-Oume greenstone belt stretches NNE-SSW for over 170 km, and hosts several gold deposits and prospects, with the northeastern portion of the belt is actively explored (i.e., the Toumodi prospect, 15 km west of the property; the B; a new mine will be in production in 2024 (Lafigue mine of Endeavour Mining, with 2.5 MOz Au reserves). The gold mineralisation in the Fetekro-Oume greenstone belt includes examples of shear- hosted lode gold, sheeted veins, intrusion-related veins; supergene mineralisation in regolite and soil is also significant. The Property is actually largely unexplored and untested. Highlights from the available information are: The local geology is similar to other mineralised sites nearby. The major contacts between greenstone and gneiss, on the west side, along with the presence of syn- post-deformation intrusive bodies and spatially related brittle-ductile structures, are highly perspective for the mineralisation. A 0.5 Km 2 wide gold anomaly zone in soil exceeding 50 ppb Au, is confirmed in the central part of the Property; the anomaly is part of a broader zone stretching more than 6 Km from the Kokumbo area, and it is open to north and west. Consolidated artisanal mining activity (soil panning) confirms widespread supergene mineralisation. Several mineralised veins are being worked by artisanal miners; the mineralised veins are white or smoky, made up by quartz-albite-carbonate; tiny sulphides and free gold have been observed. The veins are present mostly over the granite-granodiorite body in the central part of the Property, however, they cut the other volcano sedimentary units as well. The mylonite zone deforms some early veins and show disseminated sulphides and gold values. Geology General Setting: The Property area is mainly covered by soil (laterite, saprolite) up to some 10 m thick; locally, a thin alluvial cap is present. The local geology of the Kimoukro Property is therefore sketched on the base of the few outcrops and mining tailings, and accounting for the available geophysical data. Direct information derives almost exclusively from two of the former licenses that were further merged in K Mining SARL. The local geology is characterised by a sequence of lower greenschist facies rocks of the Paleoproterozoic volcanic arc of the Toumodi volcanic group, including, from the bottom: basalts (massif and pillowed), fine-grained mafic sandstones and siltstones, and interbedded felsic tuffs and mafic sandstone. The sequence is intruded by granitoids, including a siliceous, brecciated granite, a two-micas granodiorite, and some dykes. The southern contact of the granodiorite with the schistose metasedimentary rocks, in the central part of the Property, is marked by a ductile to brittle mylonite zone, which roughly trends WNW. The greenstone belt is surrounded by TTG granites: a granite-gneiss suite representing continental crust, which is found in-between the Birimian greenstone belts. Lithology description Granitoids referrable to the diorite-tonalite- granodiorite-granites suites (Gn) are present in the north-western and southern part of the Property. These rocks represent the older intrusive suite (pre-orogenic? >2.1Ga), part of the granite-gneiss domains in between the greenstone belts of Ivory Coast. The other rocks in the Kimoukro Property are part of the Tumodi Volcano-sedimentary sequence and are affected by lower greenschist phase metamorphism. The lithologic units recognized so far are: Basalts (b): massive, very fine-grained, black to dark grey/greenish mafic rocks, referrable to the basal tholeiitic sequence. The unit crops out in the Bandama river, and trend NNE- SSW. These rocks are intruded by aplitic/granitic dykes, and are cut by quartz veinlets N-S oriented. Finely-bedded sandstones (FBS): outcrops of this unit are found in few artisanal pits and pebbles from several shafts; accordingly, this unit dominates the central area of the Property. It occurs as fine-grained, greyish to dark green/grey in colour, and has mafic (andesitic?) composition; the stratigraphic layering is well recognizable. A volcanoclastic origin is inferred by petrography study. The bedding strikes between N160 and N15, steeply dipping, and is partially transposed by the concordant S1 foliation. Crenulation cleavage (S2) is observed in outcrop and thin section. Joints and quartz-carbonate veinlets postdate the S2. Thick bedded andesitic sandstone (TBS): this unit is found in the eastern part of the Property, according to the rejects found at few artisanal mineworks. No direct information is available. Granitoids (G): This group of rocks intruded the volcanoclastic sequence and thus are interpreted as part of the syn-post orogenic intrusive suites. The description in hand samples and petrography descriptions report weakly deformed, bleached granitoids, with albitic and sericitic (to white mica) static replacement over feldspars; the interpreted protoliths are biotite granodiorites to granites. The alteration overprint postdates the deformation. Mylonite (M): fine-grained dark grey, foliated rocks which derive from the volcanoclastic units, are found at the granite contact in the central part of the Property. The tectonic fabric in thin section shows ductile to brittle-ductile progressive deformation (i.e., brecciation and brittle shear deforming the mylonite fabric). The last recognized deformation is marked by kinks and brittle structures (i.e., dilation veinlets), and crosscuts all the previous structural features. The position of the mylonite, its general trend (WNW-ESE) and the deformation at the edges within the granite (for the limited observation to date) is compatible with the granitoid (G) emplacement. Structure A general NNW-SSE trend of the lithologic contacts and main foliation (S1) throughout the Property is inferred from the few field data, the IP survey completed in the central part of the Property, and from the regional magnetic map (historic exploration data) and remote- sensing interpretation available to date. The general trend is NNE-SSW and is parallel to the regional setting of the Fetreko-Oume greenstone belt. The volcanic and volcanoclastic sequence depict a tight syncline fold, which is in contact with the granite-gneiss domain by means of a regional shear zone to the east (the N'Zi-Brabo shear zone), and a west-verging, steep thrust contact to the west. Splays from the main shear zone crosscut the greenstone belt with inferred sinistral sense of shear. The Kimoukro Property lies on the western flank of the wider syncline fold. This S1 tectonic grain is deformed by crenulation cleavage (S2) and likely, by large scale gently folding, which in literature, is generally related to strike-slip structures. Some veins seem to be parallel to this foliation; furthermore, the late stages of the deformation are related to brittle-ductile structures (D3 event; S3 planar features), which are mineralised in other deposit of the greenstone belt. These structures, similarly to the brittle-ductile shearing observed in mylonites, are the most prospecting structures for exploration, at the current stage of knowledge. Mineralisation The gold mineralisation occurs primary as mineralised quartz veins; several veins are exploited by artisanal miners: the trends of the veins observed in the field are NNW-SSE. The veins have similar mineral assemblage: quartz+albite+carbonatesericitesulphides; veinlets are also present. At the vein edges, alteration haloes are usually limited to cm-size; however, the sericite+carbonatealbite replacement is widespread in all the samples studied under microscope. The SEM study revealed also pyrite, galena and sphalerite, occasional native copper and free gold. The latter is also observed in hand samples. The mineralised veins are found in the cataclastic granitoid (2 mica granodiorite), as well as in the volcanoclastic sequence. Their strike length is unknown, however, a minimum length of few tens of meters is inferred, with potential of more than 100 m. The thickness of the observed exploited veins is between 10 and 50 cm. Considering the structures inferred from the available geophysics and the mapped veins, the geometry fits a Riedel-type fracturing system related to the mineralisation. The lab assay results on the few mineralised rock samples analysed, range from 10 to over 30 g/t Au; handheld XRF readings overall confirmed the occurrence of gold and the grade range. The supergene mineralization is widespread in the lateritic cover, and the saprolite and saprock, which are the main focus of the artisanal mining. The style of mineralisation is compatible with structurally controlled vein system, likely related to the emplacement and cooling of the granodiorite intrusive. The adjacent Kokumbo deposit, on the other hand, has mineralisation linked to a tonalite intrusive, roughly lying on strike with the Kimoukro mineralisation. A genetic model for the mineralisation at Kimoukro is then not obvious at the current state of knowledge. Riccardo Aque, Ph.D., Eurogeol, is the Company's qualified person on the project as required under NI 43-101 and has prepared or reviewed the technical information contained in this press release. The NI 43-101 compliant technical report entitled "Kimoukro Gold Project, Toumodi Department, Cote d'Ivoire" dated July 16, 2023 and authored by Riccardo Aque and Diego Furesi is filed on www.sedar.com. For more related information please visit: www.starcore.com. Other Three of Starcore's directors and officers, namely Robert Eadie, Pierre Alarie and Gary Arca, have an interest in the transaction by being shareholders of EU Gold. The Share Exchange was approved by Starcore's Board of Directors, with the three directors abstaining from voting. The issuance of any shares to insiders will constitute a "related party transaction", as defined under Multilateral Instrument 61-101 ("MI 61-101"). Such participation will be exempt from the formal valuation and minority shareholder approval requirements of MI 61-101 as neither the fair market value of the securities to be issued to the insiders, nor the consideration for the securities, will exceed 25% of Starcore's market capitalization. Qualified Person The scientific and technical disclosure in this news release has been supervised and approved by dr. Riccardo Aque, Ph.D. Eurogeol., a Qualified Person as that term is defined in NI 43-101. He is independent of the Company. About Starcore Starcore International Mines is engaged in precious metals production with focus and experience in Mexico. While this base of producing assets is complemented by exploration and development projects throughout North America, Starcore is driven to expand its reach internationally. The Company is a leader in Corporate Social Responsibility and advocates value driven decisions that will increase long term shareholder value. You can find more information on the investor friendly website here: www.starcore.com. ON BEHALF OF STARCORE INTERNATIONAL MINES LTD. Signed "Robert Eadie" Robert Eadie, Chief Executive Officer FOR FURTHER INFORMATION PLEASE CONTACT: ROBERT EADIE Telephone: (604) 602-4935 The Toronto Stock Exchange has not reviewed nor does it accept responsibility for the adequacy or accuracy of this press release. This news release contains "forward-looking" statements and information ("forward-looking statements"). All statements, other than statements of historical facts, included herein, including, without limitation, statements relating to the terms of the share exchange, the participation of certain insiders, future work plans, the use of funds, and the potential of the Company's projects, are forward looking statements. Forward-looking statements are based on the beliefs of Company management, as well as assumptions made by and information currently available to Company management and reflect the beliefs, opinions, and projections on the date the statements are made. Forward-looking statements involve various risks and uncertainties and accordingly, readers are advised not to place undue reliance on forward-looking statements. There can be no assurance that such statements will prove to be accurate, and actual results and future events could differ materially from those anticipated in such statements. There is no assurance that the Company will be able to complete the acquisition of EU Gold on the terms set out above, or at all. The Company assumes no obligation to update forwardlooking statements or beliefs, opinions, projections or other factors, except as required by law. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177400 /NOT FOR DISSEMINATION IN THE UNITED STATES OR TO UNITED STATES NEWSWIRE SERVICES/ VANCOUVER, Aug. 16, 2023 - Nevada Sunrise Metals Corp. ("Nevada Sunrise" or the "Company") (TSXV: NEV) (OTC: NVSGF) is pleased to announce a non-brokered private placement (the "Offering") for gross proceeds of up to $1,600,000 consisting of 20,000,000 units (the "Units") at a price of $0.08 per Unit, each Unit consisting of one common share of the Company and one common share purchase warrant (a "Warrant"). Each Warrant will entitle the holder to purchase one common share at a price of $0.12 for a period expiring 2 years from the closing date of the Offering. Proceeds of the Offering will be used for: Completion of a National Instrument 43-101-compliant mineral resource estimate for the Gemini Lithium Project located in Esmeralda County, Nevada ("Gemini"); Completion of a preliminary economic assessment (or "PEA") in conjunction with the release of a maiden mineral resource estimate for Gemini; Exploration work on the Company's mineral properties; Other lithium property investigations, and general working capital. Finder's fees may be payable to parties at arm's length to Nevada Sunrise that have introduced the Company to certain subscribers participating in the Offering. The Offering is subject to acceptance of the TSX Venture Exchange. About Nevada Sunrise Nevada Sunrise is a junior mineral exploration company with a strong technical team based in Vancouver, BC, Canada, that holds interests in lithium, gold, and copper exploration projects located in the State of Nevada, USA. Nevada Sunrise owns 100% interests in the Gemini, Jackson Wash and Badlands lithium projects, with no applicable royalties, all of which are located in the Lida Valley basin in Esmeralda County, NV, located just east of the Clayton Valley basin, which hosts the only producing lithium mine in the United States at Silver Peak, NV. The Company owns Nevada water right Permit 86863, also located in the Lida Valley basin, near Lida, NV. The Company's key gold asset is a 20.01% interest in a joint venture at the Kinsley Mountain Gold Project near Wendover, NV with CopAur Minerals Inc. Kinsley Mountain is a Carlin-style gold project hosting a National Instrument 43-101 compliant gold resource consisting of 418,000 indicated ounces of gold grading 2.63 g/t Au (4.95 million tonnes), and 117,000 inferred ounces of gold averaging 1.51 g/t Au (2.44 million tonnes), at cut-off grades ranging from 0.2 to 2.0 g/t Au 1. The Company has elected not to contribute to the 2023 exploration program at Kinsley Mountain and expects to incur dilution of its participating interest in the joint venture to an approximate 19.0% interest. 1 Technical Report on the Kinsley Project, Elko County, Nevada, U.S.A., dated June 21, 2021 with an effective date of May 5, 2021 and prepared by Michael M. Gustin, Ph.D., and Gary L. Simmons, MMSA and filed under New Placer Dome Gold Corp.'s Issuer Profile on SEDAR (www.sedar.com). Nevada Sunrise has the right to earn a 100% interest in the Coronado VMS Project, located approximately 48 kilometers (30 miles) southeast of Winnemucca, NV. FORWARD LOOKING STATEMENTS This release may contain forward-looking statements. Forward looking statements are statements that are not historical facts and are generally, but not always, identified by the words "expects", "plans", "anticipates", "believes", "intends", "estimates", "projects", "potential" and similar expressions, or that events or conditions "will", "would", "may", "could" or "should" occur and include disclosure of anticipated exploration activities. Although the Company believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in forward looking statements. Forward-looking statements are based on the beliefs, estimates and opinions of the Company's management on the date such statements were made. The Company expressly disclaims any intention or obligation to update or revise any forward-looking statements whether as a result of new information, future events or otherwise. Such factors include, among others, risks related to future plans for the calculation of a mineral resource estimate and a PEA at the Gemini Lithium Project; reliance on technical information provided by third parties on any of our exploration properties; changes in mineral project parameters as plans continue to be refined; current economic conditions; future prices of commodities; possible variations in grade or metallurgical recovery rates; failure of equipment or processes to operate as anticipated; the failure of contracted parties to perform; labor disputes and other risks of the mining industry; delays due to pandemic; delays in obtaining governmental approvals, financing or in the completion of exploration, as well as those factors discussed in the section entitled "Risk Factors" in the Company's Management Discussion and Analysis for the Six Months ending March 31, 2023, which is available under Company's SEDAR profile at www.sedar.com. Although Nevada Sunrise has attempted to identify important factors that could cause actual actions, events or results to differ materially from those described in forward-looking information, there may be other factors that cause actions, events or results not to be as anticipated, estimated or intended. There can be no assurance that such information will prove to be accurate as actual results and future events could differ materially from those anticipated in such statements. Nevada Sunrise disclaims any intention or obligation to update or revise any forward-looking information, whether as a result of new information, future events or otherwise. Accordingly, readers should not place undue reliance on forward-looking information. Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. SOURCE Nevada Sunrise Metals Corp. Up to 10,000 tonnes of copper concentrate to be exported via Angolan Lobito Ocean Port in Q4 2023 MOU is first commercial agreement under new concession for exporting metals from the DRC using the Lobito Atlantic Rail Corridor Distance from Kamoa-Kakula to Lobito Port is just over half that compared with Durban, South Africa Rail corridor passes through Ivanhoe's Western Foreland licences and within five kilometres of Kamoa-Kakula Rail corridor set to transform economic and social development of the DRC, as well as its neighbouring countries, accelerating regional industrialization The ceremony celebrating the award of the 30-year concession to new rail operator was attended by the Presidents of DRC, Angola and Zambia Kolwezi, August 16, 2023 - Ivanhoe Mines (TSX: IVN) (OTCQX: IVPAF) Executive Co-Chair Robert Friedland and President Marna Cloete announce today that Kamoa Copper S.A. (Kamoa Copper) has signed a memorandum of understanding (MOU) with Lobito Atlantic International SARL ("LAI", or the "Consortium"), for the transportation of Kamoa-Kakula's copper concentrate by rail to the Atlantic Ocean port of Lobito in Angola. The rail line linking the DRC Copperbelt to the port of Lobito, Angola is known as the "Lobito Atlantic Railway Corridor" or "Lobito Corridor". The rail line extends for 1,739 kilometres from Lobito to Kolwezi in the DRC, passing within five kilometres of the Kamoa-Kakula licence boundary and through the Western Foreland exploration licences (shown in Figure 2). In July 2022, the Consortium was formally awarded a 30-year concession for railway services and support logistics in Angola. LAI is a consortium comprised of leading global commodities trading group Trafigura Pte Ltd; Mota-Engil Engenharia e Construcao Africa SA ("Mota-Engil"), an international construction and infrastructure management company that first started operating in Angola in 1946; and Vecturis SA, an independent rail operator. The Consortium has committed to invest $455 million in Angola and up to a further $100 million in the DRC on the improvement of the Lobito Corridor's rail infrastructure, capacity and safety, including rolling stock consisting of over 1,500 wagons and 35 locomotives. There is also potential for additional investment in the future as the opportunity is explored to further extend the Lobito Corridor into Zambia. The Lobito Corridor will reduce pressure on the country's other logistics corridors. This is expected to not only reduce the cost of exporting from, but also reduce the cost of importing into the DRC Copperbelt. Thereby, accelerating DRC's, social and economic development, as well as the industrialization of the wider region. The President of the Democratic Republic of Congo, His Excellency Mr Felix Tshisekedi, made the following comments in a recent television interview with La Radio-Television Nationale Congolaise (RTNC): "I am very committed to cooperation with our neighbours. We gain two partners in the [Lobito Corridor] project, Angola and Zambia. This will benefit our populations nearby and further away Indeed that is the goal, to create national integration, resulting in ease of movement for goods and people inside our country... So that the various regions can engage in trade among themselves." "We have no time to stop and enjoy our small victories. We need to work hardThe interest of the people must be taken into account and we are focused on that The priority for me is to protect our population and ensure they are healthy I want this country to be stable so that we can focus on our development over the long term." Link to full interview: https://www.youtube.com/watch?v=u3llKuoPqlk Ivanhoe Mines' Founder and Executive Co-Chairman, Robert Friedland commented: "The Lobito Corridor is set to become a crucial trade route for copper and other critical minerals from a uniquely strategic region of Africa metals that are so desperately needed for our planet's energy transition. Thanks to the forward-thinking investments by our shareholders CITIC, who originally upgraded the port and rail line, there is now the possibility of open access rail from the Copperbelt to the deep-water, Atlantic Ocean port of Lobito. This type of modern infrastructure will be critical as mines like Kamoa-Kakula continue to expand, and as more tier-one copper discoveries are made in the Western Foreland... the best copper hunting ground on the planet. "The Lobito Corridor is the shortest and most direct export and import route from the Copperbelt to the seaborne international market, which should provide for quicker turnaround times and lower costs. Most importantly, logistics on the rail corridor will incur significantly lower carbon emissions than the alternative by truck - further enhancing Kamoa-Kakula's commitment to produce ultra-green copper. "The U.S. Government's support for the Lobito Corridor and proposed financing highlights the need for a coordinated global effort to upgrade infrastructure in sub-Saharan Africa. To tackle the challenges of climate change, international cooperation is essential in developing responsible supply chains. This collaboration must involve local communities, ensuring a sustainable and ethical approach to extracting minerals in the areas where they are found." Figure 1. Map of export routes currently used by Kamoa-Kakula in red, as well as the Lobito Railway Corridor route in orange. Logistics costs account for over 30% of Kamoa-Kakula's total cash costs (C1), due to the long in-land distances travelled by road for exports to reach port. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_002full.jpg An initial trial shipment of up to 10,000 tonnes of copper concentrate from Kamoa-Kakula's Phase 1 and 2 concentrators will be transported along the Lobito Rail Corridor in Q4 2023. Once at the port of Lobito, the concentrate will be sold to international markets. Information will be gathered from the trial shipment on greenhouse gas (GHG) savings, transit times, operating costs and other operational factors. Currently, Kamoa-Kakula trucks its copper concentrates by road across sub-Saharan Africa to the ports of Durban in South Africa, Dar es Saleem in Tanzania, Beira in Mozambique, and Walvis Bay in Namibia, from where they are shipped onto international markets. Approximately 90% of the concentrates are shipped from Durban and Dar es Saleem. Not only is the distance to the port of Lobito from Kamoa-Kakula greatly reduced (see Figure 1), but also transportation by rail is both quicker and significantly less energy intensive. Once fully operational, the Lobito Atlantic Railway Corridor could significantly improve the logistics costs and carbon footprint of exporting metals from the Kamoa-Kakula Copper Complex, the Kipushi zinc-copper-germanium-silver mine, as well as the future development of any copper discoveries within the Western Foreland Exploration Project. Figure 2. Map of Kamoa-Kakula and Western Foreland licences with local road and rail infrastructure. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_003full.jpg On July 4, 2023, a ceremony took place in Lobito, hosted by the Municipal Administration of Lobito, marking the transfer of railway services and support logistics of the Lobito Atlantic Railway Corridor to the Consortium. The event was attended by Hakainde Hichilema, President of the Republic of Zambia; Joao Manuel Goncalves Lourenco, President of the Republic of Angola; Felix Tshisekedi, President of the Democratic Republic of the Congo; and Jeremy Weir, Executive Chairman and Chief Executive Officer of Trafigura. Link to the Trafigura press release made on July 4, 2023: https://www.trafigura.com/press-releases/transfer-commences-of-the-concession-of-railway-services-and-support-logistics-of-the-lobito-corridor-in-angola-to-the-lobito-atlantic-railway/ The MOU follows the recent announcement by the United States International Development Finance Corporation (DFC), which is currently conducting due diligence for a potential $250-million investment to finance the commercialization of the Lobito Atlantic Railway Corridor. Link to the full U.S. Government press statement made on May 20, 2023: https://www.whitehouse.gov/briefing-room/statements-releases/2023/05/20/fact-sheet-partnership-for-global-infrastructure-and-investment-at-the-g7-summit/ Further, on January 27, 2023, the governments of Angola, DRC and Zambia signed the Lobito Corridor Transit Transport Facilitation Agency Agreement (LCTTFA). The tripartite LCTTFA aims to coordinate the joint development activities of the Lobito Atlantic Railway Corridor as an alternative, strategic route to export markets for both Zambia and the DRC. The Atlantic Ocean port of Lobito, Angola. Up to 10,000 tonnes of copper concentrate from Kamoa-Kakula to be exported from the port in Q4 2023. The MOU is the first commercial agreement under the new concession for exporting metals from the DRC using the Lobito Atlantic Rail Corridor. Photo source: Bloomberg. To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3396/177453_7b84a812440edb65_004full.jpg All figures are in U.S. dollars unless otherwise stated. About Ivanhoe Mines Ivanhoe Mines is a Canadian mining company focused on advancing its three principal projects in Southern Africa; the expansion of the Kamoa-Kakula Copper Complex in the DRC, the construction of the tier-one Platreef palladium-rhodium-platinum-nickel-copper-gold project in South Africa; and the restart of the historic ultra-high-grade Kipushi zinc-copper-germanium-silver mine, also in the DRC. Ivanhoe Mines also is exploring for new copper discoveries across its circa 2,400km2 of 90-100% owned exploration licences in the Western Foreland, located adjacent to, or in close proximity to, the Kamoa-Kakula Copper Complex in the DRC. Information contact Investors Vancouver: Matthew Keevil +1.604.558.1034 London: Tommy Horton +44 7866 913 207 Media Tanya Todd +1.604.331.9834 Forward-looking statements Certain statements in this news release constitute "forward-looking statements" or "forward-looking information" within the meaning of applicable securities laws. Such statements and information involve known and unknown risks, uncertainties and other factors that may cause the actual results, performance or achievements of the company, its projects, or industry results, to be materially different from any future results, performance or achievements expressed or implied by such forward-looking statements or information. Such statements can be identified using words such as "may", "would", "could", "will", "intend", "expect", "believe", "plan", "anticipate", "estimate", "scheduled", "forecast", "predict" and other similar terminology, or state that certain actions, events, or results "may", "could", "would", "might" or "will" be taken, occur or be achieved. These statements reflect the company's current expectations regarding future events, performance and results and speak only as of the date of this news release. Such statements include without limitation, the timing and results of: (i) statements regarding the MOU with Trafigura, and specifically that commencing in Q4 2023, the trial will consist of up to 10,000 tonnes of copper concentrate from Kamoa-Kakula's Phase 1 and 2 concentrators and that once at the port of the Lobito, the concentrate will be sold to international markets; (ii) statements that the Lobito Corridor is set to become a crucial trade route from a uniquely strategic region in Africa for copper and other critical minerals; (iii) statements that the Lobito Atlantic Rail Corridor is set to transform regional logistics in the DRC and neighbouring countries; (iv) statements that the Western Foreland remains the best copper hunting ground on the plant; (v) statements regarding a potential $250-million investment by DFC to finance the commercialization of the Lobito Atlantic Railway Corridor; and (vi) statements regarding the Lobito Corridor significantly improving logistics costs and carbon footprint of exporting metals from the Kamoa-Kakula Copper Complex, the Kipushi zinc-copper-germanium-silver mine, and any future copper discoveries in the Western Foreland Exploration Project. Furthermore, the company has based its assumptions and analysis on certain factors that are inherently uncertain. Uncertainties include: (i) the adequacy of infrastructure; (ii) geological characteristics; (iii) metallurgical characteristics of the mineralization; (iv) the ability to develop adequate processing capacity; (v) the price of copper, nickel, zinc, platinum, palladium, rhodium and gold; (vi) the availability of equipment and facilities necessary to complete development; (vii) the cost of consumables and mining and processing equipment; (viii) unforeseen technological and engineering problems; (ix) accidents or acts of sabotage or terrorism; (x) currency fluctuations; (xi) changes in regulations; (xii) the compliance by joint venture partners with terms of agreements; (xiii) the availability and productivity of skilled labour; (xiv) the regulation of the mining industry by various governmental agencies; (xv) the ability to raise sufficient capital to develop such projects; (xvi) changes in project scope or design; (xvii) recoveries, mining rates and grade; (xviii) political factors; (xviii) water inflow into the mine and its potential effect on mining operations; and (xix) the consistency and availability of electric power. Forward-looking statements and information involve significant risks and uncertainties, should not be read as guarantees of future performance or results and will not necessarily be accurate indicators of whether such results will be achieved. Many factors could cause actual results to differ materially from the results discussed in the forward-looking statements or information, including, but not limited to, the factors discussed above and under the "Risk Factors" section in the company's MD&A for the three and six months ended June 30, 2023, and its Annual Information Form, and elsewhere in this news release, as well as unexpected changes in laws, rules or regulations, or their enforcement by applicable authorities; the failure of parties to contracts with the company to perform as agreed; social or labour unrest; changes in commodity prices; and the failure of exploration programs or studies to deliver anticipated results or results that would justify and support continued exploration, studies, development or operations. Although the forward-looking statements contained in this news release are based upon what management of the company believes are reasonable assumptions, the company cannot assure investors that actual results will be consistent with these forward-looking statements. These forward-looking statements are made as of the date of this news release and are expressly qualified in their entirety by this cautionary statement. Subject to applicable securities laws, the company does not assume any obligation to update or revise the forward-looking statements contained herein to reflect events or circumstances occurring after the date of this news release. The company's actual results could differ materially from those anticipated in these forward-looking statements as a result of the factors outlined in the "Risk Factors" section and elsewhere in the company's MD&A for the three and six months ended June 30, 2023, and its Annual Information Form. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177453 August 16th - Kiplin Metals Inc. (TSXV:KIP) (the "Company" or "Kiplin") is pleased to announce that it has elected to revise the terms of its ongoing non-brokered private placement (the "Offering") being conducted under the Listed Issuer Financing Exemption (as defined below). The Offering will now consist of up to 17,647,059 units of the Company (each, a "Unit") at a price of $0.17 per Unit to raise gross proceeds of up to $3,000,000. Each Unit will consist of one common share of the Company and one common share purchase warrant (each, a "Warrant"). Each Warrant will entitle the holder to purchase one common share of the Company at a price of $0.23 at any time on or before that date which is twelve months after the closing date of the Offering. The net proceeds raised from the Offering will continue to be used to advance the upcoming exploration program on the Cluff Lake Road (CLR) Uranium Project, located in the Southwestern Athabasca basis of Northern Saskatchewan, and for general working capital purposes. Subject to compliance with applicable regulatory requirements and in accordance with National Instrument 45-106 - Prospectus Exemptions ("NI 45-106"), the Offering is being made to purchasers resident in Canada, except Quebec, pursuant to the listed issuer financing exemption under Part 5A of NI- 45-106 (the "Listed Issuer Financing Exemption"). The securities offered under the Listed Issuer Financing Exemption will not be subject to a hold period in accordance with applicable Canadian securities laws. A revised offering document related to the Offering will be filed by the Company, and will be accessible under the Company's profile at www.sedar.com and on the Company's website at: www.kiplinmetals.com. Prospective investors should read this offering document before making an investment decision. The Company may pay finders' fees to eligible parties who have assisted in introducing subscribers to the Offering. Closing of the Offering remains subject to the approval of the TSX Venture Exchange. About Kiplin Metals Inc. Kiplin Metals Inc. is a mineral exploration company. We create value for our shareholders by identifying and developing highly prospective mineral exploration opportunities. Our strategy is to advance our projects from discovery all the way to production. This vertically integrated strategy allows Kiplin Metals to achieve exceptional shareholder value through the entire life-cycle of the mining process. For further information, contact the Company at info@kiplinmetals.com or 604-622-1199, or visit the Company's website at www.kiplinmetals.com. On behalf of the Board, Kiplin Metals Inc. "Peter Born" Director Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. This news release may include forward-looking statements that are subject to risks and uncertainties. All statements within, other than statements of historical fact, are to be considered forward looking. Although the Company believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results or developments may differ materially from those in forward-looking statements. There can be no assurances that such statements will prove accurate and, therefore, readers are advised to rely on their own evaluation of such uncertainties. We do not assume any obligation to update any forward-looking statements except as required under the applicable laws. Copyright (c) 2023 TheNewswire - All rights reserved. New Delhi, Aug 16 (UNI) Congress President Mallikarjun Kharge on Wednesday chaired a meeting with the Delhi party unit at All India Congress Committee headquarters in the National Capital. The meeting was called to discuss the poll strategy for the upcoming Lok Sabha election, key appointments in the unit, and a possible tie-up between the Congress and Chief Minister Arvind Kejriwal's Aam Aadmi Party (AAP). The meeting lasted for four hours in which 40 leaders attended it and gave their opinion on the issues. Huge oil, gas find validates Lekoils bet on Dahomey Basin businessday.ng Business News Aug - 16 - 2023 , 12:35 Early interest by Nigerias leading oil exploration firms including Lekoil Nigeria Limited in exploring the Dahomey Basin, a combination of inland and coastal offshore fields stretching from Ghana, through Togo and Benin to southwest Nigeria, has yielded a potential 5 billion barrels of oil and billions of cubic feet of gas reserves, which could turn the region into the next frontier of commercial oil exploration writes ISAAC ANYAOGU. Two centuries ago, the name Dahomey gained notoriety for the slave trade with Europe and the eventual humiliation the Kingdom suffered when it was forced to pay tribute to the Oyo empire. Today, Dahomey is renowned as an oil patch important enough to earn Lagos State, the classification of an oil-producing state. This happened because oil companies, especially indigenous operators, poured millions of dollars into the dream that the Nigerian sector of the Dahomey Basin covering three different states Lagos, Ogun, and Ondo would hold vast deposits of mineral resources. Today, oil, in commercial quantity, has been discovered there. Geologists have always believed that the Dahomey basin holds prospects for mineral exploration based on analysis of similar basins. Exploration activities in the Nigerian end of the Dahomey Basin date back to 1903 when the survey of the mineral deposits of the Protectorate of Southern Nigeria was authorised by the Secretary of State for the Colonies. Results published in 1907 confirmed the occurrence of mineral deposits of economic importance, according to a report in the Scottish Geographical Magazine. Some experts say the bituminous deposits are petroleum oil that has crept up-dip from the Niger Delta. Suitable hydrocarbon trapping mechanisms exist within the basin but are mostly deep offshore. Also, due to its proximity to the Niger Delta as it is separated from the region by the Okitipupa ridge, the prospect for oil find was very high. All that was required was investors with an appetite for risk. Investors Enter In 1997, Yinka Folawiyo Petroleum Company Limited started oil exploration activities in the basin, along with the likes of Panoro Energy Limited. Other entities that invested heavily in Dahomey Basin as partners include First Hydrocarbon Nigeria Limited and Century Energy Services Limited. With their area of work regarding OML 113, they laid the groundwork for deep exploration. Apart from the aforementioned oil firms, Afren, from which company Lekoil substantially increased its investment, is another company also played an important role in the development of the basin for several years. Chevron was another player, being the technical operator of OML 113, the very block hosting the Aje field, before divesting in 2011. But Lekoil and its partners took the field development a notch higher. In 2013, they invested $50 million in drilling an appraisal well and sidetrack targeting Eko, Agege, and the Syn-rift prospects. This resulted in the discovery of significant residue in the Ogo prospect, leading to the production of 770 million barrels. According to Lekan Akinyanmi, the companys CEO, more investments would pour into Nigerias oil sector under business-friendly rules as Nigeria and Africa were under-explored. This is largely due to uncertain regulatory and fiscal rules. He said fiscal terms priced based on existing production would remain unattractive for exploration. The way to make exploration attractive in Nigeria is through competitive terms backed by assurances of contract sanctity. Altering contracts midway, especially after a major discovery like the Dahomey Basin, does not encourage investment, he said. Read also: Funding, infrastructure woes threaten Nigerias gas supply to global market Lekoil ramps up investments Lekoil Nigeria Limited has four assets (OPL 310 being its flagship) which bear both oil and gas. The OPL 310 asset is offshore Lagos, barely 20 kilometres from Nigerias hub of commercial activities, and contains the Ogo discovery, which is the largest in Nigeria. Lekoil has a 62 percent shareholding in OPL 325, an exploration block of approximately 100 kilometres south of Lagos. While it is yet to drill the block, the company has access to 3D seismic data of over 740 km of oil deposit. A preliminary review of the prospects suggests oil in volumes of up to 5.7 billion barrels with an estimated 2 billion barrels recoverable based on analogues. Lekoils third asset is the Otakikpo marginal field, which is a producing field delivering 6,000 barrels per day (bpd). The asset was discovered in 2014 and was brought into production within two years. Three wells drilled in the field encountered hydrocarbons at multiple intervals. 2D and 3D seismic analysis revealed reserve estimates considerably in excess of those available at the time of acquisition in May 2014. Further seeing a pathway to driving drilling in the Dahomey Basin mainstream, Lekoil increased its interest in the concession to 40 percent, following the acquisition of one of its licences from Afren Oil and Gas in 2015. The discovery of oil in the Ogo-1 well opens up a new oil basin in an under-explored region and represents a possible extension of the Cretaceous play along the West African Transform Margin. The discovery is a clear validation of Lekoils technical analysis and of our extensive studies on the Dahomey Basin, Akinyanmi said in an interview with BusinessDay. Lekoils asset also includes OPL 276 where the company has a 45 percent participating interest. The asset was acquired in 2019. Historically, four wells have been drilled in the license area, resulting in four discoveries (two oil and two gas) with preliminary resource estimates of gross recoverable volumes of 29 million barrels of oil and 333 Bcf of gas, with upside of 33 million barrels of oil and 476 Bcf of gas (recoverable). Lekoil is still waiting to renew the license on the asset to start working on the block. Vast prospects For the Dahomey Basin, the main prospects are in water depths ranging from 100 m to 800 m and are within the proximity of West Africa Gas. Based on data from the vertical and sidetrack wells, revised estimates for the P50 gross recoverable resources attributable to Lekoil from the Ogo field were identified as being 232 mmboe (P50) from gross recoverable resources of 774 mmboe. This far exceeds the expected pre-drill estimate of 202 mmboe. Additionally, Seismic tests show OPL 310 are expected to contain light oil or condensate-rich gas and well appraisal is expected to commence soon. Lekoils drilling efforts in the Dahomey Basin, through both the OPL 310 and OPL 325, followed the acquisition of substantial shareholding. Efforts on OPL 325 gathered pace with the completion of a Technical Evaluation Report of the block located 50km south of OPL310. According to Lumina Geophysical, an oil and gas resource, a geophysical evaluation of approximately 800 sq km of 3D seismic data identified and reported a total of eleven prospects and leads on the block. This was estimated to contain potential gross aggregate Oil-in-Place volumes of over 5,700 mmbbls. Encouraged by the results, further readings were done along the expansive 740km block and a preliminary review of the prospects, and Lumina projects oil in volumes of up to 5.7 billion barrels with an estimated 2 billion barrels recoverable based on analogues. The gas reserve alone at the OPL 310 block boasts of a substantial gas deposit with the capacity to produce 20 percent of Nigerias power generation. In 2019, the Nigerian Federal Government extended Lekoils OPL 310 License for three years. The company paid the extension fee of US$7.5 million on behalf of holders of the License, receiving confirmation for an extended period to 2 August 2022. In line with the data gathered from the two wells, the partners expected a 2P gross recoverable resource at 774 Mmboe throughout the Ogo prospects four-way dip-closed and syn-rift structure. This independent report underlines our belief in the prospectivity of this asset that was part of our original Dahomey Basin study. The deep water turbidite fan play is particularly exciting for OPL325. As one of LEKOILs key assets, we are delighted to have third-party endorsement of our prospective resources, and our significant equity holding in the block gives us plenty of optionality for the next phases of exploration, Akinyanmi said. But the Dahomey Basin has not enjoyed the kind of attention paid to the Niger Delta, despite being the first location known to contain oil in commercial quantities in Nigeria apart from the Niger Delta. Experts say little recorded success in other countries sharing the basin may account for this. Related fields including Keita Basin in Ghana and those in Togo and Ivory Coast havent been truly explored. Lekoil is betting that its investments worth millions of dollars in studies, field assessments, and working with regulatory agencies on approvals for the basin into prominence in Nigerias oil and gas sector, paving the way for consistent wealth and shared prosperity for Nigerians in general and Lagos in particular. The company said it has invested around $200 million in the Dahomey Basin, from a projected $1billion helping to make the basin more attractive. For the people of Badagry, who have mainly lived off the fringes of benefits, a dawn awaits as they can begin to look forward to benefits as part of oil-producing communities. Analysts say the onus now rests on the Lagos State Government to ensure its fortunes are dissimilar to what has been the lot of many such communities in the Niger Delta. The rising challenge of insecurity and smuggling across the Seme border is also there for Lagos State to tackle, as well as forming a synergy that helps investors get appreciable returns on investments. credit: businessday.ng Daily Graphic Editorials Dont undermine Parliament oversight role Daily Graphic Editorials Aug - 16 - 2023 , 08:56 Since the country returned to democratic governance under the Fourth Republic, Parliament has often witnessed the governing political party winning majority seats in the House. This makes it easy for the governing party to have its way in the passage of bills and agreements, among others. However, the 2020 parliamentary election results produced a hung Parliament - the governing party with 137 seats and the opposition also with 137 seats; and one Independent Member of Parliament (MP) who chose to do business with the governing side, giving the governing party a slight majority in the House. With the hung Parliament, many people, including civil society organisations and development partners, believed that it was time for Parliament to flex its muscles by scrutinising bills and contracts, exercising its oversight responsibility more forcefully and seeking to build consensus. It is worthy to note that the hung Parliament has witnessed instances where aspects of the budget had been rejected, with some members from the governing party side voting against their party position, particularly in the vetting of ministerial nominees and some oversight responsibilities. During a courtesy call on the Paramount Chief of Essikado Traditional Area, Nana Kobina Nketsia V on August 7, 2023 by the Speaker of Parliament, Alban Sumana Kingsford Bagbin (see Wednesday, August 9, 2023 edition of the Daily Graphic), the outspoken chief deplored the unserious attitude and gestures of parliamentarians. He minced no words when he said: We dont joke in Parliament; we voted people to Parliament on our behalf for serious parliamentary business, not for people to go and laugh when serious issues are being tabled. Nana Nketias point has been reinforced by the Director of Programmes and Policy Engagement of the Centre for Democratic Development Ghana (CDD-Ghana), Dr Kojo Pumpuni Asante, who urged MPs to conduct the business of the House in ways that will benefit the citizens. The Daily Graphic believes that the hung Parliament is an opportunity for parliamentarians from both sides of the House to work together and build consensus for the good of the country. We, therefore, agree with both leaders that our elected representatives must attach seriousness to the work they do in Parliament because the House is the embodiment of the sovereignty of the people of Ghana. Being the first of its kind under the Fourth Republic, there are bound to be challenges under the hung Parliament but with fortitude and determination, the Daily Graphic is convinced that Parliament can overcome any challenge that hinders the countrys democratic process. Ghanaians must begin to benefit from the work of Parliament in a manner that will make them rise up to defend the Constitution. We must also begin to see the various committees of Parliament, especially the Parliamentary Committee on Government Assurance, hold ministers and other government appointees to account to deliver on their promises. The Public Accounts Committee must also be given some more teeth to bite where necessary. We must move away from the era of working along party lines and allow the national interest to supercede party positions. After all, our MPs are elected to serve the interests of their constituents. John Dumelo loses mother Edith Mensah Showbiz News Aug - 16 - 2023 , 10:24 Popular Ghanaian actor and politician, John Dumelo has lost his mother. The Baby Thief actor announced the unfortunate incident on his Instagram page earlier today (August 16), while describing her as angel. According to Dumelo, his mother who died peacefully in her sleep yesterday, August 15 was his number one supporter. He wrote: My heart is broken. My dear mother passed away peacefully yesterday.my number one supporter. Heaven has gained an angel. . There have since been an outpouring of sympathy and condolences from his colleagues and followers for Dumelo and his family on the demise of his mother. The loss of his mother may probably cut short Dumelos high spirits and celebratory mood after getting the nod to represent the opposition National Democratic Congress (NDC) as their parliamentary candidate for the Ayawaso West-Wuogon constituency. Going through old papers Daily Graphic Opinion Aug - 16 - 2023 , 08:10 One look at my desk and you could conclude that I am not a very tidy person. The state of my desk often looks like there has been an angry dispute among files, magazines, and papers labelled urgent, pending and long-term. I do make regular, half-hearted attempts to tidy things, but it is often a losing battle. Every once in a while, I undertake a wholesale clear-out and restore some order to the desk, and then watch forlornly as the chaos returns. I am currently in the midst of one such occasional clear-out of my desk, and discovering papers that should properly belong in a cupboard labelled TIMES PAST, but not on my desk. As often happens, instead of throwing them away, I got caught reading them. Unfortunately, the three magazines I discovered in the mess on my desk are no longer in publication and the majority of people alive in this country today probably had not been born when they were in circulation. Copy Here is a copy of The Legon Observer, Vol X No 2, 1528 September 1978, with a cover price of 1 It highlights three main articles: Busia passes away, Devaluation vs devaluation, Blay-MiezAH. The editorial, headlined A political giant passes away, is on Prof. K.A. Busia who had just died. The first line says: For about four decades, Ghanaian politics has been dominated by three political giants, J.B. Danquah, Kwame Nkrumah and K.A. Busia. Plus ca change, plus cest la meme chose. State The state of the cedi, the Ghanaian currency, was very much on everyones mind and Jones Ofori-Atta, (Senior Lecturer, Economics Department, Legon) father of Ken Ofori-Atta, the current Minister of Finance, wrote under the Economy column, an article he titled Devaluation versus Devaluation. This is the opening paragraph: In the last eleven years, the ill-fated Cedi has suffered three devaluations. Each government since Nkrumah has found it necessary to adjust the exchange rate it inherited downwards. The NLC devalued in July 1967, the Progress Party devalued in December 1971 and the SMC devalued the Cedi in August 1978. The cumulative result of these devaluations is that in monetary terms, the September 1978 Cedi is worth only 16 per cent of its June 1967 value! Plus ca change, plus cest la meme chose Indigenisation Here is a copy of West Africa magazine, No 3216 and dated 5 March 1979, offered for sale in Ghana then at 2 cover price. The editorial is under the headline, Is indigenisation working?. The first sentence is a quote from a report on the problem of the indigenisation of African economies by the Economic Commission for Africa. It says: Despite two decades of preoccupation with indigenisation, it does not seem that much has been achieved in the way of self-reliance or economic independence. Plus ca change, plus cest la meme chose The Sierra Leone Minister of Information and Broadcasting is quoted as saying that African journalists cannot afford the luxury of an adversary role as it is practised in the West. He said journalists should join hands with governments to arrest the severe problems that were faced rather than resort to criticism. Plus ca change. . . I had quite forgotten what a thoroughly interesting man B. J. da Rocha, the great lawyer, Progress Party, Popular Front Party, New Patriotic Party guru was. He is in here with a robust interview with my friend Nii K. Bentsi-Enchill. Question, what is your view on the Political Parties Decree? Answer, it is a stupid law, calculated to obstruct the formation of political parties. I hope the government will realise the stupidity of this decree and amend it. Question, people have remarked on the fact that the same old faces are presenting themselves again. What is your view? Answer, lets be clear, there is no special merit in a new face. Every party or institution must regularly have an infusion of new faces. But you do not drain a man of all his blood first. The infusion must be done in a methodical fashion. New faces can learn from the old and if they learn nothing else, they should learn not to repeat the mistakes. They dont make them like that any more. Ephraim Amu Then here is a strange one called the Ghana Copyright News, Issue No 1 and dated March 1990. I have no idea if there were subsequent editions after this issue. Even if this was the only issue, I would say it deserves to be kept in a place of honour in every library in this country. It has an article on Ephraim Amu, who it turns out, was the president of the Copyright Society of Ghana, I certainly did not know that. The article here is titled Ephraim Amu and the story of Yaa Amponsah. It is a delicious story that deserves to be recounted over and over again. But the bit of this article that must be taught in every classroom in Ghana is the famous, or maybe infamous story about how the legendary Ephraim Amu, composer of Mia Denyigba lolo la, Yen Ara Asaase Ni, was dismissed from his job as a teacher at the Presbyterian Teacher Training College, Akropong in 1933. I suspect the Presbyterian Church, to which I belong, will never live down this particular infamy. Events The events leading to the dismissal from the College are set out in a letter from the church authorities and they are quoted liberally in the article. Mr Amu has brought into the College, different sorts of drums, horns, etc, beating the drums and teaching some students how to beat the drums and dance. The Synod Committee ordered him to remove the drums but he defied the authority of the Synod Committee and continued the practice for almost a year. He has disregarded every warning and said he would not give up the drums. A second charge in the letter said he attempted to introduce the fashion of preaching in the native garment or cloth in the pulpit, which practice offended the majority of educated and non-educated community. He was approached politely by eminent persons to stop that practice but he would not listen. He was therefore forbidden to preach if he would not preach in European dress. He agreed not to preach. I wonder if I can find anything quite as sensational on Social Media as I have discovered in one morning of going through old papers on my desk. S Africa receives over $5bln from BRICS Bank for infrastructure projects 21 Aug 2023 | 11:43 AM Bloemfontein, Aug 21 (UNI) South Africa has received 100 billion rands ($5.27 billion) from the New Development Bank created by the BRICS countries for the construction of roads, water supply and energy, South African President Cyril Ramaphosa said on Sunday. see more.. N Korean hackers try to prevent S Korea, US from conducting drills 21 Aug 2023 | 11:24 AM Seoul, Aug 21 (UNI) North Korean hackers from the Kimsuky group tried to attack a South Korean company providing computer simulation services for joint South Korea-US exercises and attempted to carry out a cyberattack on military infrastructure, the Gyeonggi Nambu Provincial Police Agency said on Sunday. see more.. China supports BRICS expansion process 21 Aug 2023 | 10:38 AM Beijing, Aug 21 (UNI) China supports the BRICS expansion process and welcomes the early entry of new partners into the organization, the press service of the Chinese Foreign Ministry told Sputnik on Monday. see more.. Bus crash in Turkey claims lives of 12 people, 19 others receive injuries 21 Aug 2023 | 10:34 AM Ankara, Aug 21 (UNI) At least 12 people died and 19 others were injured in an accident with a passenger bus in the central Turkish province of Yozgat, Turkish media reported on Monday. see more.. GCTU Engineering Faculty builds maiden robot Nana Konadu Agyeman Education Aug - 16 - 2023 , 07:24 Students and members of the Faculty of Engineering of the Ghana Communication Technology University (GCTU) in Accra have built a robot to support in providing all the necessary information of the universitys engineering programmes. Dubbed, Royal de Robo, the cutting-edge device has an in-built sensor in its plastic head that turns around to focus on any visitor near it before providing information on available courses within the faculty. It also tells prospective students and parents the required qualifications needed to pursue Telecommunication engineering, Electrical and electronics engineering, Computer engineering and Mathematics programmes as well as future job prospects awaiting students. Sitting on a wood and using electricity, the robot has on top of its head an umbrella that opens up automatically during downpours and closes once the rains stops. With plastic legs and arms, the device was built entirely from electronic waste materials that were recycled to construct it, and it has been dedicated to the Vice-Chancellor of the university, Professor Emmanuel Ohene Afoakwa. Embracing AI At ceremony to unveil the robot, the acting Dean of the Faculty of Engineering of the GCTU, Dr Ruhiya Abubakar, said: I am excited that we have been able to develop this robot which is the first of its kind our university has built. She said students were involved in the project in a bid to give them hands-on experience to prepare them for bigger projects soon. Soon, the faculty will also develop a robot that will give directions on campus and also more insight into programmes offered in the entire university, she said. Dr Abubakar indicated that the world was changing into an era of artificial intelligence (AI) where human factor in development was fading out. A lot of work has gone into the robot and I think people will appreciate it more when they get to see what is involved in building a robot that provides useful information on its own, Dr Abubakar said. Prof. Afoakwa said GCTU would be three years as a full-fledged university with a mandate to transform the university into a communication technology institution. That, he said, would help the school to be the institution of call when it comes to human capital development on information and communications technology and all the disciplines and subject areas that come in this area. As an institution, it is part of our mandate to become the centre of excellence of ICT for Ghana and as part of our vision, we want to build an institution that will be the technological hub for Ghana and the West African sub-region. We want to transform this institution to the Silicon Valley of Ghana and that is going to be the centre of innovation, creativity and technological innovation that will lead to the training of human skills to lead the current digitalisation agenda of Ghana, he said. Cutting-edge programmes Prof. Afoakwa pointed out that the university currently had cutting-edge programmes and mentioned them to include Electrical and Electronics Engineering Department, Computer Engineering Department, Mathematics Department as well as the Department of Telecommunications Engineering that offered telecommunication courses at the diploma, bachelor of science, masters and doctoral levels. Within these departments, we want to ensure that they become the engineering centre of our university where most of the technology that we are going to come out with will shake Ghana and the world. We, therefore, are very excited that the Facility of Engineering has started ensuring they do what they are expected to do and lead the way to creating the engineering hub within the university, he said. 10 NIA officials sacked over misconduct in Ghana card registration process GraphicOnline Aug - 16 - 2023 , 11:14 The National Identification Authority (NIA) has announced the dismissal of 10 of its employees, following a comprehensive investigation into allegations of misconduct, extortion, and breaches of NIA's operational procedures. The officers were found to have engaged in these activities during the execution of their duties related to the registration of citizens for the Ghana Card. The decision to terminate their employment came after a thorough probe conducted by the Criminal Investigations Department (CID) of the Ghana Police Service, based at the NIA's headquarters in Accra. This investigation process involved the suspension of the implicated officials, the constitution of a Disciplinary Committee of Inquiry to ensure a fair hearing for each officer, and the subsequent approval of the Disciplinary Committee's recommendations by NIA management. The dismissed officers were found to have demanded and accepted unauthorized fees from applicants seeking the Ghana Card, while also manipulating the registration system for personal gain. The proven acts of misconduct transpired at various NIA offices, including the Head Office in Accra, the Subin Sub-Metro District Office in Kumasi, the Western Regional Office in Takoradi, the Registrar General's Department Office in Accra Central, the Adentan Municipal Office, and the La-Nkwantanang Municipal Office. These actions not only violated the core principles of integrity and transparency upheld by the NIA but also compromised its reputation and objectives. In response to the developments, Professor Kenneth Agyemang Attafuah, the Executive Secretary of the NIA, reiterated the institution's unwavering commitment to maintaining the highest standards of professionalism, accountability, and ethical behaviour. To prevent future occurrences of such misconduct, Professor Attafuah pledged the implementation of enhanced internal oversight mechanisms, regular integrity training for NIA staff, and the establishment of a platform for citizens to report any instances of irregularity or misconduct encountered during their interactions with the NIA. The release said the NIA remains steadfast in fulfilling its mandate by providing dependable, transparent, and credible identification services to the public, all while adhering to the highest benchmarks of professionalism and ethical conduct. 23rd Presby General Assembly to elect new Moderator - To succeed Rt. Rev Prof. J.O.Y. Mante Mary Anane Amponsah Aug - 16 - 2023 , 05:41 This years General Assembly of the Presbyterian Church of Ghana (PCG) opens in Abetifi Kwahu today with an agenda that includes the election of a new moderator to lead the Church when the tenure of the current moderator ends in November. The General Assembly is the highest decision making body of the PCG where commissioners meet every year to set the agenda for the Church, prioritising its development. The week-long meeting scheduled for two parts and which began on August 9, will take stock of the stewardship of the leadership of the church, look at the finances and statistics of every aspect of the ministry and set targets for the subsequent year. In an interview with the Daily Graphic, the Clerk of the General Assembly of the PCG, Rev Dr Godwin Nii Noi Odonkor, said all was set for the meeting which was expected to attract a host of dignitaries to the opening ceremony. 23rd General Assembly Rev Dr Odonkor said the first part which was held virtually began on August 9, and involved workshops for the ministers while the second part, which was an in-person participation had been scheduled from August 16 to August 20. Among the dignitaries expected to grace the opening ceremony of the 23rd General Assembly are government officials, chiefs, a delegation from Nigeria led by the Prelate and Moderator of the Presbyterian Church in Nigeria, Dr Ekpenyong N. Akpanika, and Vice President Dr Mahamudu Bawumia who is the guest speaker. Also, the programme would be used to honour some members of the church who have distinguished themselves in the society and their contribution to the development of the church. Moderator The moderator is the spiritual head of the Church and has oversight of the whole church and speaks and acts in the name of the General Assembly. He plays advocacy role for the contribution of the church and presides over meetings of the General Assembly, emergency meetings and meetings of the General Assembly Council. Rev Dr Odonkor said the PCG, the General Assembly will be attended by all members of the 19 presbyteries in Ghana and the two oversea presbyteries Europe and North America He said among the highlights of this years meeting was the election of a successor to the current moderator, Right Rev Prof Joseph Obiri Yeboah Mantey, whose tenure of office ends in November when he would have completed his five-year mandate which started in 2018. The moderator is expected to hand over to a new administration and moderator in December. The clerk said out of the 1,500 ministers the church had, 145 qualified to be elected as a moderator. He explained that to be qualified as a moderator, the minister must have served as an ordained minister for at least 15 years, and not a serving clerk and should not be less than 50 years, and more than 65 years because " the term of office is five years and the retirement age is 70 years. "To be a moderator, the minister must be acceptable to the church in conforming to the first schedule of the constitution, the clerk explained. 85,000 Atebubu-Amantin residents receive World Vision assistance Emmanuel Baah Aug - 16 - 2023 , 06:32 World Vision, a Christian humanitarian, emergency, relief, advocacy and development organisation, has directly impacted more than 85,000 lives in the Atebubu- Amantin Municipality, one of the 11 districts in the Bono East Region. They include 33,364 vulnerable boys and 37,316 girls in over 160 communities in the municipality. This was disclosed in a speech read on behalf of the National Director of World Vision Ghana, Laura Christina Del Valle, by the Integrated Programmes Director of the organisation, Joshua Baidoo, during a closure ceremony of the organisations achievements rendered to the municipality over the past 23 years spanning 2001 to 2022. In the area of education, Ms Del Valle said the organisation had constructed 10 school blocks, rehabilitated eight of them, built eight teachers quarters, as well as provided financial support to 1,980 brilliant, needy students in the metropolis. Additionally, 1,350 classroom furniture were provided and 1,620 children living in hinterlands and adult literacy facilitators benefited from bicycles to aid in transporting themselves to and from school on time. The closure event was held at the World Visions resource centre at Atebubu last Monday on the theme: Celebrating 23 Years of Quality Development in Atebubu. It was a momentous occasion, as residents of the beneficiary communities showed up in their numbers to express their appreciation to World Vision for empowering the people all these years. Some selected schools in the municipality, through cultural displays, poetry recitals and drama, graced the occasion to the admiration of all present. Also present were the Queen mother of Atebubu, Nana Afia Denyina, the Adontenhene, Nana Kwabena Kyere - who chaired the event and the Municipal Chief Executive of the area, Edward Owusu. Health, nutrition With regard to health and nutrition Ms Del Valle said World Vision had built a community health centre and three traditional birth delivery centres and also supported immunisation efforts as well as trained health management committees to sensitise mothers in exclusive breastfeeding and feeding practices. All of these have contributed to the reduction of malnutrition in children under age five, anaemia in pregnancies and improved maternal and child health outcomes, she stressed. Livelihood empowerment Touching on livelihood, she said the organisation had established several gari processing centres, trained and supported beneficiaries in bee-keeping and grasscutter (Greater cane rat) rearing, and had trained farmers in modern and smart agricultural techniques, improving household food security. Over 3,000 children have benefited from our sponsorship programme. Through our Family Sponsorship model, 50 houses were built and 250 renovated, she said and added that they had provided hope and belonging for vulnerable children and their families. Our advocacy activities have resulted in a drastic reduction in child labour, child abuse, child marriage, open defecation, and all forms of discrimination, Ms Del Valle said. The MCE stated that once the donors were finally leaving the metropolis, it was incumbent on them to see to it that all their projects were maintained so they continue to serve the growing population of the area. Bernhoffman Care Foundation launches mentorship drive for youth Daily Graphic Aug - 16 - 2023 , 07:02 Former Minister of Education and Vice Chancellor of the University of Cape Coast, Professor Jane Naana Opoku-Agyemang, has urged the youth to build the right mindsets and attitudes to guarantee their future. She stressed the need for the youth to view education from a 360-degree perspective, adding that it was never too late for them to make amends for the time lost once they were alive. Mentorship drive She was speaking in Elmina at the launch of BernHoffman Care Foundations graduate mentorship initiative aimed at actively engaging JHS graduates to build capacity and provide guidance in their individual paths that lay ahead of them. Dubbed Elmina Mentorship Drive, it was launched by the BernHoffman Care Foundation, a non-governmental organisation, as a skill and competence development initiative for JHS graduates. Participants in the launch of the graduate mentorship initiative The occasion brought together about 500 students who had just completed the 2023 Basic Education Certificate Examination (BECE), together with their teachers and parents. Prof. Opoku-Agyemang, the 2020 running mate to the NDC Flagbearer, John Dramani Mahama, also gave the students and their parents the chance to ask questions. The President of the BernHoffman Care Foundation, Dr Bernadette Naa Hoffman, encouraged the young students to shatter every glass ceiling above them and think globally. She further pledged the foundations support to mentor and provide guidance and training in hands-on skills to as many as were willing. Activities of the foundation To have an effective and lasting programme, she said the BernHoffman Care Foundation had worked through the various schools to gain the consent of the parents and guardians of these wards. So far, Dr Hoffman said over 200 students who readily had endorsements from their parents had signed unto the mentorship programme, which would kickstart in the coming weeks. She said the drive added to the supplementary feeding campaign in some community schools, alternative livelihood support projects along the coastal communities in the country and many more sustainable initiatives that were being rolled out by the foundation. Cyber Security Authority to enforce licensing regime Oct. 1 Emelia Ennin Abbey Aug - 16 - 2023 , 09:06 Effective October 1, this year, no cyber security entity, service provider or professional can offer service to a public sector institution without licensing and accreditation from the Cyber Security Authority (CSA). This is in compliance with the Cybersecurity 2020 (Act 1038) and guidelines for licensing of cyber security service providers and accreditation of cyber security entities and professionals. The CSA commenced a mandatory licensing regime for cyber security service providers and professionals on March 1, 2023. It had so far registered 448 cyber security professionals, 25 entities and 92 service providers. This was announced at a media engagement on collaborations between the Cyber Security Authority and the Public Procurement Authority to ensure the enforcement of the licensing regime in Accra yesterday. Rationale The Director-General of the CSA, Dr Albert Antwi-Boasiako, said the authority had offered a grace period until September 30, 2023, for service providers and operators to obtain a licence or accreditation for their activities. He said the rationale for the enforcement was to ensure cyber security entities, service providers or professionals carry out their activities in accordance with international best practices. Dr Antwi-Boasiako said that when the regulation was fully enforced, the country would become the first African nation among the top 25 countries in the world, and would also improve its International Telecommunication Union (ITU) global cyber security index ranking from third to first position. Our website will have a depository of licensed cyber security entities, service providers, or professionals where you can visit and know who is licensed, he added. Enforcement The Chief Executive Officer of PPA, Frank Mante, said the authority had resolved to ensure full compliance in order that public sector entities engage firms that were licensed or certified by the CSA for all contracts relating to cyber security. That, he said, would cover sole sourcing, restricted sourcing and any form of competitive tendering, adding that cyber security is a serious issue. Mr Mante said it would also contribute to the overall objective of his outfits objective of harmonising processes of public procurement to ensure the judicious and efficient use of state resources. It is going to be a qualification requirement so if you do not have a licence from the cyber security authority you will be dropped at the initial stages of consideration for contracts. So entities taking part in procurement processes to be awarded tenders must include proof of licence, he said. Sanctions The Lead in charge of Legal and Compliance at the CSA, Jennifer Mensah, mentioned the sanctions to include the application of administrative penalties, and for habitual offenders, it will attract criminal sanctions of imprisonment of not less than two years. Licence holders who flout the laws and the conditions of accreditation would have their licences revoked. She explained that the sanctions were intended to serve as a deterrent and also to ensure compliance with the law. Dont send troops to Niger - Presby Church Mary Anane-Amponsah Aug - 16 - 2023 , 12:00 There is a growing public disapproval of Ghanas involvement in contributing troops to the West African Standing Force to fight the military junta in Niger. Various civil society groups, academicians, politicians and security analysts have condemned the move, calling rather for diplomatic efforts to resolve the situation. The latest group to add its voice to the call is the Presbyterian Church of Ghana, which has indicated that it would be wrong for the government to take a unilateral decision on the matter without broad consultation. In an exclusive interview with the Daily Graphic, the Clerk of the General Assembly of the church, Rev. Dr Godwin Nii Noi Odonkor, said coups detat, which were becoming a rampant phenomenon in West Africa, should be a concern for all, stressing that it pointed to an urgent demand for African leaders to provide quality leadership for their citizens. Coup is not a good thing, and for it to happen in three countries coming from West Africa is an unfortunate thing, Rev. Dr Odonkor said. The sub-region, he added, could prevent coups if the leaders offered leadership of hope with citizen-centred policies and programmes, protection of human rights, promotion of the welfare of the people and ensuring justice. ECOWAS decision to send troops to Niger would only escalate matters and not bring the peace we want in our part of the world, Rev. Dr Odonkor said. We should consider the vulnerable, especially children and women, who are going to suffer immensely because of such a decision, he added. The right way to handle the issue, he said, was for ECOWAS leaders to engage the leadership of the junta to hand over power to a civilian government without resorting to any form of violence which would affect the very people they sought to protect. For the country to support any move to send troops to Niger, Rev. Dr Odonkor said, the government must consult the people, stressing that it should be a decision from Parliament. If the government decides to send troops, I think Parliament should be involved. It should give the right to government to do so. The Executive cannot take such decision alone. The decision must come from the people, and Parliament represents the people. I am sure that if Parliament is consulted, the answer will be no, he said. A former President A former President of Nigeria, Olusegun Obasanjo, speaking at the opening of the African Youth and Governance Convergence (AYGC) conference at Mankessim last Monday, said the leadership in African countries who failed to ensure peaceful democratic changes in leadership and good governance must be ready for more violent takeovers. He said if African governments did not work harder to improve governance and transitions they must be ready for possibly more violent takeover of governments. "Those who prevent peaceful change to be enthroned must be prepared for violent change to ensue," he stated. Mr Obasanjo said in such instances "when you drive people to the wall, they develop a desperate feeling that they would rather die than continue. And when you have that situation, then anything can happen and you must be prepared for whatever happens. It was disturbing that bad leadership and governance had been Africa's problem over the years, leading most parts of the continent down the path of under development, he added. Okudzetos take The Member of Parliament (MP) for North Tongu and Ranking Member on the Foreign Affairs Committee, Samuel Okudzeto Ablakwa, earlier called on President Nana Addo Dankwa Akufo-Addo to stop all preparatory mobilisation towards deploying Ghanaian soldiers for an ECOWAS military intervention in Niger. He said the Presidents refusal to submit his Niger Policy to Parliament for thorough scrutiny by the peoples elected representatives was most undemocratic and awfully reckless. West African leaders who purport to be lecturing Niger on democracy must be seen leading by example at home. Ghanas gallant soldiers must be kept far away from the looming bloodbath and escalating geopolitical confrontation which is bound to explode with far-reaching consequences for stability in an already volatile region, Mr Ablakwa said in a Facebook post. The MP said ECOWAS leaders ought to stop the warmongering and give diplomacy and constructive dialogue a chance, and that the Niger crisis could be resolved without violence and bloodshed. But more fundamentally, African leaders must reflect on the causes of these coups and begin to take urgent concrete steps to prevent more military takeovers. Six coups in three years can only mean that Africa appears to be making a return to the coup era of the 1960s to 1980s, Mr Ablakwa said. Lecturers position A lecturer of Governance Studies at the Evangelical Presbyterian University College (EPUC) in Ho, Dr Harrison Kofi Belley, also called on ECOWAS to use proactive preventive diplomacy to address the prevailing political situation in Niger. He told the Daily Graphic in Ho that the proposed military intervention by ECOWAS was likely to fuel the crisis in that country. Dr Belley cited the growing support the military junta in Niger was enjoying from the masses, and said neutral and sustained results-oriented strategic conflict management measures were required to deal with the state of affairs in Niger, and not a military intervention. He also called for the strengthening of the capacity of African states to settle electoral disputes at national, regional and continental levels through the enactment of laws and setting up of mechanisms for the independent adjudication of such disputes to ensure political stability. ECOWAS must muster the political will to hold member states accountable to their treaty obligations in addition to combating corruption in public life, to build confidence in state institutions and create the conditions for instability, Dr Belley added. GUM not in favour Similarly, the Ghana Union Movement (GUM) called on President Akufo-Addo not to contribute troops to the ECOWAS efforts to reinstate Nigers deposed President, Mohammed Bazoum. The Founder and Leader of the party, Rev. Christian Kwabena Andrews, popularly known as Osofo Kyire Abosom, told a news conference in Accra last Monday that instead of sending troops, the President should rather deploy his energies towards solving the litany of challenges Ghanaians were facing. The 2020 presidential candidate of GUM further advised Nigeria to also concentrate its energies to solving challenges posed by the outlawed group, Boko Haram, in the northern enclave of the country instead of venturing into war in Niger. "Africa needs to unite but not to fight among themselves, no matter what," he said. Defence chiefs Meanwhile, the ECOWAS Committee of Chiefs of the Defence Staff will hold an extraordinary two-day meeting from tomorrow at Burma Camp in Accra to deliberate on the charge of the Authority of Heads of State and Government to prepare a plan for a possible military intervention to topple the military junta and restore democratic rule in Niger. At its Extraordinary Meeting last Thursday in Abuja on the Niger situation, the Authority of Heads of State and Government directed the Committee of Chiefs of the Defence Staff to immediately activate the ECOWAS Standby Force with all its elements. The meeting, at the instance of the ECOWAS Chairman, Bola Ahmed Tinubu, who is also the President of Nigeria, was attended by nine Heads of State, including President Akufo-Addo. The authority also gave the order for the committee of army chiefs to deploy the ECOWAS Standby Force to restore constitutional order in Niger. It further directed the President of the ECOWAS Commission to monitor the implementation of sanctions on Niger, which included closing all borders to Niger and freezing the assets of all persons connected to the activities of the military junta. The authority warned member states who, by their action directly or indirectly, hinder the peaceful resolution of the crisis in Niger about the consequences for their action before the community. A communique issued at the end of the Abuja meeting called on the African Union to endorse all the decisions taken by ECOWAS on the situation in Niger. It further urged all partner countries and institutions, including the United Nations, to support ECOWAS in its efforts to ensure a quick restoration of constitutional order in Niger in conformity with its normative instrument. Fludor, VisionSpring donate free eyeglasses to cocoa farmers Daily Graphic Aug - 16 - 2023 , 09:28 A cocoa marketing company, Fludor Ghana Limited, has distributed free eyeglasses to cocoa farmers in the country, in collaboration with a non-profit organisation, VisionSpring. The gesture from the company, a member of the Tropical General Investments (TGI) Group, formed part of its Corporate Social Responsibility (CSR) effort to support the eradication of vision impairment - one of the worlds health problems - affecting 7.5 million Ghanaians, many of whom are in low income communities. Initiative The initiative is aimed at increasing the eyeglasses coverage rate and income earning potential of cocoa farmers and community members within Fludors sustainability sourcing network. At the maiden ceremony, the Managing Director of Fludor Ghana Limited, Dennis Sampong, explained that the initiative had obvious broader sustainability benefits. A healthy farmer is a happy farmer, and a happy farmer is a productive one. The farmers wellbeing has a direct impact on the quality and quantity of their output, so it is imperative that we support them as best as we can, he said. Free eye screening As part of the gesture, Fludor Ghana Limited and VisionSpring conducted free vision screening for 3,790 individuals in nine cocoa growing districts in the Western and Eastern regions, while specially designed vision camps were situated across 23 locations to undertake the programme. For his part, the Business Development Lead, Ghana, from VisionSpring, Harry Ahimah, said: It is always a delight when well-meaning companies like Fludor Ghana Limited come to the aid of Ghanas cocoa farmers. Cocoa farmers are considered a major backbone of the Ghanaian economy, but it is sad to realise that most of them are unable to access eye care either due to cost or lack of eyecare facilities. We are deeply grateful to TGI Group for this collaboration, and we are looking forward to a lasting partnership to further unlock the earning potentials of Ghanaian cocoa farmers, he added. Beneficiaries Out of the 3,790 beneficiaries screened for various eye diseases, 1,547 received spectacles, 1,316 individuals were given medications and 927 with various conditions, which included cataract, pterygium and glaucoma, were referred to the nearest district hospitals for further examination. Beneficiary farmers were not only excited about the screening exercise but were also grateful for the free medication. Their appreciation is captured in the words of 58-year-old Thomas Mensah and 60-year-old Martha Agyei. Prior to the screening exercise, I could hardly see well and read due to issues with my eyes,'' said Mensah. "Performing certain cocoa farm operations, such as pod breaking, scooping of beans, hand pollination, was quite difficult for me as I ended up most times not doing it the right way. Hearing that Fludor was organising a free eye test was a great joy to me. After going through the exercise, I received a spectacle from the doctor and now I can see clearly and read. Thanks to Fludor, he added. I was unable to see objects that were far from me. I was given eye drops during the eye-screening exercise. I was also referred to the hospital for further treatment and I can now see clearly. The company has done very well, Martha Agyei said. Galamsey devours Asarekrom - Community deity not spared Emmanuel Baah Aug - 16 - 2023 , 12:03 Asarekrom, a farming community in the Amansie West District in the Ashanti Region, is literally bleeding as the community has been completely taken over by illegal mining, commonly called galamsey. This has left natives in despair as their main source of livelihood farming is in ruins, with vast tracts of land and even the communitys deity mined out. Already, about 560 acres of the small community have been consumed by the illegal miners, some of whom are foreigners who carry out their large-scale activities with bulldozers and excavators. The situation has resulted in an increase in the cost of food items in the community. The environment has been ravaged, water bodies polluted, and farms and forests destroyed. Indeed, cassava, which could sell for GH5 in Kumasi, the regional capital, is being sold at between GH15 and GH20 at Asarekrom, a suburb of Manso Nkwanta, the district capital. Residents trek for almost two kilometres daily to get water for domestic chores. Most of the residents now depend on rainwater for their domestic chores and farming activities. The Daily Graphic gathered this last Friday when some journalists in Kumasi visited the Asarekrom community to see for themselves how the town was fast deteriorating as a result of these illegal mining activities. Tour expedition Accompanied by Opanin Akwasi Mensah, the Abusuapanin of Pakyi No 1, the traditional authority that takes care of the area, an Nkosuohene of Asarekrom, Nana Amoakohene; some youth of the community and security personnel, the team came face to face with environmental degradation, a devastation being inflicted on the community in broad daylight. With heavy machinery at work, light-skinned foreigners directing affairs, some youth dug away the life of the soil and the future of agriculture and unborn generations with careless abandon. The team arrived in the town around 11 a.m., and after about two hours of trekking and manoeuvring the narrow, bushy and muddy route, reached the site of the relentless illegal mining. Two female journalists, whose boots gave way during the virtual jungle walk through the pitted path, ended their journey midway. The illegal miners bolted upon hearing of the arrival of the team, leaving their mining equipment behind. While the key figures, the foreigners, ran into the forest, some of the youth kept on mining. On one side stood some youngsters, who looked like teenagers, who had brought food, drinks and bottled water to sell to the miners. They included a lactating mother and her baby. Poor infrastructure As has come to be associated with galamsey activities, the illegal activity must have fetched the illegal miners and their sponsors thousands in foreign currencies, but the towns infrastructure does not portray a community with such volume of wealth mined from its belly. The roads in the community are in a sorry state so passengers sometimes had to alight and push their vehicles anytime they got stuck on the muddy roads. Wastewater from the mining activities ends up on sections of the road, making the neighbouring communities Abom, Banko, Antoakrom and Nsiana difficult to access. Concerns Some residents who spoke to the Daily Graphic said what even beat their imagination was that Akai a stream where their spiritual god, Namafuaa, dwelt and where natives performed rituals to seek clearance in order to enter the wild forest reserve in the community had all been raided by the illegal mining activities. Opanin Akwasi Mensah, for instance, expressed concern that the traditional authorities had not been able to tackle the issue head-on. Our people cant get common drinking water; all the fish in our streams have vanished, the Obusuapanyin said, and called on the Asantehene to intervene, stressing that we all know Otumfuo (Osei Tutu II) frowns on galamsey. The Nkosuohene of Asarekrom, Nana Amoakohene, told this reporter that the economic woes of the people were worsening because of illegal mining. Meanwhile, the youth in Pakyi No.1 and Asarekrom gave a hint to the media that they intend hitting the streets in the coming days to demonstrate against the activities of the galamseyers and traditional authorities, saying the latter had failed to respond to the threat of the situation. National Girls-in-ICT Open Day: Vodafone Ghana hosts 100 girls Daily Graphic Aug - 16 - 2023 , 07:52 In a significant stride towards bridging the digital gender gap, Vodafone Ghana opened its doors to 100 young girls from the Bono East, Bono and Ahafo regions, hosting them at its headquarters in Accra for the National Girls-in-ICT Open Day. Spearheaded by the Ministry of Communications and Digitalisation, the initiative aligns seamlessly with Vodafone Ghanas core values of diversity and inclusion. The girls, aged between 10 and 15, were treated to an engaging and informative day, filled with hands-on experiences that offered a glimpse into the diverse world of Information and Communication Technology (ICT). Activities ranged from a mentorship workshop to practical sessions on Cybersecurity, Robotics, Networking, Solutions Development and Digital Transformation. Right guidance The mentoring sessions were led by the Vodafone Ghana Women in Technology team, providing key and basic information about the different aspects of technology. They also provided valuable insights and inspiration in engaging sessions to encourage the girls to take up STEM learning. "I found these mentoring sessions truly inspiring. Personally, I was very impressed with their interest and knowledge in technology, and I am confident that with the right guidance, these girls will be leading the technology space in the near future," said Juliana Ametoworgo, a Radio Frequency Plan & Performance Specialist at Vodafone Ghana and one of the facilitators. One of the enthusiastic participants, 13-year-old Yaa Awhenepa Osei, a student of Nkoranza Methodist Senior High School, expressed her gratitude to the Ministry of Communication and Digitalisation and Vodafone Ghana. This programme has boosted my initiative, interest and confidence in pursuing ICT, she said. Stepping stone Commenting on the significance of the event, Patricia Obo-Nai, CEO of Vodafone Ghana, said "This initiative is a stepping stone towards a future where young women see no boundaries in the ICT sector. By opening our doors and sharing our knowledge, we're not just educating these girls about technology; we're inspiring them to envision a world where they are innovators, leaders and change-makers. At Vodafone Ghana, we believe in the power of diversity, and we're committed to nurturing the next generation of female tech leaders." If you have an event you'd like to list on the site, submit it now! Submit New mini hospital for Aiyinase Dotsey Koblah Aklorbortu Aug - 16 - 2023 , 07:43 The construction of a $2.86 million fully equipped 20-bed health facility has started at Aiyinase in the Ellembelle District in the Western Region. The facility, known as the Aiyinase Health Centre, will ease the pressure on the only district hospital at Ekwai, the St Martins dPores Hospital, as well as ensure that the patients do not travel far to access certain secondary and tertiary health care. The project is part of the social investment programme of the Ghana National Gas Company (Ghana Gas) and Quantum Terminals Plc. Quantum is one of the off-takers of the processed gas from the Atuabo gas processing plant. The existing health facility at Aiyinase is in a deplorable condition, and therefore, it has to refer patients with complications beyond its capacity to the St Martin dPores Hospital at Ekwae. Sod cutting At the sod cutting ceremony at Aiyinase yesterday, the two oil and gas companies said the project would be completed in 12 months. The new health facility will have a more spacious outpatients department, wards, service yard, maternity ward, a diagnosis centre, an administration office and a mothers shelter. Other facilities are a morgue, a pharmacy, canteen and staff accommodation, among others. Present at the ceremony were the Member of Parliament for Ellembelle, Emmanuel Armah-Kofi Buah, the District Chief Executive, Kwasi Bonzoh, the Paramount Chief of the Nzema East Traditional Area, Awulai Amihere Kpanyile III, and officials of the health directorate, among others. Hope The Ellembelle District Director, Augustine Kwesi Amoako, said the commencement of work had given hope to healthcare delivery in the district, and that having a 20-bed mini hospital at Aiyinase would greatly improve maternal health, especially, and ease the overwhelming burden on St Martin dPores Hospital. He said the Ghana Health Services primary health care (PHC) had been designed as a three-tier system where health services were provided at district, sub-district and community levels. With the new model, Aiyinase Health Centre will serve as the sub-district facility for Aiyinase sub-district, which is the biggest sub-district among the seven healthcare delivery facilities in the district, Mr Amoako said. He said even in its current state, the facility covered 27 per cent of the population of the district and served very hard-to-reach places in the district. Social investment The Board Chairman of Ghana Gas, Stephen S. Nanyina, said the Aiyinase Health Centre did not have what it took to cater for the critical medical needs and concerns of people from far and near. As a result, people with basic health and medical conditions will have to be transferred to the Eikwe Hospital for treatment, which puts a lot of stress on the patient and the Eikwe facility in general, he stated. Mr Nanyina said though the company had been doing a lot in the area, the current project was the single biggest social investment project Ghana Gas had embarked on. The parties will spend $2.1 million on the physical construction and $747,458 on medical equipment. Nigerian jailed 15 years for human trafficking, to compensate each victim with GH10,000 GNA Aug - 16 - 2023 , 07:30 A Nigerian hairdresser who trafficked seven victims from Nigeria to Ghana to engage in prostitution has been sentenced to 15 years imprisonment. Promise Obianu Awayiaka compelled her victims to shave their pubic hair for ritual. The said ritual was to intimidate the victims to comply with her demands and ensure that they do not escape. Appearing before a Sogakope Circuit Court, Promise, 32, pleaded guilty to seven counts of human trafficking and she was convicted on her plea. Promise was ordered by the court presided over by Mr Isaac Addo to pay GH10,000 to each of the victims as compensation. The court ordered the Ghana Immigration Service (GIS) to ensure that all the victims were taken through counselling and sent back to Nigeria. The Trial Judge held that the pregnancy test conducted on the accused person proved negative. The court noted that Promise had pleaded guilty to all the charges, adding that the accused person was a young woman, she had been in lawful custody for some time to enable her to undergo a pregnancy test. It said, looking at the seriousness of the offence committed, the number of victims involved, it ought to hand down a deterrent sentence to traffickers and would be Traffickers. The court held that the accused person ought to be kept away from society for a considerable period of time. This gruesome act is clearly a modern (form of) slavery, which must not be countenanced. Prosecuting Assistant Superintendent of Immigration Yussif Misbawu, who held the brief of Superintendent of Immigration Bernard John Otoo, said Promise, now a Convict, resided at Mafi-Kuamse, a suburb of Sogakope in the Volta Region. Prosecution said Promise recruited and transported seven girls from Nigeria to Ghana with the pretext of securing them employment as sales girls in a supermarket in Kasoa in the Central Region of Ghana but forced them into prostitution. It said the girls (victims) arrived in two groups; the first group was made up of four women aged between 22 to 25 years. Prosecution said Promise recruited and transported them initially to Kasoa and forced them to have sex with numerous men on a daily basis and benefitted from their illicit trade by collecting the proceeds. It said after a while, security personnel in Kasoa were alerted of the plight of the victims. Prosecution said the convict however relocated them to a guesthouse at Mafi Kumase, a suburb of Sogakope. It said at Mafi-Kumase, Promise recruited and transported three more victims (girls) from Nigeria aged 17, 20 and 23 and she forced them into prostitution. According to the prosecution, further investigations revealed that she shaved their pubic hair for a ritual to ensure that the victims complied with her demands. It said the Ghana Immigration Service was informed, leading to the arrest of Promise and the arrest of victims. Pay attention to springing up of unions - TUC to Employment and Labour Ministry Dickson Worlanyo Dotse Aug - 16 - 2023 , 06:26 The Ministry of Employment and Labour Relations has been urged to pay attention to the number of unions springing up across the country. The acting Deputy Secretary-General of the Trades Union Congress Ghana (TUC-Ghana), Joshua Ansah, said although the constitution spelt out procedures for the creation of unions, if the multiplicity was not checked, it could hamper industrial peace. If one day we wake up and there are over 1,500 unions in this country and each of them is demanding one thing or the other, the country would not be able to operate. Event The acting Deputy Secretary-General was speaking at a social partners consultative meeting between the TUC and its affiliate unions in Accra yesterday. They shared experiences and challenges, and how to enhance cooperation to ensure effective management of labour issues in the country for development. The meeting brought together representatives of all 22 affiliated groups of the TUC, the Ministry of Employment and Labour Relations and the National Labour Commission, among others. Challenges The acting Deputy Secretary-General also mentioned some of the challenges facing workers in the country to include inadequate provisions in the law, disregard for the provisions of the law and other statutes, and the lack of enforcement by appropriate institutions. Resource constraints have weakened the power and authority of the institutions of state to execute their mandate, and the lack of will to ensure enforcements has been the bane of these institutions, he added. Labour Act The Chairman of the National Labour Commission, Justice Kwabena Asuman-Adu, urged labour unions to pay attention to what was contained in the Labour Act before embarking on any industrial action. The Labour Act makes it clear at Section 159 the procedure to go through when a union wants to embark on an industrial action. Unfortunately, most of the unions resolve to embark on industrial action without notifying the NLC, he said. To make the commission more accessible to the unions, Justice Asuman-Adu said the commission will from November, this year, sit on Wednesdays and Thursdays every week to clear the backlog of cases. From August 2022 to date the number of cases filed is 213. The number of cases settled by the fifth commission so far are 310 cases. This implies that to date the number of cases that have come before the commission are 844 cases, out of which 310 cases have been settled, leaving 534 cases pending, he said. The Minster of Employment and Labour Relations, Ignatius Baffour Awuah, assured the unions that negotiations would soon commence despite initial delays, adding no matter what happens in these negotiations, both parties would come out as co-winners. He said the government was retooling and renovating various labour facilities to enhance the effectiveness and efficiency of activities of unions. Mr Awuah also urged the NLC to decentralise its operations to ensure that workers across the country had a fair chance of having their issues resolved. Revolutionising education system: ICT key in STEM Ministry Justice Agbenorsi Aug - 16 - 2023 , 12:00 Students across all levels of education must be equipped with relevant skills in information and communications technology (ICT) to position them for the Fourth Industrial Revolution. This is because there was ample evidence that the use of ICT in other jurisdictions had revolutionised science, technology, engineering and mathematics (STEM) education by empowering students with interactive learning experiences, global resources and practical skills relevant to the modern world. A STEM Policy Advisor at the Ministry of Education, Prof. Mark Adom-Asamoah, made the call in his presentation at the second National Digital and Distance Learning Conference held at the Labadi Beach Hotel. The advisor stressed that for the country to change how businesses operated to deliver value within the context of the Fourth Industrial Revolution, it was necessary to infuse ICT in the countys education system to ensure a seamless integration of technology that drove efficiency, innovation and competitiveness in the modern era. We need to ensure that all these students are compliant for ICT and industry 4.0, he added. His presentation was on the topic, The role of ICT in STEM education as part of the two-day national conference on distance learning. Conference The conference was organised by the Centre for National Distance Learning and Open Schooling (CENDLOS) on the theme: Reimagining education: A call on multi-stakeholder coordination and action for equitable access to digital and distance learning in Africa. It was organised in partnership with the UN Children's Fund (UNICEF), the UN Educational, Scientific and Cultural Organisation (UNESCO), the World Bank and the Ministry of Education (MoE). The conference featured a panel discussion on digital infrastructure challenges in developing economies with emphasis on connectivity. Access The Deputy Minister of Education, Rev. John Ntim Fordjour, said the advent of digital learning was a call that needed to be embraced by all stakeholders to expand access to education, adding: Let us not restrict access to education to the size of infrastructure. He added that the country must reposition itself to leverage the benefits of digital learning platforms to ensure that no child was left behind. The government, he said, was repositioning the countrys education system to produce graduates with relevant industry-demand skills. In line with that Rev. Fordjour disclosed that the government was constructing kindergartens with smart working tools to create an enabling environment for the pupils to thrive. Coordination The UNESCO Country representative, Abdourahamane Diallo, underscored the need for stronger partnership among the government, civil society organisations, donor partners and the private sector. That, he said, would create the needed synergies to provide accessible, relevant and rich content for learners. We need strong coordination on the government side and the partner side. We need to speak the same language with the government, technical and financial on these critical issues, he stressed. For his part, the Executive Director of CENDLOS, Nana Gyamfi Adwabour, said capacity building was one of the key issues with the implementation of digital learning at various schools. He, therefore, stressed the need for continuous education of teachers to ensure that they were equipped with the relevant skills to implement things on digital learning platforms. Virtual SHSs On the opening day of the conference, the Minister of Education, Dr Yaw Osei Adutwum, announced the introduction of the Virtual Senior High School (V-SHS) programme across the country. The initiative, the minister said, the marks a significant step towards modernising Ghana's education system. It will enable students study through digital platforms with an expert teacher as the conductor. The platform would provide blended learning methodology in the schools while providing opportunities for adult learners to remotely participate in the free SHS education online. Use dialogue to solve national issues Presbyterian Church Mary Anane-Amponsah Aug - 16 - 2023 , 06:55 The Presbyterian Church of Ghana (PCG) has urged political leaders to use dialogue to solve the country's challenges, instead of resorting to threats, which could tamper with the peace of the country. It said political leaders occupied important positions with the main aim of bringing development to the people; hence, they were supposed to always take positions that would protect the interest of the people. Speaking to the Daily Graphic in an exclusive interview in Accra last Monday, ahead of this years national convocation of the church, also known as the General Assembly, the Clerk of the General Assembly of the PCG, Rev. Dr Godwin Nii Noi Odonkor, acknowledged that the economy was facing challenges, which the citizenry was not happy about. He said politicians must solve the challenges collectively with other stakeholders to bring relief to the people. Threats Rev. Dr Odonkor expressed concern that oftentimes, such threats created the wrong impression to the youth, some of whom might be agitated and thus capitalise on what their leaders said to cause trouble, which would threaten the peace of the country. He cited an example of the recent threats by the opposition National Democratic Congress (NDC) that they were going to occupy the Bank of Ghana (BoG) to demand the resignation of the Governor. Such an approach, he said, only sent a negative message, particularly at a time when many people were not happy about the economic situation in the country. "Yes, we are having challenges with the economy. It is obvious that nobody is happy with it. But I believe such threats are not the solution. Rather, collectively, we can come together and build the economy," he stressed. On the Cecilia Dapaah issue, he cautioned the media to be circumspect in their reportage in order not to misinform the public. He called for people to allow investigations into the matter to be completed and stop putting out false information, which only jeopardised the work of institutions handling the matter. Contribution to National development Touching on some contributions of the church to national development, the Clerk of the General Assembly of the PCG stated that the church had contributed immensely in many areas, especially in education and health, where it had built schools, colleges of education, universities and health facilities, among others, in the rural areas where these facilities well served the people. "We have 2400 basic schools, 38 senior high schools (SHSs), five colleges of education and two universities. "At 195 years as a church, we pride ourselves as being the oldest and we have done a lot to develop the country, especially human resources," he stated. The church, he said, was currently building infirmaries in all Presbyterian SHSs. Wisconsin university to offer scholarship to journalists Daily Graphic Aug - 16 - 2023 , 09:13 The Ghana Journalists Association (GJA) has signed a Memorandum of Understanding (MoU) with Wisconsin International University College, Ghana (WIUC-GH), to provide scholarship for journalists to study communication programmes at the university. The Chancellor, Dr Paul Kofi Fynn, signed on behalf of the schools, while the President of the GJA, Albert Kwabena Dwufour, signed for the professional body. Present at the ceremony was the Chairman of the University Council and former Court of Appeal Judge, Justice Isaac Duose. Under the three-year agreement which is subject to renewal,10 members of the GJA would be provided with fully funded scholarship every year to undertake diploma and degree programmes in Communication Studies. In addition, the university will also offer partial scholarship of 40 per cent covering tuition to members and non-members of the GJA to undertake diploma and degree programmes at the Wisconsin School of Communication Studies. Shortlist The agreement, which takes immediate effect, also mandates the GJA to shortlist applicants for the scholarship (both full and partial) and submit same to the university. It is subject to renewal at the end of its expiration and is also expected to enhance the professional development of GJA members in particular and media practitioners in general. The scholarship is in fulfilment of a promise made by the Chancellor of WIUC-GH in 2022 when members of the National Executive of the GJA paid a courtesy call on him after their election into office. Interested persons are required to first apply for admission to WIUC-GH, and upon receipt of the admission letter, apply for the scholarship facility. Scholarship application forms can be obtained from the GJA website at www.gja.org and after filling the form, submit same to the GJA Secretariat. The applications will be vetted after which applicants, whether successful or not, would be duly informed about the outcome of their application. Fairness Dr Fynn said as one of the best private universities in the country, Wisconsin was happy to work with the GJA to offer scholarship to journalists. He urged the leadership of the GJA to ensure fairness in the selection of beneficiaries, adding the scholarship should not be based on friendship and any form of favouritism but rather focused on qualified individuals who are needy. The acting Dean of the School of Communication Studies at WIUC-GH, Baaba Cofie, said she was excited about the scholarship scheme because it would help build the capacity of journalists. Gratitude Mr Dwumfour thanked the Chancellor for the gesture, saying the scholarship would help to ensure professionalism and high journalistic standards. We see todays programme as very historic. We are aware the media will be very happy about this. One thing I can assure you of as a legacy regime is to work towards enhancing professionalism and high journalistic standards, he said. Yilo Krobo Assembly reactivates Somanya lorry station Ezekiel E. Sottie Aug - 16 - 2023 , 06:38 The Yilo Krobo Municipal Assembly has in collaboration with the United Drivers Association (UDA) under Ghana Private Roads Transport Union (GPRTU) of the Trades Union Congress (TUC) has put measures in place to revive the Somanya Main Lorry Station. Also known as the Akutunya Lorry Station, the move is intended to bring sanity to the transportation system in Yilo Krobo. The assembly and the UDA have also partnered transport unions including the GPRTU and Progressive Transport Association (PROTOA) among other transport unions in the municipality to find a lasting solution to the lack of a lorry station. The main objectives of the assembly, UDA and the transport unions among other things is to bring all drivers in the municipality under one umbrella, making the Akutunya Lorry Station the main lorry station as it used to be, regulating transport fares within the Somanya township, especially taxis and tricycles and making Somanya the main stop for travellers to the Yilo Krobo area. These came to light at a stakeholders meeting which also included the Board of Directors of UDA at Somanya last Sunday, on the theme, Ensuring Sanity in Road Transport Operations in Yilo Krobo. The CEO of UDA, Jacob Narh Tsaatse, noted that the Akutunya designated lorry station, which is in the heart and central part of Somanya and also close to the Somanya Post Office, the municipal chief executive residency and the Akutunya Main Market among other stores and public facilities, was designed to improve the value chain of the stations operation and sustainability. He said due to the strategic location of the market and the lorry station, it was easier for market women to get transport which conveyed their farm produce to the market and ensured that they were equally transported back home safely after the close of business. He said that had been successful in the past but since the lorry station ceased to operate because drivers were picking passengers along the stretch of the road at Somanya, many market women had decided to send their produce to the Agormanya Market in the Lower Manya Krobo Municipality, denying the Yilo Krobo Municipal Assembly of the needed revenue. In view of these challenges, the UDA being headquartered at Somanya realised the need to partner the assembly and the transport unions to revive the station and restore it to its past glory, Mr Tsaatse stated. Loading station The Yilo Krobo Municipal Finance Officer, Christian Hottor, who represented the assembly, said The assembly, the UDA and the transport unions have agreed that, henceforth, all drivers travelling outside Somanya, especially to Accra, Koforidua, Kumasi, Tema, Ashaiman and Takoradi among others should load at the main station and get the ticket from the main station. It is only the assembly tickets that would be issued at either the barrier near the assembly or any designated place, Mr Hottor said. The Chairman of the UDA, Anthony K. Nartey, said the Somanya Transport Station issue had been a major concern for not only transport owners but all other stakeholders including travellers for the past 16 to 20 years and hoped the measures put in place were going to yield positive results. Ransford Gyampo on why NPP should strictly enforce presidential primary guidelines Zadok Kwame Gyesi Politics Aug - 16 - 2023 , 14:37 The New Patriotic Party (NPP) should be strict in enforcing the guidelines set out for the conduct of the presidential primary of the party, a senior political science lecturer at the University of Ghana, Professor Ransford Gyampo has said. To him, the party's leadership should live up to the guidelines drawn up for the presidential primary, taking place on August 26 for the first round and November 4, 2023 for the second round. Prof Gyampo said it would be meaningless should the same people who birthed the code of conduct for the presidential primary begin to flout it. Per the code of conduct and guidelines issued by the party, no aspirant should appoint active government officials or any delegate listed to vote in the presidential election as an agent either at the Special Electoral College level on August 26 or the main election on November 4. These include metropolitan, municipal and district chief executives, ministers of state and CEOs of state-owned enterprises, regional executives and constituency executives. Prof. Gyampoh in a social media post on Tuesday, August 15, 2023, said the guidelines when implemented to the letter, devoid of intimidation and threats of victimisation, would create a level playing field for the keenly awaited contest and produce a winner acceptable by all. He, has therefore asked those in the party's position of trust, to police the rigid implementation of these guidelines, adding, "it is in their own interest to do so. Kennedy Agyapong reveals how political threats led to his mother's tragic death GraphicOnline Politics Aug - 16 - 2023 , 07:44 Mr. Kennedy Ohene Agyapong, a contender for the presidential nomination of the New Patriotic Party (NPP), has disclosed that his mother passed away due to the distress caused by threats made against him by the sibling of a fellow politician. Mr. Agyapong shared that his mother struggled to endure the insults and menacing messages he received upon entering politics. She repeatedly implored him to step away from politics, but he resisted her pleas. "My mother died because of threats on me," Mr Agyapong said in an interview on Citi TV. He recounted, "When Hon. Collins Daudas brother threatened to kill me, my mother couldn't take it. She died on the 13th of November. During the week she died, I visited her, and she was pleading with me to stop politics, and she said: 'Kwame, you'll kill me.' That was Thursday. On Friday, I left for Kumasi, and while returning to Accra on Sunday afternoon, I got the distressing news that my mother had passed away. I was informed that she was uttering 'my heart, my heart,' and 'Kwame, you will kill me.'" The outspoken Member of Parliament explained the deep emotional toll the loss of his mother has taken on him. Mr. Agyapong's bid to lead the NPP as the presidential candidate in the 2024 elections is ongoing. The NPP has set November 4, 2023, as the date for the presidential primary to choose a flagbearer for the 2024 elections. Whip up interest in local-level election - CDD-Ghana urges stakeholders Emmanuel Baah Politics Aug - 16 - 2023 , 05:57 The Ghana Centre for Democratic Development (CDD-Ghana) has bemoaned the lack of interest in the upcoming District Level Election (DLE) by key stakeholders. Despite its importance to national development, it said, the lack of interest by the Executive, Parliament, media, civil society organisations and traditional leaders, was worrying. It, therefore, called for concerted effort from all stakeholders to whip up interest in this years election and beyond. The Programmes Manager of CDD-Ghana, Paul Kwabena Aborampah Mensah, made the call at a national dialogue on the 2023 DLE in Kumasi. He noted that local governance remained the most potent way to bring development to the citizenry, hence reducing apathy must be a priority. The DLE is to elect assembly and Unit Committee members. Forum The dialogue was organised by the Local Governance Network (LOGNet), Public Financial Management Network (PFM-Network) and the Chamber of Local Governance (CHaloG), with support from the German Development Cooperation (GIZ). It was to provide a platform to educate, sensitise and raise awareness among citizens, non-state actors and state actors on the 2023 DLE. Participants from the Ashanti, Bono, Bono East, Ahafo and Western North regions attended the event which was on the theme "Strategies to Improve Participation towards the District Level Elections in Ghana. Interest Mr Mensah entreated traditional leaders to take a keen interest in the DLE as custodians of lands on which development projects were executed, reminding them of their crucial roles in local governance. "Chiefs have been part of the local governance process since the era of the colonial masters who implemented their policies through traditional leaders. So, they must be concerned," he said. The Regional Coordinator of GIZ, Simon Manu, pledged the support of GIZ to help raise awareness about the DLE because it believed in strengthening local structures as the fulcrum of development. Development in Ghana hinged on the local governance system. . . If local governance fails, development in Ghana will fail, he said. Turnout The National Coordinator of LOGNet, Christopher Dapaah, said turnouts in DLE in the country continued to decline from 59.3 per cent in 1988/89 to 33.6 per cent in 2019, as against an average of 78.8 per cent in the Parliamentary and Presidential elections since 1992. "It is clear from data that there is a huge gap in participation when comparing district-level elections to national elections," he observed. He said even though Ghana was a solid democratic nation, the narration would have been different if the nation's democracy were to be rated based on participation in DLEs. The Ashanti Regional Director of the Electoral Commission, Benjamin Bannor Bio, took participants through processes and strategies towards the election. Christine Sypnowich at Aeon: It is often remarked that, for most of the 20th century, political theory languished in the shadow of scientistic views that had dominated philosophy as a whole. Logical positivism insisted on the strict delineation of conceptual from empirical enquiry, matters of fact from matters of value, themes that lingered in the succeeding school of ordinary language philosophy. Murdoch blamed the dominance of a sterile logical analysis for contributing to the lack of vision and creativity in progressive thought. Whereas moral philosophy, as Murdoch put it, survived by the skin of its teeth, turning itself into a meta-discipline concerned with understanding concepts, political philosophy almost perished. The intrinsically controversial nature of prescriptions about justice, equality and liberty was replaced with an analysis of how words were used; gone was the ancient Greeks idea of political philosophy as reasoned enquiry into how we ought to live in common. The diminished role of political philosophy as a normative exercise doubtless reflected not just an empiricist outlook in philosophy but also a smug acceptance of the empirically given, that is, the ascription of an automatic legitimacy to the liberal institutions of capitalist democracies in the postwar period. more here. Fisker has signed an agreement with Tesla to adopt the North American Charging Standard (NACS) on its first vehicles in 2025. The move will provide Fisker owners with additional charging options, taking advantage of Teslas 12,000 Supercharger stations in the United States and Canada. All current and future Fisker vehicles will be covered by the agreement. Fisker customers will utilize a NACS adapter to plug in at Superchargers starting in Q1 2025. Fisker will later update vehicle engineering to include an NACS inlet. A CCS adapter will be provided so that customers can continue to charge using that standard. Other automakers which have announced similar deals include Ford, GM, Mercedes, Nissan, Polestar, Rivian and Volvo. According to industry sources familiar with the matter, one of Apple's contractors, Foxconn, has started production of the iPhone 15 in India. Production in the country commenced only a couple of weeks after Apple kicked off assembly in China. The Cupertino-based tech giant started producing some ifs iPhones last year in India but months after the Chinese fabs started operating. This year, however, Apple is clearly trying to close the gap between the two manufacturing hubs. About 7% of the iPhone 14 series were produced in India this March, and this share will likely grow with iPhone 15. Of course, there are other factors involved. The local Foxconn facility depends on hardware imports from other countries, including China so the supply chain still needs time to adjust and optimize operations. Reports claim that other suppliers like Pegatron and Wistron sold their factories to Tata Group in India and will begin iPhone 15 production real soon. Source Nokia G310 Exp. release 2023, August 24 195.1g, 8.6mm thickness Android 13 128GB storage, microSDXC 16% 32,497 hits 9 Become a fan 6.56" 720x1612 pixels 50 MP 1080p 4 GB RAM Snapdragon 480+ 5G 5000 mAh Li-Po Disclaimer. We can not guarantee that the information on this page is 100% correct. Read more Samsung announced the Galaxy SmartTag item tracker in January 2021, and it was expected to unveil its successor at last month's Galaxy Unpacked event in South Korea, but that didn't happen. While there's no word from Samsung about the Galaxy SmartTag 2, we know it's in the works, and it just got certified by Thailand's NBTC, moving it a step closer to launch. The Thai certifying authority doesn't reveal anything new about the Samsung Galaxy SmartTag 2 since we know it has model designation EI-T5600 and ultra-wideband (UWB) support from previous certifications. Samsung Galaxy SmartTag 2 The original SmartTag didn't support UWB, but the SmartTag+ did. This time, Samsung will probably only launch one version with Bluetooth and UWB connectivity. Samsung Galaxy SmartTag Source (in Thai) A total of 161 farm workers and 216 farmers from Guam, Northern Mariana Islands and the freely associated Federated States of Micronesia were surveyed to find out what their current stressors are and where they would like to receive support, according to a press release from the University of Guam. The National Violent Death Reporting System of the Centers for Disease Control & Prevention reported in 2016 that farmers are one of five industry groups with the highest suicide rates, with 36 suicides per 100,000 workers. To combat this statistic, Washington State University obtained a grant from the U.S. Department of Agricultures Farm and Ranch Stress Assistance Network to create the Western Region Agricultural Stress Assistance Program and cultivate resilience among farmers, ranchers, and agricultural workers in Western states and territories. The program is carried out in the Micronesian region through the Farmer Focus Project at the University of Guam, says the press release from the University of Guam. Of the 216 farm producers surveyed from May to October 2021, 69% in the Northern Mariana Islands and 80% in Guam and FSM show a medium level of stress. 73% of farm workers across the islands were surveyed separately from December 2022 to March 2023 also reported a medium level of stress. Percentages were calculated using the Perceived Stress Scale 10, or PSS-10, a commonly used psychological questionnaire, according to the press release from University of Guam. The press release also said that higher levels on the PSS-10 have been associated with higher markers of biological aging, higher cortisol levels and suppressed immune function. The top stressors among farm workers on the islands surveyed are grieving the death of a family member or friend, crop and plant diseases, and financial worries. For farmers/producers, the top stressors included production costs, weed and pest control, COVID-19, finances, and family in particular, parenting, said the press release. Guams top three stressors are listed as production cost, pests and COVID-19. Help to manage To manage stress, overall participants asked for educational content related to finances, succession and retirement planning, and career and relationship support, said the press release. In response to the survey results, the Farmer Focus Project at UOG will be hosting conferences and trainings annually on a different island. The first wasx held last year on Guam and the second was held in June on the island of Pohnpei. The conferences share take-home resources and tools, including hotline numbers for emergencies and websites with helpful resources, including AgWell.org, Utah State Universitys Ag Wellness, and farmstress.us. Sessions focus on the host islands top interests and have included topics such as indigenous ways of coping with stress, writing and stretching for stress relief, and how to make healthier eating choices among locally available foods. Additionally, 36 professionals who work with farmers in Guam and Pohnpei have been certified in Mental Health First Aid over a one-day training during the conference, said the press release. Farming is really high-risk work, and farmers do not really talk about their spirits too much, especially their stress level, so we really want to bring this awareness to our region, said Agricultural Economist at UOG and lead of the Farmer Focus Project, Dr. Kuan-Ju Chen. For more information go to uog.edu or contact Dr. Kuan-Ju Chen at chenkj@triton.uog.edu. New donations made to The Guam Museum give a peek into what became of Shoichi Yokoi, the famous Japanese sergeant who was stationed on Guam during WWII and after losing contact with his armys chain of command when US forces recaptured Guam, lived in the Guam jungles until he was discovered by two CHamoru hunters in 1972. Facilitated by the Japanese Consulate, the donations consist of several pieces of pottery that Yokoi made during the remainder of his life in Japan. The pieces were originally in a museum set up by his widow, Mihoko, who passed away last year. The donations come from their nephew, Omi Hatashin, and were officially given to the Guam Museum on Aug. 7. In making the presentation, I look forward to strengthening further the outstanding relationship we have shared over the past generations, in true inafamaolek spirit, said Ishigami Rumiko, the Consul General of the Japan Consulate Guam. For Guam Museum curator Miget Bevacqua, although the items may not be what visitors expect to see in the exhibit, they do humanize Yokoi. People may be familiar with the traps that he made, how he wove his own clothes or the things that he used to survive in the jungle. But these items here, pottery and sculptures that he made reflect a man who was coming to terms with his experiences later in life, that this was a form of therapy for Yokoi, a form of creative expression, but also a form of therapy and allowing him to reflect on what he had experienced, said the Bevacqua. President of the Department CHamoru Affairs Melvin Wonpat Borja was also present. I think we all can agree that war is a difficult thing for all those involved. What we appreciate about the work that we do at the museum is like Dr. Bevacqua said it really humanizes the experience for us. The telling of our history is something that we cannot avoid. We cannot forget about what transpired in the past but its also an opportunity for us to create friendships and to be able to move forward. The Yokoi exhibit is one that is ... one thats very popular with our Japanese visitors. And I think that the retelling of the story of the war is difficult for all sides. But these types of items that ... capture a part of Sgt. Yokois life outside of the war, its something that we dont always get an opportunity to highlight. In a note from Hatashin, who wrote a book about Yokoi titled, Private Yokois War and Life on Guam 1944-1972, he explains that his uncle was an advocate of simple life and his resourcefulness inspires sustainable life. The Aerospace States Association recently selected Lt. Gov. Josh Tenorio to chair the National Policy Committee, according to a news release from the association. The nonprofit group is made up of lieutenant governors, governor-appointed delegates, state legislators, territorial and tribal government representatives and associate members from aerospace organizations and academia. The organization advances aerospace and aviation in member states and throughout the nation, and promotes state-based perspectives in federal aerospace and aviation policy development. Tenorio is the first lieutenant governor from a territory to join the organizations executive leadership. I am excited to appoint Lt. Gov. Tenorio as chair of the Aerospace States Association National Policy Committee, Colorado Lt. Gov. Dianne Primavera, who serves as national chair, said in the release. Lt. Gov. Tenorios passions for equity, business, and the environment will be a great asset to the association with our joint mission of supporting the aerospace community and expanding industry opportunities nationwide. On Guam, Tenorio is the chairman of the Islandwide Beautification Task Force, the Interagency Council on Homelessness, the Guam Hazard Mitigation Committee and the Guam Product Seal Task Force. He also co-chairs the Guam Green Growth Steering Committee and has oversight over the Guam State Clearinghouse, charged with monitoring federal grant expenditures and programs. Guam is where American commerce and defense begins each day. We are host to aerospace activities at the Antonio B. Won Pat Guam International Airport, Andersen Air Force Base, and the NASA Guam Remote Ground Terminal, he said in the release. Guam is a hub for both United Airlines and Asia Pacific Air and is the future site for an Enhanced Integrated Air and Missile Defense System. Though small in land size, our importance for the nations economy is larger than most states. We are the commercial gateway to America for Asia/Pacific, the host to more than 1.6 million tourists annually, and play a key strategic role in Americas defense. Tenorio said he hopes to work with the groups National Policy Committee to develop initiatives that will expand our aerospace markets while securing the nations defense. A 25-year-old man was arrested Wednesday in connection with the attempted murder of a woman who was found unconscious and unresponsive Monday in a home on Wendy Lane in Yigo. John Anthony Paloma Rapolla Jr., who police said was a person of interest in the case, was located after officers responded to a call about a suspicious person at Asan Beach Park. He was arrested on suspicion of attempted murder, aggravated assault, use of a deadly weapon in the commission of a felony, theft of a motor vehicle, destruction of evidence, arson, fraudulent use of a license plate and theft of property, according to a news release from Guam Police Department. The woman, 27, was found Monday with multiple injuries to her head consistent with a sharp object. She was immediately taken to the hospital. As detectives investigated, they learned the womans car was missing. The vehicle Rapolla was operating Wednesday had fraudulent license plates and was identified as belonging to the injured woman, police said. Rapolla was booked and confined at the Department of Corrections. This case has been forwarded to the Office of the Attorney General for prosecution. For the first time in 167 years, a Peruvian Navy ship is circumnavigating once again around the world. The B.A.P. Union arrived on Guam on Wednesday as their second stop in a 309-day journey. Its captain, Peruvian Navy Captain Jose Luis Arce Corzo, is inviting visitors, service members and residents of Guam to tour their ship. The Union will continue to visit another 18 ports in 15 countries across five continents after it leaves Guam next week. The general public is invited to tour the ship during its port visit to US Naval Base Guam on Thursday and Friday. Residents will have a chance to see some parts of the ship and talk to some cadets onboard, who are part of the Peruvian Naval Academy. Transportation to the ship will depart from the Visitors Control Center near Infusion Sumai outside NBG every hour on the hour. Those interested in participating should meet at the VCC at least 15 minutes prior to departure. Tours will take place between the following dates and times: Thursday, Aug. 17 from 11 a.m. to 1 p.m. Departure times at 11 a.m. and 12 p.m. Last pick up will be at 12 p.m. noon due to an event they will host in the evening. Friday, Aug. 18 from 11 a.m. to 5:30 p.m. Departure times at 11 a.m., 12 p.m. 1 p.m., 2 p.m., 3 p.m., 4 p.m. and 5 p.m. Last pick up will be at 5 p.m. Tours are open to members of the public ages 8 and over. Children who are seven years old and younger are not permitted onboard due to lines and fittings that could trip individuals, according to US Navy Capt. Michael Smith, Chief of Staff of Joint Region Marianas. Smith added that participants should also wear closed-toe walking shoes and avoid wearing high heels or carrying large bags or backpacks. Ladders and stairs are also common to access areas in the ship, so those with mobility issues may have to consider their attendance. The visit is part of B.A.P. Unions around-the-world training deployment named Circumnavigation 2023-2024. They left Peru on June 17 and made its first stop in Tahiti 29 days ago before coming to Guam. The ship will continue pursuing their next destinations over the next 200 days after leaving Guam next week: Tokyo, Japan Busan, South Korea Shanghai, China Singapore Bombay, India Alexandria, Egypt Civitavecchia and La Spezzia, Italy Piraeus, Greece Toulon, France Malaga and Cadiz, Spain Tanger Med, Morocco Baltimore and Miami, USA Kingston, Jamaica Curacao Balboa, Panama The Union is currently under the command of Peruvian Navy Captain Jose Luis Arce Corzo with a crew made up of 149 naval personnel and 97 cadets. The B.A.P. Union has had the opportunity to navigate with the power of wind energy through the ships 30 sails. This manual power helps contribute to forging the nautical character of their future naval leaders, according to a news release from the Peruvian Navy. Our primary task is to train midshipmen and develop them professionally, morally and physically, through an academic space and on deck with sails, stated Arce. Being able to control the uncontrollable forces is what they are trained on as well. The B.A.P. Union is a symbol of their national pride because it was built entirely by Peruvian workers and took four years to finish as the project started in 2012 and was finished for naval use in 2016. The ship has now become a piece of their homeland that carries the message of unity and friendship from Peru to the world. Another objective of the historic navigation around the world is to share the ancient national culture and position of the Peru Brand in order to promote tourism to their country and the export offer. Gov. Lou Leon Guerrero was also present to greet the Peruvian Navy and share remarks about the connections between Peru and Guam. Although Peru is thousands of miles away from us, we share the same Pacific Ocean. Our cultures, traditions, kindness and generosity are the same, so the water connects us, said Leon Guerrero. Its important as a community that we welcome them and be kind to them. A new organization focused on rights in the territories will hold a Guam launch event and a series of community meetings at the end of the month. Right to Democracy, headed by Adi Martinez-Roman and Neil Weare, is focused on "confronting the undemocratic colonial framework governing U.S. territories," according to a news release from the organization. The launch, free and open to the public, will be held from 5 to 8 p.m. on Aug. 28 at the Hilton Guam Resort & Spa, and the group is holding an art competition for students aged 12-17. Winners will receive cash prizes and have their art shared as part of a national Summit on U.S. Colonialism that Right to Democracy is convening at the Ford Foundation in New York City this fall. I am excited to get back home and introduce Adi to our amazing community and beautiful island," Weare said in the news release. "Guam is leading the way when it comes to conversations about decolonization and self-determination. So we are excited to talk with people about our work and share ideas about how together we can challenge the undemocratic colonial framework that continues to govern ... Guam and other territories. Weare grew up on Guam and led Equally American prior to co-founding Right to Democracy. I am looking forward to learning more about communities in Guam and how they have developed under the current political relationship with the United States, and even draw parallels to our own experience in Puerto Rico, said Adi Martinez Roman, who prior to co-founding Right to Democracy led the Resiliency Law Center and FURIA, Inc., two community-based organizations in Puerto Rico. Right to Democracys Board includes former Lt. Gov. Kaleo Moylan, who owns Pacific Daily News, and Attorney Vanessa Williams, who is currently the chair of the Guam Advisory Committee to the U.S. Civil Rights Commission. Right to Democracy offers a bold new approach to bring each of the territories together in order to challenge the Insular Cases and the undemocratic colonial framework that continues to govern our islands, said Williams. Guam can do better than accept an undemocratic and colonial status quo where we do not have a meaningful say in the decisions that impact our lives, Moylan said. The Guam visit is part of Right to Democracys Pacific tour, and follows its Caribbean tour earlier this summer. Right to Democracys engagement on the ground in each territory this summer is building toward a national Summit on U.S. Colonialism at the Ford Foundation that will bring together leaders from each of the territories and the diaspora alongside national advocacy organizations and leaders in philanthropy. As schools open this month, Right to Democracy is launching an art competition with the theme Express yourself: What Democracy means to you. Students on Guam ages 12-17 are eligible to participate, with submissions due by Oct. 10. Expressive arts pieces, including visual arts, spoken word, and music are accepted. A first prize of $500 will go to the overall winner, $250 for the second place, and $100 for third place. Winning pieces will be shared on Right to Democracys social media and website. The arts are a powerful way for young people on Guam to express how they feel about complex ideas like democracy, self-determination, and decolonization - these are our future leaders, so were very interested in what they think, said Sarah Nededog, Right to Democracys Community Director on Guam. It will also be interesting to compare student art between territories. For more information, visit righttodemocracy.us or email info@righttodemocracy.us. The Guam Department of Education provided additional school schedules for school year 2023-2024 that is set to begin on Aug. 23 for most schools, but some GDOE students will instead have their first day on Aug. 24. Our goal is to have every student attend school on their school campus, said GDOE superintendent K. Erik Swanson. As inspections occur and repairs are made, we will continue to update our island community on our progress. I would like to thank all of the volunteers, families, and community partners who continue to assist our schools in preparation for inspection and reopening. In order to continue in-person instruction for the school year, the department is implementing a variety of school schedules for the school year, according to a GDOE news release. Alternating school schedules Due to an insufficient number of school buses to accommodate GDOE students, several schools have opted to follow the alternating school schedule. The alternating school schedule is when two student populations will alternate their presence on a school campus, which is what will have a certain portion of students start the school year on Aug. 24. There are two types of alternating schedules. An internal alternating schedule separates the school population into two groups who each alternate attending school on campus every other day. This schedule will be implemented for the following schools: Liguan Elementary School Students in kindergarten, first grade and second grade will have their first day of school on Aug. 23 while students in third through fifth grade will have their first day on Aug. 24. Tamuning Elementary School Students in second and fourth grade will have their first day of school on Aug. 23 while students in third and fifth grade will will have their first day on Aug. 24. Inalahan Middle School Sixth grade students will have their first day of school on Aug. 23 while students in seventh and eighth grade will have their first day on Aug. 24. Jose L.G. Rios Middle School Students in sixth and seventh grade will have their first day of school on Aug. 23 while students in eighth grade will have their first day on Aug. 24. The second alternating schedule will have two separate school populations share one campus and alternate attending school on campus every other day. Host: D.L. Perez Elementary School The D.L. Perez Elementary School will have their students will have their first day of school on Aug. 23 while Upi Elementary School students will head over to D.L. Perez for their first day on Aug. 24. Host: Juan M. Guerrero Elementary School The Juan M. Guerrero Elementary School will do the same by having their students attend their first day of school on Aug. 23. Adacao Elementary School students will then head over to Juan M. Guerrero ES for their first day on Aug. 24. Host: Vicente S.A. Benavente Middle School The final school on an alternating school schedule is Vicente S.A. Benavente Middle School, which will have their students attend their first day of school on Aug. 23 while AsTumbo Middle School heads to VSA MS for their first day on Aug. 24. Double Sessions For this school year, four of the 41 schools will instead be under double session schedules, but their students will still have Aug. 23 as their first day of school. Schools following a double session schedule are two separate school populations sharing one campus. One school population will attend in-person instruction on campus in the morning while the second school population will attend in-person instruction on campus during the afternoon. Campus: Okkodo High School At the Okkodo High School campus, OHS students will attend school in the morning while students of F.B. Leon Guerrero Middle School will head to the OHS campus in the afternoon. OHS students bell schedule goes as follows: Warning Bell: 6:55 a.m. First Block(First/Fourth Period): 7:00 a.m. to 8:15 a.m. Passing Time: 8:15 a.m. to 8:20 a.m. Second Block(Second/Fifth Period): 8:20 a.m. to 9:35 a.m. Homeroom: 9:35 a.m. to 9:40 a.m. Break: 9:40 a.m. to 9:50 a.m. Passing Time: 9:50 a.m. to 9:55 a.m. Third Block(Third/Sixth Period): 9:55 a.m. to 11:10 a.m. Lunch: 11:10 a.m. to 12:00 p.m. Dismissal: 12:00 p.m. Teacher Office Hours: 12:00 p.m. to 2:15 p.m. F.B.LG MS students will then have the following bell schedule: Warning Bell: 1:15 p.m. First Period for Blue Day; Team Time for White Day: 1:30 p.m. to 2:18 p.m Passing Period: 2:18 p.m. to 2:21 p.m. Second Period for Blue Day; Sixth Period for White Day; Sixth grade lunch: 2:21 p.m. to 3:10 p.m. Passing Period: 3:10 p.m. to 3:13 p.m. Third Period for Blue Day; Seventh Period for White Day and Seventh grade lunch: 3:13 p.m. to 4:02 p.m. Passing Period: 4:02 p.m. to 4:05 p.m. Fourth Period for Blue Day; Eighth Period for White Day; Eighth grade lunch: 4:05 p.m. to 4:54 p.m Passing Period: 4:54 p.m. to 4:57 p.m. Fifth Period for Blue Day and Ninth Period for White Day: 4:57 p.m. to 5:45 p.m. Campus: John F. Kennedy High School Meanwhile, at the John F. Kennedy High School campus, JFKHS students will attend school in the morning while students of Simon A. Sanchez High School will head to the JFKHS campus in the afternoon. The JFKHS students will adhere to the following bell schedule: Warning Bell: 6:10 a.m. First Block: 6:15 a.m. to 7:25 a.m. Homeroom: 7:25 a.m. to 7:30 a.m. Second Block: 7:37 a.m. to 8:47 a.m. Break: 8:47 a.m. to 9 a.m. Third Block: 9:05 a.m. to 10:15 a.m. Lunch: 10:15 a.m. to 11:15 a.m. Dismissal for walkers and car riders: 11 a.m. Dismissal for busses: 11:15 a.m. Teacher Office Hours Off-site: 11:15 a.m. to 1:15 p.m. Teacher Departure: 11:20 a.m. On the contrary, SSHS students will then have the following bell schedule: Teacher Office Hours Off-site: 10 a.m. to 12 p.m. Lunch: 12 p.m. to 12:45 p.m. First Block: 12:50 p.m. to 2:05 p.m. Homeroom: 2:05 p.m. to 2:10 p.m. Second Block: 2:15 p.m. to 3:30 p.m. Break: 3:30 p.m. to 3:40 p.m. Third Block: 3:45 p.m. to 5 p.m. Dismissal for walkers and car riders: 5 p.m. to 5:10 p.m. Dismissal for busses and departure for teachers: 5:15 p.m. Traditional schedules The remaining 27 schools will follow the traditional school schedule that begins on Aug. 23. Students in these schools would attend school five days a week as usual with the same instructional hours as previous school years. The following schools will be utilizing their traditional schedule for SY 2023-2024: Elementary Schools: 8:30 a.m. to 2:43 p.m. Agana Heights Elementary School AsTumbo Elementary School B.P. Carbulido Elementary School C.L. Taitano Elementary School Captain H.B. Price Elementary School Chief Brodie Memorial Elementary School Finegayan Elementary School Harry S. Truman Elementary School Inalahan Elementary School J.Q. San Miguel Elementary School Lyndon B. Johnson Elementary School M.U. Lujan Elementary School Machananao Elementary School Marcial A. Sablan Elementary School Maria A. Ulloa Elementary School Merizo Martyrs Memorial School Ordot Chalan Pago Elementary School P.C. Lujan Elementary School Talofofo Elementary School Wettengel Elementary School Middle Schools: 8:30 a.m. to 3:30 p.m. Agueda I. Johnston Middle School Luis P. Untalan Middle School Oceanview Middle School High Schools: 6:30 a.m. to 1:45 p.m. J.P. Torres Success Academy Southern High School Tiyan High School High School: 7:15 a.m. to 2:45 p.m. George Washington High School Haiti - FLASH : Results of 9th AF exams for 3 departments The Ministry of National Education has published the results of the 9th Fundamental Year (AF) 2022/2023 exams for 3 departments, Nippes, North-Esst and Grande'Anse. According to the figures provided by the National Bureau of State Examinations (BUNEXE), the success rates (listed in descending order) are : Award winners by department 9th A.F. 2022/2023: Grande'Anse: out of 6,493 participants, 6,169 candidates were admitted, a success rate of 95% (up) the previous year 79% North-East: out of 7,504 participants, 6,499 candidates were admitted, i.e. a success rate of 87% (up) the previous year 78.33% Nippes: out of 7,115 participants, 5,129 candidates were admitted, a success rate of 72% (up) the previous year 65.54% the Ministry which informs that the results of the other departments will be available shortly and will be communicated gradually. School principals are invited to withdraw their school's prize list from the Departmental Directorate of Education (DDE) concerned. 3 departmental laureates already known : Neuphtalie Delmot, laureate of the Nippes department with 8.84; Erly Elizabeth Dauphin, laureate of Grande'Anse with 8.80; Chanunca Antenor, laureate of the North-East with 8.65 3 Laureates already guaranteed to be on the honor roll of the 10 departmental laureates See also : https://www.haitilibre.com/en/news-40219-haiti-flash-results-of-9th-af-exams-for-2-departments.html https://www.haitilibre.com/en/news-40213-haiti-flash-results-of-9th-af-exams-for-the-northeast-laureates.html HL/ HaitiLibre Haiti - FLASH : The UN report on intervention options in Haiti, submitted to the Security Council The report requested 30 days ago by UN Secretary-General Antonio Guterres on a full range of UN options, including support for a non-UN multinational force or a possible peacekeeping operation, was handed over on Tuesday August 15, 2023 to the 15 members of the Security Council. Guterres recommends "[...] a robust use of force by a multinational police deployment and use of military assets is needed to restore law and order in Haiti and disarm gangs. [...]" This report offers two potential UN options : providing logistical support to a multinational force and Haiti's police and to strengthen a UN political mission already in Haiti. "Haiti's current context is not conducive to peacekeeping," wrote Guterres in this report, adding "law and order had to be restored, gangs disarmed, strategic installations and roadways secured and a state presence re-established to provide basic services. [...] Nothing short of the robust use of force, complemented by a suite of non-kinetic measures, by a capable specialised multinational police force enabled by military assets, coordinated with the national police, would be able to achieve these objectives." Guterres again called on countries to act now to help deploy a non-UN multinational force and on the Security Council to support such a move. In his report, Antonio Guterres stated that "[...] any targeted operations against gangs must also protect people and respect human rights and due process." SL/ HaitiLibre Haiti - FLASH : Confirmation of American commitment in support of the intervention in Haiti Vedant Patel, Deputy Spokesperson for the US State Department, at a press conference earlier this week answered a question about an intervention in Haiti : "The Human Rights Watch is calling on the international community to intervene faster in Haiti given the escalating violence there https://www.haitilibre.com/en/news-40257-haiti-human-rights-watch-living-a-nightmare-investigation-report-august-2023.html. What is the status of the U.S. introducing a Security Council resolution for the Kenyan-led police force ? And do you think that the UN is moving too slowly on this issue ?" Spokesperson : "[...] So let me say a couple things about this. The Department of State and interagency partners will support and participate in the Kenyan technical assessment trip in Haiti that will be going soon, as well as participate in consultations in New York and Washington in the coming weeks. Due to operational security and other concerns, Im not going to put a finite date on when that visit will take place, but we will be deeply engaged in this. And the Secretary spoke about this a little last week, saying that the U.S. is going to undertake significant financial contributions to this multinational force. https://www.haitilibre.com/en/news-40161-haiti-flash-the-united-states-congratulates-kenya-and-pledges-to-support-the-intervention.html We are actively engaging international partners to contribute funding, equipment, training, and personnel to this effort as well, and our hope is is that after this trip concludes will be another important step in the progress." Then to the question "How quickly of a timeline would it be once the trip happens ?" he replied " Im just not going to put a timeline on it." HL/ HaitiLibre Published on 2010/10/04 | Source Actor Kim Ji-hoon-I salutes fans ahead of entering the Nonsan military training camp in the South Chungcheong Province of South Korea on October 4, 2010. [Han Youn-jong/Asia Economic Daily] Advertisement Korean actor Kim Ji-hoon-I entered a training camp today as part of fulfilling the country's two-year mandatory military services. "I will leave behind who I am as I enter the military. I will engage myself in military life with the thought that I am not myself anymore", the 29-year-old actor said to reporters and fans just outside Nonsan military training camp in the province of South Chungcheong on Monday. He then joked, "My fellow actors advised me not to try and beat everyone at everything the whole time in training camp". Kim is set to fulfill his military duties as an active-duty soldier for 20 months after spending a few weeks in training. Kim, who debuted in 2002 through KBS TV series "Loving You", has appeared in about a dozen dramas. His roles in shows including "Golden Apple", "Great Expectations", "Golden Era of Daughter in Law" and "Wish Upon a Star" have gained him popularity in Japan. Reporter : Park Kun-ouc kun1112@ Photographer : Han Youn-jong hyj0709@ Editor : Jessica Kim jesskim@ <10Asia All rights reserved> Published on 2023/08/15 | Source New actor Lee Kwang-hee was cast in the drama "Branding in Seongsu-dong". Advertisement The drama "Branding in Seongsu-dong" is a romance thriller about marketing team leader Kang Na-eon (Kim Ji-eun) and intern So Eun-ho (Lomon), changing their souls after an unexpected kiss, directed by Jung Heon-soo of the drama "Twelve Nights". Lee Kwang-hee will play the role of Hwang Jae-ha, a cheeky but attractive third-generation chaebol who cannot be hated and will work with Kim Ji-eun, Lomon, Jung Yi-rang, and Jeon Jun-ho to show off his experienced and unique character that is not like a rookie. Lee Kwang-hee set his first work scheduled to air on the 11th. He is engaged in a wide range of activities, including "Love Class - Season 2" and "Uncle Sam-sik", which is scheduled to air in 2023, confirming the appearance of various works. Meanwhile, the drama "Branding in Seongsu-dong", in which Lee Kwang-hee is scheduled to appear, will be released on U+Mobile TV in the first half of next year. Published on 2023/08/15 | Source Actress Yoon Se-ah will once again work with actor Kim Byung-chul through "Perfect Family". Advertisement According to the new drama "Perfect Family" (directed by Isao Yukisada) on the 10th, Yoon Se-ah will join the film as Ha Eun-joo. "Perfect Family" tells the story of Seon-hee's family, who looks happy and perfect no matter who looks at it, and experiences the death of Seon-hee's friend Kyeong-ho one day. Actress Park Ju-hyun stars as Seon-hee. Ha Eun-joo, played by Yoon Se-ah, decided to adopt and raise Seon-hee after losing her son in an unexpected accident, and she is a scary person who will do anything to protect Seon-hee. In particular, Yoon Se-ah is drawing more attention as she plans to work with Kim Byung-chul once again after the popular drama "SKY Castle". Director Isao Yukisada, who directed "Perfect Family", swept the 25th Japan Academy Awards, including the Best Director Award for his 2002 film "Go", and in 2004, he heated up Japan with "Shouting Love from the Center of the World". Attention is focusing on director Isao's first Korean production, which won the International Critics Federation Award at the 68th Berlin International Film Festival for "Reverse Edge" in 2018. Meanwhile, "Perfect Family" will be released through global OTT. (HedgeCo.Net) The Securities and Exchange Commission has Timothy Overturf of Arcata, California and his investment advisory firm Sisu Capital, LLC (Sisu Capital) for breaching their fiduciary duties to clients, including by making unauthorized and unsuitable trades in client accounts from December 2017 through at least May 2021. The SEC also charged Overturfs father, Hansueli Hans Overturf, with aiding and abetting his sons and Sisu Capitals violations. The SECs action alleges that Sisu Capital and Timothy Overturf failed their clients on multiple occasions. First, the SECs complaint alleges that from 2017 through 2021, Sisu Capital and Timothy Overturf allowed Hans Overturf to give investment advice to Sisu Capital clients while Hans had been suspended from providing investment advisory services by the State of California. Second, the SECs complaint further alleges that Sisu Capital, Timothy, and Hans traded contrary to client instructions and to benefit their own interests by investing client funds in a thinly-traded bank stock. Sisu Capital and Timothy allegedly purchased the stock as part of an undisclosed plan to amass collectively enough shares among Sisu Capitals clients and themselves for Timothy to propose business partnership ideas to the bank. Third, as alleged in the SEC complaint, from 2017 to 2021, Hans recommended, and Timothy purchased on his clients behalf, an unsuitable, complex financial instrument intended for short-term use, which Sisu Capitals clients then held for months. Throughout these courses of conduct, according to the SECs complaint, Sisu Capital generated over $2 million in advisory fees and other compensation. The SECs complaint, filed in federal court in San Francisco, charges Sisu Capital and Timothy Overturf with violating the antifraud provisions of Sections 206(1) and 206(2) of the Investment Advisers Act of 1940 and Hans Overturf with aiding and abetting his sons and Sisu Capitals violations. The complaint seeks permanent injunctions, disgorgement with prejudgment interest, and civil penalties. The employee count declined by -1.4 percent in July compared to the same period last year for companies included in Ilmarinen's business cycle index. In July , the number of employees in companies served by Ilmarinen, a leading pension insurance company, decreased after two years of continuous growth. However, the southern region of Finland, known as Etela-Suomi, remained an exception as the employee count there remained stable. On the other hand, in Etela-Suomi, the number of employees remained at the same level as a year ago. The growth rate of Ilmarinen's business cycle index slowed down to almost zero already in April-May. In June, the index turned downward after over two years of growth, and in July, the rate of decline accelerated. Throughout this year, the Finnish economy has faced challenges, and now the employment figures are reflecting these economic prospects with a slight delay, assessed Ilmarinen researcher Jouni Vatanen. Among the industries observed, there was growth in Etela-Suomi's communication and information technology sector (+3.7%) as well as in the industrial sector (+2.9%). However, a decline was observed in the construction (-7.8%), personnel leasing (-7.1%), accommodation and food services (-2.4%), trade (-1.8%), and transportation (-1.5%) sectors. Rising costs and weakening demand have been affecting companies' profitability for several months. The turbulence in the economy is now clearly visible in the personnel leasing sector. The downturn in the construction industry, on the other hand, has been making headlines throughout the beginning of the year, Jouni Vatanen explained. The challenging economic situation and the future prospects in labor-intensive industries such as construction, accommodation, and food services are impacting the business of personnel leasing. Tracking Over 60,000 Companies Ilmarinen's business cycle index provides up-to-date information about the development of the Finnish economy. It depicts the change in employee count among over 60,000 companies served by Ilmarinen and approximately 500,000 employees. The business cycle index has been published since 2013. In Ilmarinen's business cycle index, Etela-Suomi includes the regions of Uusimaa, Kanta-Hame, Paijat-Hame, and Kymenlaakso. HT Helsingin Sanomat on Tuesday reported that public support for the largest opposition party has jumped by 2.1 percentage points to 21.5 per cent in the past month, leaving it 0.1 points ahead of the National Coalition. THE SOCIAL DEMOCRATIC Party has emerged narrowly as the most popular political party in Finland, according to the latest opinion poll commissioned by Helsingin Sanomat . The Social Democratic Party is virtually the only party to have increased its popularity in the past month, accounting for 2.1 points of the 2.2 points worth of gains recorded in the poll. The party is receiving support from a number of quarters, revealed Sakari Nurmela, the managing director of Kantar Public. The party is definitely perceived as some kind of a counterforce to the government, and its benefiting from that at this moment in the political debate, he analysed. The National Coalition and Finns Party, the two largest parties in the ruling right-wing coalition, both saw their popularity decline, the former by 0.6 points to 21.4 per cent and the latter by 0.8 points to 19.0 per cent. The two parties, however, also have the most steadfast supporters, according to the poll. The National Coalitions waning popularity is not terribly alarming, viewed Nurmela. The other changes were more moderate. Support for the Centre decreased by 0.3 points to 9.5 per cent, while the Green League and Left Alliance swapped places as the fifth and sixth most popular parties in the country, with the former gaining 0.1 points to rise to 8.1 per cent and the latter dropping 0.1 points to fall to 8.0 per cent. The Swedish Peoples Party and Christian Democrats, the other two members of the ruling coalition, recorded respective losses of 0.1 points and 0.2 points, falling to 4.4 and 3.7 per cent. Support for Movement Now decreased by 0.1 points to 2.0 per cent. Kantar Public interviewed 2,460 people for the poll between 17 July and 11 August. The results have a margin of error of +/-2.0 points for the largest parties. Aleksi Teivainen HT Muumimaailma , the beloved family attraction nestled in Naantali, Finland, has been honored with two prestigious accolades at this year's European Travel Awards. The event, hosted by the renowned economic publication EU Business News, recognizes outstanding contributors to Europe's travel and leisure industry. Against a backdrop of unprecedented global challenges faced by the sector in recent years, these awards spotlight exceptional enterprises that have persevered and maintained their commitment to excellence. Muumimaailma secured the titles of "Best Family Tourist Attraction 2023 Finland" and "EU Business Excellence Award 2023," cementing its status as a leading destination for families seeking unforgettable experiences. The awards acknowledge the dedication and innovation that Muumimaailma Oy has consistently demonstrated, capturing the hearts of visitors young and old. Commenting on the remarkable achievements of the winners, Jessie Wilson, a representative of the European Travel Awards, remarked, "Despite the myriad challenges, these enterprises have emerged victorious. They have unwaveringly upheld their high standards, serving as a testament to their exceptional operations." Tomi Lohikoski, the CEO of Muumimaailma Oy, expressed his gratitude for the recognition. "Muumimaailma's mission is to bring joy to people of all ages. Our greatest reward is witnessing the smiles and enjoyment of our visitors. Nevertheless, receiving international recognition of this nature is heartwarming. It affirms that we are on the right path." Muumimaailma, located in the charming coastal town of Naantali, invites guests to immerse themselves in the enchanting world of the Moomins until August 20th. The park opens its gates daily from 11:00 AM to 5:00 PM, offering an array of captivating experiences for families to treasure. As the European Travel Awards continue to spotlight excellence within the travel and leisure sector, Muumimaailma stands as a shining example of resilience, innovation, and unwavering commitment to providing memorable moments for visitors from across the globe. For further information, visit Muumimaailma's official website at www.muumimaailma.fi. HT The recent revelations by the external affairs minister on the increasing number of Indians giving up their citizenship has created uproar not only in the parliament but across the media and society. Post covid global mobility also seems to be an essential factor driving people to take up foreign Citizenship, which will entitle them to a foreign Passport. (File) According to the data released by the minister, a record number of 225,620 Indian Citizens have renounced their citizenship and have taken up foreign citizenship in 2022. So why are more and more Indians giving up their citizenship? Does the data depict the correct picture? Is the situation alarming? Is dual citizenship the solution? This article will attempt to answer these questions. Lets look at the numbers: The following table shows the number of Indians giving up citizenship every year. The average number of Indians giving up citizenship for the last 12 years from 2011 to 2022 is 138,620, which seems to be in line with the range of 120,000 to 140,000 each year. As you can see, the average number of Indians giving up citizenship for the last 12 years from 2011 to 2022 is 138,620, which seems to be in line with the range of 120,000 to 140,000 each year. Hence the Figure of 225,620 for 2022 is an exception. A possible reason for this could be clearing the backlogs during the Covid period. As we all know, the processing of visas and citizenship applications worldwide had slowed down considerably during the Covid. This is reflected in the exceptionally low number of 85,256 in 2019. In the following years, the backlogs started getting cleared, and thats one of the key reasons we saw a spike in the nos. 2021 and 2022. However, if you look closely at the numbers, you will notice another trend. The average during the pre-covid period (2011 to 2019) was 132,133, which jumped up to 158,802 during and post-Covid (2020-2022), representing a whooping increase of 20%. This clearly demonstrates that post Covid the number of Indians giving up Citizenship is clearly on the rise. Pre-covid, the main factors driving immigration from India have been better economic prospects, education, quality of life etc. These objectives could be met even with Permanent Residency status in foreign countries without having to take up citizenship. However, post covid; global mobility also seems to be an essential factor driving people to take up foreign Citizenship, which will entitle them to a foreign Passport. The Indian passport, as we know, is not very powerful. It provides Visa-free access to only about 57 countries and that to mostly Asian, African, and Caribbean countries. As against this, with a Passport from countries like the US, Canada, the UK, and Australia, you can travel Visa-free to over 150 countries. Since Inda does not allow dual citizenship, given an option, most Indians eligible for citizenship in these countries would prefer to give up Indian Citizenship to get these passports. This has led to a debate among some sections of society about whether India should allow dual citizenship so that Indians taking up foreign citizenship are not forced to give up their Indian Citizenship. Dual citizenship or multiple citizenship means that you are legally a citizen of more than one country at the same time. For instance, you could be a citizen of the UK as well as a citizen of US or Canada at the same time as these countries allow dual citizenship. The advantage of multiple citizenship is of course you get rights and benefits of all the countries where you are a citizen. Another advantage of multiple or dual citizenship is that you can hold more than one passport, and thereby you can travel visa-free to more countries which will give you greater mobility. Now let us come to this very important question - can Indian citizens get a second passport or dual citizenship? The Indian constitution does not allow you to hold foreign citizenship while being an Indian citizen. So as soon as you acquire your foreign citizenship, you lose Indian citizenship by default. Further, it is also mandatory for you to surrender your passport after you have lost Indian citizenship; otherwise, there will be a penalty. However, India does offer the status of Overseas Citizenship of India (OCI) to its people who have taken up Foreign Citizenship. This special status which is provided through the OCI card offers several benefits like a lifelong free travel visa to India, freedom to live, work, carry on business in India, owning assets/properties in India etc. In short, OCI card holders can enjoy most of the benefits which India Citizens get, except for the right to an Indian Passport, the right to vote or hold public positions and restrictions on the purchase of agricultural land. Another important factor to be considered is that these are not the number of people leaving the country now. Most countries like the US, Canada, UK, Australia etc., require you to first stay there with Permanent Residency status for a period of 5 to 6 years before you become eligible for Citizenship. Hence, most of the people who are taking up citizenship in those countries would have moved there at least 6 to 10 years back or even more. It is also essential to keep in mind that the above numbers may not include a small number of Indians who have taken up foreign citizenship and have not yet formally renounced their Indian citizenship. However, as per the law, they have ceased to be Indian citizens. Hence, in theory, it may seem allowing dual citizenship will encourage Indians to retain their Indian citizenship. However, in practice, apart from an emotional connect to India, it does not offer any substantial advantage. This is because the Indian passport provides limited global mobility, and the OCI status already provides you with most of the benefits overseas Indians would like to enjoy, as explained earlier. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Paresh Karia Paresh Karia is a Chartered Accountant with rich experience of over 20 years across banking, investment advisory, real estate, and Immigration. ...view detail Dr Ashish Gupta M.B.B.S, M.D, FVIR is a vascular and interventional radiologist. He did his MBBS from KIMS, Bangalore and post-graduation (M.D) Radiology from Bareilly, U.P. He completed his super specialty training (FVIR) from Sir Gangaram Hospital, Delhi. Looking for consultation regarding Varicose Veins Specialist. Dr Ashish Gupta- Interventional Radiologist, Consultant Book an Appointment Today Visit Now Website: https://interventionalradiologydelhi.com/ Contact No: +91-9205747171 WhatsApp for quick response directly from Dr Ashish Gupta: CLICK HERE Check out patients feedback Google link: CLICK HERE Professional Associations Indian Radiology and Imaging Association Indian society of vascular and interventional radiology Asian pacific society of cardiovascular and interventional radiology Cardiovascular and interventional radiological society of Europe He has been actively involved in setting up new interventional radiology suite and department at Maharaja Agrasen Hospital New Delhi. Experience Dr Ashish Gupta has worked as a consultant in Sir Gangaram Hospital, Jaypee Hospital Noida and Rajiv Gandhi cancer institute and research center, New Delhi, India. It is estimated that about 10% of the normal Indian population suffers from Varicose Veins. Varicose veins are enlarged, swollen, and twisted veins that usually occur in the legs. It is mostly observed in people who spend considerable amounts of time on their feet for professional or personal reasons such as chefs, traffic policemen or housewives .This condition also often affects women during menopause and pregnancy. It has been postulated that standing for long periods of time puts more pressure on these veins than they are meant for, leading to the condition that are caused by weakened or damaged valves within the veins, which disrupt the normal blood flow and lead to pooling of blood. While some people with varicose veins may not experience any symptoms, others may have discomfort, pain, or cosmetic concerns but varicose veins tend to get worse over time if left untreated. There are several treatment options available for varicose veins, ranging from self-care measures to medical procedures. The choice of treatment depends on the severity of the condition, the individual's symptom and cosmetic concerns. Here are some common treatment options for varicose veins: Lifestyle Changes: Mild cases of varicose veins may respond well to lifestyle changes, including: Regular exercise: Activities that promote good circulation, such as walking or swimming, can be beneficial. Weight management: Maintaining a healthy weight can reduce pressure on the veins. Elevating the legs: Raising the legs above the heart level when resting can help improve blood flow. Avoiding prolonged standing or sitting: Taking breaks to move around can prevent blood from pooling in the legs. Compression Stockings: Wearing compression stockings can help improve blood flow in the legs and reduce symptoms like swelling and discomfort. These specially designed stockings apply pressure to the legs, helping to support the veins. Sclerotherapy: Sclerotherapy is a common treatment for small to medium-sized varicose veins and spider veins. It involves injecting a chemical solution into the affected veins, causing them to collapse and fade over time. Endovenous Ablation: Endovenous ablation is a minimally invasive procedure in which a thin catheter is inserted into the affected vein, and either laser or radiofrequency energy is used to seal the vein. This helps to redirect blood flow to healthier veins. Venaseal closure system for varicose: VenaSeal Closure System method simply involves one prick per vein and is similar to inserting an IV placement line. In this small aliquots of glue are injected into the vein which help in sticking the vein walls together. This comparatively makes the patient more comfortable and the technique is safer for older patients who have heart conditions as no further anesthetic medicine or saline injection is required. It is a new promising alternative for treating varicose veins with far less pain, and less recovery time (i.e patient walks out of the clinic with just bandaid on leg and can resume work life the very next day). Its slightly expensive from other procedure but long-term success rate is about 98-99%. The choice of treatment depends on the individual's overall health, the size and location of the varicose veins, and the presence of any complications. It's essential to consult with a vascular specialist or a healthcare provider to determine the most appropriate treatment plan for a specific case of varicose veins. To Know More Visit Website: https://interventionalradiologydelhi.com/ Disclaimer: This article is a paid publication and does not have journalistic/editorial involvement of Hindustan Times. Hindustan Times does not endorse/subscribe to the content(s) of the article/advertisement and/or view(s) expressed herein. Hindustan Times shall not in any manner, be responsible and/or liable in any manner whatsoever for all that is stated in the article and/or also with regard to the view(s), opinion(s), announcement(s), declaration(s), affirmation(s) etc., stated/featured in the same. Kia's 2023 Seltos, an upgraded version of its namesake SUV, has received 31,716 bookings since pre-orders began on July 14, a week before the car was officially launched in India, the South Korean automaker has said. New Kia Seltos facelift SUV Also Seltos, Kia's debut model in the country which arrived here in August 2019, has sold more than 5 lakh units since then, the manufacturer added. Speaking to HT Auto on the success of the 2023 Seltos, Tae-Jin Park, MD and CEO, Kia India, described the vehicle as the latest trailblazer. The commendable performance of the new Seltos can be attributed to both our loyal existing customers, as well as the new members who have embraced the Kia family. We are confident that it will script a fresh success story, and expand the segment significantly in the times to come, noted Tae-Jin Park. Which variants have received maximum bookings? According to the company, more than 55% orders are for the top-end trims (HTX onwards) alone. After the initial launch, the SUV was introduced in additional colour, namely Pweter Olive; units with this colour have clocked nearly 19% bookings. 2023 Seltos: Variants and price It comes in both petrol and diesel and is offered across these variants: HTE, HTK, HTK+, HTX, HTX+, GTX+, X-Line. The pricing begins at 10.89 lakh (ex-showroom) and goes up to 19.99 lakh (ex-showroom). 2023 Seltos: Powertrain It is powered by a new 1.5-litre turbo engine (153 bhp power, 253 Nm torque), while a 1.5-litre diesel engine and 1.5-litre naturally aspirated (NA) units have both been retained. 2023 Seltos: ADAS feature The biggest addition to the 2023 Seltos, however, is the Advanced Driver Assistance System (ADAS). The car now has as many as 17 ADAS features: Front Collision Warning, Driver Attention Warning, Smart Cruise Control (with Stop & Go), Blind-Spot Collision Warning, Rear Cross-Traffic Collision Warning, Lane Departure Warning, Safe Exit Warning, High Beam Assist, and more. SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT News Desk Follow the latest breaking news and developments from India and around the world with Hindustan Times' newsdesk. From politics and policies to the economy and the environment, from local issues to national events and global affairs, we've got you covered. ...view detail Asserting that the BJP will lose the 2024 Lok Sabha polls, Chief Minister Siddaramaiah on Monday said the Karnataka Assembly election results have raised hopes of people in the country and created a movement among Congress workers at the national level. Chief minister Siddaramaiah was speaking after inaugurating the general body meeting of the Karnataka Pradesh Congress Committee. (PTI) He also claimed that the Congress will win at least 20 of the 28 Lok Sabha seats in Karnataka. The CM was speaking after inaugurating the general body meeting of the Karnataka Pradesh Congress Committee. "I am speaking from my experience. I say it without any exaggeration. BJP will lose at the Centre in 2024. Prime Minister Modi does not attend Parliament sessions properly, he does not pay attention to the problems of the people. He just does Mann Ki Baat," Siddaramaiah said. READ | Former Karnataka CM Bommai urges Siddaramaiah to reconsider decision to scrap NEP He said, the people of the country have rejected BJP's disastrous ideology and politics of under-development, price rise, unemployment, mismanagement and dividing the people on the basis of hatred. "Our workers should not relax after the Assembly elections. You (workers) have assured the high command that you will win at least 20 seats in the Lok Sabha elections...you will win more seats than this," he said. Further noting that the Congress got 42.8 per cent of the votes in the Assembly polls and it is "historical," Siddaramaiah said the people of Karnataka have taken a very big step towards saving the country. "BJPs peace will be spoiled if the people of the country are calm and happy, and their jealousy also increases," he claimed and said the Congress government in Karnataka has started investigating all the scams and corruption of the BJP era. BJPs truth will come out soon. Targeting BJP over its policies, which takes money from the pocket of common people and gives it to the rich, the CM said, Congress has designed guarantee schemes in such a way that there is money in people's pockets. "We have presented a budget that will increase the purchasing power of the people. All the states of the country have come forward to study and adopt this Karnataka model of development," he said. Also targeting JD(S) and its leader - former CM H D Kumaraswamy-, Siddaramaiah said he has forgotten his party. He is acting like he is the BJP spokesperson. His is a hit and run case. He just flashes the empty pen drive (claiming it contains evidence of Congress government's corruption) out of the pocket and keeps it back. In his address, Deputy Chief Minister D K Shivakumar alleged that the BJP, unable to digest Congress government's successful implementation of guarantee schemes, is accusing it of corruption. READ | Karnataka CM hoists tricolour in Bengaluru; invokes Gandhi, Nehru in Independence Day speech. Highlights "If their allegations are proved, I will retire from politics. I can't be scared like others. I don't fear such things. I will never do any work that will embarrass the party and the government or harm the self-respect of the workers. In the coming days, I will expose the corruption of the BJP government," he asserted. Some contractors, also BJP leaders, have accused Shivakumar, who is also the Bengaluru City Development Minister, of demanding a 10-15 per cent cut to release pending work bills. The Bruhat Bengaluru Mahanagara Palike (BBMP) contractors are up in arms, alleging that the government has kept bills pending for the last 26 months and now it is further being delayed in the name of probe. The opposition BJP is using it as a ammunition to attack the government. However, Shivakumar has maintained that the government has constituted a SIT to look into the authenticity of the bills raised for works taken up in the city, and funds will be released after a probe. He said, immediately after starting this investigation, the commission allegation has been made against him. Amid reports that Congress is looking at inducting leaders from other parties, its state president Deputy Chief Minister D K Shivakumar on Wednesday said several political workers are willing to join the party, and a decision will be taken keeping in mind party interest, aimed at increasing its vote share in the Lok Sabha polls. The Congress won just a single Lok Sabha seat out of 28 in Karnataka in the previous elections. Karnataka Congress looks at inducting leaders from rival party ahead of LS polls Also Read - Foolish to scrap National Education Policy in Karnataka, says ex-CM Basavaraj Bommai This time, party leaders said, the target is to win 20 seats. Shivakumar said the local leadership of the Congress has been given the go-ahead to have any kind of understanding locally that would benefit the party, depending on the situation there. "Parliament election is first (priority) for the Congress party. We have told our party workers not to panic or have any grudge come in the way of arriving at any understanding locally. We have asked them to increase the vote share. We have left it to our local leaders to decide depending on the local situation," Shivakumar said in response to a question. Speaking to reporters here, he said, "In certain situations, the party may not have a presence (in some places). In such a situation, we will have to ensure the party is strong there. Leave big leaders, many workers of other parties have come forward to join us. In such a situation, we will do whatever is in the interest of the party." There have been reports in a section of the media that a few leaders and sitting MLAs from the opposition -- especially the BJP, who had earlier quit the Congress, leading to the collapse of the then Congress-JD(S) coalition government -- will be rejoining the party ahead of the Lok Sabha polls. The Congress-JD(S) wobbly government of 2018 had collapsed when 17 legislators resigned and came out of the ruling coalition. They defected to the BJP and facilitated its return to power. In the bypolls held subsequently in 2019, the BJP won 12 out of 15 seats, as most of these defectors re-contested and emerged victorious. Most of them were made ministers in the BJP government. Congress Member of Parliament from Bengaluru Rural D K Suresh said that anyone who wants to join the party is welcome. Stating that he is not aware as to whether anyone from other parties is willing to join Congress, he, in response to a question, said, "Ultimately the party will decide whether to induct some or not." State Home Minister G Parameshwara said that if someone from the BJP is upset about the way they are being treated there and wants to join Congress, it will be discussed within the party and decided. "If they have trust in Congress, its ideology and leadership, and want to work for the party, there is nothing wrong. Many who had gone to other parties, after realisation, have come back, and they have been taken in," he added. However, BJP MLA Munirathna, who was one among those who had defected from Congress in 2019, said he will not leave the saffron party. "Among the 17, who will go (to Congress) I don't know. I will at least not quit the BJP and go. I will function for five years with the BJP in opposition. I'm ready to retire from politics, but won't quit BJP," he said. Karnataka Deputy Chief Minister D K Shivakumar on Monday hinted at changes in the state cabinet as well as the party's state unit at a general body meeting of the Karnataka Pradesh Congress Committee (KPCC). The grand old party is looking to build a new team ahead of the upcoming Lok Sabha polls in 2024, news agency PTI reported. DK Shivakumar indicated that the grand old party is looking to build a new team. (ANI) "We have to prepare a good foundation for 2024 (Lok Sabha polls) and 2028 (next assembly polls). We will have to revamp the KPCC in the days to come, we will have to relieve some Ministers. We will have to certainly make changes. From block to district to KPCC level we will have to revamp and build a new team," Shivakumar, who is also the president of the state Congress unit, said. READ | No disgruntlement, say Karnataka CM and Deputy CM amid reports of complaint by 30 MLAs Karnataka Food and Civil Supplies Minister K H Muniyappa also echoed the same, saying, This is my personal opinion....The decision on the Chief Minister and Deputy Chief Minister is made by the high command, not us. But it will be good for all of us, except the first-time ministers, to make way for others after 2.5 years. "It is not good for us to expect others to just look on, without sacrificing. We have become a model for the entire country by implementing five guarantees. Similarly, by introducing a new practice of making way for others after 2.5 years, we will become a model for the nation," he added. READ | Siddaramaiah made CM twice, old guard ignored, says Cong MLA This comes amid talks that there is disgruntlement within the party, with as many as 30 legislators having expressed concern over alleged negligence and lack of response from cabinet ministers over implementation of development works in their constituencies. (With inputs from PTI) In a Peak Bengaluru moment, a Bengaluru-based woman said she met the city's own Tony Stark after an auto rickshaw driver flipped out his smartwatch when she had to make a payment using a Quick Response (QR) code. A Bengaluru-based woman shared a picture of the incident on social media and used the peak Bengaluru tag.(X (formerly Twitter)) The woman had hired an auto on the Namma Yatri app and was awed when the driver flipped his wrist to show her his smartwatch instead of pointing to a laminated card when asked for a QR code for payment. To her astonishment, the driver had saved the QR code as his watch's screensaver. READ | Peak Bengaluru: Auto rickshaw driver accepts two rides on two different apps, netizens amused The woman shared a picture of the incident on social media site X (formerly Twitter) and used the peak Bengaluru tag, which is used often for posts on Bengaluru city's tech and start-up culture, among other things including "hipster" incidents. Today I met namma Tony Stark in @nammayatri. Asked my auto driver for the QR code. Man flipped his hand and showed me his smartwatch. Turns out he's saved the QR code as his smartwatch screensaver. So much swag, @peakbengaluru, she wrote. The post has since then gone viral, garnering over 360,000 views, more than 7,500 likes and 700 reposts at the time this article was being written. Internet users flooded the comment section with wholesome replies. READ | Instant karma: Car gets into wrong lane in Bengaluru, forced to reverse all the way. Video I absolutely love hearing and telling these little observations that I have only experienced in Bangalore, a user replied. I love to take autos and hear their stories heart out. It's wonderful! another said. Other responses included That's why it's called the Silicon Valley of India. There must be something unique, and That is the kind of peak Bengaluru I like to see. Social media users were all praise for the auto driver, posting replies like Legend, Impressive and Digital India. Tension gripped the mini Secretariat after hosiery owners, workers and their family members came to head with members of farmers unions on Wednesday afternoon. The former group were staging a protest to demand immediate release of industrialist Rajesh Jain, who was arrested after a farmer had ended his life. Protesters staging a sit-in against the arrest of the hosiery unit owner over abetment charges at the Police Commissionerate in Ludhiana. (Manish/HT) Members of farmers union reached the mini secretariat after hearing of the protest, seeking addition of more sections to the first information report registered against the accused. A farmer had allegedly consumed poison on August 11 and named the accused, his father and another person in a suicide note. The deceaseds brother had also lodged the complaint against the accused, saying that he had taken illegal possession of their property in Jainpur and was implicating his brother in a false case. The three accused had been booked for abetment at PAU police station on August 11. Addressing the latest developments, commissioner of police Mandeep Singh Sidhu said a team has been formed to probe the incident and police will take action after investigating the matter thoroughly. Police personnel deployed to maintain peace stopped the farmers from entering the mini secretariat, following which they began shouting slogans against the police. The protest was held under the banner of Bahadur Ke Knitwear and Textile Association and was led by president Tarun Jain Bawa. Ludhiana West legislator Gurpreet Bassi Gogi also reached the spot and the protesters along with the police chief, following which the sit-in was called off. Bawa said they had lifted the protest after receiving assurance from the police chief of speedy action. Members of Bharatiya Kisan Union (Sidhupur) later also staged a protest at the Police Commissionerate, accusing police of not taking any action in the matter, following which, one of the accused was arrested. Sonam Uikey, 40, a tribal woman from Mohli village in Chhindwara district, the home turf of state Congress president Kamal Nath, was elated when she got the first installment of 1,000 under the Ladli Behna scheme (LBS), which provides financial support to eligible women, this June. With the money she got back her anklet deposited at a local pawn shop as the family needed money to visit a relative and attend a wedding function in May. Madhya Pradesh CM Shivraj Singh Chouhan during the inauguration of the Mukhyamantri Ladli Behna Yojnas fund transfe (Twitter) Parvati Yadav, 35, from Amarwada block in Chhindwara, used 3,000 she has received under the scheme, to pay for her husbands medical treatment. Around 260 kms north of Chhindwara in Bundelkhand region, Narvo Ahirwar, 48, a resident of Dhana village in Sagar district, spends the amount she gets under the scheme on items of daily necessity.. Uikey with her husband and two sons, labourers, earn up to 10,000 every month mainly during Kharif season, when they get work locally. They have an acre of agriculture land, which is hardly sufficient to meet daily living costs. Most of this money goes into paying back the money taken on credit, she said. Pravati, who has two daughters and they earn between 5,000 and 10,000 a month, said the Ladli Behna Scheme ensured her husband got timely medicines. Once I collect 7000, I will buy a sewing machine with some more savings I have and do the work of tailoring along with my daughters. Ahirwar, is waiting for the chief minister Shivraj Singh Chouhan, to increase the monthly allowance under the scheme to 3,000 per month as has been indicated. Once that happens, our family will be much more secure financially, she said. Although they used the LBS allowance differently, they have something in common: They are all hurt by the price rise of essentials and lack of government jobs. An LPG cylinder costs more than 1100. We cant buy tomato as it is being sold at 140-150 per kg. At ration shops, our quota of wheat has been reduced from 5 kg per head to 2 kg per head. The rice being supplied to us is of poor quality. The government must take some immediate measures to check price rise, Narvo said. To counter the success of the LBS, the opposition, Congress, has promised a monthly stipend of 1,500 per month as part of its election guarantee. The grand old party has also said it would provide cooking gas cylinders for 500 as is being done in the Congress-ruled Rajasthan, which is also going to polls with Madhya Pradesh in November-December 2023, the big state elections before 2024 Lok Sabha polls. Chouhan while launching the Ladli Behna scheme from Jabalpur on June 10 had promised that the amount would be increased up to 3,000 per month in a phased manner beginning with 1,250 per month. Sisters, your brother will speak to you before Rakshabandhan on August 27 and one more gift, posted Chouhan on Twitter on Thursday dropping enough hint on increasing the amount. A senior BJP leader aware of the developments said Chouhan is expected to increase the amount to 1,250 per month that day. Baat bharose ki hai. Ladli behno ko abhi 1,000 de rahe hain, jald hi 3000 bhi denege. Janata hai Bhajpa ke saath (This is a matter of faith. Beloved sisters are getting 1000 (per month) now. They will get 3000 (per month) soon. People are with the BJP, said Chouhan on X (formerly Twitter) on Friday. Interestingly, Prime Minister Narendra Modi had spoken about Revadi culture (freebies for the public as promised by political parties) while attending a programme in Satna district of the state in October last year, just a few months before Gujarat and Himachal assembly elections. He said the freebies offered by opposition parties was a sweet meat to garner just votes and could drain government finances. Also Read: MP govt begins process to give 1k to 12 million women under Ladli Behna scheme As it turns out, Modis own party is doing the same in poll-bound Madhya Pradesh. The BJP government in MP itself overlooked the PMs concern over revadi culture for the sake of political advantage in elections. The state government has to take loans to meet the expenditure on the scheme. This is badly affecting financial health and development of the state, political observer Dinesh Gupta said. According to MP government officials, the state is spending 1,210 crore per month to benefit about 12.5 million woman beneficiaries under the LBS. As per officials, the state government has made a provision of 8,000 crore initially in the budget for the scheme which will be sufficient to pay 1,000 per month until the elections. The budgetary allocation, however, will have to be increased if the monthly allowance has to be hiked. Officials said the Congress promise to fund a similar scheme -- if the party comes to power in the state poll -- will cost the state exchequer about 20,000 crore annually considering that five month expenditure of Ladli Behna is 8,000 crore. As per the annual budget tabled in the state assembly during the budget session, the state debt stood at 3 lakh crore which, as per officials, is expected to cross 3.85 lakh crore by the end of the present financial year. The increase in state debt in the current financial year has been highest in the past five years. State Congress media in charge KK Mishra said, The BJP has indulged in a political gimmick for the sake of votes. If this scheme is (for) beyond elections, why (does the) BJP government not talk of guarantees like Congress? The fact is Chouhan knows that his government will not return to power. (And)We will begin to give 1500 per month to women and LPG cylinders at 500 per cylinder to every family as guaranteed. (With input from Bhojraj Raghuvanshi in Chhindwara and Anupam Pateriya in Sagar) SHARE THIS ARTICLE ON Due to the heavy rainfall in the catchment areas of neighbouring Himachal Pradesh, the Pong Dam on the Beas river and Bhakra-Nangal dam on the Sutlej continued to receive heavy inflow on Wednesday, posing a threat to districts downstream in Punjab. An aerial view of a flooded village in Ropar district on Wednesday after floodgates at Bhakra Dam were opened two days ago. (ANI Photo) According to officials, Bhakra Dam received inflow of 45,509 cusecs and 68,844 cusecs of water was being released on Wednesday as the water level in the reservoir is rising fast and touched 1,677 feet, three feet short of the maximum capacity of 1,680 feet. Also read: Himachal flood: IAF, army evacuate stranded villagers in Kangra district In Pong Dam, the water level touched 1,399 feet, which is nine feet more than the capacity, as the reservoir continued to receive inflow of 65,300 cusecs. At both dams, floodgates were opened two days ago, as 1.25 lakh cusecs of water was flowing from Pong Dam and about 30,000 cusecs from Bhakra Dam. According to Satish Singla, the secretary at Bhakra Beas Management Board (BBMB), which operates and maintains the two dams, the situation is being monitored constantly. The Punjab government has already sounded a red alert in districts downstream, including Gurdaspur, Amritsar, Hoshiarpur, Kapurthala, and Tarn Taran. More than 80 people stranded at Harsabella and Dulchi villages in Ropar district were rescued by the National Disaster Response Force (NDRF) on Wednesday after water was released from the Bhakra Dam. Thirty residents of Harsabella and 55 of Dulchi had been stranded since Tuesday morning. The water from the dam has cut off several villages in Nangal, Nurpur Bedi and Anandpur Sahib and the army and air force are on stand by in the flood-affected areas. On Tuesday, two helipads were made operational in Anandpur Sahib to rescue people. However, the water level receded on Wednesday and 85 residents of the two villages were evacuated by NDRF teams, Ropar deputy commissioner Preeti Yadav said. State education minister and local MLA Harjot Bains supervised rescue operations and said there was no need for panic. SHARE THIS ARTICLE ON With the Punjab and Haryana high court granting no immediate stay on the process, Government Medical College and Hospital (GMCH), Sector 32, held the first state counselling session for MD/MS admissions on Wednesday evening. The counselling will be subject to final outcome of the court case. (Getty Images/iStockphoto) The counselling was scheduled for 10 am at the office of the director-principal. However, as a plea challenging changes made by the UT administration in the admission process was to come up before the high court, hospital authorities waited for the hearings outcome. Eventually, the seat allocation process began after 5.30 pm, when Anil Mehta, senior standing counsel of the Chandigarh administration, shared that the high court had allowed the counselling to continue in accordance with the revised process and sequencing, as provided in the prospectus. However, the counselling will be subject to final outcome of the court case. As per the prospectus, of the total 148 seats, 72 seats are allocated to all-India quota, while the remaining 72 (SC:10, General: 62 and EWS: 4) are earmarked for state quota. State quota is further divided into two categories: IP Pool and the UT Chandigarh Pool, both comprising 36+2 (EWS) seats each. MBBS graduates of GMCH, Shubham Jund and others, had approached the high court, alleging that UTs decision to modify the admission process was unilateral and arbitrary, and will severely impact the possibility of Institutional Preference Pool (IP) pool candidates getting a specialty/discipline of their choice. After complaints of discrimination in seat allocation, on August 4, the UT health secretary had directed GMCH to revise the counselling process and sequencing promptly for MD/MS admissions for the 2023 session to ensure a completely fair and transparent admission process. As per the order, an IP Pool candidate should firstly be adjusted against the seats of preferred branch available in IP Pool. However, if the preferred branch is not available, then, subject to fulfilling the eligibility criteria of UT Pool, the candidate can be considered for the branch of preferred choice under UT Pool. During the preceding hearing on August 10, the high court had sought a response from the UT administration by August 16. On Wednesday, UTs senior standing counsel informed the court that under the revised process and sequencing of counselling, the only change made was that IP Pool candidate will be firstly considered against IP Pool seat, if the desired branch was available in IP Pool. Earlier the IP Pool candidates were first considered against UT Pool seat even though the desired branch was available in IP Pool that resulted in injustice with candidates having higher NEET-PG rank. The decision was formally reached by the administration after thorough examination from every possible perspective, based upon due analysis of the empirical data and other relevant factors that were required to be taken into consideration, the court was informed. SHARE THIS ARTICLE ON The Manohar Lal Khattar-led Haryana government on Wednesday appointed Shatrujeet Singh Kapur as the new director general of police (DGP). Kapur takes over from PK Agrawal, whose two-year tenure ended on August 15. Shatrujeet Singh Kapur, a 1990-batch IPS officer, taking charge as the new Haryana director general of police (DGP) on Wednesday as his predecessor PK Agrawal (left) looks on. (Sant Arora/HT Photo) The 1990-batch officer is the junior most in the list of three IPS officers empanelled by the Union Public Service Commission (UPSC) and will have a minimum tenure of two years as mandated by the Supreme Court. He retires in 2026. The officer, who was holding the charge of director general, anti-corruption bureau (ACB), may continue to keep the post. Also read: New Haryana director general of police: UPSC shortlists three IPS officers Kapurs proximity to chief minister Khattar seems to have made him an obvious choice to succeed Agrawal. Unlike 2021 when he went by seniority and appointed Agrawal, the chief minister decided to pick Kapur by superseding two 1989-batch officers, Muhammad Akil and RC Mishra. It is, however, not the first time that seniority had been breached in the appointment of the DGP as the state government had in 2019 appointed Manoj Yadava, the youngest of the three shortlisted by the UPSC. When Khattar first took over as chief minister in 2014, Kapur was appointed head of the intelligence arm of the state police. Khattar had to shift him and the then DGP, Yashpal Singhal, in 2016 after the Jat quota agitation but he was soon appointed as the chairman and managing director of two power distribution companies, UHBVN and DHBVN, a post held by IAS officers. He was later appointed as principal secretary, transport, also before heading the state vigilance bureau, now known as the ACB. Challenges before new DGP Kapur takes over as the police head at a time when the Lok Sabha elections and state assembly polls are due next year. His colleagues say that an election year is probably a challenging period for any head of the police force, requiring persistent teamwork and deft handling of pressures of electoral politics. Known as a hard task master, Kapur will also have at hand the task of ensuring that a communal flare-up, as it happened in Nuh weeks ago, does not get repeated. Reining in cow vigilantes, such as Monu Manesar, would be a major challenge for the new DGP. Uneasy moments for state govt The UPSC had on August 10 shortlisted three IPS officers serving in the state - Akil and Mishra besides Kapur - from among whom one was to be picked by the state government as the next head of the police force. The process of selecting the new DGP, which was initiated in May, had its share of ups and downs with the UPSC writing back to the state government, seeking the service record of senior most Haryana IPS officer, Manoj Yadava, to make it a part of the proposal sent by the state government. Yadava, who remained Haryana DGP from 2019-21, is on central deputation. The UPSC communique came after the Haryana government omitted Yadavas name containing the names of nine IPS officers to the UPSC for shortlisting three officers for the DGPs job, citing his unwillingness to come back. The commission had sought Yadavas record in light of Supreme Court directives and MHA undertakings in the apex court that that the consent of an officer is not required for the purpose of empanelment as DGP of the state. The MHA response before the SC came during the January hearing of an interlocutory application filed by the Nagaland government in the apex court. However, the state government refused to send Yadavas service record reiterating his unwillingness and his recent appointment as DG, Railway Protection Force, a central assignment. The move not to send Yadavas service record was aimed to ensure that the three senior most IPS officers get empanelled on the basis of seniority, merit and experience. UPSCs empanelment committee met in New Delhi on August 10 and decided to overlook Yadavas name after MHA officials, who also attended the meeting, are learnt to have cited the Kerala precedent. Kerala-cadre IPS officer Nitin Agrawal of the 1989 batch, who was on central deputation like Yadava, had figured among the eight IPS officers whose names were sent to the UPSC for empanelment as DGP. Nitin Agrawal, who was on central deputation with the Central Reserve Police Force (CRPF), was subsequently appointed as DG, Border Security Force (BSF), in June and his name did not figure in the panel of the three IPS officers prepared by the UPSC. SHARE THIS ARTICLE ON China allocates another 500 mln yuan to reconstruction in six disaster-hit regions Xinhua) 08:20, August 16, 2023 BEIJING, Aug. 15 (Xinhua) -- The National Development and Reform Commission, the country's economic planner, on Tuesday announced that it has allocated another 500 million yuan (about 69.7 million U.S. dollars) to support the reconstruction of infrastructure and the resumption of basic public services in areas hit hard by floods and other rain-triggered disasters. The central government funding will be allocated to the Hebei, Heilongjiang, Jilin, Fujian provinces, as well as the Beijing and Chongqing municipalities, according to the NDRC. Since the flood season this year, continuous heavy rainfall has triggered floods and secondary geological disasters, leading to casualties and property losses in many parts of the country. The new funding, on top of the 200 million yuan allocated previously, has brought the NDRC's special-purpose funding for emergency reconstruction to a total of 700 million yuan. (Web editor: Zhang Kaiwei, Wu Chaolan) Aam Aadmi Partys Haryana president Sushil Gupta has accused the state government of failure to provide jobs to unemployed youths of the state. Youths of the state are suffering as there are no jobs for them as around 1.80 lakh positions in government departments lying vacant, he said. He also slammed the Haryana government for erratic power supply to the domestic and agriculture sectors. AAPs Haryana state publicity committee president Ashok Tanwar said all workers should work hard and the party will give due respect to such workers. AAPs Haryana president Sushil Gupta has accused the state government of failure to provide jobs to unemployed youths of the state. (PTI File Photo) Other short stories MDU students protest, allege lack of basic amenities Rohtak: Students locked the gate of the Institute of Management Studies and Research (IMSAR) Department claiming shortage of teachers and lack of basic infrastructure at Maharshi Dayanand University (MDU). They also raised slogans against university authorities. Pradeep Sharma, a PhD scholar, said there is a shortage of doctors and basic infrastructure here. Moreover, there is no facility of drinking water in the department. MDU dean, academic affairs, Surender Kumar, who along with other officials reached the IMSAR department, said the students issues will be resolved soon. HTET to be held in Oct or 1st week of Nov Rohtak : The Board of School Education Haryana (BSEH) has made all preparations to conduct the Haryana teachers eligibility test (HTET) in the last week of October or the first week of November, said officials. The exam is conducted for three levels, primary, trained graduate teacher and post-graduate teachers. In the last two years, the exam was conducted in December. A spokesman of the board said they have made all preparation and the official notice will be released soon. Ambala police attach gangsters land Ambala Nearly five months after he was declared a proclaimed offender (PO) for not reporting back to Central Jail after his parole ended last year, the Ambala police have attached around three canals of land belonging to gangster Vikram alias Bunty Kaushal. Bunty, a gangster based at Ambala Cantonment, is accused in a number of heinous crimes and was undergoing life imprisonment in a murder case. He was granted four weeks of parole in January last year. He carries a reward of 25,000 on his head, officials from the Special Task Force (STF) said. SP Jashandeep Singh Randhawa said that the land at Jodhpur village of Jagadhari tehsil in Yamunanagar district was attached after a legal and administrative procedure. HTC Over two weeks after alert passers-by caught two snatchers who were fleeing with a womans gold chain in Sector 23 on July 30, police said they had recovered six stolen two-wheelers as well from the duo. The snatchers and recovered motorcycles and scooters in the custody of Chandigarh Police. (HT Photo) A team from the Sector 17 police station, led by SHO Rajiv Kumar recovered four motorcycles and two scooters from the accused, identified as Vishal, 24, and Lovepreet, 22, both hailing from Ferozepur. With this, six vehicle theft cases, including five registered at the Sector 17 police station and one at the Sector 34 police station, had been cracked, police said. The accused were produced in court and sent to judicial custody. On July 30, Neelam, a resident of Gobind Nagar, Nayagaon, Mohali, was walking with her daughter in Sector 23, when the accused had snatched her gold chain and fled into the residential streets. Hearing Neelams cries for help, passers-by had chased the accused and nabbed them, following which they were handed over to the police. The gold chain was recovered from the duo, following which they were booked for snatching. Kharar police recover cache of stolen vehicles, jewellery, gadgets Mohali Kharar police have recovered eight stolen motorcycles, two scooters, five tola gold ornaments, two mobile phones and two laptops with the arrest of seven people wanted for separate cases of theft and snatching. Sharing details, DSP (City) Karan Singh Sandhu said in the first case, police caught Himanshu Kanojia, a resident of Gobind Colony, Kharar, who was wanted for a theft at a house in Kharar city in October 2022. A total of five tola gold ornaments were recovered from his possession. In the second case, police arrested Amritsar resident Manjinder Singh and his wife, Sukhjinder Kaur, for stealing two laptops from the house of one Ramanjit Singh in Chajjumajra in July. The laptops were recovered. Similarly, Sunny Enclave Chowki police nabbed two snatchers, Shiv Charan Singh and Ashok Kumar, and recovered two stolen motorcycles from them. However, the biggest recovery came from two minors, who were apprehended for snatching and theft. Two mobile phones, two scooters and six stolen motorcycles were found in their possession. SHARE THIS ARTICLE ON One person was killed while five others were rescued after a building collapsed at Helang near Joshimath in Uttarakhands Chamoli district late on Tuesday evening. State Disaster Response Force (SDRF) said they pulled one body from the rubble and search was on to rescue another person buried under the debris. Rescuers at the building collapse scene. (NDRF) SDRF inspector Harak Singh said the seven people who lived in the building worked at a nearby crusher unit on the banks of the Alaknanda River. One of them, Anmol, who was in his 20s, has died. Five people were rescued and hospitalised while efforts were on to rescue one trapped person. The building collapse site is around 13 km from Joshimath, where 868 structures have developed cracks and 181 have been declared unsafe so far. This month, five families from Joshimaths Sunil Ward were shifted to relief camps amid fear of further instability of structures due to heavy rains. Residents met district magistrate Himanshu Khurana in June to discuss threats to the town during the monsoon season. Joshimath residents, who were scheduled to relaunch their agitation on Tuesday on the occasion of Independence Day demanding their rehabilitation under the banner of Joshimath Bachao Sangharsh Samiti (JBSS), deferred it. A Mahapanchayat (grand assembly) has been convened on August 21. The affected families plan to stage daily sit-ins from the following day. The residents suspended their 107-day agitation after chief minister Pushkar Singh Dhami assured them of concrete action. The assurance came after the Samiti called for the Rishikesh-Badrinath highway blockade on the inaugural day of the Badrinath Yatra on April 27. The Samiti organised day-long protests over three subsequent months to remind the government of its promises. JBSS convenor Atul Satil said they have been forced to relaunch the agitation because they have been deceived. The Aam Aadmi Party on Wednesday hit out at the Congress after its leader said the party will contest on all seven seats in Delhi in the next year's Lok Sabha election. Congress leader Alka Lamba.(ANI) We have been given orders contest on all 7 (Lok Sabha) seats in Delhi", Congress leader Alka Lamba told news agency PTI. The meeting lasted for four hours and was attended by 40 leaders who put forth their opinion. The talks focused on strengthening the Congress in Delhi", she added, referring to the meeting convened by Congress chief Mallikarjun Kharge in the capital. Responding to Lamba's claims, AAP chief national spokesperson Priyanka Kakkar, said,"If Congress has already decided to not form an alliance with us, then it is of no use for us to attend the next 'INDIA' alliance meeting. Our top leadership will decide whether or not we'll attend the next meeting". Delhi minister Saurabh Bhardwaj said,"Our central leadership will decide this...Our political affairs committee and INDIA parties will sit together and discuss this (poll alliance)". AAP leader Somnath Bharti said,"Everyone should realise that we should keep our ambitions aside and think about the country and Constitution". The Congress and AAP had been at loggerheads recently over the Centre's Delhi Services Bill. The AAP had demanded Congress to clear its stand on the ordinance as a condition to attend the I.N.D.I.A alliance meeting. Later, the Congress backed AAP over the services bill in parliament, but could not stop the bill from being passed and get presidential assent. Cong-AAP failed to reunite in 2019 During the 2019 Lok Sabha election, the Congress-AAP talks had fallen through with both parties blaming each other for not having alliance for 18 Lok Sabha seats in Delhi, Haryana and Punjab. While the Congress had hit out at AAP's leadership, Kejriwal's party had accused Congress of wasting time in the name of discussion. The sole reason for us to go for an alliance with the Congress was to defeat the Modi-Shah pair in the election and not to fight over which party contests how many seats in these 18 Lok Sabha constituencies. But the Congress backtracked from the last agreed seat-sharing arrangement for Haryana", then Delhi deputy chief minister Manish Sisodia had said. SHARE THIS ARTICLE ON A two-day special session of the Delhi Assembly began on Wednesday with deputy Speaker Rakhi Birla informing the House that lieutenant governor VK Saxena has raised objections to the convening of the session, but his reservations are without merit and are completely based on the wrongful definition of the laws. A two-day special session of the Delhi Assembly began on Wednesday with obituary references to people who have been killed in ethnic clashes in Manipur and communal violence in Haryana, people who died in Odisha train accident, and other incidents. (File Photo) This is the first session of the Delhi Assembly since the Government of National Capital Territory of Delhi (GNCTD) (Amendment) Act, 2023, which puts the control of the citys administration in the hands of the Centre, was codified into law last week. Birla informed the House that LG Saxena wrote a letter to chief minister Arvind Kejriwal on August 11 and marked a copy to the Delhi Assembly secretary stating that the current assembly session has been called in violation of the rules. The LG has raised objections on the convening of the third part of the fourth session. His opinion is that the assembly sessions should be prorogued, and fresh assembly sessions should be convened with his (LG) approval, and there should be a minimum of three sessions in a year. The LG has also stated that the current assembly session has been convened without the recommendation of the Delhi Cabinet, which is a violation of rules including the recent GNCTD (Amendment) Act Birla said. She said that the provisions of the GNCTD (Amendment) Act-- which say that the opinion of the LG is needed for the summoning of the Delhi Assembly, prorogation, and dissolution-- are not related to convening the special session. It is related to the new convening of the fresh session of the Assembly and not a special session. LG has no role in calling the special session of the Assembly, she said. She further said: Special sessions are called even in Parliament and other state Assemblies. Rules empower the Speaker to convene the House any time after adjourning the Assembly sessions sine die. If the Cabinet recommends the prorogation of the session, then the next session is called with the approval of the LG. If the session is not prorogued, then the Assembly session can be convened under Rule 17 with the approval of the Speaker. The entire country has a similar convention. Also Read | Game-changer Delhi bill gets presidential assent Under rule 17, the Speaker can convene the House anytime if the session has not been prorogued anytime. I received a note on August 8 from the minister of law and justice with the approval of the CM to convene the House on August 16. Accordingly, I convened the House. Lok Sabha also has similar rules to call special sessions, Birla said. The Assembly session has been called according to laws and any remarks passed on it are without merit and are completely based on wrong definition of the laws, Birla said. Many times since 1993, assembly sessions have been called to discuss important issues affecting the people of the Capital. LG has levelled serious allegations that the Speaker has violated the decision of the Cabinet by convening the third part of the fourth session rather than calling the fifth part of the session. Since the cabinet had not recommended the prorogation of the session under Rule 17, the special session has been reconvened. The LG should know that unless the Cabinet recommends the prorogation of the Assembly session, a new session cannot be called. LG should avoid levelling such baseless allegations, she added. The LG seems to be upset that Parliament and other assemblies have three sessions (budget, monsoon and winter sessions), but Delhi assembly has only one session, she said. Birla said that budget, monsoon, and winter sessions do not find mention in the Constitution or GNCT of Delhi Act, they are just nomenclatures from the colonial period and used in common parlance. She also informed that LG has informed that there is no intimation of the proposed legislative business. the Speaker has the power to decide the business in consultation with the chief minister. LG has no role in legislative work, and it is also not necessary that legislative work is carried out in every session. HT has sought the response of LGs office on the development. The story will be updated when LGs office responds. Earlier, Saxena had also flagged grave procedural lapses in the session convened in April, which was called after Kejriwal received summons from the CBI for questioning him in connection with the excise policy case. Leader of Opposition and Bharatiya Janata Party (BJP) MLA Ramvir Singh Bidhuri stood up in the House in support of the LG. I support the letter written by the LG. Show me one state Assembly where question hour is not listed in the list of businessthe problems of Delhi are not raised in the Assembly but matters outside the jurisdiction of the Assembly are raised. In this situation what is the usefulness of the Assembly, Bidhuri said. Earlier in the morning, the session began with obituary references to people who have been killed in ethnic clashes in Manipur and communal violence in Haryana, people who died in Odisha train accident, and other incidents. Aam Aadmi Party (AAP) MLAs alleged that the Delhi government officers are not releasing funds for development work, including for the distribution of drinking water, which has hampered the work, and demanded that the responsibilities of the officers should be fixed. BJP MLA Ajay Mahawar said it is the old habit of the AAP government to blame others when they fail to deliver on their promises. SHARE THIS ARTICLE ON A two-day special session of the Delhi assembly is scheduled to begin on Wednesday, with the ruling Aam Aadmi Party likely to raise the issue of the recently enacted Government of National Capital Territory of Delhi (Amendment) Act, 2023, while the opposition Bharatiya Janata Party is geared up to question the government on various issues, including the alleged mismanagement of the recent floods, and the reconstruction of chief minister Arvind Kejriwals official bungalow. According to officials aware of the Assembly schedule, the House will begin at 11am with obituary references, following which the members will be able to raise matters under Rule 280 with the permission of the chair. (PTI Photo) The Delhi assembly has 70 members 62 from the AAP, and eight from the BJP. According to officials aware of the Assembly schedule, the House will begin at 11am with obituary references, following which the members will be able to raise matters under Rule 280 with the permission of the chair. Rule 280 (special mention) is a device for legislation to draw the attention of the government to issues relating to their constituency, or other developments. Also Read: Kejriwal pitches Delhi model for nation, slams Centre on Manipur After this, the officials said, minister Atishi will lay various papers on the table, including a notification regarding the Delhi Electricity Regulatory Commission (Business Plan) Regulations, 2023. On the eve of the session, BJP legislators, at a joint press conference, accused the AAP of undermining democracy. Over the last three years, BJP MLAs have been marshalled out or suspended from the Assembly 35 times in 16 sessions. The ruling AAP has stifled Opposition voices by preventing discussions on notices raised by BJP legislators. This time also, no question hour has been included in the session because the government does not want to answer questions, said Leader of Opposition Ramvir Singh Bidhuri. He added that BJP MLAs have given a notice for a short duration discussion on 12 scams under Rule 55, which allows legislators to draw the attention of the government to matters of urgent public importance. We have drawn a list of 12 scams and we want a discussion on all these scams such as the bungalow scam, panic button scam, feedback unit scam, excise scam, among others, said Bidhuri. Meanwhile, speaker Ram Niwas Goel said that BJP MLAs are given more time to speak in the House than the ruling party members. ...but rather than discussing the issues, they start disrupting the functioning of the House. I have called them to my office to urge them to let the House function, but they do not help in its smooth running, he said.Questions related to police, land, and services were earlier answered in the House, but now questions related to land, services, DDA, revenue are not submitted by the officers. In such a situation, what is the point of including the question hour? Delhi chief minister Arvind Kejriwal on Wednesday reiterated his former deputy Manish Sisodia has been jailed in a false case while vowing to do everything possible for providing the best quality education to every child as he thanked those who wished the Aam Aadmi Party (AAP) chief on his birthday. Delhi chief minister Arvind Kejriwal. (PTI) Prime Minister Narendra Modi and lieutenant governor VK Saxena, whom the AAP chief has been at loggerheads with, were among those who wished Kejriwal. Today is my birthday. Many people are sending their wishes. Thank you so much! But I miss Manish. He is in jail in a false case. Lets all take a pledge today - that we will do everything within our means to provide [the] best quality education to every child born in India. He added that will lay the foundation for a strong India. That will help in realising our dream of making India no 1. That will also make Manish happy, Kejriwal wrote on X (formerly Twitter). Sisodias arrest in February in connection with the alleged irregularities in the now-scrapped 2021-22 exercise policy escalated tensions between AAP and Bharatiya Janata Party (BJP) amid a tussle over administrative control and governance of the national Capital. Modi wished Kejriwal long life and good health days after the Government of National Capital Territory of Delhi (GNCTD) Act was enacted to hand over the control of the Capitals administration to the Union government despite AAPs opposition. Birthday wishes to Delhi CM Shri @ArvindKejriwal Ji. Praying for his long life and good health, Modi wrote. Kejriwal thanked him for the wishes. In his post on X, Saxena wrote: Hearty birthday wishes to Chief Minister Shri @ArvindKejriwal ji. I pray to God for your healthy and long life. The greetings were exchanged hours before a two-day special session of the Delhi assembly was due to begin with AAP expected to raise the issue of the GNCDT Act. The session has been called against the backdrop of the passage of this law. The control of bureaucrats has been at the core of the battles the Union and Delhis AAP governments have fought including in courts since 2015 when Kejriwal stormed to power. A Supreme Court order on May 11 placed the control of services in the hands of the elected government. On May 19, the Union government issued an ordinance to snatch back the control before the GNCDT Act was passed last week. The National Capital Civil Services Authority (NCCSA) will now do all transfers and postings of officers. Kejriwal will chair NCCSA, which will also have two bureaucrats as members. NCCSA can take decisions with a majority which means that the two Union government-appointed bureaucrats can overrule the chief minister. In case of a difference of opinion, the lieutenant governors decision will be final. The Opposition BJP said it will try to raise issues related to the alleged mismanagement of the floods in Delhi in July and the reconstruction of the chief ministers official bungalow during the two-day session. Services minister Atishi on Wednesday said the deadlock over transfers and postings of officers will end now after the enactment of the Government of National Capital Territory of Delhi (GNCTD) Act, which handed over the control of the Capitals administration to the Union government despite ruling Aam Aadmi Partys (AAP) opposition. Delhi minister Atishi. (HT PHOTO) Read here: Allies Cong, AAP fight it out over LS contest in Delhi She said the Delhi government has challenged the law in the Supreme Court but they will respect it as long as it remains. We respect the law so that the works of people of Delhi do not come to a standstill. She said regular meetings of the National Capital Civil Services Authority (NCCSA) for transfers and postings will be held as soon as possible. Atishi added she has issued orders for better coordination between Delhi government departments and NCCSA to streamline its functioning. NCCSA, which has the chief minister as the chair and two bureaucrats as members, can take decisions with a majority. The two Union government-appointed bureaucrats can overrule the chief minister. In case of a difference of opinion, the lieutenant governors decision will be final. Atishi said the meetings of the authority were not being held for months due to differences between the bureaucracy and the government. She added the Supreme Court will examine the legality of the law. Atishi said chief minister Arvind Kejriwal will attend the NCCSA meetings. We have issued an order regarding how various departments will coordinate and deal with the authority. The smooth functioning of authority and its coordination will help to resolve issues people face. The control of bureaucrats has been at the core of the battles the Union and Delhis AAP governments have fought including in courts since 2015 when Kejriwal stormed to power. A Supreme Court order on May 11 gave the control of services to the Delhi government. On May 19, the Union government issued an ordinance to snatch back the control before the GNCDT Act was passed last week. Read here: Officers blocking funds for projects, allege Delhi MLAs Officials aware of the matter said Kejriwal postponed NCCSAs last meeting on July 28 as the Union cabinet approved the bill to replace the ordinance. They added NCCSA has to consider five important matters including disciplinary action against officers who made payments related to advertisements in AAPs favour in violation of Supreme Court guidelines. Chandrashobha Kumari and her family woke up at 3am on Tuesday, well before they usually do. Kumari, her husband and two children were getting ready to head to the Independence Day celebrations at Red Fort. Shed waited to watch the event in person for years. A view of Independence Day celebration at Red Fort on the occasion of 77th Independence Day, in New Delhi on Tuesday. (HT PHOTO) At 5am, they left their home in RK Puram in south Delhi and less than an hour later, they blended into the 1,800-odd people who swarmed the historic monument in Old Delhi to watch Prime Minister Narendra Modi address the nation on Independence Day. Ive been watching the programme on television since I was a child, so Im thrilled to be here and Im glad my children are part of it too, she said. Waves of people wearing saffron, white and green peppered with the occasional blue poured into Red Fort and took their seats, ready for the spectacle to unfold. Most sported bangles, hair bands, earrings, shoes or watches to match the Tricolour ensemble. Also Read: In Independence Day speech, Modi lays out blueprint for India as a developed country by 2047 Soft chatter pierced Old Delhis 6am silence on an overcast Tuesday morning. Some in the crowd worried that the skies would open up. But largely, excitement rippled through the audience, which included fisherpeople, nurses, construction workers involved in building Kartavya Path and the new Parliament, as well as heads of Vibrant Villages. Around 6.20am, the crowds chatter fell silent as the National Cadet Corps choir, which kicked off the event, singing patriotic songs. Prime Minister Narendra Modi unfurled the Tricolour on the ramparts of the Red Fort an hour or so later. The National Flag Guard then presented a national salute as the army band played the national anthem amid a 21-gun salute. Minutes later, two Indian Air Force helicopters cut through the skies, showering petals above the guests, the extravagance taking many by pleasant surprise. We were not expecting the helicopters to shower flowers from above... we all started cheering, said 13-year-old GS Rituraj Gond, a student of a government school in Mandawali in east Delhi. Soon enough, the clouds dissipated, the sun shone over the Capital, and all apprehensions were put to rest. Moments later, Prime Minister Narendra Modi took to the stage amid rapturous applause. He outlined the aspirations of the country and its people in a 90-minute speech, which was punctuated only by the occasional cheer from the audience. Among those who watched Modis speech were 75 couples from each state and Union territory, dressed in regional attire. A group of 50 school teachers, picked by the Union ministry of education for their outstanding dedication and commitment, were also among the invitees. A specially invited group of MGNREGA workers from Karnataka, wearing fluorescent green T-shirts with the job guarantee plans logo imprinted, said it was a proud moment for them. Jayshree Lakshman, 35, a MGNREGA worker who travelled to Delhi from Karnataka for the programme, said, We have been working hard for so many years, and today our hard work was finally acknowledged. The NREGA workers said that the PM also stopped for a few seconds and shook hands with them while walking to the ramparts. A bipartisan group of US lawmakers led by Indian-American Congressman Ro Khanna and Congressman Michael Waltz, also attended the event. Union ministers Amit Shah,Rajnath Singh, Dharmendra Pradhan, Nirmala Sitharaman, Nitin Gadkari, Piyush Goyal, Anurag Thakur, Hardeep Singh Puri and Smriti Irani, Delhi chief minister Arvind Kejriwal and lieutenant governor VK Saxena also attended the event. Class 11 students Navdha and Vanshika, who did not share their surnames, said they were at the event for the first time, and that they appreciated Modis emphasis on women-led development. He spoke in detail about women empowerment and skill development, Navdha said. After his speech, Modi interacted with students and NCC cadets were seated, amid chants of Vande Mataram and Bharat Mata Ki Jai. Meanwhile, hordes of people, who did not have passes, lined up outside the fort, packing the narrow lanes of Old Delhi, hoping for a glimpse of the event. After the PMs speech, a few were allowed to enter the fort. Some stopped near a G20 sign decked with flowers to take pictures. Ashok Ram, who sells shoes in Chandni Chowk market and waited for around one hour outside the fort, said he comes every year to catch a glimpse of the event. We have never received an invite, but we make it a point to come every year... Its a matter of pride to be here, he said. A war of words broke out on Wednesday between members of the Delhi Congress unit and the Aam Aadmi Party (AAP) spokesperson over comments that the former may contest all seven Lok Sabha seats in the Capital on its own. Congress president Mallikarjun Kharge, party MP Rahul Gandhi with suspended AAP MP Sushil Kumar Rinku at Parliament House on August 11 (Sanjeev Verma/HT Photo) The controversy started after a meeting of the Delhi Congress unit on Lok Sabha poll preparations, attended by senior leader Rahul Gandhi and party chief Mallikarjun Kharge. Congress leader Alka Lamba, who attended the meeting along with Delhi Congress chief Chaudhary Anil Kumar and Delhi in-charge Deepak Babaria, said the leaders were asked to prepare for all seven Lok Sabha seats in Delhi right away. Seven months are left and there are seven seats in Delhi. History says that whoever wins Delhi wins the country. We have been asked to prepare for all seven seats, Lamba said. The Bharatiya Janata Party (BJP) holds all seven Lok Sabha seats in Delhi. The Congress and the AAP are part of the Oppositions INDIA grouping, though no seat-sharing arrangements have been discussed yet. Lamba said no final decision was taken on whether the Congress will contest all seven seats alone or in an alliance. In the last LS election, Congress was in the second position in all seven seats. No discussion on seat sharing was held. We will keep our preparations ready on all seven seats regardless of whatever decision is taken in the future, Lamba added. Hours after Lambas comment, AAP chief spokesperson Priyanka Kakkar hit back. If they do not want an alliance in Delhi, then going to INDIA meeting is pointless and a waste of time. Our top leadership will decide whether we have to attend the next INDIA meeting, she said. The next meeting of the bloc is scheduled in Mumbai likely in August-end. The Congress had come to us for an alliance because the Congress does not have any significance in Delhi. if the statements of Alka Lamba...are to be believed...if they have decided that they do not want alliance in Delhi, then there is no meaning of INDIA alliance, she added. Senior AAP leader Saurabh Bhardwaj appeared to strike a more non-confrontational tone. ...Our central leadership will decide this...Our political affairs committee and INDIA parties will sit together and discuss this (poll alliance), he told ANI. After the meeting, Babaria hit out at the AAP. Delhi has become directionless and no progress has been made from the point where Sheila Dikshit [former Delhi CM)]had left. Delhi congress will oppose the anti-people policies of the AAP government....It was also decided that the anti-people policies of the AAP government will be flagged before the people, and Congress party will move ahead, Babaria said. But he added that no discussion on alliance was held during Wednesdays meeting. The decision on the shape of the alliance in Delhi has to be taken by the party high command...whatever decision comes from high command, we will follow, he added. Earlier in the day, Kharge announced the meeting on X(formerly Twitter): In view of the Lok Sabha elections, a consultation was held with the leaders of Delhi Congress today. Transformation (Navsanchar) of Delhi Congress is our priority, in which participation of all leaders and workers is necessary. We had made Delhi prosperous and happy, our struggle for the people of Delhi continues even further, he posted. In a media statement, Gandhi said that the Congress party is dedicated to raising the voice of the people of Delhi and taking every step for the progress of the state. SHARE THIS ARTICLE ON The Yamuna was flowing at 205.12m at 9am in Delhi on Wednesday after remaining above the danger mark (205.33m) for over eight hours even as the flood control department remained on alert following heavy rainfall in Himachal Pradesh that has left over 50 people dead. The water level at Delhis Old Railway Bridge is considered the benchmark for assessing the flood situation in the Capital. (Hindustan Times) The water level was 21cm below the danger mark as per the last update from the department officials and is expected to go down further. The river swelled to an all-time high of 208.66m on July 13, flooding low-lying areas in the Capital and prompting large-scale evacuations. The Yamuna waters level in Delhi was last recorded above the danger level on July 29. An official said they were on alert but there was no alarming situation. The water released from Hathni Kund Barrage [in Haryana] has been less than 50,000 cusecs over the last 24 hours and it has been progressively going down. The current water release from the barrage is around 18,000 cusecs and the water levels are expected to further go down, the official added. The water level at Delhis Old Railway Bridge is considered the benchmark for assessing the flood situation in the capital with 204.5m being the warning mark. The danger level of 205.33m prompts the evacuation of people living along the Yamuna banks. The Central Water Commission data showed the river crossed the danger level at 10pm on Tuesday and rose to 205.56m an hour later. The water released from the Hathni Kund barrage usually takes 48 to 72 hours to reach Delhi. A flood alert is issued when the discharge from the barrage is above 1,00,000 cusecs. The current water level rise was expected due to the release of over 70,000 cusecs of water on Monday...we expect the levels to gradually settle down if there are no further spells of heavy to very heavy rainfall upstream, the official said. The water released towards Delhi is regulated at Hathni Kund barrage 228 kilometers upstream. The highest recorded Yamuna waters level in Delhi (207.49m) on September 6, 1978, was breached on July 13 when the water released from the barrage was above 2,00,000 cusecs. The Delhi government said there will not be a problem and the water will easily pass through Delhi. The departments are on alert monitoring the situation in real time. We expect Haryana to keep Delhi posted in case they release high volumes of water into the Yamuna. We also expect Haryana to distribute water into canal flowing towards Uttar Pradesh also, a government spokesperson said. After crossing the danger mark of 205.33 metres the previous night, the Yamuna water level dipped and stabilised by Wednesday evening, officials of the irrigation and flood control (I&FC) department said. The water level in the Yamuna dropped below the danger mark on Wednesday, after breaching the threshold on Tuesday night. (Sanjeev Verma/HT Photo) Officials said that while the Yamuna flowed at 205.56m at 1am on Wednesday, it went below the danger mark at 9am at a level of 205.12m. The water level dipped further to 204.76 but remained above the warning level at 11pm on Wednesday, officials said. An I&FC department official said officials remain on alert, but trends show that an alarming situation is unlikely in the short term. The flow of water released from Hathnikund barrage has been less than 50,000 cubic feet per second (cusecs) over the last 24 hours and it has been progressively going down. The current hourly water release level from the barrage is around 20,000 cusecs and the water level is expected to further go down, the official said. The Yamuna in Delhi last flowed above the danger level on July 29, data showed. The water level at Old Yamuna bridge is considered the benchmark for assessing the flood situation in the Capital. Delhi considers a water level of 204.5m at the Old Yamuna bridge as the warning level while the danger level, the point at which preparations for evacuation of people living along the banks of the Yamuna begin, is marked at 205.33m. A water level of 206m is considered the evacuation mark in Delhi, at which point the government begins moving residents to relief camps. The data from CWC shows that the river first crossed the danger level of 205.33m at 10pm on Tuesday and then rose to 205.56m at 1am on Wednesday. Since then, it has been gradually deceasing, the data shows. The water released from the Hathnikund barrage located 228km north of Delhi in Yamunanagar district of Haryana usually takes around 48 to 72 hours to reach Delhi. A flood alert is issued when the discharge from the barrage goes above 100,000 cusecs. The Hathnikund barrage regulates the water released towards Delhi from rivers Yamuna, Tons and Giri. A rise in Yamuna water level was expected after over 70,000 cusecs water was released on Monday. We expect the levels to gradually stabilise if there are no further spells of heavy to very heavy rainfall upstream, the I&FC official said. On July 13, the all-time Yamuna water level record was breached after it touched 208.66m at the Old Yamuna bridge, leading to widespread flooding and waterlogging across Delhi. The previous high was recorded at 207.49m, on September 6, 1978. The water flow from the Hathnikund barrage then was above 200,000 cusecs. Meanwhile, the Delhi government said that the current release of water wont be an issue. The departments are on alert to monitor the situation in real time. We expect government of Haryana to keep Delhi posted in case they release high volumes of water into Yamuna. We also expect Haryana government to distribute water into canal flowing towards Uttar Pradesh also, a government spokesperson had said on Monday. SHARE THIS ARTICLE ON Two weeks after several meat shops, salons and other businesses owned by Muslims shut down in the aftermath of July 31 communal violence in Nuh, the owners reopened their establishments on Wednesday with the administration saying that the community has been assured of normalcy in the area. A meat shop reopened on the Sector 9 road near Pataudi Chowk in Gurugram on Wednesday. (Parveen Kumar/HT Photo) According to police, at least 15 shops were burned, and several others were attacked in Gurugram by violent mobs in Badshahpur, Pataudi Chowk, Sector 70A, Kherki Daula, and Sector 67 between August 1 and August 8. The administration estimated that at least 115 shops owned by Muslims were closed in the days following the outbreak of violence. Officials said that most of these were salons, mobile phone sales and repair shops, tailoring, welding, furniture, bike and four-wheeler repairing shops, eateries, meat shops, and other such businesses. Javed Khan, a barber who shut his shop in Badshahpur, said he and 15 others went to Delhi to stay with relatives after the violence erupted and threats by mob to leave the city. We returned to Gurugram on Tuesday evening after receiving calls from district administration assuring us of our safety. We invested our lifes savings in establishing the shops, we couldnt leave and return to our villages, he said. Sahil Hussain, who ran a salon in Sector 70A, said he was watching the situation, and returned to the city only after all shops in the sector reopened. Last week, some senior leaders from our community met the deputy commissioner. They called a meeting and requested that businesses may resume, he said. Aamir Khalid, owner of a meat shop in Sector 56, said the decision to open the shops on Wednesday was taken collectively by community members. More than 300 community members have returned to work and reopened businesses that remained shut since August 1. So far, the day has been peaceful, and many Hindu brothers came and bought meat from my shop. They and were very cordial, he said. Gurugram deputy commissioner Nishant Kumar Yadav said they have asked Muslim migrants and others who left the city after violence to return. Our primary focus and goal was to bring the situation under control. We assured the community of their safety and increased patrolling in the area. We have also deployed teams of our officials in the affected areas and works towards establishing peace and harmony, he said. Many Muslim migrants have left and returned to their villages, and some went to stay with their relatives and friends across the National Capital Region. We have asked them to return and resume work as soon as possible. We have shared phone numbers of all officials concerned as well as the police with the people who have returned to the city so that they can report any incident of harassment, he added. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Leena Dhankhar Leena Dhankhar has worked with Hindustan Times for five years. She has covered crime, traffic and excise. She now reports on civic issues and grievances of residents. ...view detail The Rajasthan High Court has denounced the inclusion of chest measurements as a criterion in the physical standards for female candidates applying for the job of a forest guard, deeming it a clear dent on the dignity of women and their right to privacy, as provided by the constitution. The Rajasthan High Court complex in Jodhpur (File photp) A single bench presided over by Justice Dinesh Mehta, while dismissing the plea of the three women petitioners due to the completed recruitment process, has directed the states chief secretary, forest secretary, and secretary of the department of personnel to reevaluate this criterion or the relevant rule. The court stated: They may seek expert opinions to explore alternative methods for assessing the desired lung capacity level, ensuring the avoidance of unnecessary humiliation for women candidates. The courts attention was drawn to the case of three female petitioners who contested their rejection based on the Physical Standard Test (PST) parameters. Although these women had successfully cleared the Physical Efficiency Test, they were disqualified due to not meeting the stipulated chest measurement norms. While the respondents assessment of the petitioners does not appear erroneous, this Court is deeply disturbed by the parameters set forth by the respondents to determine the physical standards of female candidates. The act of establishing chest measurements as a criterion, especially for female candidates, is unequivocally arbitrary and, to say the least, outrageous. It constitutes a clear violation of a womans dignity and her privacy rights under Articles 14 and 21 of the Constitution of India, the court said in its strongly-worded judgment. The size and expansion of a female candidates chest do not necessarily reflect physical fitness or lung capacity. Even if they did, such measurements intrude upon a womans privacy. Aside from being irrational, imposing such a criterion infringes upon a womans dignity, bodily autonomy, and mental well-being, the bench observed. Since the recruitment process has concluded, and all candidates, including the petitioners, have undergone this test, the Court will not disrupt the completed recruitment. However, a thorough review or observation regarding the necessity of chest measurements for female candidates is essential, whether it is for the recruitment of Forest Guards, Foresters, or any other position, the court further stated. The size of a womans chest, in the Courts view, is irrelevant when determining her strength. The qualifying criteria, based on incorrect assumptions that a minimum chest girth guarantees a womans physical fitness, lacks scientific validity. This practice is humiliating, derogatory, and an affront to a womans dignity, the court said. Given that candidates are already required to pass the Physical Efficiency Test, which includes a 1.35-metre Standing Broad Jump and throwing a 4 kg shot put 4.5 metres, the stipulation of a minimum chest circumference appears irrational and unnecessary, the bench noted. It has come to our attention that no such test is required for female candidates applying for the position of Police Constable. While measuring expansion to determine lung capacity is understandable and acceptable, mandating a minimum chest circumference is utterly absurd and cannot be justified. Modern tests are available for this purpose, and if the authorities prefer not to use such methods, they can require candidates to run a specific distance, as the State does for Police Constable recruitments, the court pointed out. Mehta said the court was troubled by the lack of sensitivity shown by the administration. The Court is troubled by the lack of sensitivity exhibited by the administrative authorities while formulating hiring policies and rules. This not only appears to lack scientific foundation but also displays immodesty. Additionally, since the criterion of minimum chest size is not applied to other government jobs that involve comparable or more physical activity, such as Police Constables, there seems to be no logical rationale behind this particular criterion, especially for female candidates, the bench reasoned. SHARE THIS ARTICLE ON Jadavpur University (JU)s report to the University Grants Commission (UGC) doesnt include a single line on what steps the university had taken to prevent ragging in the campus before a first-year student allegedly died by suicide at its hostel last week, Union minister of state for education, Subhas Sarkar, said on Tuesday. Union minister of state for education, Subhas Sarkar. (File Photo) The UGC had sought a report from JU authorities after a fresher allegedly jumped to death from the second-floor balcony of the universitys main hostel last week. He was allegedly ragged. We sent an initial report to the UGC. They are satisfied with the report. The UGC team, which was supposed to visit the university on Wednesday, is not coming. We have been asked to send further reports, Snehamanju Basu, JU registrar, said on Tuesday. Also Read| Jadavpur varsity students death: Senior tried to divert probe, says police The Union minister, however, told the media that the JUs report only mentions what steps the university has taken after the incident took place and not a single line was included stating what anti-ragging steps were taken prior to the incident to stop the menace. JU authorities were asked to submit a report within 24 hours. The university authorities, however, has submitted a report on what actions have been taken after the incident took place. We all know this. The ten points, which they have included in the report, doesnt have a single line on what steps were taken (to stop ragging) before the incident took place, he told the media. Soon after the incident, the first-year students were shifted to a new hostel, the university set up an internal probe committee and anti-ragging hoardings have also come up in the university and hostel premises. Also Read| UGC says no to Eminence tag for Jadavpur University, Jamia Hamdard UGC would conduct an enquiry. The anti-ragging cell was not informed. The UGC has lodged a suo-moto complaint. UGC is not taking it lightly. It is a very serious matter and JU should think over it. UGC is analysing the report and will soon inform about what steps it would take, Sarkar told the media. Meanwhile, police are trying to reconstruct the events, which unfolded on the night of August 9, which prompted the student to allegedly die by suicide.. We visited the campus on Monday to reconstruct the events and check if any physical evidence was there. Some portions are still not clear. Investigation is going on, said a senior IPS officer. Police have already registered a murder case on the basis of a complaint lodged by the victims parents and three persons, including a former student and two second-year students have bene arrested. Another officer said that mobile phones of the accused have been seized and sent for forensic analysis to check whether they had captured any video of the ragging incident and later deleted it. Police have learnt that soon after the incident a section of the hostelites locked the main gate of the premises. Police were not allowed to enter the hostel campus that night. On Saturday, police lodged a case under sections 186 (Obstructing public servant in discharge of public functions) and 353 (Assault or criminal force to deter public servant from discharge of his duty) of the Indian Penal Code (IPC). SHARE THIS ARTICLE ON A large group of students and locals from West Bengal's Nadia district on Wednesday staged protests against the death of a first-year student at Javadpur University. In a video shared by the news agency ANI, the protesters can be heard raising slogans and holding placards with stop ragging written on them. Students and locals from West Bengal's Nadia district protesting against the death of a first-year student at Javadpur University. A first-year student of Bengali honours allegedly jumped from the second-floor balcony of the universitys main hostel on August 9 after he was ragged, said reports. He died at a hospital on August 10. The police had registered a murder case on the basis of a complaint filed by the victim students father, and another case was registered when the university stopped the police from entering the campus. According to the police, nine people, including former Jadavpur University students, have been arrested in connection with the case so far. Meanwhile, the dean of Students and Registrar of the university has been called for questioning on Wednesday. Six persons, including present and former students, who were present in the hostel on the night of August 9, have been arrested. Earlier three persons, including a former student and two second-year students, were arrested, a police officer said. TMC delegation meets family of deceased A five-member delegation of the Trinamool Congress (TMC) - which included state women and child development minister Shashi Panja, and MoS for health Chandrima Bhattacharya - met the family of the deceased student on Wednesday morning. Meanwhile, chief minister Mamata Banerjee also called the victim's family and assured them of strict action against the accused. (With inputs from agencies) Discussing suicides can be triggering for some. However, suicides are preventable. A few major suicide prevention helpline numbers in India are 011-23389090 from Sumaitri (Delhi-based) and 044-24640050 from Sneha Foundation (Chennai-based). SHARE THIS ARTICLE ON Aligarh The spirit of freedom reverberated through Aligarh Muslim University (AMU) as the institution celebrated the 77th Independence Day of India with unwavering enthusiasm and grandeur. The event was marked by the insightful speech of the vice chancellor and a deep sense of unity among teachers, students, and staff. AMU VC hoisting bthe Tricolour (Sourced) Addressing a gathering on Tuesday, AMU vice chancellor, Prof Mohammad Gulrez, after hoisting the National flag emphasized that true freedom extended beyond the absence of foreign rule; it resided in the conscious awareness of our roles and responsibilities as citizens. Prof Gulrez underscored the transformative vision of AMUs founder, Sir Syed Ahmed Khan, who sought to establish an institution rooted in reasoning, scientific temper, and societal progress. Reflecting on AMUs historic significance, Prof Gulrez highlighted the institutions pivotal role in shaping Indias conscience during its struggle for Independence. He lauded the universitys legacy, which extends beyond producing freedom fighters to fostering the intellectual foundation that contributed to the nations emancipation. The vice chancellor urged the AMU community to embrace the ideals of justice, liberty, equality, and fraternity that bind the Indian nation. He stressed the importance of preserving democratic values, individual freedom, and collective responsibility as the core elements of unity. He commended Indias remarkable growth in the 76 years since Independence, citing its position of promise in the global economy and its strides in various sectors. The days celebrations also included environmental initiatives, with saplings planted and fruits distributed to students admitted to University Health Centre. LUCKNOW Former Prime Minister Atal Bihari Vajpayee was a towering personalityhe was multi-talented a journalist, litterateur and a politician, said chief minister Yogi Adityanath while paying tribute to the leader on his death anniversary on Wednesday. Chief minister Yogi Adityanath pays floral tribute to former Prime Minister Atal Bihari Vajpayee on his death anniversary, at Lok Bhawan in Lucknow Wednesday. (PTI Photo) He was a leader of people who touched the soul of India. The country continued to get his guidance during the period when politics was passing through a transition period, said Adityanath addressing a gathering before a kavi sammelan in memory of the former PM at Atal Bihari Vajpayee Scientific Convention Centre, KGMU. During the 1971 Indo-Pak War, Atalji supported the Congress government and spread the message of Indias unity on the forums of the world. At the same time, when Congress tried to strangle democracy, he stood against it. As a politician and as a foreign minister, Atalji delivered a speech in Hindi at the United Nations, said the CM. Adityanath said, The country is benefited by the digital revolution started by Atalji. Today, mobile phones have reached every hand in India - its credit goes to Atalji. When mobile phones were introduced, the cost of a call was 16, but today it is zero. India is a country with the largest number of mobile users in the world. The CM said Vajpayee had brought the Antyodaya (BPL) scheme to save people from hunger and people from all parties respected him. No matter how much bitterness he faced from people, Atalji never made it public. He continued to shine on the political horizon of the country. Today is Ataljis fifth death anniversaryhis memories give us new inspiration. His personality inspires us to fulfil peoples aspirations, to work hard and to achieve it by remaining firm on values and principles, said the CM. Atal Bihari Vajpayee Medical University was established in Lucknow. For the first time, 18 Atal residential schools for labourers and orphans were established as new centres of education, he added. Earlier in the day, the CM paid floral tribute at the statue of former Prime Minister Bharat Ratna Atal Bihari Vajpayee at Lok Bhavan on the occasion of his death anniversary. A 26-year-old tractor-trolley driver died after a UP Roadways bus allegedly rammed into his vehicle from behind in Noidas Badalpur locality on the intervening night of Tuesday and Wednesday, police said, adding that during an investigation it came to light that in a bid to overtake a bike, the tractor trolley driver changed his lane, leading to the accident. During an investigation it came to light that in a bid to overtake a bike, the tractor trolley driver changed his lane, leading to the accident (Representative Image) Brahmpal Singh, SHO, Badalpur, said, The deceased was identified as Deewan Singh, 26, a resident of a village in Dhanaura in Amroha district. On Wednesday around 12.30 am, when Deewan was heading towards Dadri in Greater Noida from Ghaziabad side, a UP Roadways bus rammed into his vehicle from behind near CHW company gate number one under the jurisdiction of Badalpur police station in Central Noida, said SHO Singh. He added that due to the collision, the tractor-trolley went off the road and the driver sustained multiple injuries, including on his head. The SHO said, On getting information, a police team reached the spot and rushed the injured to a nearby hospital, where doctors declared him brought dead. A woman passenger in the bus also sustained minor injuries. In a bid to overtake bike-borne, Deewan changed his lane, during which a speeding bus rammed into his vehicle from behind, said SHO Singh, adding that further investigations are underway in the case. Meanwhile, in another incident five people sustained minor injuries after a speeding car carrying a family of four people allegedly rammed into a stationary mini truck from behind on Yamuna Expressway under the Dankaur police station limits in Greater Noida on Wednesday, police said, adding that the injured were rushed to a hospital and were informed to be out of danger. Sanjay Kumar Singh, station house officer, Dankaur, said, The injured family members were identified as Manish Dutt, his father Indra Dutt, mother Chandra Mau Dutt, friend Rupam Pandey, and mini truck driver Chandra Pal. Further investigations are underway in the case and no FIR has been registered so far, the officer added. SHARE THIS ARTICLE ON KANPUR Samajwadi Party president Akhilesh Yadav has launched groundwork for the execution of his PDA strategy -- targeting the Pichada (backward), Dalit (oppressed), and Alpsankhyak (minority) communities. He believes this approach will provide a robust counter to BJPs politics in the upcoming 2024 general elections in Uttar Pradesh. Subsequent workshops are scheduled for Fatehpur (August 17-18) and Ferozabad (August 21-22). (HT Photo) Entering the significant Other Backward Classes (OBC) domain, the Samajwadi Party (SP) commenced a two-day training workshop for party workers in Banda. Subsequent workshops are scheduled for Fatehpur (August 17-18) and Ferozabad (August 21-22). Akhilesh Yadav arrived in Banda on Wednesday evening and visited the training site, JN Degree College. This workshop has been organized by his close aides, Sunil Singh Sajan and Udaiveer Singh. Meanwhile, the workshop in Fatehpur is under the guidance of the partys state president, Naresh Uttam Patel, who hails from Fatehpur. In anticipation of the 2024 general elections, Shivpal Singh Yadav, Akhileshs uncle, directly engaged with party workers in Bundelkhanda region the party holds high hopes forafter a nearly seven-year hiatus, on Thursday. The session led by Patel covered discussions on caste census, effective use of social media, the future of Indian democracy, and the current condition of farmers under the existing government. Shivpal is working to consolidate the partys cadre after his return to the Samajwadi Party. He urged workers in attendance to prepare extensively for the upcoming general elections, encouraging them to embrace street-level activism without fearing legal cases. We stand fully behind you and are here to assist you in every possible manner. Dont hesitate to strive for our cause, he emphasized. Additionally, Iqbal Qadri, the national chief of the minority wing, spoke about booth management. Mithai Lal Bharti from the Ambedkar wing addressed the issue of social justice eroding under BJPs rule, and social media expert Rajeev Nigam highlighted the nuances of effective social media campaigns. On August 17, discussions will centre around the partys strategy and the upcoming 2024 general elections. The national president will provide training to the workers in alignment with his vision. Previously, Shivpal conducted training sessions for workers in Gola Gokarnnath and Sitapur, recognizing the need for a robust cadre presence prior to the elections. This effort gains significance, particularly in light of the Samajwadi Partys consecutive losses in four previous elections. SHARE THIS ARTICLE ON Congress MP from Assam Gaurav Gogoi on Wednesday blamed the Election Commission (EC) for overlooking the Supreme Court, which asked for specific clarification, and flaws in the recently released delimitation notification for 126 assembly and 14 Lok Sabha seats in the northeastern state. Assam Congress MP Gaurav Gogoi (PTI/File Photo) Gogoi is the Congress MP from the Kaliabor seat. The EC on August 11 released the final delimitation order for assembly and parliamentary constituencies in Assam in which it retained 126 assembly and 14 parliamentary seats based on the 2001 census and revised the names of 19 assembly segments and one Lok Sabha seat while reserving 19 assembly and two Lok Sabha seats for scheduled tribes (STs) and nine assembly and one Lok Sabha seats for scheduled castes (SCs). The EC has much to answer for the delimitation order. There is an ongoing case in the Supreme Court in which it had asked the EC for specific clarifications (on the delimitation draft issued earlier). Unfortunately, instead of giving those clarifications to SC and waiting for its final order in the case, the EC went ahead with the final delimitation order, Gogoi said in Guwahati while speaking to media persons. Gogoi accused the National Democratic Alliance (NDA) government at the Centre of trying to undermine the Supreme Court by introducing a Bill in the Parliament which seeks to exclude the Chief Justice of India (CJI) from the panel to appoint the Chief Election Commissioner (CEC) and Election Commissioners (ECs) months after a Supreme Court constitution bench revamped the selection mechanism for their appointments. The Bill was tabled in the Rajya Sabha on August 10. The move clearly shows that the Bharatiya Janata Party (BJP) wants to completely politicise the EC and to remove any influence of the Supreme Court (in selections of CEC and ECs). In the case of Assam delimitation, the way the EC has acted, its almost an insult to the Supreme Court, Gogoi said as he questioned the rationale behind reorganising constituencies in Assam based on population density. He added that the delimitation wont affect the Congress or its partners of the Indian National Developmental Inclusive Alliance (INDIA) alliance adversely as there was a mood-building across the nation and in Assam for change. The ruling BJP government in Assam has welcomed the delimitation and stated that it would protect the interests of the states indigenous populations. Delimitation of assembly and parliamentary seats in Assam has become a reality after the EC notification and theres no point opposing it. Soon the President of India will give her assent to it, Assam chief minister Himanta Biswa Sarma said on Monday. The Congress MP also roped in the Centre over the situation in Manipur and accused Prime Minister Narendra Modi of misleading the nation about the present scenario in the violence-hit state. PM Modi in his speech during the Independence Day celebration in Delhi said that the situation in Manipur has improved over the last few days even. Speaking in Red Fort Modi said that reports of peace have come during the last few days in Manipur. Slamming Modis speech, Gogoi said, There cant be peace or normalcy in Manipur as long as thousands of arms and ammunition (which were looted by mobs from police stations and government armouries in past months) loosely spread across the state. These guns and ammunition would be trained on ordinary civilians and security force personnel. How can there be peace when no talks or process or reconciliation between the two groups (Meitei and Kuki) has happened till now? he questioned. Ethnic clashes between Meitei and tribal Kuki communities in Manipur, which started on May 3, have claimed nearly 160 lives, left over 300 injured and displaced over 50,000. SHARE THIS ARTICLE ON LUCKNOW During heightened alertness on the India-Nepal border ahead of Independence Day, security agencies successfully arrested two individuals -- an Uzbek woman and an absconder wanted by the Hyderabad police -- in two separate incidents. They were caught while attempting to enter Indian territory from the Sonauli checkpoint in the Maharajganj district on Monday (August 14). Senior police officials confirmed these developments on Wednesday. They were caught while attempting to enter Indian territory from the Sonauli checkpoint in the Maharajganj district. (HT Photo) J. Ravinder Goud, the Inspector General (IG) of police for the Gorakhpur range, said that Dilbara Rakhimova (31), the Uzbekistani woman, was taken into custody upon her entry into Indian territory. She had aroused suspicion from a collaborative team consisting of members from the Shashtra Seema Bal, immigration officials, and local police from Maharajganj at the Sonauli checkpoint. The police also discovered a fraudulently prepared Indian identity card bearing the name Nilofer Khan and an address belonging to Malviya Nagar in South Delhi. Additionally, Goud mentioned that the Sonauli police station officials arrested her based on a complaint filed by immigration officials. An FIR has been registered under section 14 of the Foreigners Act for her illegal entry into India, as well as under section 467 of the Indian Penal Code for document forgery. Goud explained that the police obtained her custody remand from a local court and are currently conducting further interrogations to ascertain her intentions behind the visit. He further stated that her custody has been communicated to other security agencies such as the U.P. Anti-Terror Squad and central agencies like the Intelligence Bureau. Meanwhile, Hamid Ali (21), a resident of Hyderabad, Telangana, was also arrested on Monday. Hyderabad police had issued a lookout notice against Ali in connection with a criminal assault case registered there. Ali was attempting to enter Indian territory while en route from Dubai to Kathmandu. Goud revealed that Ali had left the country after being accused in an assault case, and he was attempting to return to his residence through Nepal to evade security agencies. However, immigration officials apprehended him and subsequently handed him over to a Hyderabad police team that had arrived after being informed of his arrest. Following the issuance of his transit remand by a local court, the Hyderabad police took him into their custody. Pune: Maharashtra medical education minister Hasan Mushrif on Wednesday announced the establishment of a separate Ayush ministry for Maharashtra, the aim of which is to effectively address the challenges faced by Ayurveda. The new ministry will be modelled on the lines of the central Ayush ministry. Maharashtra medical education minister Hasan Mushrif on Wednesday announced the establishment of a separate Ayush ministry for Maharashtra. (HT) In Pune for the inauguration of the fifth national conference organised by the Ayurveda Teachers Association and Maharashtra University of Health Science (MUHS), Nashik, Mushrif discussed the various efforts made to establish the important ministry. The establishment of a separate Ayush ministry for Maharashtra could potentially have significant implications for the field of Ayurveda and its practitioners in the state. Mushrif said that different systems of medicines have different problems and there is a need for a separate Ayush ministry to solve the problems faced by Ayurveda. Mushrif said that the professors posts in six government Ayurveda colleges and 16 government-aided colleges in the state have been lying vacant since many years now. Recently, 90% of the posts in government colleges were filled through the Maharashtra Public Service Commission (MPSC). The issue regarding vacant posts in government-aided colleges is pending in the courts. As a minister of the department, I am ready to mediate to solve the issue. I will try to resolve the issue as soon as possible. The government is also trying to implement the Seventh Pay Commission for Ayurveda teachers in the state, he said. Also present on the occasion were teachers constituency MLA Jayant Asgaonkar; president Dr Rahul Suryavanshi; and vice-president Dr Nitin Chandurkar. Dr Govind Upadhyay and Dr Bhalchandra Bhagwat were honoured with Lifetime Achievement Awards for contributions in the field of Ayurveda. Asgaonkar said that the world recognised the importance of Ayurveda during the Covid-19 pandemic. Ayurveda should be promoted and information about it should be disseminated through various means. I have been raising issues related to Ayurveda in every assembly session for the past several years, he said. Dr Suryavanshi said that there is a need to start an Ayush ministry in the state on the lines of the central Ayush ministry. The posts of 600 teachers are lying vacant in various Ayurveda colleges of the state and these posts should be filled at the earliest. It is necessary to have a central medical and research centre for Ayurveda in Maharashtra, he said. SHARE THIS ARTICLE ON The Jharkhand high court on Wednesday granted Congress leader Rahul Gandhi exemption from personal appearance before a special Ranchi court which is hearing a defamation case related to Modi surname jibe by the MP from Waynad. Congress leader Rahul Gandhi at a meeting with Jharkhand Congress leaders over the preparations and planning for the 2024 parliamentary polls, in New Delhi on Wednesday. (ANI) In an order on a petition filed by Gandhi challenging the lower court order, bench of justice SK Dwivedi granted relief to the former Congress president, with certain conditions. The petition was filed before the honourable high court challenging the order passed by the magistrate. The plea has been allowed and exemption from personal appearance granted. Few conditions have also been laid, including that Rahul Gandhi shall file a fresh affidavit undertaking that he will not dispute his identity and will not raise any objection if witnesses are examined in his absence and in presence of his lawyer, said Gandhis counsel Deepankar Roy. The court of magistrate Anamika Kisku had, on May 3, rejected Gandhis plea for exemption from personal appearance in the matter, which was challenged by the Congress leader in the HC. The case is related to an ongoing defamation case in Jharkhand capital Ranchi, filed by an advocate, Pradip Modi, against Gandhi for his all Modis are thieves comment at an election rally in Ranchi in 2019. In a similar case, Rahul Gandhi was convicted by a Gujarat court in March this year and subsequently disqualified from the Lok Sabha. Gandhi had challenged the lower court summon in the HC. The Jharkhand high court, on July 2, 2022, had rejected his quashing petition. Subsequently, the lower court had issued fresh summons to Rahul Gandhi. A petition was filed by Gandhis lawyers seeking exemption from personal appearance in the case. However, the special court on May 3 rejected the petition and issued fresh summons, which was challenged in the HC. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Vishal Kant Vishal Kant works as an Assistant Editor with Hindustan Times. He tracks developments in Aam Aadmi Party and Delhi government. Vishal has spent about a decade covering the city politics and governance, besides writing on Delhis civic issues, urban transport and infrastructure. ...view detail The next episode of "The Devil is a Part-Timer" Season 3 is on its way! In episode 5 of The Devil is a Part-Timer!! Season 3, titled "The Hero Says Good-Bye for a Time," Emi makes a significant decision. During a group dinner, she tells everyone that she wants to return to her home island, Ente Isla, to search for her parents. However, there's a challenge a war is happening among humans, so her return might be risky. Despite this, Emi assures everyone that she can take care of herself. Although everyone is hesitant, they bid their goodbyes to Emi and wish her the best on her journey. Urushihara, Maou, and Ashiya in The Devil is a Part-Timer (Image via Studio 3Hz) Release date and time, where to watch "The Devil is a Part-Timer" Season 3 Episode 6 will be aired on Thursday, August 17, 2023, at 11:30 pm Japan time on Japanese channels such as TOKYO MX, BS11, and MX. Due to time zone differences, it might be available in some countries on Friday. Date The Devil is Part Timer Season 3 episode 6 Release Schedule JST PT CT ET CET IST August, 17 11:30 PM 7:30 AM 9:30 AM 10:30 AM 4:30 PM 8:00 PM Recap of The Devil is a Part-Timer season 3 episode 5 In a previous episode of Season 3 of The Devil is a Part-Timer, Emi shares her plan to return to Ente Isla with Alas Ramus. She promises Chiho that she'll be back for their birthdays. However, when the day arrives for Emi's return, she doesn't show up and stops communicating with her friends. Although Maou and the others get worried, they believe that Emi, being the hero, can handle her problems on her own. Later, as Maou prepares for a motorbike test, he encounters Tsubasa and Hiroshi Satou, two mysterious individuals. Meanwhile, Suzuno, Urushihara, and Alciel realize that something is amiss with Emi and receive a distress call from Chiho. What to expect from The Devil is a Part-Timer season 3 episode 6 In the upcoming episode of The Devil is a Part-Timer Season 3, Suzuno and Urushihara might visit Chiho's school to address the issues in Ente Isla. At the same time, Maou might uncover the true identities of Tsubasa and Hiroshi Satou. It's also possible that viewers will gain insight into what Emilia was experiencing during this time. SHARE THIS ARTICLE ON "The Girl I Like Forgot Her Glasses" is a fresh romantic comedy series airing this season, promising a heartwarming story. It revolves around the special bond between two students, Komura and Mie. Mie has trouble with her eyesight, so Komura wants to assist her in any way he can. He hopes that through his help, Mie will eventually recognize his hidden romantic feelings for her. Komura and Mie in The Girl I Like Forgot Her Glasses (Image via GoHands) If you've been following this anime, you might be eager to know the release date of the next episode. Your curiosity ends here! Here's everything you need to know about episode 8 of "The Girl I Like Forgot Her Glasses" Season 1 the release date, time, and where you can watch it. Komura in The Girl I Like Forgot Her Glasses (Image via GoHands) Release date and time, where to watch Episode 8 of "The Girl I Like Forgot Her Glasses" has an interesting title: "The Girl I Like and I Saw a Confession Together." You can catch this episode on Tuesday, August 22, 2023, at 11 pm in Japan. Date The Girl i like Forget Her Glasses Episode 8 Release Schedule JST PT CT ET CET IST August, 22 11:00 PM 8:00 AM 10:00 AM 11:00 AM 8:00 PM 5:30 PM Mie in The Girl I Like Forgot Her Glasses (Image via GoHands) Where to Watch Episode 8 of "The Girl I Like Forgot Her Glasses" You can watch episode 8 of "The Girl I Like Forgot Her Glasses" on various Japanese TV networks like TOKYO MX, BS11, and AT-X. Additionally, it will be simultaneously streamed on Crunchyroll. Fans in Southeast Asia can enjoy the anime for free on Ani-One Asia's YouTube channel. Komura and Mie in The Girl I Like Forgot Her Glasses (Image via GoHands) Recap of The Girl I Like Forgot Her Glasses Episode 7 In the latest episode, Mie's struggle to see Komura's face without her glasses leads her to lean in for a better look. She even observes him while he sleeps in class. Komura finds Mie's glasses at his place and offers to return them to her. Having her belongings at his house excites him, but he struggles to sleep due to his constant thoughts about her. Mie and Komura in The Girl I Like Forgot Her Glasses (Image via GoHands) What to expect from The Girl I Like Forgot Her Glasses episode 8? The Girl I Like Forgot Her Glasses Episode 8, titled "The Girl I Like and I Saw a Confession Together," holds an exciting twist. Komura accidentally stumbles upon Azuma receiving a confession from a girl while he's following a cat around the schoolyard. To avoid interrupting, Komura hides. Unexpectedly, Mie, searching for the cat as well, arrives at the same spot. This triggers a panicked attempt by Komura to avoid being caught while hiding. SHARE THIS ARTICLE ON Luck actor Imran Khan shared behind-the-scenes photos from the sets, days after returning to social media. The actor who was said to quit films, recently said he is working on his comeback. Imran reveals how he ended up burning his eyelashes during an action sequence with included real fire. Also read: Imran Khan confirms Bollywood comeback as he says Im working on it' Imran Khan played Ram Mehra in Luck. Imran Khan shares BTS pics from Luck sets Sharing the photos, Imran wrote, Speaking of Luck... I found these old pictures, and thought they would be interesting to share. Yes, that's real fire. The umbrella helped with the sun, but not the flames. My eyelashes actually got burned off during a take, when an explosion went off too close in front of me. And yes, that's also really me strapped to the outside of a flying Cessna. The first photo showed Imran carrying an umbrella while filming around the fire. The next ones had him hanging outside a flying plane. Reacting to the post, Imran's close friend Akshay Oberoi commented, I am so confused. Who is posting this stuff?! Who! On the other hand, Imran's niece and Aamir Khan's daughter Ira Khan added in the comment section, Dont tell Dadi. Shruti Haasan who made her Bollywood debut with Luck also reacted to the post. Luck was directed by Soham Shah. Released in 2009, the film also stars Mithun Chakraborty, Sanjay Dutt, Danny Denzongpa, Ravi Kishan and Chitrashi Rawat in key roles. Imran Khan Imran Khan made his onscreen debut in childhood as the younger version of Aamir in his iconic films, Mansoor Khan's 1988 romantic film Qayamat Se Qayamat Tak and his 1992 rom com Jo Jeeta Wohi Sikandar. Imran made his debut as a lead actor in Jaane Tu Ya Jaane Na with Genelia D'Souza. He was last seen in Katti Batti with Kangana Ranaut in 2015. Imran Khan to mark comeback in films Imran recently confirmed his comeback on Threads. He wrote, To whom it may concern; I hear you. And I'm working on it. Thank you for being so patient with me. Fans also joked that they wanted Luck 2. Sharing the post, Imran wrote, I guess that's what I get for posting on Threads. SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT Entertainment Desk Dedicated professionals who write about cinema and television in all their vibrancy. Expect views, reviews and news. ...view detail Looks like Sonam Kapoor is not completely sold on Rana Daggubati's apology. On Tuesday, Rana had apologised to her for saying that she wasted co-star Dulquer Salmaan's time on their film The Zoya Factor. Now, Sonam seems to have taken a dig at Rana, without directly mentioning the incident. (Also read: Rana Daggubati apologises to Sonam Kapoor for saying she wasted Dulquer Salman's time on The Zoya Factor) Rana Daggubati apologised to Sonam Kapoor but she hasn't directly reacted to it yet. Sonam's hidden message? On Wednesday morning, Sonam took to Instagram to share a quote post by Eleanor Roosevelt. It read, Small minds discuss people, average minds discuss event, great minds discuss ideas. Sonam added, Just a little something I'd like some people to know. Especially when discussing things about people that are made up. Sonam's IG post. Rana's controversial comment Rana Daggubati recently attended an event for Dulquer Salmaans upcoming film King of Kotha in Hyderabad. A video from the event has gone viral in which Rana was heard sharing an incident about Dulquer and a leading Bollywood actress. Rana applauded Dulquer's patience and took a dig at the actress saying she was speaking to her husband over the phone about shopping while making the entire crew wait. While the actor didnt mention anyones name, netizens were quick to make their conclusions that Rana was referring to Sonam and that the incident was from the shoot of The Zoya Factor. Rana's apology to Sonam Quashing all the trolling, Rana took to Twitter on Tuesday to apologise to both Sonam and Dulquer and issued a clarification about the same. "I am genuinely troubled by the negativity that has been aimed at Sonam due to my comments, that are totally untrue and were meant entirely in a light-hearted manner. As friends, we often exchange playful banter, and I deeply regret that my words have been misinterpreted. "I take this opportunity to express my heartfelt apologies to Sonam and Dulquer, both of whom I hold in great esteem. I hope this clarification puts an end to any speculation and misunderstanding. Thank you for your understanding," he wrote. SHARE THIS ARTICLE ON BTS frontman Kim RM Nam-joon assured fans that the group will return in 2025 after completing their military service in South Korea. He shared his thoughts on the hiatus and his solo projects during a Weverse live stream over the weekend, which is available on YouTube. BTS leader RM(Han Myung-Gu/WireImage/Getty Images) RM read a letter and a song from an ARMY member, who expressed how much BTS meant to them and how they were waiting for their comeback in 2025. The BTS frontman said he felt the same way and revealed that Suga had also talked about the love they received from fans who were waiting for the reunion in 2025. RM said, I definitely feel that And what Im thinking right now is, these days, when Im doing my solo projects, is, this is just like a vacation to get back to where I was, which was BTS and ARMY. So, all the solo [work] is just a journey, but it is a very important journey for chapter two. Its a journey to get back home safe, eventually, when we get back and reunite together in 2025, he added. The 28-year-old thanked fans for their constant support and love, which he said he never took for granted. He also said he was sure that the other members felt the same way. This is a greatness of love When I face this kind of love, I feel like its irresistible, and thats what makes me go through all those trials and hardships and shit happening in life. ALSO READ| Spider-Man: Beyond the Spider-Verse Producers address when the delayed film will Be released BTS announced their hiatus in 2022, which is common for male K-pop groups of their age due to mandatory military service. Since then, two members have started their service, Jin and J-Hope, while Suga has recently begun the process of enlistment. The other members have been working on various solo projects, such as V, who recently released two songs, Rainy Days and Love Me Again, from his upcoming solo album, Layover, which will be out on Sept. 8. SHARE THIS ARTICLE ON Manisha Rani is proving to be a true friend to Abhishek Malhan even outside the Bigg Boss OTT 2 house. Manisha and her father paid Abhishek a visit at the hospital, where he is receiving treatment for dengue. The two shared hugs and chatted for a bit, as captured in a video shared by Manisha on her Instagram account. (Also read: Abhishek Malhan reacts to Elvish Yadav winning Bigg Boss OTT 2) Manisha Rani fussed over Abhishek Malhan at the hospital. The warm video The video shows Manisha smiling and laughing as he entered the hospital room. Abhishek got up from his bed and got a warm hug from his best friend from the Bigg Boss house. While Abhishek was in a patient's robe, Manisha wore a pink kurta with a colourful dupatta. She fixed his face and hair and the two had a chat. Manisha's message Taking to Instagram to share the video, Manisha wrote, Hero of Bigg Boss OTT season 2. Oyy. Tune bhale hi trophy nahi jeeta ho, lekin tune poore India ka dil jeeta hai. Aur mere liye tu humesha se hi winner raha hai (You may not have won the trophy but you did win the entire country's hearts. And for me, you will always be the winner)." She added, Aur Bigg Boss ne mujhe bahut kuch dia. Uss mein se khaas tere jaisa dost mila mujhe. Agar is season mein tu nahi hota toh meri journey bahut mushkil hoti shayad. Aur umeed hai humari dosti humesha aise hi rahegi (And Bigg Boss gave me many things, specially a friend like you. It would have been very difficult to survice the house without you. I hope we always stay friends just like this). Bigg Boss OTT 2 finale Manisha Rani was second runners up while Abhishek Malhan was in the top two on Bigg Boss OTT 2 finale on Monday. The trophy and 25 lakh prize money was won by Elvish Yadav. Abhishek has been unwell for over a week. He could not fully participate in the finale and rushed to the hospital immediately after the show ended. From the hospital, he shared a message for his fans, thanking them for their support. SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT Entertainment Desk Dedicated professionals who write about cinema and television in all their vibrancy. Expect views, reviews and news. ...view detail Caste is a driving factor in Indian politics. While Indian politics has definitely become more socially egalitarian in the post-Independence period, it continues to play a crucial role in electoral arithmetic. The question to ask then is: Have politicians from deprived castes been more successful in getting themselves to leadership positions? PREMIUM Caste plays a crucial role in India's electoral arithmetic. Read here: Petitions against Bihar caste survey to be taken up on Friday: Supreme Court One way to answer this is by analysing the caste composition of the top executive post (prime minister and chief minister). When it comes to prime ministers, only two of them, HD Deve Gowda and Narendra Modi, are from the other backward classes (OBCs). No member from the Scheduled Caste (SC) or Scheduled Tribe (ST) communities has ever been prime minister. What about leadership at the level of states? HT has built a caste-wise database of chief ministers from 21 major states from 1952-2023 to answer this question. A caveat may be in order here. Castes are incredibly complex in India. A sub-sect of a caste may be considered a so-called upper caste while the caste itself is considered an OBC. An OBC in one state may not be an OBC in another. While HTs data team has vetted its database, and our regional bureaus have carried out their own due diligence, it is possible that the classifications of a few are erroneous. That does not change the findings, though (and in the interests of making sure the database improves on account of the wisdom of crowds, it will be made public after this two-part series runs). There were 20 states and the Union territory of Delhi included in this analysis. Manipur, Sikkim, Tripura, Nagaland, Arunachal Pradesh, Mizoram, Meghalaya, Goa and the erstwhile state of Jammu & Kashmir are excluded. The database has 471 chief ministers. People who have become chief ministers more than once are counted multiple times in the database. Social profile is based on the listings of castes in the OBC/SC/ST lists of the states. Read here: Inside the survey to enumerate Indias oldest fault line This two-part data journalism series will present summary findings from this database. It looks at overall, intertemporal and state-wise caste breakup of chief ministers. Ghaziabad cop booked after video of him assaulting civilian on I-Day goes viral The Ghaziabad Police has registered a suo motu first information report (FIR) against a constable, who allegedly thrashed an unidentified man in the citys Karpuripuram locality on the Independence Day, after a video of the incident went viral on social media platforms, officials said. Read More The video showed the cop in uniform severely slapping, punching and kicking a civilian who finally fell unconscious. Hunter Biden's attorney steps down, submits plea to become a witness Christopher Clark, top criminal attorney, who has been representing Hunter Biden in his tax evasion and gun-possession offence case has asked a federal judge to allow him to withdraw as Biden's counsel. Read More Samantha Ruth Prabhu serves Goddess Energy in monochrome crop blouse and skirt for Kushi promotions: Go big or go home Samantha Ruth Prabhu is taking a break from movies to focus on her health, but that isn't stopping the star from serving back-to-back jaw-dropping fashion moments. Read More Bill Gates interviews Khan Academy founder Sal Khan: Do you ever get confused with Salman Khan? Microsoft co-founder Bill Gates recently launched his podcast Unconfuse Me. In the second episode, he invited Khan Academy founder Sal Khan to chat about various topics. Read More 'That's a no no': Shastri's steely verdict on why Team India star shouldn't be in XI for Asia Cup opener vs Pakistan Team India prepares to make its eagerly awaited return to ODI action in the upcoming Asia Cup, where the side kickstarts its campaign in an electrifying clash against arch-rivals Pakistan on September 2. Read More SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT News Desk Follow the latest breaking news and developments from India and around the world with Hindustan Times' newsdesk. From politics and policies to the economy and the environment, from local issues to national events and global affairs, we've got you covered. ...view detail Rajasthan chief minister Ashok Gehlot on Wednesday defended late Congress leader Rajesh Pilot over Bharatiya Janata Party's claims of him dropping bombs as Indian Air Force pilot on Mizoram in 1966. Congress leader Shri Rajesh Pilot ji was a brave IAF pilot. By insulting him, the BJP is insulting the sacrifices of the Indian Air Force. The entire country should condemn this act, Gehlot posted on X (formerly Twitter). Rajesh Pilot is the father of Congress leader Sachin Pilot. During his reply to the no-confidence motion in Lok Sabha last week, Prime Minister Narendra Modi had said the Congress used the IAF to attack the people of Mizoram in 1966. Rajasthan chief minister Ashok Gehlot.(PTI file) The Congress attacked the people of Mizoram with its Air Force. The Congress should answer whether it was the Air of any other country. Were the people of Mizoram not the citizens of my country? Was their security not the responsibility of the country? Modi had said in the Lok Sabha. As the Congress rushed to defend late prime minister Indira Gandhi over Mizoram, BJP IT cell head Amit Malviya claimed Rajesh Pilot had dropped bombs on the north-eastern state in 1966. Rajesh Pilot and Suresh Kalmadi were flying the Indian Air Force planes which dropped bombs on Mizoram's capital Aizawl on March 5, 1966. Later, both of them became Congress MPs and later ministers. Indira Gandhi felicitated those conducting airstrikes on fellow citizens in the north east through political opportunities", the BJP leader had posted on X. Sachin Pilot denied Malviya's claims, saying his late father drop bombs as IAF pilot, but on erstwhile East Pakistan during the 1971 India-Pakistan war and not on Mizoram. The former Rajasthan deputy CM even shared a certificate of his late father stating that Pilot Senior was commissioned into the IAF on 29th October 1966. SHARE THIS ARTICLE ON SILCHAR: An Assam man arrested on charges of extortion and links to the banned United Liberation Front of Asom (Independent) was shot at past Tuesday midnight in Sivasagar district after he allegedly attacked police officers and tried to escape from custody, police said. Demow Police Station: Raju Ahmeds father accused the police of framing his son and alleged that a note that was used to back up the extortion charge was planted (X/SivasagarPol) The suspect, identified by the police as Raju Ahmed, is being treated for gunshot injuries to the lower part of his body, a police officer said. On Tuesday midnight, he tried to flee from Demow police station by attacking the officials and our officials in defence, fired bullets on him, Assam police spokesperson and inspector general of police (IGP) Prasanta Kumar Bhuyan said. Police said Raju Ahmed was arrested from the Demow area of Sivasagar on August 12 following accusations of extortion. He was allegedly extorting money from local businessmen in the name ULFA-I and we received complaints. Based on the complaints, he was arrested, said a Sivasagar district police officer. He was remanded in police custody by a court and taken to different places as part of the investigation, police said. Raju Ahmeds family, however, insisted that he was framed as a Ulfa-I linkman or overground worker. He told reporters on Wednesday that when the police brought Raju Ahmed over for investigation after his arrest on August 12, a private individual who he identified as Raju Phukan was also part of the police entourage. Five policemen including the circle inspector and the VDP secretary came on that day. But one person named Raju Phukan, who was not a policeman, came with them, he said. He said Phukan planted the note, according to which 20 lakh was demanded from Shashant Borgohain in Ulfas name. Phukan sat next to Ahmed on a chair and asked the police to check a piece of paper that he had secretly kept moments earlier. Police considered this proof and ignored Phukans act. It is suspicious because they allowed Phukan to accompany them in the investigation I feel that my son has been framed, he told the media. Police denied the allegation and claimed that they arrested Ahmed with adequate proof. SHARE THIS ARTICLE ON The Bharatiya Janata Party (BJP) has fielded the wife of a Central Reserve Police Force (CRPF) jawan, who was killed in the 2019 Pulwama terror attack, for the upcoming bypoll in West Bengal. Bharatiya Janata Party (BJP) fields Pulwama attack victims wife for the upcoming Bengal bypolls. (HT Photo) Read here: TMC panchayat seat winner shot dead in Bengal district Polling in Dhupguri assembly seat in north Bengals Jalpaiguri district is scheduled to be held on September 5 and the result will be announced on September 8. The seat fell vacant following the demise of sitting BJP MLA Bishnu Pada Roy on July 25. The seat is reserved for the Scheduled Caste community and all parties have fielded members of the local Rajbangshi community that constitutes a sizeable section of the regions population. Jagannath Roy, husband of BJP nominee Tapasi Roy, was among 40 CRPF jawans who were killed after a suicide bomber rammed his explosive-laden car into a CRPF convoy in Pulwama on February 14, 2019. Pakistan-based terrorist group Jaish-e-Mohammed had claimed responsibility for the attack. The TMC has fielded Nirmal Chandra Roy, a history professor at Dhupguri Girls College, for the election. In 2021, Bishnu Pada Roy defeated Trinamool Congress (TMC) candidate Mitali Roy by around 4,000 votes. The ruling TMC hit out at the BJP for trying to politicise the Pulwama attack. The loss of lives at Pulwama has been used by the BJP several times for political gains. It helped BJP win the 2019 Lok Sabha polls. It is so wrong to link national security and sacrifice of our soldiers to politics. No other party has ever played this kind of dirty politics, TMC state vice-president Jay Prakash Majumdar said. Read here: Wife of CRPF jawan killed in Pulwama attack is BJP candidate for Bengal bypoll Hitting back, state BJP chief spokesperson Samik Bhattacharya said: Suspecting the role of the army and using defamatory language in reference to our security forces are part of TMCs culture. Both the Left and the TMC treat the army as a professional force instead of respecting it as a nationalist organisation. The TMC has insulted the armed forces once again today by making such a statement. Tapasi Roy could not be contacted for a comment despite several efforts by HT. Belagavi: The Bharatiya Janata Party (BJP) finds itself grappling with internal turmoil after comments made by Vijayapur legislator Basangouda Patil Yatnal against Congress leaders and the government sparked embarrassment within its ranks. Amid the fallout, Chikkodi BJP MP Annasaheb Jolle said to raise the issue at the partys highest levels to mitigate further damage. BJP MLA Basangouda Patil Yatnal (PTI) Speaking to the media, Jolle said, that he, alongside several other party leaders, was disconcerted by Yatnals statements, which he deemed baseless and politically motivated. Yatnal had predicted the collapse of the Congress government ahead of the upcoming parliamentary elections and suggested that a significant number of Congress legislators would defect to the BJP. His statement lacks logic and is baseless. It has only been three months since the Congress government was established. The legislators are not foolish enough to join the BJP, and such a scenario is also highly unlikely, he remarked. Jolle added that Yatnals comments lacked logical grounding and BJPs elected representatives were finding it challenging to confront the media in the wake of the controversy. A first-time MP with a solid rapport with the partys national president JP Nadda, Jolle claimed that many fellow elected representatives shared his reservations about Yatnals statements. He expressed his intention to communicate his concerns to the partys national leadership to avert further harm to the BJPs reputation. Jolles spouse, Shashikala Jolle, a former Karnataka minister and legislator, had previously raised concerns about party interference in different constituencies. This intervention, involving former minister Ramesh Jarkiholi, led to Jolles public objection and subsequent complaint to President Nadda. This move was seen as pivotal in curbing Jarkiholis interference, people aware of the matter said. While Yatnals remarks caused significant disarray within the party, Vijayapur district block president Shivarudra Bagalkot contended that Yatnals words had been misconstrued. Bagalkot clarified that Yatnal had only highlighted the lack of coordination between Congress legislators and ministers due to the absence of transfers of officials. He suggested that some of these legislators might consider joining the BJP in the future, but there was no mention of an immediate exodus from the Congress party, he said. BJP legislators from Belagavi district who suffered electoral losses attributed their defeat partially to the party leaderships controversial comments by Yatnal who attacked the Congress leaders personally. This underscores the impact of inflammatory rhetoric on voter sentiment, a leader in the party said on condition of anonymity. Sanjay Patil, the Belagavi Block BJP district president, defended Yatnals comments, citing various factors behind the electoral setback. He acknowledged the harshness of the comments but argued that they were grounded in logic and truth. Patil expressed his intention to mediate the situation between Yatnal and Jolle without escalating it to the partys high command. Several BJP workers who worked at the grassroots level during the elections shared a consensus that the partys defeat could be attributed, in part, to comments made by Yatnal, KS Eshwarappa, and CT Ravi. Their personal remarks targeting prominent Congress figures like Siddaramaiah and DK Shivakumar were seen as detrimental to the partys prospects. SHARE THIS ARTICLE ON The BJP's Central Election Committee on Wednesday reviewed the party's poll preparations in Chhattisgarh and Madhya Pradesh, with particular focus on seats where it is vulnerable, in a meeting attended by Prime Minister Narendra Modi and other senior leaders. Prime Minister Narendra Modi, BJP National President JP Nadda with other senior leaders attend the Central Election Committee (CEC) meeting for the Chhattisgarh assembly polls, in New Delhi, Wednesday.(PTI) Sources said the CEC members reviewed the party's preparedness for the upcoming assembly elections as the state leadership gave them feedback. They said those seats where the party has suffered reverse in previous polls came up for discussion. The party's decision to hold the meeting so early -- the CEC usually meets only after poll dates are announced -- underlines the significance it has attached to five-state elections, the last round of assembly contest before the all-important Lok Sabha polls. Besides Modi, CEC members including party president J P Nadda, and Union ministers Rajnath Singh and Amit Shah were among those present at the meeting. Madhya Pradesh Chief Minister Shivraj Singh Chouhan and former Chhattisgarh CM Raman Singh were among the state leaders who participated in the deliberations. Such an early CEC meeting also signals a greater involvement of the central leadership in overseeing the state poll campaigns, sources noted. The party is focusing on seats where it faces strong opposition but believes it can turn things around with a deft strategy, including a selection of strong candidates. Five states -- Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram -- are expected to go to the polls in November-December. Similar CEC meetings can also be held for the other states, sources said. The BJP is in power in only Madhya Pradesh and is running an intense campaign to oust the Congress government in Rajasthan and Chhattisgarh, and the BRS in Telangana. In the 2018 polls, the BJP lost power in both Chhattisgarh and Madhya Pradesh but succeeded in toppling the Congress government in the latter. It had won only 15 of the 90 seats in the Chhattisgarh assembly against 68 of the Congress while its tally of seats was 109 against 114 of the Congress in the 230-member Madhya Pradesh assembly. The BJP has been running organisational programmes in both the states to strengthen its support, especially among the communities where its vote share had gone down. The party had, though, swept the Lok Sabha polls in both the states in 2019 as voters rallied back around its prime ministerial candidate Modi. Boeing on Wednesday said it has started the production of Apache attack helicopters for the Indian Army at its Mesa facility in Arizona in the United States of America (USA). In 2020, the army ordered six AH64E Apache attack helicopters for more than 4,100 crore. The army ordered six AH64E Apache attack helicopters for more than 4,100 crore. (Representative Image) The delivery of Apaches to the army is scheduled for 2024, Boeing said in a statement. We are pleased to reach yet another significant milestone, highlighting Boeings unwavering commitment to support Indias defence capabilities, said Boeing India president Salil Gupte. The advanced technology and proven performance of the AH-64 will enhance the Indian Armys operational readiness and strengthen its defence capabilities, he said. Armed with fire-and-forget Hellfire missiles, the Apache can track up to 128 targets a minute and prioritise threats. The missiles equip the gunships with heavy anti-armour capabilities. Earlier this year, Tata Boeing Aerospace Limited delivered the armys first AH-64 Apache fuselage from its advanced facility in Hyderabad, the statement added. India placed orders worth $ 3.1 billion for 22 AH-64E Apache helicopters and 15 Chinook heavy-lift choppers for the Indian Air Force in 2015. The IAF has inducted all the Boeing-made helicopters, and both platforms have operated extensively in Ladakh amid the ongoing military standoff with China along the contested Line of Actual Control (LAC). The army plans to buy a mix of 200 utility and combat helicopters to meet its operational requirements, with the platforms set to be produced in the country, army chief General Manoj Pande said at Aero India 2023 in February. He said the army needs around 110 light utility helicopters (LUH) and 90 to 95 light combat helicopters (LCH) that will be built by state-run plane maker Hindustan Aeronautics Limited. LUH will replace the army and the IAFs ageing fleets of Cheetah and Chetak helicopters. HAL expects the army and IAF to place combined orders for at least 187 light helicopters in the coming years. Currently, the Cheetah and Chetak helicopters are a critical lifeline for troops in high-altitude areas, including the Siachen glacier. Earlier this year, Prime Minister Narendra Modi inaugurated the countrys largest helicopter manufacturing facility at Tumakuru in Karnataka, in what is being seen as a shot in the arm for Atmanirbharta or self-reliance in the defence manufacturing sector. The new HAL factory, spread across 615 acres, will initially produce the LUH followed by LCH and later the Indian multirole helicopters (IMRH). New Delhi The Union Cabinet on Wednesday cleared schemes aimed at overhauling urban transport and mobility with a focus on smaller towns, expanding its flagship Digital India initiative, and imparting skill training to artisans and craftspeople in push to augment infrastructure and employment. Launched by Modi in 2015, Digital India is a flagship programme of the Union government that aims to transform India into a digitally empowered society(File ) Read here: Cabinet approves scheme to benefit 3 million artisan families The Cabinet Committee on Economic Affairs also approved seven Railways projects to increase the existing line capacity, smoothen train operations, reduce congestion, and facilitate ease of travel and transportation. Union information and broadcasting minister Anurag Thakur said that under the PM-eBus Sewa, 10,000 e-buses will be deployed on a private-public partnership model in 169 cities, and infrastructure will be upgraded in 181 cities under the Green Urban Mobility Initiatives. The total cost of the scheme will be 57,613 crore, he added, and around 45,000 jobs will generated. Of the total 20,000 crore to be given by the government of India, 15,930 crore will be given for buses, 2,264 crore for infrastructure development and in providing back-end facilities, besides 1,506 crore for green urban mobility, he said. Union telecom and information technology minister Ashwini Vaishnaw said the Cabinet approved the PM Vishwakarma scheme that will support artisans with a financial outlay of 13,000 crore over five years. The approval came a day after Prime Minister Narendra Modi announced a scheme to help workers with traditional skills, especially those from the other backward classes, during his Independence Day speech. Vishwakarma Yojana is expected to benefit 30 lakh craftsmen families. The 13,000 crore scheme will enable craftsmen to get subsidised loans up to 2 lakh. The government will promote traditional skills including pottery, blacksmithing, construction, tailoring, and boat-building which have been transferred through the previous generations, Vaishnaw said. There are many such things under this which have high value for our rural and traditional economy and to push such things to new levels, the PM has approved the scheme, he added. The minister said that the scheme will have two kinds of skill programmes, and a stipend of 500 will be given to beneficiaries, in addition to a sum of 15,000 for buying modern tools. Loans up to 1 lakh will be given to the beneficiaries of the Vishwakarma scheme and only 5% interest will be levied on this scheme, said Vaishnaw. Under the scheme, the government aims to support three million families but would need active cooperation from state governments. Eighteen traditional trades will be covered, including carpenter, boat maker, armourer, blacksmith, hammer and tool kit maker, locksmith, goldsmith, potter, sculptor, among others. The minister also said that the cabinet cleared the expansion of the flagship Digital India programme with an outlay of 14,903 crores. This will result in the re-skilling of 625,000 IT professionals and another 265,000 people will be trained in information security, he added. Read here: Centre proposes SOP for judges, says summon officials only in exceptional cases Launched by Modi in 2015, Digital India is a flagship programme of the Union government that aims to transform India into a digitally empowered society and knowledge economy. Vaishnaw stressed that cyber security will get special attention under the Digital India extension, including cyber awareness courses for 12 million college students, and development of new tools and integration of 200 sites with the National Cyber Coordination Centre. He also referred to Bhashini, the artificial intelligence-enabled multi-language translation tool that is currently available in 10 language, and said it would be rolled out in all 22 languages soon. Under the extension, nine more supercomputers will be added under National Super Computer Mission, 1,200 startups will be supported in tier 2/3 cities, and three Centres of Excellence in Artificial Intelligence on health, agriculture and sustainable cities will be set up. The Cabinet also approved seven multi-tracking railway projects totaling 2,339km at a cost of 32,500 crore. The projects, with 100% funding from the government, will generate direct employment for about 70.6 million man-days during construction, an official statement added. The proposals of multi-tracking will ease operations and reduce congestion, providing the much required infrastructural development on the busiest sections across Indian Railways, Vaishnaw said. He said that these projects doubling of the existing line between Gorakhpur Cantt-Valmiki Nagar, doubling of the existing line between Guntur-Bibinagar, doubling of the existing line between Chopan and Chunar, the Son Nagar-Andal multi-tracking project, a third line between Nergundi and Barang and Khurda Road and Vizianagaram, and between Mudkhed and Medchal and Mahbubnagar and Dhone, and the quadrupling of the line between Samakhiali and Gandhidham will cover 35 districts in nine states, Uttar Pradesh, Bihar, Telangana, Andhra Pradesh, Maharashtra, Gujarat, Odisha, Jharkhand and West Bengal. Vaishnaw said that each of these projects would significantly reduce passenger travel time. The Railways ministry in a statement also highlighted the importance of these routes for transportation of varied basket of commodities such as foodgrains, fertilisers, coal, cement, fly ash, iron finished steel, clinkers, crude oil, limestone and edible oil. This capacity augmentation works will result in additional freight traffic of magnitude 200 MTPA (million tonnes per annum). The Railways being an environment friendly and energy efficient mode of transportation, will help both in achieving climate goals and for reducing logistics cost of the country, the statement read. The projects are the result of the PM-Gati Shakti National Master plan for multi-model connectivity which is aimed at providing seamless connectivity for the movement of people, goods and services, the government said. The PM-eBus Sewa scheme will support bus operations for a decade, and the central government will provide support of 20,000 crore. A government statement said the scheme will cover cities with population of 300,000 and above, including the capitals of Union territories, the northeastern region and hill states. Under this scheme priority will be given to cities having no organised bus service, the statement said. The government said the scheme will generate 45,000 to 55,000 direct jobs. It will augment bus services in 169 cities, with associated infrastructure to support development or upgradation of depot infrastructure, and creation of behind-the-meter power infrastructure for e-buses. The second segment of the scheme will focus on green urban mobility initiatives in 181 cities. The scheme envisages green initiatives like bus priority, infrastructure, multimodal interchange facilitiesautomated fare collection systems, charging infrastructure, etc, the statement said. Read here: Centre announces 10K e-buses, subsidised loans for craftsmen| Top points Under the scheme, states/cities shall be responsible for running the bus services and making payments to the bus operators. The central government will support these bus operations by providing subsidy to the extent specified in the proposed scheme, it added. The support to bus priority infrastructure shall not only accelerate the proliferation of state-of-the-art, energy efficient electric buses but also foster the innovation in the e-mobility sector as well as development of resilient supply chain for electric vehicles, the government said. Adoption to electric mobility will reduce noise and air pollution and curb carbon emission, it added. The Central Bureau of Investigation on Wednesday set up a 53-member team to probe Manipur sexual assault cases. The team comprises two women deputy inspector generals (DIG), i.e Lovely Katiyar and Nirmala Devi S. On July 29, the central agency had taken over the investigation into the sexual assault case in which three women were stripped, paraded naked and assaulted, with one of them gang-raped by a mob in Manipur on May 4. The CBI's special investigation team is already probing six other cases of violence in the northeastern state and the loot of weapons from state armouries. More than 160 people have lost their lives in the ethnic clashes which erupted between Meitei and Kuki communities on May 3. The violence took place after a 'Tribal Solidarity March' was organised in the hill districts to protest the Meitei community's demand for Scheduled Tribe (ST) status. The CBI took over the Manipur sexual assault case on July 29. Meiteis account for about 53 per cent of Manipur's population and live mostly in the Imphal Valley. Tribals -- Nagas and Kukis -- constitute little over 40 per cent and reside in the hill districts. Many people have been rendered homeless in the violence, with some even seeking shelter in neighbouring states, as their houses have been gutted in the ethnic strife. During his address to the nation from the ramparts of Red Fort on Independence Day, Prime Minister Narendra Modi said,"In the last few weeks, a phase of violence was witnessed in the northeast, especially in Manipur. Several people lost their lives, and the honour of mothers and daughters was tarnished. However, reports of peace have come during the last few days". During his address to the Lok Sabha during the no-confidence motion, PM Modi had said,I want to tell the people of Manipur including women and daughters of Manipur that the country is with you". SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT News Desk Follow the latest breaking news and developments from India and around the world with Hindustan Times' newsdesk. From politics and policies to the economy and the environment, from local issues to national events and global affairs, we've got you covered. ...view detail The Centre on Wednesday announced 10,000 new electric buses across 100 cities under the PM e-bus Seva. Union information and broadcasting minister Anurag Thakur (File Photo) At a briefing after the Union cabinet meeting, Union I&B minister Anurag Thakur said the PM e-bus seva has been approved by the cabinet to bolster green mobility. The scheme has been allocated 57,613 cores, he added. Here are the top points on announcements made during Centre's cabinet briefing: 1. Out of 169 cities, 100 cities will be chosen on a challenge method, Thakur said on PM e-bus Seva initiative. 2. Informing further about the new scheme, he said, "Out of 57,613 crores, 20,000 crores will be provided by the Central government. The scheme will cover cities with 3 lakhs and above population. Under this scheme, city bus operations with 10,000 e-buses on Public Private Partnership (PPP) model will be done. This scheme will support bus operations for 10 years." 3. The cabinet also approved the Vishwakarma Yojana scheme, said Union railways, communications, electronics and IT minister Ashwini Vaishnaw during the brief. 4. Under the PM Vishwakarma scheme, the government will promote traditional skills including pottery, blacksmithing, construction, tailoring, and boat-building which have been transferred through the previous generations. 5. Vishwakarma Yojana is expected to benefit 30 lakh craftsmen families. The 13,000 crore scheme will enable craftsmen to get subsidised loans up to 2 lakh, said Vaishnaw as reported by PTI. 6. There are two types of skill program under the scheme and a stipend of 500 will be given to those who are taking benefit of the scheme and to buy modern tools, Rs. 15,000 in mode of support will be given. And loans up to Rs. 1,00,000 to the beneficiaries of the Vishwakarma scheme, said Vaishnaw. 7. Cabinet approved the extension of the Digital India program with an outlay of 14,903 crores, informed Vaishnaw. Bhashini, the national digital public platform for universal language translation will also be introduced under the Digital India program, he added. 8. During the cabinet meet, the Centre also decided to add nine more supercomputers to the already existing 18 systems deployed in the National Supercomputing Mission (NSM), news agency PTI quoted Vaishnaw as saying. 9. The Union Cabinet has approved seven multi-tracking projects of Indian Railways with an outlay of Rs. 32,500 crore, said Vaishnaw. The government, under PM Modi, is extensively focusing on the development of the Railways, he added. 10. During the cabinet meet, the Centre also approved extension of DigiLocker to micro, small and medium enterprises (MSMEs). DigiLockercurrently is available only for individuals, and it now has more than 40 crore subscribers. Soon, a new extension of DigiLocker will be launched for MSMEs, Vaishnaw explained. SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT News Desk Follow the latest breaking news and developments from India and around the world with Hindustan Times' newsdesk. From politics and policies to the economy and the environment, from local issues to national events and global affairs, we've got you covered. ...view detail Congress leader and Wayanad MP Rahul Gandhi on Wednesday wrote to Kerala chief minister Pinarayi Vijayan, requesting him to consider the demands of a woman who was the victim of alleged medical negligence during surgery at a government hospital and offer her adequate compensation. During his visit to his constituency Wayanad over the weekend, Congress leader had met Harshina KK and her family who has been battling long-term health problems due to an allegedly botched C-section at the Medical College Hospital in Kozhikode in 2017. (ANI) Gandhis letter pertained to 33-year-old Harshina KK, a Kozhikode resident who has been battling long-term health problems due to an allegedly botched C-section at the Medical College Hospital in Kozhikode in 2017. During the surgery, doctors at the hospital had allegedly left behind a pair of forceps in her stomach which led to her experiencing pain and trauma for nearly five years. The forceps were finally removed from her abdomen in 2022. I was deeply saddened to learn about her tremendous pain and mental agony of living with the repercussions of the medical negligence for over five years. Her ordeal has also taken a huge emotional and financial toll on her family, Gandhi wrote in his letter to the chief minister. I understand that several inquiries have been initiated and she has been offered a compensation of 2 lakh. However in light of the unique circumstances of this case, I request the state government to kindly look into her demands and offer her adequate compensation, the MP wrote. During his visit to his parliamentary constituency of Wayanad over the weekend, he had met Harshina and her family. Gandhi also requested the chief minister to put in place adequate safeguards against such cases of gross medical negligence and establish effective grievance redressal systems to ensure that victims like Harshina are not forced to the streets to seek justice. Harshina and her family conducted a day-long hunger strike on Wednesday in front of the state secretariat in Thiruvananthapuram to press for action against the errant doctors at the Kozhikode MCH as well as a fair compensation package for her. The strike was inaugurated by Congress state president K Sudhakaran who extended his partys support to her. Congress MLA Mathew Kuzhalnadan and Revolutionary Marxist Party (RMP) MLA KK Rema also took part in the strike. She had earlier protested in front of the Kozhikode MCH for 88 days to raise her cause. We came to Thiruvananthapuram and protested in front of the secretariat so that they (state government) can actually see us. Earlier, I agitated in front of the medical college where they perhaps didnt notice me. For such a fair issue, I have not got justice so far. I will go to any extent to get justice, Harshina told reporters. Government officials should be summoned by courts only in exceptional cases and not as a matter of routine, according to a draft standard operating procedure (SOP) prepared by the Centre on appearance of public servants in various matters. On April 20, a top court bench headed by Chief Justice of India Dhananjaya Y Chandrachud had allowed the Centre to file the SOP (Amal KS/HT Photo) The draft SOP, submitted in the Supreme Court by solicitor general Tushar Mehta, also suggested that courts maintain restraint while commenting on the dress, educational or social background of the concerned government official appearing before it. On April 20, a top court bench headed by Chief Justice of India (CJI) Dhananjaya Y Chandrachud had allowed the Centre to file the SOP after it stayed an order of Allahabad high court in a case concerning two Uttar Pradesh government officials. The high court had ordered the arrest of two officers finance secretary SMA Rizvi and special secretary (finance) Sarayu Prasad Mishra over non-compliance of a decision to provide facilities to retired high court judges. The in-person appearance of government officials should be called for only in exceptional cases and not as a matter of routine. Courts should practice necessary restraint while summoning the government officials during hearing of cases (writs, PILs etc.), including contempt cases, the SOP, a copy of which HT has seen, said. Referring to past instances wherein government officials have been pulled up over their attire by courts, the SOPs said: Comments on the dress or physical appearance or educational and social background of the government official appearing before the court should be refrained. It added that government officials are not officers of the court and their appearance in a decent work dress should not be objected to. The Centre said the SOP seek to create a more congenial and conducive environment between the judiciary and government, with a view to improve the overall quality of compliance of judicial orders by the government and minimising scope for contempt of court. This SOP would contribute significantly to saving time and resources of both the court and the government by allowing for the appearance of the concerned official through VC (video conferencing, it said. SHARE THIS ARTICLE ON The Election Commission on Wednesday granted three more weeks to the Nationalist Congress Party factions to respond to their notice related to the party's name and official symbol. The Sharad Pawar faction had sought four weeks in a letter to the poll panel. Both the factions will need to respond to the notice on September 8. Sharad Pawar with his nephew Ajit Pawar.(Rahul Raut/ HT File Photo) On July 27, the poll panel had issued notices to both Ajit Pawar and Sharad Pawar factions and asked for their replies by August 17, i.e tomorrow. The poll panel had also received affidavits from 40 MPs, MLAs and MLCs along with a resolution by rebel faction members that they elected Ajit Pawar as the NCP chief. The faction led by Sharad Pawar had decided not to move the EC until the poll panel took cognisance of the claims by rebel group. On July 3, the Pawar faction had moved disqualification motion against nine NCP MLAs including Ajit Pawar who took oath as the deputy chief minister in the Eknath Shinde-Devendra Fadnavis coalition government. Three days later, the Pawar faction expelled all the nine MLAs, along with working president Praful Patel and general secretary Sunil Tatkare. The decision was taken in the working committee of the party held in Delhi. In a separate development, Pawar held a closed door meeting with his nephew Ajit Pawar in Pune, fuelling speculation about the future moves of the duo. The three-hour long meeting took place at an industrialist's house. When asked about the meeting, Pawar said the huddle was not a secret one."What is wrong with meeting my nephew? If a senior person in a family desires to meet another family member, there should not be any issue with that", he said. The NCP chief also declared he will never align with the Bharatiya Janata Party. As the national president of the NCP, I am making it clear that my party (NCP) will not go with the BJP. Any association with the Bharatiya Janata Party does not fit in NCP's political policy", he added. SHARE THIS ARTICLE ON NEW DELHI: The union government has proposed to the Supreme Court a draft standard operating procedure (SOP) which asks judges to exercise restraint in summoning government officials to appear in person except in exceptional cases, give officials sufficient notice before requiring their presence and give them the option to appear via video conference. Raisina Hill: (HT File Photo/Sanjeev Verma) In cases where the issues before the court fall within the exclusive domain of the executive, the draft SOP said the court should refer it to the executive for further necessary action. If the issue has wide implications extending to states and other stakeholders and not just the central government, the SOP recommends that courts exercise caution in proceeding to settle the larger question of law. The Centre said it had drafted the protocol to create a more congenial and conducive environment between the judiciary and government and to improve the overall quality of compliance with judicial orders by the government, which would minimize the scope for contempt of court. Submitting the draft SOP in a case arising from Uttar Pradesh where the high court directed the arrest of two senior officials for contempt, solicitor general Tushar Mehta said calling officials to appear before the top court or high courts should only be done in exceptional cases, be it to assist in matters of policy-making or in contempt proceedings. Referring to instances in the past where officers have been insulted in courts for not being appropriately dressed up despite wearing a decent shirt and a pair of trousers, the SOP asked the court to refrain from commenting on the dress or physical appearance or educational and social background of the official. It said government officials were not officers of the court and their appearance in a decent work dress should not be objected to. The SOP also asked that where policy matters arise in public interest litigations or petitions before higher courts, the judges should not name individuals while forming any committee but only prescribe the broad composition of intended members. Further, reasonable time ought to be given for compliance with court orders if the matter requires approval or decision-making at various levels involving multiple ministries. A bench headed by Chief Justice of India (CJI) Dhananjaya Y Chandrachud allowed the Centre to file the SOP in April this year after staying the Allahabad high courts order and ordering the release of the two officers. The high court had ordered the arrest of UPs finance secretary and special secretary (finance) for non-compliance with its orders to provide facilities for retired high court judges. The draft SOP said, The in-person appearance of government officials should be called for only in exceptional cases and not as a matter of routine. Courts should practice necessary restraint while summoning the government officials during hearing of cases (writs, PILs etc.) including contempt cases. The document which refers to the procedure to be followed in cases of contempt or otherwise, stated, In matters that involve setting up of a committee for further examination, the court may prescribe only the broad composition/domains of members/chairperson of such committee instead of naming individual members and leave the identification or selection or appointment of individual members or chairperson with the government. Where compliance with court orders involve complex policy matters, the SOP sought reasonable time to be provided and where more time is sought, the same be given as approvals require to come from minister/cabinet level and inter-departmental consultations become necessary to gauge its wider implications. In contempt cases, the SOP said no contempt action should lie against judicial orders that cannot be enforced and the court must not haul up an official for contempt if the omission complained against him was not willful. Further, SOP required that the judge who passed the order should not sit in contempt as a contemnor has a right under Section 14(2) of Contempt of Courts Act to have the matter heard by another judge or bench. Where the order against which contempt is initiated has been challenged before the same court or a higher court, the contempt proceedings should be kept in abeyance awaiting the outcome of the other proceeding. Heavy rainfall-triggered landslides, flooding, and cloudbursts have caused the death of over 100 people and massive destruction in Himachal Pradesh and Uttarakhand. Amid this, the India Meteorological Department (IMD) on Wednesday said that heavy showers are likely to continue over Himachal for the next two days and over Uttarakhand and northeast India for the next four to five days. Himachal Pradesh received a 71% excess rainfall this July. (HT File) The weather agency also said that the rainfall activity is likely to intensify over Eastern India and adjoining central India from Wednesday due to a potential low-pressure area forming over the Bay of Bengal. Light to moderate scattered to widespread rainfall with isolated heavy rainfall activity is very likely over Andaman and Nicobar Islands today and on Thursday; over Odisha until Saturday; over Jharkhand from Wednesday and Friday; in West Bengal and Sikkim today and on Thursday. Isolated very heavy falls are also expected over Odisha on Thursday-Friday," the IMD said. It also added that heavy rainfall may cover isolated places over Jharkhand, Odisha, West Bengal, Sikkim, Andaman and Nicobar Islands, Assam, Meghalaya, Arunachal Pradesh, Nagaland, Manipur, Mizoram, and Tripura. Meanwhile, light to moderate rainfall is also likely over Chhattisgarh between August 17 and August 20, and over east Madhya Pradesh and Vidarbha over the weekend, the IMD said. Monsoon fury in Himachal, Uttarakhand According to reports, over 60 people have died in rain-related incidents including landslides in Himachal Pradesh, and over 50 have died in Uttarakhand so far this monsoon. On Tuesday, five to seven houses collapsed in Lalpani in the Krishna Nagar area of Shimla on Tuesday afternoon following a landslide, while six houses were destroyed in Uttarakhand's Vikasnagar due to a landslide. Himachal CM Sukhvinder Singh Sukhu on Wednesday said that over 1,100 people have been evacuated from low-lying villages in the Kangra district using helicopters and motor boats. Numerous migrant labourers who had travelled to Himachal Pradesh from Bihar and Uttar Pradesh in search of employment were also safely relocated to secure locations and relief camps, he wrote on X, formerly known as Twitter. All schools and colleges in Himachal and Uttarakhand were shut on Wednesday in view of the incessant rainfall and landslides. According to the IMD, the monsoon trough that is causing heavy rainfall over Himachal Pradesh and Uttarakhand is gradually moving towards the south. The monsoon trough is lying along the foothills of the Himalayas. It is likely to gradually shift southwards and lie near its normal position from August 18, the weather department said in its bulletin on Tuesday. (With inputs from agencies) Amid incessant rainfall which triggered cloudbursts, landslides and road blocks, over 60 people have died in Himachal Pradesh and Uttarakhand as the operations continue to rescue injured citizens and vehicles from under the debris. Commuters walk by the debris that has blocked the Chandigarh-Manali highway after a landslide, near Mandi. (PTI Photo) The India Meteorological Department (IMD) has forecast that isolated but heavy rainfall is likely to continue over Himachal Pradesh in the next two days and over Uttarakhand and northeast India in the next 4-5 days. Due to the heavy rainfall, the water levels of Delhi's Yamuna river breached the danger mark, for the second time this year, on Tuesday. Himachal Pradesh chief minister Sukhvinder Singh Sukhu said on Wednesday that he was on his way to Kangra, where a dam has overflown creating flood-like situation in low-lying areas. He said, Around 100 people are still trapped in Kangra, rescue operation is underway. Another body has been recovered in Shimla. The state has suffered a loss of around 10,000 crores. It will take around 1 years for us to redevelop the infrastructure of the state. Here are the top updates: Over 800 people have been evacuated from the low-lying areas of Himachal Pradesh's Kangra near the Pong Dam. The evacuation process was a result of increased water level in the Dam due to incessant rainfall. Himachal chief minister Sukhu tweeted on Wednesday, More than 800 people were evacuated from the low-lying areas of Kangra near the Pong Dam, as their villages became inaccessible due to the elevated water level in the dam reservoir. Evacuation operation is still on as more people are being evacuated. Schools and colleges have been ordered shut in Himachal Pradesh on Wednesday due to heavy rainfall. The state's Education Department issued an order on Tuesday stating, Whereas, weather conditions in most parts of Himachal Pradesh are still inclement; & whereas, it is being felt that it worrld be in the interest of Student's safety that school/colleges remain closed for another 1 day. Therefore, considering the above, the Government of Himachal Pradesh orders to keep all Schools & Colleges (Government as well as Private) closed on dated 16th August, 2023. One person was killed and five others were rescued after a building collapsed at Helang near Joshimath in Uttarakhands Chamoli district late on Tuesday evening. State Disaster Response Force (SDRF) said they pulled one body from the rubble and search was on to rescue another person buried under the debris. Shimla Deputy Commissioner Aditya Negi, on Wednesday, speaking to news agency PTI said that rescue operations were underway at two places where landslides recently took place Summer Hill and Krishna Nagar. He said that 13 bodies have been recovered from Shiv Temple in Summer Hill area and one body and one severed head were found in Krishna Nagar. The monsoon trough, an elongated low-pressure area that was to the north of its normal position, causing heavy rainfall over Himachal Pradesh and Uttarakhand, has gradually started moving south, the IMD said on Tuesday. The Indian Air Force (IAF) on Tuesday airlifted 18 army soldiers in a single flight in Shimla. The Air Force said, A Chinook helicopter of Western Air Command airlifted 18 Indian Army personnel and a 3-Ton Mini Dozer in a single sortie for rescue efforts in areas affected by landslides near Himachal Pradeshs Shimla today. Around five to 10 people are feared trapped after several buildings, including houses and a slaughterhouse, on Tuesday crumbled down in Himachal Pradesh's Shimla in instance of landslide due to continous rainfall. Locals in the area were moved to safety. Himachal Pradesh CM Sukhu on Tuesday held a meeting with High Power Committee for natural disaster management. He said that a 157 per cent surge in rainfall over the past four days has resulted in extensive damage across the entire state. While over 60 people have died in Himachal due to the incessant rainfall of the past few days, as per official estimates, 52 people died due to rain-related incidents in Uttarakhand this monsoon and around 37 sustained injuries. As per Chamoli police in Uttarakhand, the Badrinath National Highway has been blocked at Pipalkoti, Gadora, Navodaya Vidyalaya Pipalkoti, Gulabkoti, Pagalnala and Vishnuprayag areas of the Chamoli district. SHARE THIS ARTICLE ON Hyderabad Police said the couple developed a grudge against the Dalit womans family after her younger brother eloped with their daughter and got married on March 2 this year. (HT Archives) A couple has been arrested for allegedly assaulting, stripping and trying to set afire a 28-year-old Dalit woman after her brother eloped and married their daughter, in Prakasam district of Andhra Pradesh, police said on Wednesday. The two have been remanded in two-week judicial custody, while the womans condition is stable, an officer familiar with the matter said. The suspected hate crime took place at Botlapalem village of Prakasam district early on Tuesday. The accused Gangireddy Brahma Reddy (52) and his wife Pullamma (48) were arrested the same evening and remanded in two-week judicial custody, superintendent of police (Prakasam), Malika Garg, said. Based on the complaint lodged by the victim, the accused have been booked under sections 307 (attempt to murder), 354 (assault on woman to outrage her modesty), 354 (B) (disrobing a woman), 367 (abduction), 324 (attacking with lethal weapons), 323 (voluntarily causing hurt) and 506 read with 34 (criminal intimidation) of the Indian Penal Code, besides various sections of SC/ST (Prevention of Atrocities) Act at Darsi police station, Garg added. On the possible cause of the assault, the SP said Brahma Reddy and his wife developed a grudge against the Dalit womans family after her younger brother eloped with their daughter and got married on March 2 this year. Brahma Reddy had lodged a complaint with the Darsi police that his daughter had gone missing. Hours later, their daughter approached the police and sought protection from her parents saying that she wanted to live with her husband, Garg said. Despite police warning, Reddy and seven other people went to the womans house on March 15 and assaulted her and her mother, the SP added. The accused also used casteist slurs against their family. A police complaint was subsequently lodged against the accused. At around 12.30 am on Tuesday, Reddy and his wife went to the Dalit womans house again and assaulted her to withdraw the complaint. They sprinkled chilli powder in the womans eyes and started beating her with sticks and knives. When her mother came out, the Reddy couple assaulted her too, kicking her, but she managed to escape. Then, the couple dragged the Dalit woman to their house by holding the tuft of her hair, tied her hands and legs with a plastic rope, caused injuries on her body with knives and beat her with an iron rod and axe, the SP said, quoting the complaint lodged by the woman. The couple also threatened to kill the Dalit womans daughter if she did not withdraw the complaint against them. When she did not heed to their threats, they poured petrol on her body and attempted to set her afire, he added. The womans mother, however, informed the police who reached the spot in time to rescue the woman. She is currently undergoing treatment for injuries, and her condition is stable, the SP said. The couple, who escaped the scene of crime after police arrived, were later nabbed from a bus stand. We formed three special police teams to arrest Reddy and his wife. Our teams nabbed them from East Gangavaram bus stand of Thallur mandal. They were later produced before a local court that remanded them to judicial custody, the SP added. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Srinivasa Rao Apparasu Srinivasa Rao is Senior Assistant Editor based out of Hyderabad covering developments in Andhra Pradesh and Telangana . He has over three decades of reporting experience. ...view detail All India Congress Committee's (AICC) Delhi in-charge Deepak Babaria on Wednesday refuted Congress leader Alka Lamba's statement over the party contesting in all seven Lok Sabha elections in the national capital in 2024 general polls, and said no discussions over the matter related to elections and the alliance were held during the elaborate meeting at the party headquarters today. Calling Lamba an immature spokesperson, Babaria said she is not an authorised person to talk over such important matters. AICC's Delhi in-charge Deepak Babaria(ANI) Alka Lamba is a spokesperson but she is not an authorised spokesperson for talking on such important issues. I have said as an in-charge that there were no such discussions in the meeting today.She has not said anything (on elections) that is what she has reported me, he told news agency ANI. Earlier in the day, Lamba claimed that leaders were being directed in the meeting to contest on all Lok Sabha constituencies in Delhi. We have been given orders contest on all 7 (Lok Sabha) seats in Delhi," she told news agency PTI. This statement stirred a row over seat sharing between Congress and the Aam Aadmi Party (AAP) as both of them are a part of the recently-formed I.N.D.I.A. bloc. If Congress has already decided to not form an alliance with us, then it is of no use for us to attend the next 'INDIA' alliance meeting. Our top leadership will decide whether or not we'll attend the next meeting, AAP national spokesperson Priyanka Kakkar said earlier. "I feel that there are immature people in Aam Aadmi Party. If they want to take such a big decision on the basis of media reports then even God cannot save them," Babaria responded to AAP leader's reaction. However, Delhi minister Saurabh Bhardwaj said that matters related to elections and seat sharing will be decided by the central leadership and both AAP's political affairs committee and INDIA parties will discuss them. Interpol is strengthening its compliance and review mechanism of red notices against fugitives, Jurgen Stock, secretary general of the international police agency, said in an exclusive interview, as he asserted that the system is productive and controversial cases such as that of diamantaire Mehul Choksi or Islamic televangelist Zakir Naik form a minuscule minority. Interpol secretary general Jurgen Stock says they are strengthening compliance and review mechanism of red notices against fugitives. (HT Archives) Read here: Amit Shah bats for unified front to deal with some global forces that use tech for social harm We have been strengthening the compliance mechanism in the general secretariat. We are also including the CCF (Commission for the Control of Interpols Files), which is a kind of appeals and data protection body that is part of the Interpol system, but independent within the secretariat, Stock said on Friday (August 11). There is no problem in 95-96% of Interpol cases, and the agency is helping everyday make significant arrests all around the world, he said. We have a very small number (3% or 4%) of cases where indeed sometimes member countries are not happy with the decision we are taking, Stock said in response to concerns of Indian agencies regarding fugitive offenders like Choksi or Naik getting relief in the Interpol. Choksis red notice was cancelled earlier this year, while attempts to issue a red notice against Naik have failed. Stock was in Kolkata to attend the G20 anti-corruption ministerial meet. A red notice is a request by Interpol to law enforcement worldwide to locate and provisionally arrest a person pending extradition, surrender or similar legal action. Considering the size of India and the evolving nature of crimes, Stock said Interpol is looking to increase its presence in this region and is holding discussions on it. We are in discussions here also in the region as to how Interpol can strengthen its support, he said, adding that the global policing body needs financial support from member countries. Besides, Stock said discussions are on for taking lines of information to front line police officers beyond the national central bureaus (NCBs). The Central Bureau of Investigation (CBI) is the NCB of Interpol in India and any request to and from Interpol goes through it. In our global early warning system, in which you can check all the international travelers who are coming in international airports, seaports against Interpols data for stolen and lost travel documents to identify the movement of foreign terrorist fighter or other dangerous criminals. We are currently discussing with all our member countries to bring information beyond the NCBs, coordinated by the NCBs so there isnt a chaotic situation. We have plenty of projects around the world, including India where this extension of our services to frontline policing beyond the NCB is already going on, he said. Asked if Interpol has identified any organised groups, particularly behind repeated cyberattacks on critical infrastructure in India, Stock said that intensive collaboration is going between Indian agencies, Interpol and other members in this regard. There is a new model of organised crime, where mafia groups, even those run by families, are renting the tools from the underground economy to conduct a distributed denial of service attack, or a ransomware attack, he said. Elaborating further on underground economy, Stock said it is a kind of yellow pages for crimes as service. From the whole spectrum from programming intrusion to money mules and laundering, all can be rented by these mafia type groups for very little money, he said. Read here: Interpol issues red corner notices against 2 fugitive gangsters based in UK, UAE He was pitching to the Indian leadership and other members to invite Interpol as a kind of operational arm of G20 for policing, helping operationalize policy work that has been done besides funding for its e-mutual legal assistance and e-extradition projects, Stock said. It will help in faster dissemination of information through Interpols tools, he added. On better cooperation with India in the recent times over 40 fugitives have been brought to India with the help of Interpol in last two years Stock said India has experienced officers. India is also represented in the Interpols executive committee by Indian Police Service officer Praveen Sinha, former CBI special director currently in the office of National Security Advisor Ajit Doval. SHARE THIS ARTICLE ON Karnataka chief minister Siddaramaiah convened a meeting of Congress party MLAs from Bengaluru City district on Wednesday. The meeting took place at the Chief Ministers Office and was also attended by deputy chief minister DK Shivakumar. (ANI) The meeting took place at the chief ministers office and was also attended by deputy chief minister DK Shivakumar. The chief deputy chief ministers shared their views on granting funds for the areas development works and party coordination, a statement from the CMO said. Transport minister Ramalinga Reddy, following his participation in a meeting with CM Siddaramaiah to address matters concerning Bengalurus progress, indicated that the elections for the Bruhat Bengaluru Mahanagara Palike (BBMP) might be scheduled for December. Reddy further conveyed that given the upcoming elections, Bengalurus MLAs had urged the chief minister to expedite approvals for projects related to the city. BBMP elections are likely to be held in December, so we have asked the CM to clear pending projects related to the city. We discussed drinking water and solid waste management issues, Ramalinga Reddy said. The minister also added that the government had formed four teams to investigate alleged irregularities in BBMP projects carried out during the previous BJP government. The BBMP Contractors Association has halted work, demanding the clearance of their pending bills. When asked about the issue of BBMP contractors, the minister stated that the Special Investigation Team (SIT) would soon submit the report. The government had formed an SIT to investigate irregularities in BBMP projects. The SIT was asked to submit the report within 30 days, and it has already been 10 days. We will receive the report in the next 20 days. Once the report is submitted, contractors who have genuinely worked will receive their payment, Reddy said. This statement on election follows the recent issuance of a revised ward delimitation notification by the state government for the urban local body. The previous notification of 243 wards was withdrawn, and a new one was issued by the Urban Development Department (UDD) under the BBMP Act of 2020, reducing the number of wards to 225. The earlier delimitation was initiated by the previous BJP government, which the Congress government strongly opposed, alleging it was designed to favour the saffron party. The BJP government increased the number of wards from 198 to 243. Following a High Court order, the government was given about 12 weeks to redo the delimitation process. The notification cites Section 7 of the BBMP Act of 2020, which empowers the government to revise the number of ward boundaries. Based on the governments order, the delimitation committee led by BBMPs chief commissioner Tushar Girinath is expected to commence the fresh process of delimiting wards based on the 2011 Census. The CMs meeting with Bengaluru ministers, MLAs, and MPs comes at a time when several MLAs had expressed their frustration against some ministers and the lack of area development funds. In addition to the meeting with Bengaluru ministers, a meeting was held under the leadership of chief minister Siddaramaiah with legislators from Kolar district. During the gathering, the legislators expressed their opinions regarding developmental works in the region, grants, coordination with the government party, and the upcoming Lok Sabha elections. All the legislators unanimously voiced their confidence and assured their commitment towards achieving victory for Congress by supporting the Lok Sabha candidate through the ballot, the statement from the CMO said. Delhi chief minister Arvind Kejriwal on the occasion of his birthday remembered his party colleague, Delhi's former education minister Manish Sisodia. Thanking all those who have wished the Delhi chief minister, Kejriwal said, "But I miss Manish. He is in jail in a false case." Taking a pledge on his birthday, Kejriwal wrote, "Lets all take a pledge today - that we will do everything within our means to provide best quality education to every child born in India. That will lay the foundation for a strong India. That will help in realising our dream of making India no 1. That will also make Manish happy." Delhi chief minister Arvind Kejriwal thanked for all the birthday wishes he received on Wednesday. He said he misses his party colleague Manish Sisodia. PM Modi was among the first leaders to have wished Kejriwal on Wednesday. Kejriwal acknowledged PM Modi's greetings and thanked him. The Delhi chief minister thanked all others who wished him on his 55th birthday. Manish Sisodia was arrested in February by the CBI in connection with the alleged liquor scam in Delhi. Since then he has been in jail as his bail pleas have been repeatedly rejected. The ED arrested him in a money laundering case in connection with the liquor scam in March. His next bail plea hearing in the Supreme Court will be held on September 4. The liquor policy in question was implemented by the Delhi government in 2021 and was scrapped in 2022 amid allegations of corruption. Sisodia was the excise minister when the policy was framed. He has been accused of giving an unfair advantage to private liquor vendors after being bribed. The AAP denied the scam and reiterated that Manish Sisodia was framed in a false case. Delhi cabinet minister Atishi who was inducted into the cabinet following Sisodia's resignation remembers Sisodia on the occasion of Independence Day. Even today on Independence Day, the fight for freedom against the tyranny of a few continues. Manish Sisodia ji has made the future of lakhs of children of Delhi. Today it has been 170 days since he was forcibly sent to jail without any reason. Happy Independence Day Sir. Hope you come out soon," Atishi posted on Twitter, now known as X. SHARE THIS ARTICLE ON Kolkata: Police in Kolkata have arrested six more people in connection with the death of a first-year student of Jadavpur University (JU) last week that has sparked allegations of brutal ragging on campus, taking the total number of arrests in the case to nine, officials said on Wednesday. Two varsity officials were also interrogated on Wednesday in connection with the case, the officials added. (File photo) All the accused five current students and four former students were produced before a city court and remanded in police custody till August 28. Five mobile phones and a laptop were also seized from them, the officials said. Two varsity officials were also interrogated on Wednesday in connection with the case, the officials added. West Bengal chief minister Mamata Banerjee had earlier spoken to the victims family and assured all assistance in the case. Governor CV Ananda Bose held an emergency meeting with varsity authorities at Raj Bhavan on Wednesday. On August 9, the 18-year-old student from Nadia district was found lying naked in a pool of blood in front of the main hostel building outside the university campus by students at around 11.45pm. Police said the teen allegedly fell from the second-floor balcony of his hostel where he was staying since August 6, after enrolling for the Bengali Honours undergraduate course at the university. His body bore several injury marks and he was rushed to a private hospital where he died at around 4.30am on the following day. While the deceaseds family alleged he was ragged by his seniors, police said an investigation was underway. Last week, three people a former student and two second year students were arrested. The remaining six three former and three current students were arrested on Wednesday. During preliminary questioning, we found their (accused) complicity. There were multiple inconsistencies in their statements. Their (those arrested on Wednesday) names cropped up during the interrogation of the three people who were arrested earlier, a police officer said, seeking anonymity. The accused were identified as Dipsekhar Dutta and Monotosh Ghosh, second year students of economics and sociology, respectively, Md Arif and Ankan Sarkar, both third year students of civil engineering, and Md Asif Afzal Ansari, a fourth year student of electrical engineering. The remaining accused former students who were illegally staying in the hostel are Asit Sardar, Saptak Kamilya, Sourav Chowdhury and Suman Naskar. They (former students) had fled the hostel after the incident and were arrested from their residences, the officer quoted above said. Police said JU registrar Snehamanju Basu and a professor, Partha Pratim Roy, were interrogated on Wednesday. The dean of students, Rajat Roy, could not be questioned as he was gheraoed by students at the varsity. The students were demanding justice for the victim and anti-ragging measures in the hostel. On August 11, police registered a murder case on the basis of a complaint by the deceaseds family that he was a victim of ragging. The university said it has set up an internal committee to probe the matter. On August 11, it asked all first-year male boarders of the main hostel to temporarily shift to a new facility after a section of students and teachers alleged that former students frequently visited and stayed in the premises and sometimes, even harassed the freshers. The students held a protest rally on campus on Friday. According to a few current students residing in the hostel, at least 20 former students were living on the premises and were warned by the authorities of strict action if they did not leave. Police earlier found a letter, purportedly signed by the deceased and addressed to the dean of students, leveling allegations against a senior student, Rudra Chatterjee. A probe later found that the letter was written by Dipsekhar Dutta to divert the probe, police said. The decision to write the letter was taken by Saptak Kamilya and Sourav Chowdhury. On Wednesday, governor Bose held an urgent meeting with JU authorities at Raj Bhavan. While there was no immediate statement from the governor, JU registrar Basu told HT: The governor (who is the chancellor of the state-run university) listened to our internal problems. He has assured us that the problems would be resolved soon. A five-member delegation of the ruling Trinamool Congress, including an MP and three state ministers, met the deceaseds parents at their residence on Wednesday. The University Grants Commissions anti-ragging cell and the state human rights commission have sought a report from the varsity and police, respectively. SHARE THIS ARTICLE ON The Madras high court on Wednesday quashed a case filed against Sofia Lois, the student-activist, for raising slogans against the then Tamil Nadu Bharatiya Janata Party chief Tamilsai Soundararajan in a flight. In its order, the Madras high court said that the case against student-activis Sofia Lois was non-cognisable offence and the police have no jurisdiction to investigate the matter. (HT Archives) In its order, the court said that the case against her was non-cognisable offence and the police have no jurisdiction to investigate the matter. In 2018, Sofia, who was travelling to Thoothukudi in the same flight with Tamilisai, shouted slogans saying, fascist BJP government down down inside the aircraft, shortly after it landed. Sofia made these slogans in the aftermath of the Thoothukudis Sterlite protest in which 13 people were killed in police firing. Enraged by the sloganeering, Tamilisai engaged in a verbal spat with Sofia at the airport and subsequently filed a complaint against her. Sofias lawyer D Geetha said, The court said that raising slogans did not come under a cognisable offence; and the police cannot investigate the case under section 155 of Code of Criminal Procedure (No police officer shall investigate a non- cognisable case without the order of a magistrate). The court also pointed out that the slogan fascist BJP government down down did not constitute an offence. According to the lawyer, the court said that the slogan was a trivial matter. Tamilisai Soundararajan is now governor of Telangana and lieutenant governor of Union Territory of Puducherry. Following the verdict, Sofia in her tweet reiterated the slogan and wrote, After almost five years, today the Madras high court has quashed the FIR and charge sheet against me. SHARE THIS ARTICLE ON The Mangaluru city police said the anti-communal wing announced by home minister G Parameshwara has commenced operations, and it is headed by an officer with the rank of inspector. This wing has been formed in response to the increasing concerns about moral policing incidents. Mangaluru City police commissioner Kuldeep Kumar R Jain stated that the wing is dedicated to monitoring and curbing incidents of moral policing within the city limits. (HT Archives) Mangaluru City police commissioner Kuldeep Kumar R Jain stated that the wing is dedicated to monitoring and curbing incidents of moral policing within the city limits. He said, The ACW will be led by the inspector of the citys special branch and will comprise approximately six members. This unit will operate under the supervision of ACP (CCB) PA Hegde, who will report directly to the commissioner of police. Jain explained the scope of the Anti-Communal Wings responsibilities, saying: The wing will closely track individuals accused of involvement in communal cases within the city. Their activities will be meticulously monitored, including their court proceedings. The team will also assess any challenges faced by victims from the accused. He added that the teams job will not be limited to moral policing but will also include other hate crimes. The teams mandate is broad, encompassing the monitoring of all communal activities, hate speeches, and instances of assault associated with moral policing and cattle trafficking. Social media platforms will also be monitored. We will maintain a vigilant eye on any developments that could jeopardize communal harmony. Actionable intelligence will be gathered to prevent any potential disruptions, he said. The Anti-Communal Wing has also been tasked with compiling a comprehensive database that includes cases from the past 10 years. This database encompasses around 200 cases, ranging from cattle theft to incidents of moral policing, and even includes cases of murder. Jain revealed that the team is already engaged in monitoring activities, which include both trial observation and gathering actionable intelligence. However, the wing, consisting of six members including the leading inspector, will not handle the investigation of moral policing cases. The investigation duties for such cases will remain with the local police, according to officials familiar with the developments. Apart from that, the units jurisdiction will be limited to Mangaluru city and will not cover Udupi district, which also records a high number of cases. A Mangaluru-based activist, who preferred not to be named, stated that the expectations from the unit were much higher. Coastal Karnataka faces a severe problem with moral policing, and a large network of informants works for both Hindu and Muslim right-wing groups, enabling attacks. We are sceptical about how a team as small as six can tackle such a problem, said the activist. The police in coastal districts say they are often caught between right-wing groups and activists. The shopkeeper who tipped off the couple in Mudipu is part of a larger network of informants, which the police admit is often stronger than that of the police department. According to records from Karnataka Communal Harmony, a Mangaluru-based organization focusing on communal violence in the region, there have been 1,288 instances of communal violence, including moral policing, cattle vigilantism, and hate speech since 2010. Among these, at least 322 instances were related to moral policing by vigilantes. Informants spread across the city provide tip-offs on interfaith couples and their movements. Hindu right-wing groups also have a strong following among auto-rickshaw drivers and employees of private bus operators in the city. They dont do it for monetary reasons, but they believe in concepts like love jihad, said Vidya Dinkar, a Mangaluru-based activist. The commissioner, however, clarified that the officers will operate both in the field and in cases where intervention is necessary, suo motu cases will be registered to ensure swift action. However, the investigation will be conducted by the local police. Shortly after assuming the position of Home Minister on June 6, Karnataka home minister G Parameshwara announced the formation of an anti-communal wing to curb communal and moral-policing incidents in the region and to ensure legal action against the perpetrators. I have issued strict instructions to maintain social harmony Very strict action should be taken against those involved in communal incidents. And moral policing should not be allowed at any cost, he said. If the home department does not address the communal issue, there are chances that the problem will grow bigger, he added. SHARE THIS ARTICLE ON The Ministry of Home Affairs (MHA) on Wednesday suspended an Indian Police Service (IPS) officer posted in Goa with immediate effect for allegedly misbehaving with a woman. The MHA also ordered the IPS officer, identified as Dr. A. Koan, to not leave the Goa headquarters without the permission of an authority. Ministry of Home Affairs (MHA) The President of India, in exercise of the powers conferred under Rule 3 of all India Services (Discipline and Appeal) Rules, 1969, hereby places Dr. A. Koan, IPS under suspension with immediate effect, the order read. It added, It is also ordered that Koan during the period of his suspension would be entitled to a subsistence allowance at an amount equal to the leave salary on half-average pay or on half pay and in addition, dearness allowance, if admissible on the basis of such leave salary, under 4(1)(a) of the All Services (Discipline and Appeal) Rules, 1969, subject to the furnishing of a certificate to the effect that he has not been employed in any business, profession, or vocation for a profit/remuneration/salary. On August 11, Koan was relieved of his charge as DIG following allegations of him allegedly misbehaving with a woman tourist at a beach club in Goa. Goa chief minister Pramod Sawant had written to the union home ministry regarding the matter. We relieved him of his duties, and he has been attached to the head office. It comes under the jurisdiction of the Home Ministry. We have written to the Union Home Ministry, I think the Home Ministry will take action on that officer, the CM had told ANI. The issue was also raised in the state assembly by the president of Goa Forward Party Vijay Sardesai, and BJP MLA Michael Lobo. (With inputs from ANI) Prime Minister Narendra Modi on Wednesday chaired two separate meetings to discuss the Bharatiya Janata Partys (BJP) election preparations, areas of concern, and probable candidates for the upcoming state elections in Madhya Pradesh and Chhattisgarh. Prime Minister Narendra Modi, BJP National President JP Nadda with other senior leaders attend the Central Election Committee (CEC) meeting for the Chhattisgarh assembly polls, in New Delhi, Wednesday.(PTI) Read here: How BJP plans to use the issue of corruption in MP, Rajasthan, Telangana polls According to a party functionary aware of the details, the meeting, which was attended by senior ministers Amit Shah, Rajnath Singh, party president JP Nadda, and members of the BJPs Central Election Committee (CEC), the final decision-making body on poll-related issues, discussed issues that the party can make the central theme of its campaigns, and how it can work to strengthen its position in constituencies which are the strongholds of the Opposition. Madhya Pradesh chief minister Shivraj Singh Chauhan and former Chhattisgarh CM Raman Singh were also present at the meetings. Madhya Pradesh and Chhattisgarh are among five states the other three are Rajasthan, Telangana and Mizoram that will go to the polls later this year. The party has decided to redouble efforts to improve its tally in seats that have been identified as weak, said the functionary cited above. In every election, constituencies are categorised from A to D based on how well the party has performed there in the previous elections. Strongholds are identified as category A and those where the performance is dismal are called D seats. The efforts now will be to not only consolidate partys position in the A and B category seats, but also make a bid to transform C and D category seats, the party functionary added. This will be done through interventions such as picking formidable candidates, raising issues that resonate and planning election campaigns to establish the partys position in the weak seats. About one-third of the seats in both states have been marked as areas of concern, he explained. In 2018, the BJP, which went into the polls as the ruling party, lost in both Chhattisgarh and Madhya Pradesh. However, it could form government in Madhya Pradesh 15 months later when a section of leaders from the Congress party, led by senior leader Jyotiraditya Scindia, joined the BJP. In the 90-member Chhattisgarh assembly, the BJP won only 15 and Congress, with 68 seats, stormed into power. In a close election in Madhya Pradesh, the BJP won 109 of the 230 seats, ceding space to the Congress that won 114. It, however, won heavily in the bypolls held in 2020 after the change in regime and now has 126 seats in the state assembly. With reports from the state indicating a tough contest between the BJP and the Congress in both the states, the party has begun preparations on a war footing, a second functionary said. Read here: JP Nadda rejigs BJP central leadership; Dilip Saikia, CT Ravi among those dropped Normally such meetings are held closer to the polling dates. But this time the Prime Minister took the initiative of getting a head start, the functionary added. Similar meetings of the BJP brass are expected in the next few days to take stock of the preparations in Mizoram, Rajasthan, and Telangana. SHARE THIS ARTICLE ON Ninety persons died and 900 were injured up to 11 p.m. today as a result of street incidents in different parts of the city arising from the observance of the Direct Action Day by the Muslim League. HT This Day: August 17, 1946 -- Ninety dead and 900 injured in Calcutta Twenty have sustained bullet wounds as a result of police firings on unruly mobs. A large number of shops were looted and set on fire. The police of the Bengal Provincial Congress Committee at the junction of Dharmatolla Street and Lower Circular Road was heavily stoned. Several residential houses were also attacked and stoned. The military has been called out to help civil authorities. The police opened the at some places to disperse the unruly mobs. Curfew has been imposed between 9 p.m. and 4 a.m. in the city. The Bengal Government had declared the day a public holiday under the Negotiable Instruments Act. Mr H. S. Suhrawardy, Premier, had explained that this was done mainly for the purpose of minimising the risk of conflicts and in the interests of peace and order. Government offices, banks, commercial houses and other business firms remained closed. Morning milk did not arrive at many houses and boarding establishments in Central Calcutta owing to difficulties of transport. Dalhousie Square, the hub of Government and business offices which usually teems with office-workers at 10-30 in the morning, had a Sunday look today, with this difference that no transport services were plying in the area and only a few private cars were to be seen occasionally. Suburban Services Affected The Chief Transportation Manager, Bengal Assam Railway, announces that owing to local intimidation the railway staff are unable to work their suburban trains today. A few private cars were to be seen occasionally. The East Indian and Bengal Nagpur Railway authorities state that their train services are working normally. In an appeal issued on the eve of Direct Action Day, the Secretary of the Calcutta District Muslim League had called for observance of the day in peace and without any intimidation. Uniformed Muslim volunteers with big sticks squatted at important street junctions to prevent vehicular traffic, but police vans arid lorries with steel-helmeted force rushed about without interference. Some shops on Belgatchia Road in North Calcutta, near the tram depot, were looted in the afternoon by a mob. From Dum Dum it is reported that a dozen persons were injured in a clash resulting from the looting of a restaurant there. Some cases of firing by the police to disperse mobs have occurred. Four shops in the Lake Market area in South Calcutta were looted and set on fire by a mob. Stray assaults are reported from the area. There was a fracas between a procession proceeding from Tollygunge to the Muslim mass meeting at Ochterloney Monument and a crowd on Russa Road, in South Calcutta. Following this, bus and other transport services in South Calcutta were suspended. Dr B. C. Roys House Set On Fire The house of Dr B. C. Roy, Congress leader, suffered damage at the hands of a mob. Dr Roy is out of Calcutta. The furniture and property was damaged by the mob, which attacked the house early in the afternoon. The house was also set on fire but the fire was soon extinguished. The property of the Congress Medical Mission to Malaya also suffered in the incident. Earlier in the day, Dr Kiran Sankar Roy, leader of the Bengal Congress Parliamentary Party, contacted the Secretary to the Governor and stated that the situation in the city had become grave. Looting had been going on and stray assaults had taken place on a large scale. It was necessary that steps to control the situation should be strengthened. Mr Roy was told that the military would be called out on picket duty. Mr Suhrawardy late last night said that the situation was improving. The situation quietened down at night. Seven Injured In Patna Seven persons have been admitted in the Patna General Hospital with injuries received in a clash which occurred on the occasion of the observance of Direct Action Day in Vikhnapahari area in Patna on Friday. The condition of one is reported to be precarious. The trouble arose, it is reported, over the hoisting of the Muslim League Flag over the Purnendu Narain Anglo-Sanskrit School which took on ugly turn and resulted in the clash. A large number of armed police are guarding the school area. Hindu students who had not been able to get out of the school premises as a result of the trouble were sent to their respective homes under police escort. Batches of armed police are patrolling the streets and police pickets have been posted in sensitive areas. At the Instance of the Premier a peace patrol consisting of the Muslim League and Congress leaders will go round the town in a van tonight requesting the people to remain calm and not to give way to provocations. Shops Looted in Allahabad In Allahabad, the day passed off peacefully except for the looting, it is reported, of a few khonchawalas (vendors) at the Allahabad Junction station. Confusion also prevailed for some time at the Womens University Hostel when, it is alleged Muslim girl students wanted to hoist the Muslim League flag on the hostel building. A number of Muslim students gathered at the gate of the hostel but later dispersed on the advice of their president. At the D.A.V. school where the authorities feared some trouble, an order under Section 144 Cr.P.C. in the school limits was promulgated by the District authorities and police pickets were posted. AGRA All Muslim shops here remained closed today and Muslim students absented themselves from the classes. A procession which was part of the programme was abandoned. LAHORE A well-attended meeting at which the Nawab of Mamdot, a land-owner in the Punjab and President of the Punjab Muslim League, announced the renunciation of his hereditary title of Nawab was the highlight of the Direct Action Day observances here. The report is silent on whether he also renounced the jagir grant he receives from the Government. Generally Muslim shops remained closed. The observance of the day was peaceful and without incident. Lathi armed poke and police pickets were at important road corners. Addressing the meeting, the Nawab of Mamdot said: Today the Congress has joined hands with the British to crush the freedom urge among the hundred million Muslims of India but I assure you that so long as we remain firm and united no unholy alliance between the British imperia list forces and the Hindu capitalist classes can suppress our upsurge for emancipation. The Nawab of Mamdot said that as a result of the last war the British had been reduced to the position of a third-rate Power. They thought they needed the support of the Hindu capitalist classes to retain their power. The British also thought, the Nawab said that the poverty-stricken Muslim masses - who supported them in the last war-could again be purchased in the next war. The Hindus being a capitalist class could not be purchased and the British wanted the help of the Hindu capitalist classes for an outlet for their trade and commerce. The Nawab of Mamdot said: What we want now is a clash between British imperialism and Russian communism. The British will then demand the help of the Indian Muslims who will demonstrate at that time their power and importance. DELHI The day passed off peacefully in Delhi KARACHI Complete stoppage of business and labour activities and the citys transport services marked the observance of the Direct Action Day in Karachi. Following the declaration of a public holiday by the Sind Government under the Negotiable Instruments Act, all markets, banks, business houses, Government and municipal offices and schools remained closed, while must of the vegetable vendors and hawkers drew their shutters for the day. The observance began with a large procession of Muslim students who held a meeting later. Sheikh Ghulam Hussain Hidayatullah, Premier, Pir Elahi Bux and Mr M. H. Gazder addressed the meeting. While the procession was passing through the Sind Secretariat and the Chief Court some of the students hoisted Muslim League flags on the buildings. The Premier spoke of the circumstances in which the Muslim League Working Committee adopted the Direct Action resolution. He said that the two-nation theory was given a go-by the Muslims when they accepted a Union Government at the Centre in respect of three important subjects. They had agreed to give up the Pakistan demand for ten years in order to belie the cry that Muslims were standing in the way of the freedom of India. Even as regards the Interim Government they had agreed to the 5 : 5 : 3 ratio but finally the Cabinet Mission proposed six Congress, five Muslim League and three others while the Congress demanded the right of nominating one of the misguided Muslims in their quota. He asked if it was equitable and fair on the part of the British Government to ask the Congress to form the Interim Government, while they did not allow the Muslim League to do so, when the Congress turned down and the Muslim League accepted the interim plan. Pir Elahi Bux said that the Bengal Premier had declared that on the day the Congress formed an Interim Government at the Centre he, would raise the flag of independent Bengal. Sind, he added, would follow Bengals example. BOMBAY Muslims in Bombay city and suburbs observed complete hartal today in response to Mr Jinnahs appeal. Shops and business houses in Muslim localities remained closed and trams and buses passing through the area were suspended for the day. The days programme began with a procession. Several lorries full of Muslim League followers, including students, went round Muslim localities and the Fort area shouting League slogans and waving League flags. The authorities, at whose instance a contingent of British troops moved into the city yesterday, posted them in the compound of the police headquarters. AHMEDABAD Muslim shops remained closed in the city today in observance of the Direct Action Day. Muslim workers abstained from the mills while the citys public bus service stopped for want of drivers. SHARE THIS ARTICLE ON Bipartisan support from the US lawmakers is instrumental in bolstering the India-US strategic partnership, Prime Minister Narendra Modi said on Wednesday. Prime Minister Narendra Modi poses for a group picture with the US Congressional delegation during a meeting, (Narendra Modi Twitter) Read here: Prez, Modi lead nation in paying tributes on Vajpayees death anniv Modi made the remarks on Twitter after meeting a delegation of US lawmakers who visited the country at the invitation of the foreign ministry to attend the Independence Day celebrations. Glad to receive a Congressional delegation from US, including co-chairs of India Caucus in the House of Representatives, Rep. @RoKhanna and Rep. @michaelgwaltz. Strong bipartisan support from the US Congress is instrumental in further elevating India-US Comprehensive Global Strategic Partnership, Modi said in a tweet. The delegation included lawmaker Ro Khanna, the Democratic co-chair of the India Caucus, Mike Waltz, the Republican co-chair of the body, representatives Rich McCormick, Ed Case, Kat Cammack, Deborah Ross, Jasmine Crockett and Shri Thanedar. Welcoming the delegation to India, Modi conveyed his appreciation for the consistent and bipartisan support of the US Congress for bilateral relations. He also recalled his recent state visit to the US at the invitation of President Joe Biden. Modi recalled his state visit to the US in June, during which he had an opportunity to address a joint session of the Congress for a second time, the Prime Ministers Office said in a statement. He and the US delegation highlighted that the India-US comprehensive global strategic partnership is based on shared democratic values, respect for rule of law and strong people-to-people ties. Read here: US urges Pakistan to probe into church attacks External affairs minister S Jaishankar also met the US Congressional delegation and discussed the transformation in India. The two sides exchanged views on advancing the bilateral partnership. Jaishankar and the US delegation discussed the global situation and collaboration between the two countries in multilateral, regional and global issues. Jaishankar said in a tweet, A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. Shared our aspirations and expectations for Amritkaal. Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues. SHARE THIS ARTICLE ON Prime Minister Narendra Modi on Wednesday welcomed World Health Organisation director general Tedros Adhanom Ghebreyesus, who arrived in India to attend the WHO-global summit. My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, @DrTedros! the prime minister shared an X post by Ministry of Ayush which shows Ghebreyesus performing a dandiya dance at the venue. Tulsi bhai is a Gujarati name given to the WHO chief by Prime Minister Modi. During his address at the Global AYUSH Investment and Innovation Summit last year, Modi had said,"Tedros is a good friend of mine. He always told me Indian teachers taught me and I am here because of them. Today he told me - 'I have become a pucca Gujarati. Have you decided a name for me?' So I will call him Tulsi bhai as a Gujarati." Prime Minister Narendra Modi. Ghebreyesus will inaugurate the event in the presence of Union Minister of Health Mansukh Mandaviya and Minister of Ayush Sarbananda Sonowal The event will be attended by G20 health ministers, WHO regional directors and eminent invitees from countries across the UN health body's six regions. Besides this, scientists, traditional medicine practitioners, health workers and civil society members are also expected to attend. The sessions will be focused on research, evidence and learning, policy, data and regulation, innovation and digital health, biodiversity, equity and traditional healthcare knowledge. The WHO has been collaborating with member countries in the region to strengthen pharma co-vigilance and research capacity and set benchmarks for training and practices in several traditional medicine systems in the region to assess the efficacy of product, Dr Poonam Khetrapal Singh, WHO Regional Director for South-East Asia, told PTI. The ministry will also be organising yoga and meditation sessions at the Convention Centre. There also will be yoga and meditation sessions at hotel venues, as well as short Yoga breaks at Mahatma Mandir Convention Centre between sessions, ANI reported. SHARE THIS ARTICLE ON President Droupadi Murmu has approved the delimitation of parliamentary and assembly constituencies in Assam, chief minister Himanta Biswa Sarma said on Wednesday, sharing a screenshot of the Gazette of India notification in this regard. Assam Chief Minister Himanta Biswa Sarma addresses a press conference, in Guwahati, Tuesday, Aug. 8, 2023. (PTI) Today the Honorable President has approved the Delimitation Notification issued by the Election Commission of India for Assam. A significant milestone has been achieved in the history of Assam. Jai Maa Bharati, Jai Maa Asom, Sarma announced in a post on X, the social network earlier known as Twitter. I, Droupadi Murmu, President of India, hereby specify the 16th August, 2023 as the date on which the Election Commission's Order No. 1 made vide notification number O.N. 19 (E), dated 20th June 2023 and Order No. 2 made vide notification number O.N. 33 (E), dated 11th August 2023 in respect of the State of Assam, shall take effect, the notification read. The opposition Congress, on the other hand, hit out at the BJP the saffron party governs both the northeastern state and the Centre accusing it of brute authoritarianism. The speed with which Election Commission has rushed through the delimitation in Assam despite serious questions raised by the Supreme Court in the ongoing case is another example of BJPs brute authoritarianism. The BJP also wants to exclude CJI from selecting ECs in the new bill, Lok Sabha MP Gaurav Gogoi, the son of late former chief minister Tarun Gogoi, wrote on X. What is the Assam delimitation exercise? The state has 14 Lok Sabha and 126 assembly seats. The Election Commission of India (ECI), in a final order published last month, retained these numbers, even as it renamed one parliamentary and 19 assembly segments. In addition to this, the poll panel stuck to the delimitation draft, which proposed major changes to 30 of the 126 assembly constituencies. It was, however, not immediately clear what these changes were. Why is there opposition to delimitation? The opposition, including the Congress, has alleged that the reorganisation will result in reduction in the number of seats where Muslims can play a decisive role. The number of such segments has come down come by nine, according to Md. Aminul Islam, a legislator from the All India United Democratic Front (AIUDF). SHARE THIS ARTICLE ON Six people were killed and one was injured after the autorickshaw they were travelling in collided with a speeding lorry coming from the wrong direction in Warangal district of Telangana on Wednesday morning, police said. Police said the victims from Warangal were on their way to collect honey in the forest area in Thorrur when the accident occurred. (HT Archives) The incident took place at Yellanda village of Wardhannapet block at around 8.30 am. While four people, including the auto driver, died on the spot, two others succumbed to injuries at a local hospital. The deceased were identified as Bhattu Srinivas (42), auto driver from Siva Nagar in Warangal, Kurairi Suresh (50), Jabavath Kurairi (25), Amit Mandal (20), Nitish Kumar Mandal, (20) and Roopchand Dhami (35). The injured, identified as Amit Kumar, is undergoing treatment at a private hospital in Hanamkonda. His condition is said to be critical, Warangal commissioner of police AV Ranganath said. Ranganath said the victims from Warangal were on their way to collect honey in the forest area in Thorrur when the accident occurred. The lorry was coming in the wrong direction and its driver lost control over the steering, resulting in collision with the autorickshaw, the police official said. The bodies were shifted to MGM hospital in Warangal for the post-mortem examination. The Wardhannapet police have registered a case and are probing the case, the police commissioner said. Police suspect the lorry driver identified as Mohanlal Naik (40), who was taken into custody, was in an inebriated state. The police further said the bodies were taken out of the mangled autorickshaw with the help of the locals. According to Bhattu Kishan, the elder brother of the auto driver, who lodged a complaint with the Wardhannapet police said, The passengers, originally hailed from Rajasthan and were engaged in collection and sale of honey. They were on their way to Thorrur when a lorry rammed into my brothers auto, he said quoting eyewitnesses. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Srinivasa Rao Apparasu Srinivasa Rao is Senior Assistant Editor based out of Hyderabad covering developments in Andhra Pradesh and Telangana . He has over three decades of reporting experience. ...view detail The Supreme Court on Wednesday halted for 10 days the demolition drive undertaken by the railway authorities to clear alleged illegal constructions near the Krishna Janmabhoomi in Uttar Pradeshs Mathura. Supreme Court of India (Representative Photo) The order comes after the aggrieved residents complained that a civil suit filed by them is pending before a Mathura court and could not be heard due to a strike called by lawyers. Let there be status quo as regards the subject premises for a period of 10 days, the three-judge bench headed by justice Aniruddha Bose said as it issued notices to the Centre and railway authorities. Senior advocate Prashanto Chandra Sen appearing for the residents informed the Court that only 70-80 houses out of the nearly 200 houses in the settlement now remain since its demolition began on August 9. He further informed that prior to demolition, the residents had filed a suit in May this year to restrain railways from claiming the land where they were residing since 1880. The residents in their petition filed through advocate Kaushik Chaudhary said, The action of the respondent (Railways) in demolishing the house is absolutely illegal, arbitrary and in violation of Article 21 of the Constitution of India. On August 9, railways authorities, in collaboration with local police and administration, began an extensive demolition operation aimed at clearing encroachments in the backyard of Sri Krishna Janmbhoomi in Mathura. As many as 135 houses in the Nai Basti a settlement along the railway track in the backyard of the Krishna Janmabhoomi, were razed. The petition pointed out that with the suit pending, the residents had approached the Mathura court and the Allahabad high court but the matter could not be taken up due to a strike by the state bar council on August 12 following the shooting of a lawyer. All the courts are closed and the petitioner could not pursue the issue. And taking advantage of the situation, the railway authority has initiated the process of demolishing the house of the petitioners most arbitrarily, the petition said. The Court indicated to Sen that ultimately, the matter has to be decided by the civil court and posted the matter for next week. The petitioner in this case, Yakub Shah, a 66-year-old resident of Nai Basti, had argued that the railways lacked the authority to dismantle the approximately 200 houses along the railway track due to the ongoing legal proceedings. Shah argued that their houses are the subject matter of the civil suit and if the demolition process is not stayed, the residents shall suffer irreparable loss and injury. He said that the other residents could not file the appeal as they have been compelled to seek refuge in other households after the railway authorities deployed police officials to detain residents to ensure there is no interruption to the demolition work. Earlier on August 14, the top court granted those affected by the railways demolition drive to move the Allahabad High Court to challenge the move. SHARE THIS ARTICLE ON The Supreme Court on Wednesday halted a demolition drive undertaken by Indian Railways near Mathuras Krishna Janmabhoomi for a period of 10 days after the aggrieved residents complained that a civil suit filed by them is pending consideration before a Mathura court and could not be heard due to a strike called by lawyers. On Wednesday morning, bulldozers converged near Sri Krishna Janmbhoomi in Mathura. (HT Photo)(HT_PRINT) Read here: Sri Krishna Janmabhoomi: Nai Basti residents welcome SC order to maintain status quo Senior advocate Prashanto Chandra Sen who appeared for the residents informed the court that the demolition began on August 9 and only 70-80 houses out of the nearly 200 in the settlement now remain. He added that prior to demolition, the residents filed a suit in May this year to restrain Indian Railways from claiming the land where they have been residing since 1880. Most residents in the settlement, called Nai Basti, are Muslims. Railways has said the area is being cleared to convert the 21-km stretch from Mathura to Vrindavan from narrow to broad gauge to facilitate the operation of trains such as the Vande Bharat Express. The demolition is not linked to another legal battle, where Hindu litigants are laying claim to 13.37 acres of land around the Shahi Idgah mosque as the birthplace of Hindu deity Krishna. While the temple is traditionally known as Krishna Janmasthan Mandir, petitioners have referred to it as the Krishna Janmabhoomi Temple in litigation. Protecting the residents till next week, a bench headed by justice Aniruddha Bose issued notice to the Centre and Railways and said, Let there be status quo as regards the subject premises for a period of 10 days. Read here: SC stays demolition drive near Krishna Janmbhoomi in Mathura for 10 days The residents in their petition filed through advocate Kaushik Chaudhary said, The action of the respondent (Railways) in demolishing the house is absolutely illegal, arbitrary and in violation of Article 21 of the Constitution of India. The petition pointed out that with the suit pending, the residents approached the Mathura court and the Allahabad high court but the matter could not be taken up due to a strike call given by the state bar council on August 12 following the shooting of a lawyer. All the courts are closed and the petitioner could not pursue the issue. And taking advantage of the situation, the railway authority has initiated the process of demolishing the house of the petitioners in the most arbitrary manner, the petition said. The Court indicated to Sen that ultimately, the matter has to be decided by the civil court and posted the matter for next week. The petitioner Yakub Shah espoused the cause of the residents of Nai Basti situated at Krishna Janmasthan situated adjacent to the railway line on the Mathura-Vrindavan road. He stated that their houses are the subject matter of the civil suit and if the demolition process is not stayed, the residents shall suffer irreparable loss and injury. We welcome the courts ruling delivered on Wednesday and plan to present our perspective before the apex court during the upcoming weeks hearing. While the railways has already carried out demolitions on properties that had received notices, we now hope that the apex court would deliver justice, said Shah, who is also a resident said. Read here: Court to hear Mathura demolition case on August 14 To be sure, Mathura authorities had on Monday said they had demolished 135 houses and completed the drive. While we are yet to receive the official copy of the order, we have been informed that the Supreme Court has directed the maintenance of status quo for the next ten days. We respect the SCs directive, and the debris at the site will remain untouched until the status quo is maintained, said Prashasti Srivastava, the Public Relations Officer (PRO) of the Agra Division of North Central Railways. The Supreme Court on Wednesday launched a handbook on combating gender stereotypes that would guide judges to avoid the usage of inappropriate gender terms in court orders and legal language. Supreme Court(HT File Photo) Chief Justice of India DY Chandrachud said the guidebook is to identify and eliminate the usage of words and phrases that carry gender biases within court orders and legal language. It helps judges identify and avoid such stereotypes by first-identifying language which promotes gender stereotypes and offering alternative words and phrases, CJI said. READ | Just 13% of HC judges, over 36% at district, subordinate levels women: Govt CJI further said, This is to assist judges and the legal community to identify, understand, and combat stereotypes about women in legal discourse. It contains a glossary of gender unjust terms and suggests alternative words and phrases which may be used while drafting pleadings as well as orders and judgements. It is for lawyers as well as judges. The handbook identifies common stereotypes by women, many of which have been utilised by courts in the past and demonstrates why they are inaccurate and how they may distort the application of the law, CJI Chandrachud said. The intention is not to criticise or cast doubts on judgements but merely to show how stereotypes may unwittingly be employed. To raise awareness against the utilisation of harmful stereotypes, particularly those against women, the handbook aims to explain what stereotypes are", CJI added. He further revealed that the manual and the tutorial for e-filing have been uploaded on the Supreme Court's website and would be followed by the handbook. The handbook was uploaded to the Supreme Court website. The link to the handbook is https://main.sci.gov.in/pdf/LU/16082023_073106.pdf. Five people were killed and five others suffered injuries after the auto-rickshaw which they were travelling in collided head-on with a speeding lorry coming from the opposition direction in Telanganas Warangal district on Wednesday morning, the police said. Representational image. The incident happened in Yellanda village of Wardhannapet block around 8.30 am. The bodies of the victims, including that of the auto-rickshaw driver, were found crushed and are yet to be identified, a police official from Wardhannapet police station said. He said the victims from Warangal were on their way to collect honey from the forest when the accident took place. The lorry was coming from the wrong side and its driver lost control of the vehicle, causing the accident, the officials said. Police suspect that the lorry driver, who was taken into custody, was inebriated. The injured were shifted to the local government hospital at Wardhannapet and the condition of two of them is critical, the police official said, adding that a case of negligent driving will be filed against the lorry driver. SHARE THIS ARTICLE ON Tributes poured in for former prime minister and Bharatiya Janata Party (BJP) stalwart Atal Bihari Vajpayee on his fifth death anniversary. President Droupadi Murmu pays tribute at Sadaiv Atal, samadhi of former PM Atal Bihari Vajpayee, on his death anniversary, in Delhi (ANI) Prime Minister Narendra Modi, President Droupadi Murmu, Vice President Jagdeep Dhankhar, NDA leaders and former BJP ally and Bihar chief minister Nitish Kumar paid floral tributes to Vajpayee at Sadaiv Atal memorial in New Delhi on Wednesday. In a post on X, formerly known as Twitter, PM Modi said that the country has benefitted from Vajpayees leadership. I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nations progress and in taking it to the 21st century in a wide range of sectors, the Prime Minister said in the post. A surprise visitor at the memorial was Bihar chief minister and former ally of the BJP, Nitish Kumar. While the party did not comment on the presence of the former ally, the presence of NDA leaders at the memorial was seen as a message to reinforce the strength of the alliance that will face a united opposition that has grouped together as the INDIA Parties. The BJP has been making a concerted effort to keep the alliance that that was formed 25 years ago together. Efforts are also underway to include more parties under the umbrella of the NDA. Paying tribute to Vajpayee, Union minister Anurag Thakur said, Atal ji ruled crores of hearts...Several generations were inspired by him... On the presence of NDA allies, he said, BJP-led NDA, under the leadership of PM Modi, has seen an increase in the number of allies. We are working with them. We will contest the election together and make PM Modi win... When he gets the opportunity for the third time in 2024, we will bring a wave of development across the country. Several leaders also posted their tributes to the former PM on X. Home minister Amit Shah called him the Ajatshatru (one without enemies) of Indian politics, adding that he made an invaluable contribution to taking the party from zero to where it is at presently through his deft leadership and organisational skills. Ajatshatru of Indian politics, the most respected Atal-ji established the highest standards of politics based on ideology and principles. With his strong will to serve the nation, on one hand, he laid the foundation of good governance and on the other hand, he introduced Indias potential to the whole world from Pokhran. Millions of salutes to such a great man on his death anniversary, who made an invaluable contribution in taking the party from zero to peak with his organizational skills, Shah posted on X. In Madhya Pradesh, chief minister Shivraj Singh Chouhan along with MP BJP chief VD Sharma, ministers and MLAs paid floral tributes at Vajpayees statue located at Shaurya Smarak intersection in Bhopal. The whole life of Atal ji, who started a new era of good governance, was dedicated to the interest of the nation. Your thoughts and principles will always inspire us for the welfare of the nation and society, Chouhan said in a post on X. In Uttar Pradesh, a ceremony was held at the Lok Bhawan where political leaders remembered Vajpayee. Tributes to former prime minister Bharat Ratna and revered Atal Bihari Vajpayee ji on his death anniversary, chief minister Yogi Adityanath said in a post on X. In Uttarakhand, CM Pushkar Singh Dhami paid his respects and offered floral tributes to the late PM at the Chief Ministers residence in Dehradun, the CMs office said. Himachal Pradesh chief minister and Congress leader Sukhvinder Singh Sukhu also offered tributes to the former PM at his statue on the Ridge, Shimla. Speaking on the occasion, the chief minister said, Atal Bihari Vajpayee was a great orator, poet, litterateur, and indeed a multi-faceted personality. Leaders of all political parties have equal respect for him. He said that the ideals of Atal Bihari Vajpayee were a source of inspiration for all. Born in Gwalior in Madhya Pradesh in 1924, Vajpayee was the face of the BJP for decades and was the first non-Congress prime minister to have served a full term in office. One of the first two astronauts from the United Arab Emirates (UAE) Sultan AlNeyadi, who is on a six-month mission to the International Space Station (ISS), shared a breathtaking picture of India's capital New Delhi on Tuesday as he wished the country on the 77th Independence Day. The picture shared by AlNeyadi was shot during the night and showed Delhi lit up. With lights on across the city, the picture revealed a marvelous map of the national capital. (Twitter/@Astro_Alneyadi) AlNeyadi posted on X, formerly known as Twitter, To all Indians around the world, Happy Independence Day from the International Space Station! Sharing with you a capture of India's capital, New Delhi, from space. In his post, AlNeyadi also wrote Namaste, a greeting, in 11 Indian languages including Hindi, Urdu and Punjabi. The picture shared by AlNeyadi was shot during the night and showed Delhi lit up. With lights on across the city, the picture revealed a marvelous map of the national capital. The picture received over 240,000 views and over 7,500 likes. It was reposted by over a 1,000 people. AlNeyadi's other India-related posts from space This is not the first time AlNeyadi shared pictures from the space which were related to India. Earlier this month, he shared images of the Himalayas from space on Twitter. The pictures showed the snow-covered Himalayas set against a backdrop of clouds, creating a breathtaking scene that showcases the magnificence of nature from outer space. The Himalayas from space. Home to the Everest summit, the highest point above sea level on earth, these mountains are one of the iconic landmarks of our planets rich nature, wrote Sultan Al Neyadi while sharing the pictures on Twitter. In June this year, AlNeyadi shared pictures of Cyclone Biparjoy. He said, sharing the pictures on Twitter, Here are some pictures of the cyclone #Biparjoy forming in the Arabian Sea that I clicked over two days from the International Space Station. SHARE THIS ARTICLE ON Around 70 pilgrims stranded on the trek route to Madmaheshwar temple in Uttarakhand's Rudraprayag district were safely evacuated on Wednesday with the help of a helicopter and SDRF personnel, while efforts were underway to rescue over 80 devotees still stuck at the spot, officials said. Uttarakhand SDRF and NDRF conduct a search operation following a landslide due to rains at Gaurikund in Rudraprayag on Tuesday.(ANI) More than 200 pilgrims got stranded on the route on Monday when a bridge at Bantoli in Gaundar village broke down following heavy rains. While 52 of them were rescued with the help of ropes by SDRF personnel by Tuesday evening, 70 more were rescued on Wednesday morning in a helicopter, he said. READ | Uttarakhand rain: Dehradun Defence College building collapses. Video A total of 122 pilgrims have been rescued so far and it is hoped the rest will be rescued by the afternoon, Ukhimath Sub Divisional Magistrate Jitendra Verma said. If the weather continues to be clear all the pilgrims will be rescued by this afternoon, he said, adding adequate food items have been made available at the Madmaheshwar temple to take care of stranded pilgrims and a medical team besides a police sub-inspector have been deputed at the spot. READ | Whats causing heavy rains in Himachal, Uttarakhand? A temporary helipad has been built with the help of locals at a place called Nanu Khark about seven km beneath the Madmaheshwar temple from where the rescue operation is being carried out, he said. The pilgrims are being airlifted from Nanu Khark and dropped off at Ransi village from where they are returning on foot to their respective destinations. SHARE THIS ARTICLE ON World Health Organisation (WHO) Tedros Adhanom Ghebreyesus on Wednesday arrived at Gujarat to attend several conventions on health and medicine and Prime Minister Narendra Modi welcomed him and accorded him a Gujarati name Tulsi bhai. Reacting to the newfound name, the WHO chief said he like being called by a name that makes him a pucca Gujarati. Director-General of the World Health Organisation (WHO) Dr. Tedros Adhanom Ghebreyesus(REUTERS) I like the name Tulsi Bhai because the 'Tulsi'is a medicinal plant. I just planted Tulsi here in the Wellness Centre. I'm really happy to do that because it has many benefits, he said after visiting a health and wellness centre in Gandhinagar. Earlier, PM Modi shared a post on X (formerly Twitter) of Union AYUSH ministry where the WHO chief was shown taking part in a dandiya dance at a venue. My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, @DrTedros! he said. The name was first given to him the Prime Minister at the Global AYUSH Investment and Innovation Summit last year, where he said that Ghebreyesus had asked him for a name after he became a pucca Gujarati. "Tedros is a good friend of mine. He always told me Indian teachers taught me and I am here because of them. Today he told me - 'I have become a pucca Gujarati. Have you decided a name for me?' So I will call him Tulsi bhai as a Gujarati," PM Modi said last year. The WHO chief will take part in the ministerial meeting on ending TB, WHO Traditional Medicine Global Summit and G20 health ministers' meeting. The sessions will be focused on research, evidence and learning, policy, data and regulation, innovation and digital health, biodiversity, equity and traditional healthcare knowledge. The WHO has been collaborating with member countries in the region to strengthen pharma co-vigilance and research capacity and set benchmarks for training and practices in several traditional medicine systems in the region to assess the efficacy of product, Dr Poonam Khetrapal Singh, WHO Regional Director for South-East Asia, told PTI. The ministry will also be organising yoga and meditation sessions at the Convention Centre. There also will be yoga and meditation sessions at hotel venues, as well as short Yoga breaks at Mahatma Mandir Convention Centre between sessions, ANI reported. Ghebreyesus also lauded the Centre's primary healthcare scheme Ayushman Bharat. The investment in primary healthcare in Ayushman Bharat initiative by the Government of India is the right investment, he added. SHARE THIS ARTICLE ON Britain Prime Minister Rishi Sunak attended a Ram Katha event conducted by spiritual leader Morari Bapu at Jesus College, University of Cambridge, on Tuesday. Sunak, who began addressing the event by saying Jai Siya Ram, expressed his delight that it coincided with Indian Independence Day. UK PM Rishi Sunak attends 'Ram Katha' by spiritual leader Morari Bapu at Jesus College, University of Cambridge on Tuesday.(Twitter) Bapu, I am here today not as a Prime Minister, but as a Hindu, Sunak said in his address. For me, faith is very personal. It guides me in every aspect of my life. Being prime minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country, he further said. As the first British PM of Indian origin, Sunak recalled a special moment from 2020 when he lit Diwali diyas outside No. 11 Downing Street, while he was serving as the first British Indian Chancellor. During the gathering, Morari Bapu presented Sunak with a consecrated Shivlinga from the Somnath temple, symbolising a sacred offering from the Jyotirlinga Ram Katha Yatra. Who is Morari Bapu? -Morari Bapu, an Indian spiritual preacher and a renowned exponent of the Ramayana, has conducted Ram Kathas worldwide for over 60 years. Born in 1946 in Gujarat's Bhavnagar, he resides there with his family. -According to Morari Bapu's official website, he memorised the entire Ramcharitmanas at when he was 12 and began reciting Ram Katha at 14. The Ramayana is an ancient epic first composed in Sanskrit by sage Valmiki. Based on this, Goswami Tulsidas later wrote Ramcharitamanas in 16th century Avadhi Hindi, which Morari Bapu uses in his kathas. Morari Bapu memorised the entire Ramcharitmanas at when he was 12 and began reciting Ram Katha at 14. (Official website/Mprari Bapu) -Starting his journey before a small village audience, he has now reached global audiences in major cities, pilgrimage sites in India, and countries like the US, UK, and Australia. -Morari Bapu has also been conducting Ram Kathas for marginalised groups such as sex workers and transgenders. He has been providing assistance to disaster-hit regions, both in India and abroad, such as war-torn Ukraine. -His nine-day Ram Katha at Cambridge University began last Saturday with a reception of Sonita Alleyne, the 41st Master and the first female leader of Jesus College since its founding in 1496. The event will continue until this weekend. SHARE THIS ARTICLE ON NCP president Sharad Pawar on Wednesday asserted he will not align with the BJP and instead work towards bringing about a change in 2024 when the Lok Sabha polls will be held as he sought to downplay his last week's meeting with politically estranged nephew Ajit Pawar. Nationalist Congress Party chief Sharad Pawar(PTI) He sought to nix speculation that the Congress and the Shiv Sena (UBT) were working on a 'Plan B' to contest the 2024 Lok Sabha polls without his faction of the Nationalist Congress Party (NCP). The Congress, the Shiv Sena (UBT) and the NCP (Sharad Pawar faction) are constituents of the opposition Maha Vikas Aghadi (MVA). "The news of a 'Plan B' (by Congress and Sena-UBT to together contest polls) is wrong. No such plan is being made. There is a need for change in 2024 and therefore were are preparing for it, " Sharad Pawar told reporters in Aurangabad ahead of his public rally in Maharashtras Beed district on Thursday. Asked about his hush-hush meeting with Deputy Chief Minister Ajit Pawar, who has aligned with the BJP, in Pune last week, the senior Pawar said, "He is my family member. If any ceremony is planned in our family, naturally I will be consulted at that time." Seeking to clear his stand on the much-talked-about meeting with his nephew, which has caused unease among his allies Congress and the Shiv Sena (UBT), the NCP patriarch asserted, "I will not go with the BJP. To stop unrest among people, we need to bring a change in 2024. We will do every possible to bring this change." The meeting between the NCP founder and Ajit Pawar on August 12 at businessman Atul Chordiyas residence in Pune had sent political circles abuzz with speculation. It also did not go down well with the Congress and the Shiv Sena group led by former CM Uddhav Thackeray. Apparently referring to the split in the NCP after Ajit Pawar and eight other party MLAs joined the Eknath Shinde-led government in early July, the former Union minister said, "Those who have left me (in the past) didn't get election tickets again." Asked about the Ajit Pawar group still using his photos, the former Union minister said, "We are going to court over this issue." Sharad Pawar indicated his faction is in danger of losing the party symbol and noted he has sent his reply to the Election Commission over the issue. "I have replied to the notice sent by the Election Commission. Looking at the decision that came up in regards to the Shiv Sena (whose poll symbol was given to rebel faction led by Eknath Shinde), our party symbol (clock) seems to be in danger. But I don't care for symbol as I have contested elections on many symbols like bullock pair, cow and calf, and won," he said. The rebel NCP faction led by Ajit Pawar has approached the EC and sought allotment of the party symbol clock. The NCP founder said Prime Minister Narendra Modi did not think the situation in Manipur important enough to visit the violence-hit state. The Modi government has been a mute spectator to the goings on in the (Manipur) region. The northeast region is important and sensitive. More attention needs to be paid to the areas bordering China, the former defence minister said. The NCP chief claimed that things happening and being orchestrated in northeast are extremely dangerous for the country. Manipur is an example, he added. PM Modi spoke outside Parliament and gave a three minute video message on day one of the Monsoon session, and also briefly mentioned about Manipur in his lengthy reply to the debate on the no-confidence motion, the NCP chief said. Modi should go to the northeast and give confidence to the people, but he did not think it important. Instead, he preferred to address election meetings in Madhya Pradesh, Sharad Pawar said. Sharad Pawar said injustice has been done to his party colleague and former minister Nawab Malik, who is out on an interim bail in a money laundering case, in which he was arrested in February 2022. "I have spoken to him (Malik). Injustice has been done to him as he was kept in jail for a long time for no reason. Political issues will take their time. It's time for him to be with family," he said. YSR Telangana Party led by YS Sharmila, sister of Andhra Pradesh chief minister YS Jagan Mohan Reddy is likely to merge with the Congress soon, people familiar with the matter said. YSR Telangana party leader YSSharmila, who had returned from New Delhi after meeting with top Congress leaders last week, held a meeting with senior leaders of YSRTP and her followers at her residence in Hyderabad on Tuesday evening. (ANI) Sharmila, who had returned from New Delhi after meeting with top Congress leaders including party general secretary KC Venugopal on Friday last week, held a meeting with senior leaders of YSRTP and her followers at her residence in Hyderabad on Tuesday evening. A party functionary privy to the development said Sharmila indicated to her party leaders that she would be taking an important decision with regard to the party very soon. Whatever may be the platform I would be working on, my whole objective is to bring in the welfare state envisaged by my father late YS Rajasekhar Reddy, she told them. She said the whole objective of her decision was to bring down the dictatorial regime of Bharat Rashtra Samithi (BRS) and that she did not have any other selfish political interest. Sharmila assured that she would ensure justice to all the leaders who joined hands with her in her struggle and their political careers would remain unharmed. The YSRTP functionary quoted above said the Congress high command had initially asked her to take over the Pradesh Congress Committee in Andhra Pradesh and rejuvenate the party there. Even the Telangana Congress leadership was apprehensive that if Sharmila joins the party and contests in Telangana, it might affect the prospects of the party in the coming elections, as she originally hails from Andhra Pradesh, he said. However, Sharmila categorically told the Congress high command that she had no interest in Andhra Pradesh, where the party has little presence. After a few rounds of negotiations, the high command finally agreed to her request for confining herself to only Telangana politics, the YSRTP functionary said, adding that even PCC president Revanth Reddy was told to accept her conditions. During the discussions with Venugopal in New Delhi, Sharmila asked for party tickets for eight assembly seats to her and her followers in the upcoming assembly elections in Telangana. But the high command offered three seats to her and her associates. She is still bargaining for a few more seats, the party functionary said. The merger of YSRTP with the Congress was initially planned for August 20, coinciding with the birth anniversary of late Rajiv Gandhi, but going by the developments, it might get delayed by a few days, the functionary added. Senior Congress leader and Bhongir MP Komatireddy Venkat Reddy, who was also in Delhi during Sharmilas meeting with Venugopal, welcomed her into the party. There is nothing wrong if Sharmila wants to join the Telangana Congress. She will definitely be a plus point for the party, he said. When reporters asked Sharmila about the outcome of her Delhi visit on her return last Friday, she said she would reveal everything at an appropriate time. She, however, thanked Venkat Reddy for inviting her into the Congress. For quite some time, Sharmila has been dropping hints that she would be pairing up with the Congress ahead of the assembly elections in Telangana. On June 19, Sharmila extended greetings to Congress MP Rahul Gandhi on his birthday, wishing him success in inspiring people with patience and serving them through sincere efforts. On July 8, Sharmila thanked Rahul Gandhi for paying tributes to her father Rajasekhar Reddy on the latters birth anniversary. On August 8, she greeted Rahul Gandhi once again for being reinstated as the Lok Sabha member, following a Supreme Court order. SHARE THIS ARTICLE ON The stage is set for the merger of the YSR Telangana Party, floated by Y S Sharmila, sister of Andhra Pradesh chief minister Y S Jagan Mohan Reddy, with the Congress soon, people familiar with the matter said. YS Sharmila. (ANI File Photo) Sharmila, who had returned from New Delhi after meeting with top Congress leaders including party general secretary K C Venugopal on Friday, held a meeting with senior leaders of the YSRTP and her followers at her residence in Hyderabad on Tuesday evening. A party functionary privy to the development said Sharmila indicated to her party leaders that she would be taking an important decision with regard to the party very soon. Whatever may be the platform I would be working on, my whole objective is to bring in the welfare state envisaged by my father late Y S Rajasekhar Reddy, she told them, as quoted by the YSRTP functionary. She said the whole objective of her decision was only to bring down the dictatorial regime of the Bharat Rashtra Samithi (BRS) and that she did not have any other selfish political interest, according to the person cited above. Sharmila assured that she would ensure justice to all the leaders who joined hands with her in her struggle and there was no need for them to worry about their political career, the person added. The YSRTP functionary said the Congress high command had initially asked her to take over the Pradesh Congress Committee in Andhra Pradesh and rejuvenate the party there. Even the Telangana Congress leadership was apprehensive that if Sharmila joins the party and contests in Telangana, it might affect the prospects of the party in the coming elections, as she originally hails from Andhra Pradesh, he said. However, Sharmila categorically told the Congress high command that she had no interest whatsoever in Andhra Pradesh, where the party has little presence. After a few rounds of negotiations, the high command finally agreed to her request for confining herself to only Telangana politics, the YSRTP functionary said, adding that even Congress state president Revanth Reddy was told to accept her conditions. During the discussions with Venugopal in New Delhi, Sharmila asked for party tickets for eight assembly seats to her and her followers in the upcoming assembly elections in Telangana. But the high command offered three seats to her and her associates. She is still bargaining for a few more seats, the person said. The merger of the YSRTP with the Congress was initially planned for August 20, coinciding with the birth anniversary of late Rajiv Gandhi, but going by the developments, it might get delayed by a few days, the functionary added. Senior Congress leader and Bhongir member of Parliament (MP) Komatireddy Venkat Reddy, who was in Delhi during Sharmilas meeting with Venugopal, welcomed her into the party. There is nothing wrong if Sharmila wants to join the Telangana Congress. She will definitely be a plus point for the party, he said. When reporters asked Sharmila about the outcome of her Delhi visit on her return last Friday, she said she would reveal everything at an appropriate time. She, however, thanked Venkat Reddy for inviting her into the Congress. For quite some time, Sharmila has been dropping hints that she would be cosying up with the Congress ahead of the Assembly elections in Telangana. On June 19, Sharmila extended greetings to Congress MP Rahul Gandhi on his birthday, wishing him success in inspiring people with patience and serving them through sincere efforts. On July 8, Sharmila thanked Rahul Gandhi for paying tributes to her father Rajasekhar Reddy on the latters birth anniversary. On August 8, she greeted Rahul Gandhi once again for being reinstated as the Lok Sabha member, following a Supreme Court order. SHARE THIS ARTICLE ON Alia Bhatt has become the talk of the internet because of how she applies her lipstick. Yes, you read that right! If you are a makeup enthusiast or have ever used lip shades, you would know the most common or original way is to swipe the lipstick across your lips to add colour. However, Alia shared in a Vogue Beauty Secrets interview that she likes to move her mouth across the lipstick instead of moving the lip shade across her mouth. Scroll through to check out how she applies it. Alia Bhatt shares the weird way she applies her lipstick and why Ranbir Kapoor tell her to wipe it off. (Instagram) Alia Bhatt's weird way of applying lipstick Alia Bhatt's lipstick tutorial was part of a video where she shared the guide to achieving her go-to natural and foundation-free full-face makeup. The part where she applies the lipstick shows Alia talking about the lip shade she is obsessed with, which she also wore to her wedding with Ranbir Kapoor. "The way I apply my lipstick is not considered [normal]. It's a bit weird," says Alia. Then, she shows viewers how she does it - Alia takes the lipstick and moves her mouth across it to apply colour to her lips. Then, she rubs it off for a muted colour to her lips. Watch it below. Alia shares the reason why she rubs off her lipstick and the Ranbir Kapoor connection After applying the lipstick, Alia Bhatt says, "The reason I do this, I'll tell you why is because a lot of time after eating I touch up my lipstick, I don't know, I think something about moving the lipstick across my mouth is just way more tedious as opposed to moving my mouth across the lipstick." Alia added, "I thought, that was just something that I worked out for myself and then, I rub it off. Because one thing my husband [when he wasn't my husband when he was my boyfriend as well] says when we used to go out at night, he used to say 'Wipe that [lipstick] off. Wipe that off'. Because he loves the natural colour of my lip." What do you think of the way Alia Bhatt applies her lipstick? Meanwhile, Alia Bhatt recently made her Hollywood debut with Heart of Stone starring Gal Gadot and Jamie Dornan. She was also a part of Karan Johar's Rocky Aur Rani Kii Prem Kahaani with Ranveer Singh, Jaya Bachchan, Dharmendra, Shabana Azmi, and others. While the Covid threat worldwide has been on decline, newer variants continue to emerge, although the severity of the disease remains low. New Covid strain EG.5 - more popularly known as Eris has been identified in many countries be it China, US, Japan, South Korea, Cananda and also Australia, Singapore, UK, France, Portugal and Spain. While experts say there is no need to panic the new Omicron strain is not more severe than the existing ones and doesn't pose significant threat. Nonetheless, WHO has designated EG.5 as variant of interest which means it will be monitored for mutations. Eris spreads fast, has immune escaping properties, but symptoms largely remain mild and similar to the previous Omicron strains. Experts say that EG.5 may become dominant strain in some countries in the coming weeks. (Also read: New Covid variant EG.5: Symptoms to transmissibility; 7 things to know about Omicron subvariant Eris) The World Health Organization (WHO) has identified a new EG.5 variant of COVID-19, known as the Eris strain(Pixabay) "The World Health Organization (WHO) has identified a new EG.5 variant of COVID-19, known as the Eris strain. This strain is prevalent in the United States and the UK, and its rapid spread is leading to an increased number of cases and hospitalizations. However, it does not pose a serious threat to public health when compared to previous strains. The symptoms of Eris are less severe than those of the Omicron subvariant," says Dr Rajesh Kumar, Sr. Consultant - Internal Medicine, Paras Health, Gurugram. Dr Kumar says although these symptoms resemble those of previous strains, they are less severe and do not place individuals at a high risk. "While there are no unique symptoms associated with this strain, some common symptoms of the Eris variant include fever, sore throat, sneezing, runny nose, blocked nose, headache, sore muscles, cramps, body pain, nausea, and breathlessness. As of now, India has not witnessed a significant spike or substantial impact in cases. Only one case of this strain has been reported in Pune, Maharashtra, in May 2023. Since then, the WHO has been closely monitoring the situation. However, if anyone experiences any of the aforementioned symptoms, it is imperative that they undergo immediate Covid testing to receive timely treatment," says Dr Kumar. Dr Manisha Arora Sr Consultant and Unit Head, Internal Medicine at Sri Balaji Action Medical Institute, Delhi shares the list of Eris symptoms people should be aware of: "Eris, precisely XBB.1.9.2, is a subvariant of the Omicron variant. Eris shares many of the same symptoms as other COVID-19 variants, including fever, coughing, shortness of breath, exhaustion, aches and pains in the muscles, headaches, and sore throats. A loss of taste or smell may also occur in some Eris patients," says Dr Arora. 1. Fever: A fever is a common symptom and is often one of the first signs. It is characterized by an elevated body temperature above 100.4F (38C). 2. Cough: A persistent dry cough is another common symptom. It can be continuous or occur intermittently. 3. Shortness of breath: Some individuals may experience difficulty breathing or shortness of breath, especially during physical activity or exertion. 4. Fatigue: Feeling unusually tired or experiencing a lack of energy is a common symptom. It may persist even with proper rest. 5. Muscle or body aches: Muscle and body aches, also known as myalgia, are common symptoms. This can range from mild to severe discomfort. 6. Sore throat: A sore throat may occur, causing pain, irritation, or scratchiness in the throat. 7. Congestion or runny nose: Though less common, some individuals may experience nasal congestion or a runny nose, similar to a mild cold. Dr Devashish Desai, Consultant of Infectious Diseases, Ruby Hall Clinic Pune says the symptoms of the Eris variant, which is a descendant of the XBB lineage, are the same as all previous COVID-19 variants. "Most symptomatic patients will have fever with upper respiratory symptoms like runny nose, sore throat and cough. Some may have headache, malaise and body ache. Loss of smell is particularly common. Less than 5-10% may have diarrhoea and abdominal pain. In the event that the patient develops severe disease, shortness of breath may be present, but this may appear some time after the patient has become hypoxic," says Dr Desai. He adds some more symptoms to the list: 8. Headache: A headache is a common symptom of many infections, including COVID-19. It is caused by inflammation of the lining of the brain and nerves. 9. Malaise: Malaise is a general feeling of being unwell. It can be described as feeling tired, weak, or run-down. 10. Loss of smell: Loss of smell is a common symptom of COVID-19. It is caused by damage to the cells in the nose that are responsible for detecting smells. 11. Diarrhoea: It is loose, watery stools. It is caused by inflammation of the lining of the intestines. 12. Abdominal pain: Abdominal pain is pain in the stomach area. It can be caused by a variety of things, including inflammation of the intestines, muscle pain, or gas. Vaping, the act of inhaling and exhaling vapor produced by electronic cigarettes or other similar devices, has gained immense popularity in recent years but while it has been touted as a safer alternative to traditional smoking, concerns have arisen regarding its impact on fertility. Extensive research has shed light on the potential effects of vaping on reproductive health, raising the question: Is vaping a friend or a foe when it comes to fertility? Vaping and reproductive health: Are the all-new popular vapes a friend or a foe of fertility? (Photo by Ernst-Gunther Krause (NID) on Unsplash) In an interview with HT Lifestyle, Dr Aneesha Grover, Infertility Specialist at Nova IVF Fertility, shared, Studies have indicated that vaping may have detrimental effects on both male and female fertility. In males, vaping has been associated with decreased sperm quality and quantity. The chemicals present in e-cigarette vapor, such as nicotine and formaldehyde, have been shown to disrupt sperm production and impair sperm motility. Furthermore, the heat generated by vaping devices can raise the temperature of the testicles, which is known to have a negative impact on sperm production. She revealed, For females, vaping has been linked to disruptions in the menstrual cycle and alterations in hormone levels. Nicotine, a key component of vaping liquids, has been shown to decrease the production of estrogen, which is crucial for proper ovulation and reproductive health. Moreover, studies have suggested that vaping during pregnancy can lead to adverse outcomes, including preterm birth and low birth weight. It is important to note that the long-term effects of vaping on fertility are still being researched, and the existing data may not capture the full extent of the risks involved. Additionally, the wide variety of vaping products and their differing compositions make it challenging to draw definitive conclusions. Dr Aneesha Grover highlighted, Remember, while vaping has gained popularity as a perceived safer alternative to smoking, the evidence suggests that it may pose risks to fertility. Both male and female reproductive health can be affected by the chemicals present in e-cigarette vapor, potentially leading to decreased fertility and adverse pregnancy outcomes. As research in this field continues to evolve, individuals concerned about their fertility should exercise caution when using vaping devices and consider alternative options for nicotine consumption. Bringing her expertise to the same, Dr Ankita Kaushal, Consultant - Motherhood Fertility and IVF at Kharghar in Mumbai, explained, When a long-time smoker wants to give up smoking, vaping is generally considered to be the healthier option. The issue is that nicotine and other common poisons present in cigarettes are still present in vaping cartridges, so you are not really breaking the unhealthy habit; rather, you are merely shifting it to something new. Multiple ongoing researches are now evaluating the full impact of vaping on reproductive function, however, the totality of the data gathered so far indicates that vaping may be harmful to fertility for both men and women. She elaborated, In men, smoking can lead to lower sperm counts and motility, increases DNA fragmentation sperms, as well as an increase in the number of sperm with aberrant shapes, which can lead to lower sperm quality in males. The sperm's capacity to fertilize eggs may be diminished by smoking. Egg loss is accelerated by chemicals like nicotine, cyanide, and carbon monoxide. Eggs cannot regenerate or be replaced after they have died. Additionally, as compared to non-smokers, menopause strikes women who smoke 1 to 4 years earlier. Vaping during pregnancy can potentially have a negative impact on fetal development and hinder newborns' and kids' growth. Although devastating wildfires rage each year around the Mediterranean, heat waves bring temperatures above 40 degrees (104F) and droughts are commonplace, southern European countries such as Spain, Greece and Italy are once again seeing record numbers of tourists this summer. "As of now, there is no change in travel behaviour because of climate change," says tourism professor Stefan Gossling, who researches sustainability and climate change at Linnaeus University in Kalmar, Sweden. The Greek island of Rhodes was ravaged by wildfires. (DW/Christoph Reichwein/dpa/picture alliance) Mediterranean countries are still popular Italy is expected to see a record number of tourists this year. In Spain, hotel bookings are at maximum capacity, just like most summers. According to the German Travel Association (DRV), Mediterranean countries are also among the most popular travel destinations with Germans this year. And the latest forecast by the European Travel Commission (ETC) found that France, Italy and Spain remain the most sought-after travel destinations for Europeans. "Most travellers have a short-term memory," says Professor Ulrich Reinhardt, scientific director of the Foundation for Future Studies in Hamburg, Germany. Sunny beaches, warm weather, friendly locals and opportunities to explore local culture remain very attractive, despite the risk of extreme weather events, he says. "Therefore, many vacationers will still want to spend their holidays in such regions." Still, the impact of climate change on tourism in this part of the world will continue to grow, say experts. Southern Europe becomes less attractive "There will be gradual changes [in tourism trends]," says Gossling. Traveling during the low season will certainly become more popular in Spain, Italy and Greece in the future. The authors of the recently published ETC study conclude that southern regions are facing a "significant decline in tourism demand." Southern Europe's appeal as a tourist region will decline in the summer, they say. Northern and central Europe, on the other hand, could benefit from climate change and attract ever more tourists. Futurologist Ulrich Reinhardt agrees. Northern destinations have already experienced a surge in popularity in recent years. "However, in 20 or 50 years, tourists will not spend their summer vacations exclusively in Scandinavia," he predicts. "Not only because these countries are not geared toward mass tourism they also don't want it." Destinations around the North Sea and Baltic Sea, however, will likely become new hotspots for Western European tourists. "In Europe, I expect a renaissance of Alpine tourism and increasing popularity of eastern European areas," he predicts. Mountains instead of Mallorca Reinhardt also thinks some of the popular tourism destinations in Spain, for example, will be less trendy in the future. "In 20 years, more vacationers will be spending their holidays in the mountains than at Ballermann," he says, referring to the popular beach on the Spanish island of Mallorca. That means sought-after city break destinations will be places like Reykjavik, Tallinn and Copenhagen, rather than Athens, Venice or Barcelona. According to tourism researcher Stefan Gossling, countries in southern Europe must strategically adapt to climate change-related challenges. But such changes are still a long way off. Southern European countries should also change their travel offers, he says: "There has long been too much focus on sun and sea tourism in Mediterranean countries." But the topic does not yet seem to be at the top of the agenda in Spain. In the latest industry report from the Spanish tourism association Exceltur, for example, there is no mention whatsoever of climate change. Many hotels are reacting by jacking up the air conditioning to deal with the heat, but that doesn't solve the problem at all, says Reinhardt. Major efforts are needed, for example, to protect against extreme weather events such as flooding, to set up early warning systems, and to improve water supply, he adds. First steps toward change "Progress is slower than it needs to be," agrees Professor Jorge Olcina, a geographer and climate change expert at the university in Alicante, southern Spain. "But at least the first steps are being taken." Spain, for example, has had a climate change law since 2021, he says, and several cities and regions have drawn up plans to adapt to changing conditions. Benidorm, a tourism stronghold on the Costa Blanca, aims to promote the low season more intensively. This is in part because rising temperatures have presented a challenge to summer tourism. But this high season, Benidorm is still very popular. The local hoteliers' association expects a 90% occupancy rate for August. In recent years, the travel experience has been greatly enhanced by technology, from smartphone applications replacing paper tickets to guests operating hotel amenities without interacting with staff. Today, whether you are island hopping on the Andaman Islands or hiking your way through Himachal Pradesh, the advent and application of AI in the travel industry are on the verge of shifting how we approach exploring the world. Beyond travel search engines, AI technology is making hotel stays more comfortable. Ixigo, an Indian online travel portal, has been using technology like artificial intelligence (AI) and machine learning (ML) for travel for the last 10 years for various use cases like prediction of fares or automating customer service using AI chatbots. But now, with generative AI like ChatGPT which is capable of producing its own content they are leveraging the technology to create customer-focused tools. We recently introduced PLAN by Ixigo, an intelligent trip planner built on OpenAI. In the next phase, we plan to further evolve this feature to include events, social trends and real-time social sharing, allowing users to collaborate with friends and family for a fun and interactive trip-planning experience, says Rajnish Kumar, co-founder and chief technology officer at Ixigo. While PLAN can automatically tag, map and generate a detailed itinerary along with weather and AQI updates, MakeMyTrip, through its collaboration in May with Microsoft, aims to provide a more inclusive and accessible travel planning tool for voice-assisted booking in Indian languages. With voice-generating AI, we are enhancing personalised travel recommendations and enabling native-language conversations between travellers and virtual assistants. This will help users based out of the remotest corners of the country who have trouble engaging with an app environment or the mobile keypad get solutions in their native language. The feature is available in English and Hindi for our flight and holiday offerings, says a spokesperson for the online travel services provider. Beyond travel search engines, AI technology is making hotel stays more comfortable. At The Westin Resort and Spa Himalayas, guests can connect with the team remotely for personalised assistance and use mobile keys to skip the check-in process. The Marriott Group has recently initiated AI-powered facial recognition tech to ease this process further. Jaideep Patil, founder of a travel company, recently tried out ForgeMyTrip.com to curate an itinerary for his trip to Iceland. I was able to input dates and even asked to consider specific criteria like visiting at least one waterfall and one black sand beach. We also asked for a food itinerary tailored for a vegetarian friend and it helped me cut down on hours of planning time while still giving me personalised recommendations, he explains. For Subham Bhalla, a social worker who decided to spend some extra time in Shimla after a work retreat, Roam Around, a ChatGPT-driven travel search engine, mapped up a five-day itinerary that guided him in and around the city without any hiccups. Dos and donts of using AI for travel planning: Use AI as a guide: Employ AI tools like ChatGPT as a helpful guide, starting point or source of inspiration to kick off your travel planning process. Always verify the information: Cross-reference AI-generated recommendations with reliable sources like travel guides, official websites and recent reviews to ensure accuracy and relevance. Dont ignore local expertise: Dont disregard the value of local recommendations, insights and cultural nuances that AI might overlook. Dont skip customisation: Refrain from following an AI itinerary without personalising it as per your interests, preferences and travel style for a more enriching experience. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Sneha Chakraborty Sneha Chakraborty writes on travel, food, culture, gadgets and lifestyle for the Daily Entertainment and Lifestyle supplement, HT City. ...view detail Today, the world is taken aback by Indias amazing scientific potential and prowess that was dormant and unnoticed, but was waiting to emerge whenever provided with an enabling milieu and supportive leadership. It was at that destined moment that Narendra Modi arrived as the prime minister (PM). From gifting the first Covid-19 DNA vaccine to the world to the Chandrayaan mission, it is the evidence-based imprint of Modis government that universally established India as a nation to reckon with. In the last nine years, India has come up with a record number of national policies related to science, technology and innovation (STI) and launched a string of missions. PREMIUM ANRF will provide high-level strategic direction for research, innovation and entrepreneurship in the fields of natural sciences(Pixabay) As per the Science and Engineering Research Board (SERB) data, averaged over the last 10 years, nearly 65% of total research funds are being given to institutions of national importance such as Indian Institutes of Science, Indian Institutes of Technology (IIT), and Indian Institutes of Science Education and Research, and only 11% of funds are provided to state universities, where the number of researchers is much larger. This is because the present system of funding is grant driven. Similarly, the research infrastructure in most state universities is poorer compared to national labs. The academia-industry partnership and international collaboration in our universities have been rather inadequate. It was the vision of PM Modi to establish a truly transformational Anusandhan National Research Foundation (ANRF), which will not only address some of the big challenges of the present research and development (R&D) ecosystem but also provide a long-term R&D vision, positioning India as a global R&D leader in the next five years. ANRF will provide high-level strategic direction for research, innovation and entrepreneurship in the fields of natural sciences, including mathematical sciences, engineering and technology, environmental and earth sciences, health and agriculture. It will also encourage scientific and technological interfaces of humanities and social sciences to promote, monitor and provide support as required for such research. ANRF will seed, grow and promote R&D and foster a culture of research and innovation throughout Indias universities, colleges, research institutions, and R&D laboratories. The department of science and technology (DST) will be the administrative department of ANRF, which will be governed by a board consisting of eminent researchers and professionals across disciplines with the PM as the ex-officio president and the Union ministers of science and education as ex-officio vice-presidents. ANRFs functioning will be governed by an executive council chaired by the principal scientific adviser to the government. ANRF will forge collaborations among the industry, academia, and government departments and research institutions, and create an interface mechanism for the participation and contribution of industries and state governments, in addition to the scientific and line ministries. It will focus on creating a policy framework and putting in place regulatory processes that can encourage collaboration and increased spending by the industry on R&D. ANRF will be established at a total estimated cost of 50,000 crores during five years (2023-28) with three components: A SERB fund of 4,000 crore; an ANRF fund of 10,000 crore out of which 10% ( 1,000 crore) will be earmarked for innovation. The innovation fund will be utilised for R&D in partnership with the private sector and the 36,000 crore fund will be contributed by industry, philanthropist organisations, and international institutions. The Centre currently provides funds of 800 crore per year to SERB with little or no contributions from the private sector. In the proposed ANRF, the government contribution is proposed to be increased nearly 3.5 times from 800 crore to 2,800 crore per year. The private sector contributions in the proposed ANRF are being introduced to the tune of 36,000 crore for five years, at nearly 7,200 crore per year. ANRF will prove to be one of Indias most transformational steps towards achieving Indias global R&D leadership and making India atmanirbhar (self reliant) in technology in the years to come. Jitendra Singh is minister of State (independent charge), ministry science and technology, minister of state for Prime Ministers office; personnel, public grievances and pensions; department of atomic energy and department of space. The views expressed are personal On July 25, Indian Parliaments External Affairs Committee completed a report on Indias Neighbourhood First Policy (NFP) based on deliberations with the external affairs ministry officials and a couple of foreign-policy experts. PREMIUM While New Delhi and Kathmandu initiated a 25-year framework agreement on power exports to India during Prime Minister Pushpa Kamal Dahal Prachandas recent India visit, the agreement is yet to be signed two and a half months after the visit(AFP) Though the report keeps short of offering an analysis of Indias foreign policy within the ambit of the NFP, it offers extensive details on New Delhis engagement with its south Asian neighbours including Nepal. The committee subjected NFP to a detailed examination for a period between 2019-2023. In fact, the political stage for the policy was set as early as May 26, 2014, when Narendra Modi, the newly elected prime minister (PM), invited heads of government from all south Asian countries during his swearing-in ceremony a first. Other than the much-publicised visit by former Pakistan prime minister Nawaz Sharif, the then Afghan president Hamid Karzai and former Sri Lankan president Mahinda Rajapaksa also attended the event, as did the previous prime ministers of the Himalayan nations, Bhutan and Nepal - Tshering Tobgay and Sushil Koirala. On his first day in office, Modis emphasis on the primacy of the neighbourhood in Indias foreign policy calculus was strongly symbolic. When he visited Kathmandu in August of that year, he also became the first Indian prime minister to do so in 17 years. A year later, he was the first standalone Indian prime minister to visit Sri Lanka since 1987. In the aftermath of the devastating earthquake in Nepal (also called the Gorkha earthquake) in April 2015, Operation Maitri (which entailed rescue, relief, rehabilitation, and reconstruction) became Indias largest post-disaster assistance programme outside the country. As PM, Modi has made five visits to Nepal; he had more visits only to France, Japan, Germany and the United States. The NFP emphasises that the neighbours should draw benefits from Indias economic growth and political rise. Here it is important to understand both how India views the policy and what are the hurdles to the generic foreign-policy pronouncement as its neighbours see it. The newly released report points out (quoting an unnamed foreign secretary) that the policy got more salience after 2014 when the BJP government decided that the neighbourhood needs more attention, built on the recognition that the optimal management of bilateral ties with the immediate neighbourhood is as much a domestic security and economic imperative as it is a strategic foreign-policy requirement. The report also stresses that the policy has close linkages with Indias border areas, particularly its Northeast, which borders Bangladesh, Bhutan, Myanmar, China and Nepal. And both Nepal and Bangladesh border five Indian states. Sikkim, West Bengal, Bihar, Uttar Pradesh and Uttarakhand in the case of Nepal; and Assam, Meghalaya, Mizoram, Tripura and West Bengal in case of Bangladesh. Nepal shares close to 1,850-km long border with India; India shares more than 4000-km border with Bangladesh, making it Indias longest international border. While Indias security concerns are understandable, the report emphasises, New Delhi must also focus on building economic and infrastructure connectivity with neighbours. Expedite export of power from Nepal to India For Nepal, India is not just the largest trading partner, the bilateral trade is also heavily in favour of India, a major political irritant in Nepal-India ties. As a result of economic protectionism, the report quotes an expert, India has neglected its borderlands and neighbours. Power export to India has emerged as a potential economic leveller in the long term for Nepal, but the export regime faces major political and bureaucratic hurdles, both in the short and medium terms. There is a persistent concern in Kathmandu, not least in the political circle, that New Delhis policies could prevent Nepals expansion as a power exporter. India enjoys monopsony a sole market in Nepals power exports and will remain dependent on the Indian grid in its bid to diversify the power market to Bangladesh. While New Delhi and Kathmandu initiated a 25-year framework agreement on power exports to India during Prime Minister Pushpa Kamal Dahal alias Prachandas recent India visit, the agreement is yet to be signed two and a half months after the visit. The agreement will ensure long-term market access to Nepals exports and open new opportunities for investment in its power sector. The lack of tangible progress in power exports continues to draw public attention in Nepal after what was believed to be a breakthrough during Dahals Delhi visit. There was widespread euphoria in Nepal, particularly among stakeholders in the power sector, when Modi announced that India would import 10,000 megawatts in 10 years during his joint press conference with Prachanda, observed the Kathmandu Post early this week. On August 5, Modi and Prachanda spoke on the phone, with the Indian PMO later stating that the two leaders reviewed various aspects of the India-Nepal bilateral cooperation and followed up on discussions held during Nepal PMs recent India visit. Nepal, a close and friendly neighbour, the PMO said, is a key partner in Indias NFP. As far as Kathmandu is concerned, the key, for now, squarely rests with India. Delhi could surely do better to ease Nepals current economic slowdown and, towards that end, facilitating power exports in the long term would deliver a political message to the Nepali people: That Indias NFP is committed to the idea of shared economic prosperity in the immediate neighbourhood. Akhilesh Upadhyay is a former editor of the Kathmandu Post, and a Senior Fellow at IIDS, a Kathmandu-based think tank. The views expressed here are personal. NASA is all set for an active year ahead, with lots of launches planned for last 4 months of 2023 and 2024. These are missions that will travel to the Moon, asteroids, and even the Sun. You can become a virtual guest by watching these launches LIVE and discover the science behind them. NASA's exciting plans: Astronaut launches to ISS X-ray mission Asteroid samples return Moon exploration Metal asteroid study Lunar rover mission NASA's Exciting Missions Ahead SpaceX Crew-7 Launch Guide SpaceX Crew-7 Launch image ( Credit Nasa) When: Targeted Date - August 25, 2023, 3:49 a.m. Eastern What: NASA's astronauts Jasmin Moghbeli and Andreas Mogensen will command the spacecraft for a mission to the International Space Station. Launching on a SpaceX Falcon 9 rocket. Where: Launch Complex 39A at NASAs Kennedy Space Center, Florida. XRISM Mission Guide When: August 25, 2023, 8:34 p.m. Eastern (Japan Standard Time - August 26, 2023, 9:34 a.m.) What: A joint mission with Japan Aerospace Exploration Agency and NASA. Using X-ray telescopes to study X-ray objects in space. OSIRIS-REx Earth Sample Return Landing Guide When: September 24, 2023 What: A capsule from the OSIRIS-REx spacecraft with pieces of asteroid Bennu will parachute to Utah's West Desert for study. Intuitive Machines CLPS Flight IM-1 Guide Illustration of Intuitive Machines' Nova-C lunar lander. Credits: Intuitive Machines When: Third Quarter 2023 What: Robotic NASA tools delivered to the Moon's surface for studying the Moon's features. Psyche Mission Guide When: October 5, 2023, 10:38 a.m. Eastern What: A journey to asteroid Psyche with high metal content to understand solar system origins. Lunar Trailblazer Guide Lunar Trailblazer When: No earlier than November 2023 What: Small satellite to understand Moon's water and its cycle. Intuitive Machines CLPS Flight IM-2 Guide When: No earlier than November 2023 What: Delivers NASA's PRIME-1 drill to Moon's surface. GUSTO Mission Guide When: No earlier than November 2023 What: Launching from Antarctica to measure emissions from interstellar medium. Firefly Aerospace Commercial Lunar Payload Services Firefly Aerospace Commercial Lunar Payload Services When: 2023 What: Investigations and technology demonstrations on the Moon's surface. Astrobotic Peregrine Mission-1 When: Under Review What: Robotic NASA payloads sent to lunar surface. X-59 First Flight X-59 First Flight image When: 2023 What: First flight of quiet supersonic aircraft to reduce sonic booms. Axiom Mission 3 Axiom Mission 3 When: No Earlier Than Summer 2024 What: NASA astronauts fly aboard Starliner spacecraft. VIPER Moon Rover Mission When: November 2024 What: Lunar rover explores Moon's South Pole. Artemis II Launch When: November 2024 What: Crewed mission around the Moon for exploration. ASTHROS When: No Earlier Than December 2024 What: Balloon-based telescope studies star formation in galaxies. Artemis III Launch Artemis III Launch When: 2025 What: Sending astronauts to explore near the Moon's South Pole. How to watch NASA's upcoming launch mission? Live Streams: NASA often provides live streams of their launches on their official website (nasa.gov) and their YouTube channel (NASA). Tune in on August 25th to catch the live coverage of the XRISM launch. Social Media: NASA also shares live updates and coverage on their social media platforms like Twitter (@NASA) and Facebook (NASA). Follow their accounts for real-time information and streaming links. News Outlets: Many news outlets and space-related websites also cover NASA launches live. Check websites like Space.com, CNN, or your local news channels for live coverage. NASA App: Download the official NASA app on your smartphone or tablet. It often provides live streaming of events, including launches. Streaming Services: Some streaming platforms, like YouTube TV and Hulu Live, offer access to live news channels that might cover the launch. Stay tuned for more updates on NASA's exciting space endeavours! Microsoft co-founder Bill Gates recently launched his podcast Unconfuse Me. In the second episode, he invited Khan Academy founder Sal Khan to chat about various topics. For the unversed, Khan Academy is an American non-profit educational organisation that produces online tools for assisting students in their education. Bill Gates interviewing Sal Khan in the second episode of his podcast Unconfuse Me. (YouTube/Bill Gates) During the podcast, Gates asked Khan if he had ever been confused with Bollywood actor Salman Khan. Gates, holding up an image of Salman Khan, joked, If you do a web search on Sal Khan, you might get some of this guy. So, do you ever get confused with Salman Khan? Khan admitted, I do. In fact, in the early days of Khan Academy, I got letters from some of his fans saying that Ive always been in love with you and I didnt know you could do math and all that, he added as Bill Gates laughed. Khan also shared an incident from 2015 when he shared the stage with Salman Khan due to their shared names. So when I went to India in 2015, we had a live interview on national television between the two of us. Just by virtue of having the same name. During the podcast, Gates and Khan discussed various topics, including how artificial intelligence will transform education. They also discussed why tutoring is essential and how teachers can take centre stage in the classroom in the age of AI. They even reminisced about their favourite teachers and the subjects they wished they had studied in school. Watch Bill Gates interviewing Sal Khan below: The podcast was uploaded on August 11 on YouTube. It has since accumulated over 25,000 views and more than 600 likes. What are your thoughts on this? SHARE THIS ARTICLE ON A Reddit user recently shared a picture of a room and asked people how much rent they would pay for a place like that. However, the picture of the room has shocked netizens. This room with an attached toilet has irked netizens. (Reddit/@supermarketblues) Whats the max rent you would pay for this kind of place in GK 2? asked Reddit user supermarketblues while sharing a picture of a room on the platforms community named Delhi. Also Read| US woman travels to internship via plane to save rent money in New Jersey The image depicts a room with a white and green colour scheme and practical amenities such as a pedestal fan, bed, air conditioner, and even a shower cubicle. However, the presence of a western commode beside the shower area without any walls or partitions to separate it from the rest of the room has left people in disbelief. Take a look at the picture of the room here: The picture was shared two days ago on Reddit. It has since accumulated over 1,000 upvotes and a flurry of comments. Check out the reactions of people to this room: Thats a toilet with a bed, posted a Redditor. Thats a prison with extra space and facilities, added another. A third expressed, Mai Uttam Nagar me kisi chote room mein reh lunga, par is room me kabhi nahi [I will stay in a small room in Uttam Nagar, but never in this room]. Ye studio room hai ya extended bathroom mei bed daal diya? 2,000 se zyada nahi dena. Isko dekh kar 2,000 bhi bahot lag raha [Is this a studio room or a bed placed in an extended bathroom? Dont pay more than 2,000 for it. It looks like its not even worth 2,000], wrote a fourth. 150 dega baba [I will give 150 only], declared a fourth. A fifth joined, Dont shit where you eat literally. What are your thoughts on this room? Do you think its a suitable living space? Would you sign up to live in a room like this? SHARE THIS ARTICLE ON Mukesh Ambani, the Chairman of Reliance Industries, his wife Nita Ambani, their children Akash, Isha, and Anant, daughter-in-law Shloka and grandchildren Prithvi and Veda, celebrated Independence Day at Stoke Park, a historic property in London. A video from their celebration has now gained traction on social media, drawing attention from many. Nita Ambani handing the Indian flag to her grandson Prithvi. (Facebook/Reliance Industries Limited ) The video was shared on the Facebook page of Reliance Industries Limited with the caption, Ambani family celebrates 77th Independence Day. The video opens to show Nita Ambani proudly waving the Indian flag, with Mukesh Ambani joining in later. The video then transitions to show Nita Ambani, with daughter Isha Ambani and daughter-in-law Shloka Ambani. While Isha is holding Veda, Shloka is holding Prithvi. Towards the end, Nita Ambani hands the flag to her grandson, Prithvi. Watch the Ambani family celebrating the 77th I-Day below: The video was posted on Facebook a few hours ago. It has since accumulated over 2,000 views, and the numbers are still increasing. Additionally, the post has raked scores of likes and comments. Heres how people reacted to this video capturing the Ambani family: A Facebook user wrote, Happy Independence Day. Jai Hind. Vande Mataram. Celebration of #IndependenceDay by honourable Mr. & Mrs. Nita Mukesh Ambani and family. It is filled with happiness, love and patriotic fervour. Congratulations and best wishes. Jai Hind, posted another. A third simply commented, Jai Hind. What are your thoughts on this video? How did you celebrate Independence Day 2023? SHARE THIS ARTICLE ON A Florida scuba diver rescued a shark who was found stuck to an artificial reef via a hook caught in its mouth. Taz Felde, a scuba instructor with Under Pressure Divers in Florida, shared on Instagram how he and his partner saved the shark. (Also Read: Shark swims close to beachgoers in US, hair-raising clip goes viral) Snapshot of the man saving a shark who was stuck.(Instagram/@TezzFelde) Tezz Falde wrote, "We were made aware of a Nurse Shark in distress at a local man-made reef here in Destin Florida. The Artificial Reef system is located at Beasley Park on the island in Ft Walton Beach. It sits in about 18-22 feet of water. Another diver reported that he and his dive buddy came across a large Nurse Shark that was trapped and had a hook in its mouth and tethered to one of the reef structures. They had made several attempts to free the animal with no luck. So I and a fellow diver (Diver Dan) headed to Beasley to see if we could help." He further added, "We located the shark very quickly and we were able to cut the steel leader that was attached to the hook and free the shark from its entanglement. We did lose our pliers as they were pinched on the leader when it left in a hurry." Watch the video of this Shark rescue here: This post was shared on July 25. Since being posted, it has been liked a few times and has received a few comments. Check out what people are saying about this video here: An individual wrote, "Thank you for helping the shark. My respect! I am an assistant diving instructor. Greetings from Germany." A second commented, "A true act of kindness." "That's awesome," shared a third. What are your thoughts on this video? Five Lahaina residents have filed a lawsuit against Hawaiian Electric Industries, the largest electricity provider in Hawaii, accusing the company of causing the Maui wildfire disaster that killed 99 people, destroyed thousands of homes, and burned over 11,000 acres last week. Fire damage is shown in the Wahikuli Terrace neighborhood in the fire ravaged town of Lahaina on the island of Maui in Hawaii, U.S., August 15, 2023. REUTERS/Mike Blake TPX IMAGES OF THE DAY(REUTERS) The lawsuit claims that the company was a substantial factor in the worst U.S. wildfire in more than 100 years and that it should be liable for negligence, trespass, and nuisance." The lawsuit was filed on Monday by Singleton Schreiber, a law firm based in San Diego and Wailuku. Gerald Singleton, the managing partner of the firm, said that Everything weve seen indicates the power lines started the fire. The lawsuit alleges that Hawaiian Electric failed to de-energize their power lines or implement a public power shutoff plan to prevent the fire from spreading, despite having enough time and expertise to do so. The lawsuit also states that the companys aging utility infrastructure consists of wooden poles and exposed power lines that are prone to sparking fires. Plus, the company has a history of neglecting the maintenance and inspection of its equipment and the dry vegetation around its power lines. ALSO READ| 'Island the where you see...' Joe Biden forgets Maui's name after weeks of silence on the tragedy The lawsuit argues that Hawaiian Electric ignored the warnings of local officials and the National Weather Service about the extreme fire risk posed by Hurricane Dora, which passed south of the state on Aug. 9. The hurricane brought strong and gusty easterly winds and created a fire weather watch and a red flag warning for dry areas. Electrical workers repair power lines leading into the fire ravaged town of Lahaina on the island of Maui in Hawaii, U.S., August 15, 2023. REUTERS/Mike Blake(REUTERS) Singleton said that he is waiting for Hawaiian Electric to respond to the lawsuit. The company has not commented on the pending litigation, as per its policy. The company said that it is currently focused on supporting emergency response efforts and restoring any lost electricity. Singleton said that People are very frustrated and upset, even though this is not something that took people by surprise, Hawaiian Electric knew there was a hurricane and did not take the steps they couldve easily taken to protect people. The power wasnt shut off, these different options werent employed, he added. Its been incredibly traumatic. Incredibly traumatic experience The plaintiffs are homeowners, business owners, and renters who lost property in the fire and are seeking damages to help them rebuild and recover their losses. Singleton said that more and more people affected by the west Maui fires are contacting his law firm to file their own lawsuit. He expects a settlement but also anticipates a challenge in how much the company will be able to pay or if it will go bankrupt. The hall of historic Waiola Church in Lahaina and nearby Lahaina Hongwanji Mission are engulfed in flames along Wainee Street on Tuesday, Aug. 8, 2023, in Lahaina, Hawaii. (Matthew Thayer/The Maui News via AP)(AP) The plaintiffs did not want to comment on the case but many Maui residents are unhappy with how the fire was handled and prevented. Lianne Driessen, a Lahaina woman who lost her family home in the fire but is not a plaintiff in the case, said, There still is a lot of uncertainty, I feel on a personal level a lot of anger, Im not a political person, I love my country, Im proud to be American but the lack of immediate response and continued response (is frustrating). She also said, We lost hundreds of people and an entire community. Some individuals recollected how power lines played a role in the initial phases of the wildfires. Kyle Ellison, a Kula resident who is not involved in the lawsuit, witnessed the fire's inception in his backyard on a Tuesday morning. "There's a ravine behind our home, and the winds have been incredibly strong," he remarked on the following Wednesday. ALSO READ| Survivors of Maui wildfires claim they are being robbed at gunpoint: Report He observed several trees toppling over and then heard a distinct loud sound, "which we can only assume was an electrical transformer." Within a minute, his internet connection vanished, and the smell of smoke permeated the air. While his own house remained intact, the neighboring houses did not fare as well. "I've been a lifelong resident here, and I've never witnessed anything of this magnitude," he expressed. Engineering student Somaya Faruqi had to flee Afghanistan to continue her studies after the Taliban government returned to power two years ago and banned more than 1.1 million girls and women from schools and universities. People protest in Parliament Square at the anniversary of the Taliban takeover of Afghanistan in London, Tuesday, Aug. 15, 2023.(AP) The 21-year-old, now living in the United States, is the face of a campaign launched Tuesday by the UN's Education Cannot Wait global fund to combat the crisis, marking the two-year anniversary of the fall of the internationally recognized government in Kabul. Under the motto #AfghanGirlsVoices, the operation is spearheading a global call to respect all Afghan girls' and women's right to education. Countless of these girls and women have already had to leave the country to continue their education. Faruqi, for example, finished high school in Qatar after she and nine other girls from her robotics team, "The Afghan Dreamers" left Afghanistan in 2021. Now, she is beginning her second year studying engineering at Sacramento State university in California, thanks to a scholarship from Qatar. - 'Forgotten' - "This campaign is meant to bring the attention of the world again to the girls in Afghanistan, and (their) education issues," Faruqi told AFP by telephone. "Afghanistan seems to be forgotten," she added. The near-total exclusion of women from Afghan public life, including in education and employment, has become one of the major sticking points preventing the international community from offering aid and official recognition to the Taliban government. Conditions for women and girls in Afghanistan are the "worst globally," a UN report found last month, saying that the Taliban government's policies -- which are based on their strict interpretation of Islam -- could amount to a "gender apartheid." In fact, the state of women's rights in Afghanistan "should count as a crime against humanity, and it should be prosecuted by the International Criminal Court," the UN special envoy for global education, former British Prime Minister Gordon Brown, told reporters during a video meeting Tuesday. - 'Tragic' - In 2021, only one month after returning to power for the first time in 20 years, the Taliban authorities banned girls from attending secondary school, before closing university doors to them in December 2022 and then heavily restricting their participation in the workforce. For Faruqi, these circumstances cannot stand: "We have to make sure that (girls and women) have access to equal opportunities, and they have access to education, because education is the key to freedom," she told AFP. "Girls have been banned from public spaces: schools, gyms, parks; there is nothing allowed for them to do; just to stay at home," she explained in a UN statement Tuesday. For many families, the only path forward for their daughters is marriage, "regardless of their consent," she told AFP, adding that many of her own classmates have been forced to marry. "Depression is widespread. The rate of suicide for girls has gone up a lot in the last two years. It is tragic," she said in the statement. The Education Cannot Wait campaign will aim to raise global awareness of the issue via social media into next month, amplifying the voices of Afghan girls and women just as world leaders gather for the UN General Assembly September 18 and 19. SHARE THIS ARTICLE ON Chinas abrupt decision to pause releasing data on its soaring youth jobless rate this week was the latest sign the Asian giant is increasingly restricting sensitive information especially when its unflattering to the nations faltering economy. Chinese President Xi Jinping(Reuters) The unemployment rate of people aged 16-24 fell into that prickly category, after hitting a record of 21.3% in June. One fifth of young people being out of work is a troubling statistic for a ruling Communist Party obsessed with maintaining social stability. As Chinas economy battles a slew of threats to its economic expansion target for 2023, a wider range of data is being deemed unsuitable for public consumption. President Xi Jinpings ideological battle with the US has also motivated Beijing to ringfence data it believes could advantage the Biden administration. While much of Chinas vanishing data disappears quietly, the decision to hold back the jobless rate was announced at a press briefing. The National Bureau of Statistics has a history of halting releases that are uncomplimentary for the economy, but they dont usually make the decision public. Heres a look at some of the datasets that have been restricted recently: Youth Unemployment The government last month indicated that Julys figure would probably increase, setting another record. Then suddenly on Tuesday, officials said they would pause publishing the data, citing the need to iron out the method for how it is assessed. Calculating the actual employment rate is complex and its plausible the government decided the changing nature of the economy and labor patterns means their current model isnt accurately reflecting reality. However, the timing of the move raises questions, given how the number was set to hit another record. The authorities indicated they may resume publishing the data in the coming months. Land Sales Numbers showing the amount of land developers bought and the price they paid have been missing from the monthly release. The data series goes back to 1998. The move came as the amount of land sold for development slumped more than 50% last year. That decline indicated the housing crisis was worse than the government has said. Local government revenue from land sales last year only fell 23%, according to official figures. Currency Reserves Another curious data point is the amount of money the government holds in official foreign exchange assets, which has held remarkably steady since 2017. Thats despite China running an increasingly large trade surplus over that period, which should have led to an increase in reserves. Brad Setser, the former US trade and Treasury official, suggests that half of the actual reserves are hidden. Many of the nations reserves dont show up in the official books of the Peoples Bank of China because theyre stashed in shadow reserves, appearing among the assets of entities such as state commercial lenders and policy banks, he said. Despite the growing trade and current account surplus, the currency has also been stable, indicating that some of that money is likely being used to intervene in currency markets. China's fertility rate is estimated to have dropped to a record low of 1.09 in 2022, the National Business Daily said quoting numbers from China's Population and Development Research Center as Beijing tries to boost the country's declining number of new births. With this, China has the lowest fertility level among countries with a population of more than 100 million. China's fertility rate is already one of the world's lowest alongside South Korea, Taiwan, Hong Kong and Singapore. China Population Decline: A boy wearing a face mask carries a Chinese flag as he walks along a pedestrian shopping street in Beijing.(AP) Following China's first population drop in six decades and its rapid ageing population, Beijing has been trying measures to lift the birth rate including financial incentives and improved childcare facilities. China has said it will focus on education, science and technology to improve population quality and strive to maintain a "moderate fertility" level to support economic growth. Why China is witnessing a population drop? High childcare costs and limited career options after having a child have put many women off having more children or any at all, experts have said as gender discrimination and traditional stereotypes of women caring for their children are still widespread throughout the country. Paternity leave is still limited in most provinces in the country. Hong Kong's Family Planning Association said that the number of childless women in the special Chinese administrative region more than doubled from five years ago to 43.2% last year. The percentage of couples with one or two children also fell while the average number of children per woman dropped from 1.3 in 2017 to a record low of 0.9 last year, according to its survey. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Mallika Soni When not reading, this ex-literature student can be found searching for an answer to the question, "What is the purpose of journalism in society?" ...view detail According to a new Emerson College poll, former New Jersey Gov. Chris Christie has overtaken Florida Gov. Ron DeSantis in the race for the 2024 Republican presidential nomination in New Hampshire. Republican presidential candidate and former New Jersey Governor Chris Christie speaks at a Tell it Like it Is PAC town hall campaign event in Salem, New Hampshire, U.S., August 9, 2023. REUTERS/Brian Snyder(REUTERS) The recent poll, which surveyed 837 registered voters in the state from Aug. 9 to Aug. 11, shows that Christie is in second place behind former President Donald Trump, who remains the clear frontrunner. The poll results indicate that Trump has the support of 49% of voters, while Christie has 9% and DeSantis has 8%. DeSantis has seen a significant drop in his popularity since March, when he had 17% support in the same poll. Christies slight edge over DeSantis is within the polls margin of error of 3.4 percentage points. Spencer Kimball, the executive director of Emerson College Polling, said in a statement that DeSantis had been the main challenger to Trump in previous polls, but this was the first time he fell behind Christie and other candidates. DeSantis has been the alternative to Trump in Emerson polling this presidential cycle. This is the first time we have seen DeSantis drop out of second place in our polling, and fall back into the pack of candidates. FILE PHOTO: Republican U.S. presidential candidate and Florida Governor Ron DeSantis signs a supporter's hat, as he campaigns at the Iowa State Fair in Des Moines, Iowa, U.S. August 12, 2023. REUTERS/Scott Morgan/File Photo(REUTERS) Christie, who announced his candidacy at an event in New Hampshire, has focused his campaign efforts on the state, which holds the first presidential primary in the nation. The Florida Governor, on the other hand, has shifted his attention to Iowa, which hosts the first caucus. He recently hired David Polyansky, an Iowa political strategist, as his new deputy campaign manager. He also visited the Iowa State Fair and attended several events with Iowa Gov. Kim Reynolds, a Republican. ALSO READ| Biden forgets Mauis name as he finally speaks about Hawaii wildfires The Emerson College poll also found that Sen. Tim Scott (R-SC) was behind DeSantis with 6% support, followed by North Dakota Gov. Doug Burgum and former South Carolina Gov. Nikki Haley, who both had 4%. Entrepreneur Vivek Ramaswamy had 3%, businessman Perry Johnson had 2%, and former Vice President Mike Pence and former Rep. Will Hurd both had 1%. The poll also showed that 13% of voters were still undecided. Several churches were set on fire by a rampaging mob in eastern Pakistan on Wednesday after a Christian family was accused of blasphemy, officials said. The attack was triggered by a group of religious zealots accusing a local Christian family of desecrating the Quran.(X/BishopAzadM) Hundreds of people armed with sticks and rocks stormed the predominantly Christian area in Faisalabad city, police in the area told AFP. Images on social media showed smoke rising from the church buildings and people setting fire to furniture that had been dragged from them. The attack was triggered by a group of religious zealots accusing a local Christian family of desecrating the Quran, according to a rescue official at the scene. "Photos and video clips of burnt pages of the Quran were shared among the locals, which created an uproar," Rana Imran Jamil, a spokesman for the city's 1122 rescue service, told AFP by phone. He said four churches had been set on fire, adding that there were no reports of injuries. Pakistani bishop Azad Marshall, in the neighbouring city of Lahore, said the Christian community was "deeply pained and distressed". "We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland," he posted on the social media platform X. Blasphemy is a sensitive issue in ultra-conservative Pakistan, where anyone deemed to have insulted Islam or Islamic figures can face the death penalty. Islamist right-wing leaders and political parties across the country frequently rally around the issue, while politicians have been assassinated, European countries threatened with nuclear annihilation and students lynched over blasphemy allegations. Christians -- who make up around two percent of the population -- occupy one of the lowest rungs in Pakistani society, and are frequently targeted with spurious and unfounded blasphemy allegations. In July of 2018, four men attacked a church in Faisalabad with 20 worshippers inside. SHARE THIS ARTICLE ON Ukrainian President Volodymyr Zelensky hailed Wednesday the departure of the first cargo ship to use a new Black Sea lane, after Moscow exited a deal protecting Ukrainian grain exports from its southern ports. Ukrainian President Volodymyr Zelensky (AFP) "Ukraine has just made an important step toward restoring the freedom of navigation in the Black Sea. The first civilian vessel has passed through Ukraine's new humanitarian corridor, departing from the port of Odesa," despite warnings from Moscow that its navy could target vessels, Zelensky said on social media. Christopher Clark, top criminal attorney, who has been representing Hunter Biden in his tax evasion and gun-possession offence case has asked a federal judge to allow him to withdraw as Biden's counsel. He has indicated that he wants to become a witness to the case following the collapse of a plea agreement he had negotiated with the prosecutors. FILE PHOTO: Hunter Biden, son of U.S. President Joe Biden, departs federal court after a plea hearing on two misdemeanor charges of willfully failing to pay income taxes in Wilmington, Delaware, U.S. July 26, 2023. REUTERS/Jonathan Ernst/File Photo(REUTERS) The reason behind his strategic shift follows the legal showdown as prosecutors asserted that an agreement on a gun charge is dead along with the rest of the deal as the case makes a major shift into a special counsel investigation. Clark has cited question about Biden's plea agreement which didn't go through earlier this month. Hunter Biden has subsequently pleaded not guilty to the tax charges. Based on recent developments, it appears that the negotiation and drafting of the plea agreement and diversion agreement will be contested, and Clark is a percipient witness to those issues, the motion said. Lawyers for Hunter Biden have argued that prosecutors reneged on an agreement on tax charges but said a separate agreement sparing him prosecution on a gun charge remains valid. The agreement on the gun charge also contains an immunity clause against federal prosecutions for some other potential crimes. Prosecutors denied reneging on any deal. While the agreement on the gun charge was signed by a prosecutor, probation agents didnt sign it and so it never became valid, they argued. Biden will continue to be represented by other attorneys, including Abbe Lowell. Attorney General Merrick Garland gave special counsel status to U.S. Attorney David Weiss, the Delaware prosecutor who has overseen the five-year investigation of President Joe Biden's youngest son. Earlier in June Biden agreed to plead guilty to two charges of tax evasion with a confirmation from prosectors that he receive probation instead of jail time. However, after the judge refused to accept the plea agreement, Biden pleaded not guilty to tax evasion charges which accused him of not paying over $100,000 in taxes on $1.5 million in income he made in 2017 and 2018. He is also accused of possessing a firearm in 2018 as a drug user. (With Agency Inputs) SHARE THIS ARTICLE ON Distributors of a contaminated Indian cough syrup that killed 65 children in Uzbekistan paid local officials a bribe of $33,000 to skip mandatory testing, Uzbek state prosecutors alleged during a trial on Wednesday. Defendants and lawyers attend a court hearing in the case of child deaths linked to contaminated cough syrups, in Tashkent, Uzbekistan.(REUTERS) The Central Asian nation put 21 people on trial - 20 of whom are Uzbeks and one Indian - over the deaths last week, making public for the first time a much higher death toll than previously reported. Three of the defendants (an Indian and two Uzbekistan nationals) are executives of Quramax Medical, a company that sold medicines produced by Indias Marion Biotech, in Uzbekistan. According to state prosecutor Saidkarim Akilov, Quramax CEO Singh Raghvendra Pratar, allegedly paid officials at the state centre for expertise and standardization of medicinal products $33,000 so that they would skip a mandatory inspection of its products. It was unclear from the prosecutors statement whether the inspection was supposed to involve tests in Uzbekistan, or a request for tests to be carried out by the producer in India. Pratar, who spoke in court, denied the charges but admitted to handing over the sum to officials through an intermediary as a "token of appreciation". He said he had no idea how and by whom that money was used later. Seven of the 21 defendants pleaded guilty to at least some of the charges against them, which included tax evasion, sale of substandard or counterfeit medicines, abuse of office, negligence, forgery, and bribery. Officials have not said why 45 deaths had remained unreported since last year. State prosecutors also said on Wednesday that Quramax had imported Marion Biotech medicines at an inflated price via two Singapore-based intermediary companies, which prompted tax evasion charges. SHARE THIS ARTICLE ON A family was left shocked when a restaurant in Italy's Sicily charged 20 euros ( 1814) for slicing a cake they had brought to the eatery for birthday celebrations. The image of the bill showing the entry for the 20 X Servizio Torta fee, which translates to 20 x cake service, has since gone viral. Viral image of the restaurant bill showing charge for slicing a cake. The cost was calculated as a charge of 86p per attendee, despite the group spending 121 euros on pizza, wine, beer, and water, reported The Sun. But, this is not the first time an Italian restaurant made headlines for its exorbitant charges. A customer at a restaurant named Bar Pace was charged 2 euros ( 181) for cutting the sandwich into two halves. The image of the receipt was shared online by the customer as proof. The bill mentioned the charge listed under "diviso da meta" It translates into English as "divided in half". Unbelievable but true, the person captioned a photo of the receipt on TripAdvisor. Saying that additional requests have a cost, the owner of the bar defended the charge while hitting out at the media. If a customer asks me to make two portions of toast, I have to use two saucers, two napkins and go to the table using two hands, she said. "It is true that the customer is always right, but it is equally true that additional requests have a cost," she added. Another restaurant - the Osteria del Cavolo in Finale Ligure - had charged two euros to provide a customer with an empty plate. Later, owner Ida Germano, 76 explained: "We made the lady who posted the receipt sit at a table for three because there were two adults and a child. "They ordered only one plate of trofie with pesto and one of fried anchovies and they asked for two saucers to share for both. "So they had four saucers in all, not one, and we only charged for one because we pay for the dishwasher and the dishwashing too." SHARE THIS ARTICLE ON A Japanese mountaineer died and another was injured when apparent rocks hit them while they were trying to climb a never-scaled mountain in Pakistan, a mountaineering official and the injured climber said Tuesday. The Japanese mountaineer slipped and fell at an altitude of 5,380 meters (17,650 feet) while trying to ascend the mountain. (File) (Representational Image)(AFP) The climbers were on an expedition organized by a local tour operator in the Andaq Valley in the countrys north, said Karrar Haidri, secretary of the Alpine Club of Pakistan. The unclimbed mountain is called Virgin Peak and it is 5,800 meters (19,029 feet) tall. Also Read | IAF recovers body of Italian trekker, rescues another injured mountaineer Shinji Tamura slipped and fell at an altitude of 5,380 meters (17,650 feet) while trying to ascend the mountain Friday, Haidri told The Associated Press. The man's colleague, Semba Takayasu, said their rappelling point was broken and they fell together, holding a double rope about 60 meters (197 feet) long. Shinji was heavily hit" and had a big injury from what Takayasu said he thought was a rock. I told Shinji not to move because, you know, hes so damages (injured) but looks like he has had some confidence to coming down," Takayasu said. He said the campground was so close its lights were visible and he thought Tamura was trying to reach it when he disappeared. Also Read | Mountaineer Anurag Maloos in ICU after he went missing from Mount Annapurna Takayasu managed to reach base camp to seek help, and Haidri said a search team was quickly sent to the area where Tamura slipped. Our rescue team is going down into the crevices, but the crevices (are) so deep and so soft, you know, so our rescue team cannot find him," Takayasu said. The search for his body was called off Monday and local authorities in the region confirmed Tamura's death. We have been informed by local authorities that the Japanese fell from a great height into the rocks and there were piles of snow and apparently he was buried there. Some of his belongings were found but there is no trace of his body, Haidri said. There is no chance of survival in such incidents, and the injured Japanese Semba Takayasu had also seen him falling from a great height, and rescuers went to the area for the search. Tamura said he was rescued from the base camp by a helicopter and later arrived at Skardu, the main town in northern Pakistan, which is known as the gateway to K2, the worlds second-highest mountain. Every year, hundreds of local and foreign climbers visit northern Pakistan, where some of the world's tallest mountains are located. Pakistani authorities said Saturday they were investigating the death of a Pakistani porter near the peak of K2, the worlds most treacherous mountain. SHARE THIS ARTICLE ON U.S. Secretary of State Antony Blinken spoke by phone on Wednesday with American citizen Paul Whelan, who is being held in a Russian prison, CNN reported, citing a source familiar with the matter. US Secretary of State Antony Blinken (REUTERS) Blinken told Whelan to "keep the faith and were doing everything we can to bring you home as soon as possible," CNN quoted the source as saying. The State Department did not immediately respond to a request for comment from Reuters. Whelan, a former U.S. Marine, was arrested in 2018, held for 18 months in Lefortovo prison in Moscow and jailed for 16 years in 2020 on spying charges. He has denied the accusations. The United States has designated Whelan as "wrongfully detained," a term that effectively says the charges are bogus and the case is politically driven. U.S. ambassador to Russia, Lynne Tracy, visited Whelan in May in the prison in eastern Russia where he was being held. SHARE THIS ARTICLE ON It's a mother's worst nightmare coming true, discovering a dead body in son's room. A mother in Los Angeles discovers dead body from son's room, investigations underway (For Representation) The series of events began unfolding on Sunday night at 1.15am when a Los Angeles woman (name not revealed) stepped into her son's room to investigate a distinct smell of gas. Upon entering, she stumbled upon a disturbing crime scene, where a dead body was wrapped in plastic. The body has been identified as 30-year-old Hannah Rachel Collins, as confirmed by the Los Angeles County Medical Examiners Office. However, numerous unanswered questions persist about the gruesome murder. The circumstances surrounding her death, including the cause and timing, remain unknown. Authorities have described the suspect in Collins' murder as a 26-year-old Hispanic man. However, they have withheld his name as their search for him continued on Tuesday. Paramedics who arrived at the scene pronounced Collins dead. At the time of the grim discovery, the homeowner's son was nowhere to be found. The duration of time the 30-year-old victim had spent in that room remains unclear. Collins had recently celebrated her birthday just two weeks prior, according to information released by the coroner's office, which described her as a Caucasian woman. The LAPD has initiated a homicide investigation, although officials have refrained from sharing details about its progress when questioned by The New York Post. As of Tuesday morning, no arrests had been made. An investigation into the death is ongoing, according to police. No further details are available in the case SHARE THIS ARTICLE ON ABOUT THE AUTHOR HT News Desk Follow the latest breaking news and developments from India and around the world with Hindustan Times' newsdesk. From politics and policies to the economy and the environment, from local issues to national events and global affairs, we've got you covered. ...view detail Russia said on Wednesday that it has eliminated four Ukrainian fighters who "tried to cross into" Russias borderline Bryansk region from northern Ukraine, state agency TASS reported. Ukraine's Operational Command in the south said Russia hit the territory where one of the ports lie.(REUTERS) "Thanks to coordinated joint actions by units from the Russian Defense Ministry and Russias FSB Border Directorate for the Bryansk Region, today at 07:00 a.m. (local time), an attempt by a Ukrainian sabotage and reconnaissance group numbering six militants to cross into the Bryansk Region was thwarted. Five saboteurs were eliminated," the regional Governor of Russia, Alexander Bogomaz said on his Telegram channel. Earlier on Tuesday, during an attempt by a Ukrainian sabotage and reconnaissance group to infiltrate the borderline Starodubsky District in the Bryansk Region, a worker at an agricultural enterprise was injured. FSB operatives assisted by the Russian Defense Ministry units eliminated four saboteurs, TASS reported. Earlier on Wednesday, Russian forces launched a drone attack and damaged a port on the Danube River in Ukraine's southern Odessa region overnight, CNN reported citing a Ukrainian official. In the Telegram, head of the Odesa regional military administration Oleh Kiper said, "The main target is port and grain infrastructure in the south of the region" on the Danube. He further stated that no casualties were reported. Ukraine's Operational Command in the south said Russia hit the territory where one of the ports lie, destroying hangars with grain and agricultural machinery. Ukraine's Air Force on Wednesday said that it had destroyed 13 Shahed drones over Odesa and the neighbouring Mykolaiv region. Meanwhile, Ukraine claimed that its forces have recaptured the village of Urozhaine in the eastern Donetsk region, where fierce battles have taken place in recent days, reported CNN. "Ukrainian defence forces took control of Urozhaine," and are consolidating their positions, Andrii Kovaliov, spokesperson for the General Staff of the Armed Forces of Ukraine, said on national television Wednesday morning. Urozhaine, located about 100 kilometres southwest of Russian-occupied Donetsk city, lies near the village of Staromaiorske, which Ukrainian soldiers recaptured about two weeks ago. "Urozhaine was liberated," Ukraine's Deputy Defense Minister Hanna Maliar said on Telegram. Renowned marketing professor, author, and public speaker Scott Galloway found himself locked out of his X account following a dispute with tech mogul Elon Musk. The altercation began when a mutual acquaintance conveyed Musk's sentiment of feeling "unfairly attacked" by Galloway and proposed a meeting, which Galloway declined. FILE PHOTO: Elon Musk, Chief Executive Officer of SpaceX and Tesla and owner of Twitter, gestures as he attends the Viva Technology conference dedicated to innovation and startups at the Porte de Versailles exhibition centre in Paris, France, June 16, 2023.(REUTERS) Two days later, Galloway discovered he could no longer access his X account, a platform boasting a substantial half-a-million followers. As of Tuesday, he remains locked out for a total of 17 days, sparking discussions about freedom of expression and control on social media platforms. Galloway's most recent X post, dated July 27, addressed a Reuters investigation revealing Tesla's alleged suppression of complaints about driving range inaccuracies. Galloway highlighted, "Tesla intentionally gave drivers rosy driving range projections, leaving many stranded. BUT you should totally bank with X." His wry comment alludes to Musk's ambitious plan to transform the former Twitter into an expansive "everything app," venturing into financial services. Musk himself announced on July 25 that X would introduce features enabling users to manage their entire financial spectrum. Notably, some prominent X users have reported receiving payments through the platform, adding to its mystique. For instance, controversial influencer Andrew Tate claimed he received $20,000 from X. Reuters faced apparent repercussions on X after unveiling its Tesla expose. Links to the news outlet's website experienced a brief five-second delay, a move perceived as retribution. This delay was reversed after other media outlets reported on it, demonstrating the intertwined relationship between online platforms and news dissemination. Galloway has been active on X, criticizing Musk's actions and decisions. "Elon would have been a legend if he hadn't started tweeting," Galloway wrote, underscoring the potential impact of social media on individuals' legacies. In a lighthearted gesture, Galloway humorously referenced a recent incident involving Palo Alto police and a man "high on ketamine, and wanting to fight." He playfully attached an image of a shirtless Musk, invoking the billionaire's past spats with figures like Mark Zuckerberg. SHARE THIS ARTICLE ON More than 60 people are believed to have died after a migrant boat from Senegal was found off West Africa's Cape Verde islands, the International Organization for Migration (IOM) said Wednesday. Traditional boats known as pirogues rest on the shore of the Yarakh Beach in Dakar, Senegal(AP) Sixty-three people are thought to have died, while the 38 survivors included four children aged 12 to 16, IOM spokeswoman Safa Msehli told AFP. The long wooden fishing vessel, known as a pirogue, was spotted Monday in the Atlantic Ccean about 150 nautical miles (277 kilometres) from the Cape Verdean island of Sal, police said. The Spanish fishing vessel that saw it alerted Cape Verdean authorities. The Cape Verde archipelago lies about 600 kilometres (350 miles) off the coast on the maritime migration route to the Spanish Canary Islands -- a gateway to the European Union. Emergency services recovered the remains of seven people, Msehli told AFP, while another 56 people are believed to be missing. "Generally, when people are reported missing following a shipwreck, they are presumed dead," she said. The boat left the Senegalese fishing village of Fasse Boye on July 10 with 101 people on board, Senegal's foreign ministry said on Tuesday, citing survivors. Independence Day celebrations were held at Indias missions in Canada, with a protest by pro-Khalistan elements taking place outside the Consulate in Vancouver. Alberta Premier Danielle Smith and Indias Consul General in Vancouver Manish for the first official Independence Day celebrations in the city of Calgary (Twitter/@IndiainVancouver) While visibly enhanced security measures were in place, several protestors gathered outside the Consulate on Tuesday afternoon, and an Indian flag was set ablaze. However, there were no disruptions to the celebrations at the Consulate, including the traditional flag hoisting ceremony, which had taken place earlier in the morning. There was also little impact in Ottawa or in front of the Consulate in Toronto, even as many of the diaspora community gathered at the venues. Indias envoys in Canada were also provided with personal security amid the recent protests by Khalistani groups ahead of Independence Day. Official celebrations were held in Calgary in the province of Alberta for the first time, with Indias Consul General in Vancouver Manish flying there for the event. Alberta Premier Danielle Smith joined the celebrations and addressed a gathering of the Indo-Canadian community. This was the first time official Independence Day celebrations were held in Calgary. Joining the event was Premier (the equivalent of Chief Minister) of Alberta Danielle Smith. In a statement issued by the Prime Ministers Office (PMO), Canadian Prime Minister Justin Trudeau described India as a key partner for Canada in the promotion of our shared values of democracy, pluralism, and progress. We are committed to building on this rich history of collaboration, including under Indias presidency of the G20 this year. Looking ahead, as Canada strengthens its presence in the region under its Indo-Pacific Strategy, we will continue to work together to preserve the rules-based international order, promote multilateralism, and grow our economic ties to benefit people in both our countries as well as the region at large, it said. Canadas minister of foreign affairs Melanie Joly also took to Twitter to send her greetings. She said: Canada and Indias relationship is based on strong and longstanding ties between our people and our shared interests on the world stage. Her cabinet colleague Anita Anand, president of the treasury board said, As we sing Jana Gana Mana like my mom always did, we recognize and honour the many contributions of Indo-Canadians to our communities. Meanwhile, over a hundred protestors gathered outside the Consulate in Vancouver, carrying Khalistan flags, and raised slogans blaming India for the assassination of Hardeep Singh Nijjar on June 18. Pro-Khalistan elements in Canada have been campaigning against Indias senior-most diplomats in the province of British Columbia. In July, violence had erupted during an anti-India rally by pro-Khalistani elements outside the Indian consulate in Toronto, who circulated Kill India posters targeting Indian diplomats in Canada. Earlier this month, the latest series of posters, with the word Wanted, were placed at various locations in the town of Surrey. In the recent, the protesting Khalistani groups once again carried the Wanted posters. The series of posters referred to the killing of Hardeep Nijjar, the secessionist group Sikhs For Justices (SFJ) principal figure in British Columbia. Nijjar was killed in the parking lot of the Guru Nanak Singh Gurdwara Sahib in Surrey on June 18. SFJ has blamed India for his alleged assassination. The Integrated Homicide Investigation Team or Investigation Team or IHIT, which is probing the killing, has not ascribed any motive while it seeks the murderers. SFJ general counsel Gurpatwant Pannun has stressed the posters did not seek violence against the Indian diplomats but, along with the protests, were to highlight the assassination. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Anirudh Bhattacharyya Anirudh Bhattacharya is a Toronto-based commentator on North American issues, and an author. He has also worked as a journalist in New Delhi and New York spanning print, television and digital media. He tweets as @anirudhb. ...view detail Russian defence minister Sergei Shoigu said Tuesday that Ukraine's military resources were "almost exhausted", as Kyiv wages a gruelling counter offensive to recapture lost territory. Russia's Foreign Minister Sergey Lavrov delivers a speech during the Moscow Conference on International Security in Kubinka.(AFP) "Despite comprehensive assistance from the West, Ukraine's armed forces are unable to achieve results," Shoigu said at a security conference in Moscow. "Preliminary results of the hostilities show that Ukraine's military resources are almost exhausted," he said. He added that there was "nothing unique" about Western weapons and that they were not invulnerable to Russian arms on the battlefield. Kyiv kicked off its long anticipated counter offensive in June, but has acknowledged tough battles as it struggles to break through heavily fortified Russian positions. While Ukraine has claimed gains around the war-torn city of Bakhmut in its east, Russia has claimed advances around the town of Kupiansk in the northeastern Kharkiv region. Shoigu was speaking at the Moscow Conference on International Security. Russia invited representatives from over 100 nations to attend, but Western countries were excluded. SHARE THIS ARTICLE ON Saudi Arabia on Wednesday executed a US national convicted of torturing and killing his father, state media reported. The Gulf kingdom is frequently criticised for its prolific use of capital punishment. (HT FILE) The death sentence for Bishoy Sharif Naji Naseef was carried out in the Riyadh region, the official Saudi Press Agency said. The Gulf kingdom is frequently criticised for its prolific use of capital punishment, which human rights groups say undermines its bid to soften its image through a sweeping "Vision 2030" social and economic reform agenda. A court found that Naseef, whose age was not given, beat and strangled his Egyptian father to death and mutilated him after he died, and that he also used drugs and attempted to kill another person, SPA said. The mode of execution was not specified, but Saudi Arabia has in the past often used beheading when implementing the death penalty. The US embassy in Riyadh did not immediately respond to a request for comment on Wednesday. More than 1,000 death sentences have been carried out since King Salman assumed power in 2015, according to a report published earlier this year by the European Saudi Organisation for Human Rights and the Britain-based group Reprieve. A total of 91 people have been executed so far this year, according to an AFP tally based on state media reports. Last year's announced figure of 147 executions was more than double the 2021 figure of 69. The 2022 total included 81 people put to death on a single day for offences related to "terrorism", an episode that sparked an international outcry. Crown Prince Mohammed bin Salman, King Salman's son and the de facto ruler, has said on multiple occasions that the kingdom was reducing executions. In a transcript of an interview with The Atlantic magazine published by state media in March 2022, Prince Mohammed said the kingdom had "got rid of" the death penalty except for cases of murder or when someone "threatens the lives of many people". Jeffrey Epsteins assistant reached out to a senior executive at JPMorgan Chase & Co. more than four years ago to help former Obama White House lawyer Kathy Ruemmler open an account before she joined Goldman Sachs Group Inc., where she is now general counsel, according to a court filing. FILE- Jeffrey Epstein appears in court in West Palm Beach, Fla., July 30, 2008. The Justice Departments watchdog said Tuesday, June 27, 2023, that a combination of negligence and misconduct enabled Jeffrey Epstein to take his own life at a federal jail in New York City. (Uma Sanghvi/Palm Beach Post via AP, File)(AP) An email thread initiated by the financiers office was revealed in a lawsuit by the US Virgin Islands that accuses JPMorgan of knowingly benefiting from Epsteins sex crimes. The dialog was about six years after the bank fired Epstein as a client and six months before Epstein died in prison by suicide while awaiting trial. Mary Erdoes, head of asset and wealth management at the bank, forwarded Epsteins request to Stacey Friedman, the general counsel at JPMorgan. Do you know Kathy? Any reason we shouldnt take her? Erdoes wrote in the February 2019 email. Odd that this is coming through JE... Friedman responded that she knew Ruemmler well, praised her a star litigator and thought shed be a great client. Epstein forged connections at JPMorgan that generated more than $8 million in revenue for its private banking investment business, according to documents in the lawsuit. His relationship with the bank became controversial after his arrest for sex crimes. The US Virgin Islands, where he had a private retreat and brought some of his victims before his death in 2019, is seeking at least $190 million from JPMorgan. The territory accuses the company of putting the revenue it generated from Epsteins business and connections ahead of acting on warning signs. Erdoes fired Epstein as a client in 2013, after Jes Staley, his chief supporter, had left JPMorgan. In a move to address workforce shortages in its hotel industry, Singapore has added cooks from India to its list of permissible hires, widening its recruitment pool for certain job positions in the services and manufacturing sectors. Singaporean hotels have underlined that manpower shortage is potentially affecting operations in the hospitality industry.(AFP) Traditionally, employers in Singapore's services and manufacturing sectors have primarily sourced work permit holders from China, Malaysia, Hong Kong, Macau, South Korea, and Taiwan. The Ministry of Manpower has recently unveiled the application process for cooks in Indian restaurants in the city-state. Establishments desiring to employ Non-Traditional Sources (NTS) work permit holders can submit applications on the ministry's website, starting from September 1. Bangladesh, India, Myanmar, the Philippines, Sri Lanka and Thailand constitute NTS countries. With this move, cooks from India have joined the ranks of housekeepers and porters to be included in the list of permissible hires for work permit holders. The ministry has outlined that the applications will be assessed by a panel comprising industry and government stakeholders with expertise in Indian cuisine. It said that the new development allows eateries to recruit cooks not only from India but also from Bangladesh and Sri Lanka. By expanding the hiring options to these nations, Singapore aims to further enrich its multi-ethnic culinary landscape, supplementing existing recruitment sources like Malaysia, China, and North Asian countries. S Mahenthiran, Chief Executive of Catering Solutions and Honorary Secretary of the Indian Restaurants Association (Singapore), applauded this decision, stating that it will empower Indian restaurants to offer authentic Indian dishes while preserving the country's rich Indian heritage. Apart from cooks in Indian restaurants, the updated NTS Occupation List, introduced during the 2022 Budget debate, encompasses jobs like welders and certain food processing workers, according to The Straits Times newspaper. Employers must pay these workers at least SGD 2,000 a month in fixed wages. These workers must account for 8 per cent or lower of the employers total headcount, excluding Employment Pass holders. Welcoming the government's decision, Singapore Hotel Association Executive Director Margaret Heng underlined that manpower shortage is potentially affecting operations in the hospitality industry, which is critical to the countrys reputation as a preferred travel destination. Singapore National Employers Federation Executive Director Sim Gim Guan said that carefully allowing workers from NTS countries to work in specific occupations would ease employers manpower challenges. This is necessary to ensure that Singapore remains attractive as a destination for meetings, incentives, conferences and exhibitions, and tourists, Sim said. North Korea claimed that US soldier Travis King wants refuge there or in another country because of "inhuman maltreatment and racial discrimination" in the US and the military, state media said marking Pyongyang's first public acknowledgement of the soldier's crossing from South Korea on July 18. Travis King had entered North Korea while on a civilian tour of the Joint Security Area (JSA)- the border between the two Koreas. US officials said that the solder crossed the border intentionally declining to classify him as a prisoner of war. A portrait of American soldier Travis King is displayed.(AP) North Korean investigators said that Travis King crossed deliberately and illegally as he wanted to stay in the North or in a third country, state news agency KCNA said. "During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," KCNA reported. He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society, KCA said adding that Travis King was "kept under control by soldiers of the Korean People's Army" after his crossing and the investigation is still active. Travis King's uncle Myron Gates told ABC News earlier that his nephew was experiencing racism during his military deployment. The Pentagon said it could not verify Travis King's comments as reported by KCNA, and remains focused on his safe return. Travis King joined the US Army in January 2021. He is a Cavalry Scout with the Korean Rotational Force- part of the US security commitment to South Korea. He faced two allegations of assault in South Korea and eventually pleaded guilty to one instance of assault and destroying public property, according to court documents. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Mallika Soni When not reading, this ex-literature student can be found searching for an answer to the question, "What is the purpose of journalism in society?" ...view detail U.S. is pushing Iran to stop selling armed drones to Russia as part of discussions on a broader unwritten understanding between Washington and Tehran to de-escalate tensions, the Financial Times said on Wednesday, citing people briefed on the matter. An Iranian flag flutters.(File) The U.S. is pressing Iran to stop selling armed drones to Russia, which Moscow is using in the war in Ukraine, as well as spare parts for the unmanned aircraft, the report said, citing an Iranian official and another person familiar with the talks. The White House and Iran's foreign ministry did not immediately respond to a Reuters request for comment. The news comes as Washington and Iran are trying to ease tensions and revive broader talks over Iran's nuclear program. US Secretary of State Antony Blinken said on Tuesday that he would welcome any Iranian steps to de-escalate its "growing nuclear threat." These discussions have taken place alongside the negotiations on a prisoner exchange deal last week, the newspaper said. Iran allowed four detained U.S. citizens to move into house arrest from Tehran's Evin prison while a fifth was already under home confinement. Last week, sources told Reuters that Iran may free five detained U.S. citizens as part of a deal to unfreeze $6 billion in Iranian funds in South Korea. SHARE THIS ARTICLE ON Saudi Arabia on Wednesday executed an American citizen who had been convicted of killing his father. The statement did not identify how Naseef was executed. (AFP) The Interior Ministry identified the executed man as Bishoy Sharif Naji Naseef and said he beat and then strangled his Egyptian father to death. It also said Naseef used narcotics, mutilated his father's body after his slaying and attempted to kill another person before his arrest. The statement did not identify how Naseef was executed. However, Saudi Arabia typically beheads those sentenced to death. A lawyer for Naseef could not be immediately identified. It wasn't known if Naseef had a home address in the United States. The US State Department did not immediately return a request for comment. Saudi Arabia ranks among the world's top executioners behind China and Iran in 2022, according to statistics from Amnesty International. Though executions slowed during the height of the coronavirus pandemic, they've surged in recent years. In March 2022, the kingdom executed 81 people on the same day, the largest known mass execution carried out in the kingdom in its modern history. (AP) GRS GRS Coming out hard after his fourth indictment, former US President Donald Trump on Tuesday claimed that he will produce an irrefutable report that should lead to all charges against him getting dropped, New York Post reported. Right after the indictment, Trump denounced the ruling and called the situation a total shutdown of democracy. (AFP/File) This comes after the former President was indicted by the Georgia grand jury in connection with the efforts to overturn the 2020 election results in the Peach State. A Large, Complex, Detailed but Irrefutable REPORT on the Presidential Election Fraud which took place in Georgia is almost complete & will be presented by me at a major News Conference at 11:00 A.M. on Monday of next week in Bedminster, New Jersey. Based on the results of this CONCLUSIVE Report, all charges should be dropped against me & others - There will be a complete EXONERATION! They never went after those that Rigged the Election. They only went after those that fought to find the RIGGERS! Trump said on Truth Social platform. The charges, against the former President was brought by Fulton County District Attorney Fani Willis. The raps against him include violating Georgias anti-racketeering law, conspiracy, false statements, and asking a public official to violate their oath of office, New York Post reported. Right after the indictment, Trump denounced the ruling and called the situation a total shutdown of democracy. These monsters, all controlled and coordinated by the DOJ and Radical Left Lunatics, are Criminalizing Political Speech, a total SHUTDOWN OF DEMOCRACY! Trump stated on Truth Social. WITCH HUNT! he added in a subsequent post. He even called the District Attorney failed and corrupt and raised speculations of the ruling being rigged. So, the Witch Hunt continues! 19 people were indicted tonight, including the former President of the United States, me, by an out-of-control and very corrupt District Attorney who campaigned and raised money on, 'I will get Trump.' And what about those Indictment Documents put out today, long before the Grand Jury even voted, and then quickly withdrawn? Sounds Rigged to me! Why didnt they Indict 2.5 years ago? Because they wanted to do it right in the middle of my political campaign. Witch Hunt! Trump stated. Read Here: How Donald Trump is leveraging his legal woes in 2024 election campaign He added, Can you believe it? This failed District Attorney from Atlanta, Fani Willis, where murders and other violent crime soars daily to new record highs, is charging me with 2020 Presidential Election Interference. No, Fani, the only Election Interference was done by those that Rigged and Stole the Election. Those are the ones you should be going after, not the innocent people that are fighting for Election Integrity! Meanwhile, eighteen alleged co-conspirators were indicted along with Trump in the Georgia case, including his lawyers Rudy Giuliani, John Eastman, Sidney Powell, Jenna Ellis and Kenneth Chesebro, former White House chief of staff Mark Meadows, ex-Justice Department official Jeffrey Clark and the former presidents 2020 Election Day director of operations Michael Roman. He is also charged in three other criminal cases in Manhattan, Miami, and Washington, DC while facing a total of 91 counts and potential prison sentences adding up to 712 years and 6 months, as per New York Post. A California judge charged with drunkenly killing his wife with a gun he kept in an ankle holster allegedly texted a colleague after the shooting to say "I won't be in tomorrow. I will be in custody," a court heard Tuesday. Prosecutors said Ferguson, 72, smelled strongly of alcohol when he was arrested. (Representational) Judge Jeffrey Ferguson had dozens of guns and 26,000 rounds of ammunition at his house when officers arrived to find his wife dead from a gunshot wound to the chest, the court was told. Prosecutors said Ferguson, 72, who sits on Orange County Superior Court, smelled strongly of alcohol when he was arrested and told police, "Well, I guess I'm done for a while." A court in Los Angeles heard that Ferguson and his wife, Sheryl, began arguing over dinner at a restaurant near their home in an affluent Anaheim suburb. During the August 3 dispute, the judge had "pointed his finger at his wife in a manner mimicking a firearm," Orange County Deputy District Attorney Christopher Alex told the court. The argument continued at home, where Sheryl Ferguson, 65, said "words to the effect of: 'Why don't you point a real gun at me?'" Alex said. It was at this point Ferguson "retrieved his pistol from his ankle holster and shot" her in the chest at close range. Ferguson called 911 and asked for a paramedic, saying his wife had been shot. When a dispatcher asked if he had fired the weapon, he told them he did not want to discuss the issue at the time, Alex told the court. After getting off the phone, Ferguson texted his court clerk and bailiff to say: "I just lost it. I just shot my wife. I won't be in tomorrow. I will be in custody. I'm so sorry," Alex said. A search of the house found 47 firearms, all legally held. Ferguson, who has been a judge since 2015, denied having committed murder when he appeared in court Tuesday. Lawyer Paul Meyer told reporters outside court: "We want to be clear this was an unintentional, accidental shooting and not a crime." Ferguson was released on bail, and ordered not to drink. He is expected to appear in court again on October 30. SHARE THIS ARTICLE ON US vice president Kamala Harris dismissed a heckler at a recent event after the man began shouting about the administration's lack of effort in addressing climate change in the country. US vice president Kamala Harris(Reuters) "We are in the middle of a climate emergency. Eighty people have died in Hawaii, Thousands have been displaced by the climate chaos. The planet is burning, and people are dying," the protester was heard yelling in a video which was widely shared on social media. The heckler shouted so loudly at times that Kamala Harris could not be heard. "We were wondering if you would uphold your promises," he said. You and Joe are failing on climate. "I'm speaking," Harris responded as the heckler was removed from the crowd by security. This comes as deadly wildfires raged across Hawaii last week spreading across several islands, spurred by winds from Hurricane Dora. The wildfires hit Maui particularly hard as experts said that the fires were generated by a mix of hot and dry conditions. At least 99 people were reported dead from the devastating fire and the island's historic town of Lahaina was mostly destroyed as thousands of people were displaced and hundreds of others remain missing. Joe Biden said that he had recently had a conversation with Hawaii Governor Josh Green, adding, I reassured the Governor that Hawai'i will continue to have everything it needs from the federal government, and directed [Federal Emergency Management Agency Administrator Deanne Criswell] to keep surging resources and personnel as long as it takes. Every asset they need will be there for them. SHARE THIS ARTICLE ON ABOUT THE AUTHOR Mallika Soni When not reading, this ex-literature student can be found searching for an answer to the question, "What is the purpose of journalism in society?" ...view detail Half Moon Bay, CA (94019) Today Partly cloudy skies in the morning will give way to cloudy skies during the afternoon. High 68F. Winds S at 15 to 25 mph.. Tonight Mostly cloudy skies. Low 61F. Winds SSE at 5 to 10 mph. voco St. David's in Cardiff, is thrilled to welcome Konstantin Grimm as its new General Manager. Hailing from Berlin, Grimm boasts an impressive background in hospitality management throughout Europe. Before joining voco St. David's, Cardiff, he served as Hotel Manager for the Kimpton Clocktower. In his new capacity, he will manage all operations and guide the renowned Welsh hotel, noted for its exceptional service, hospitality, and VIP guest list. With over 16 years of industry experience, including positions at Conrad and Hilton Hotels, Grimm is no stranger to leading large establishments. He's celebrated for commercial triumphs and places high emphasis on cultivating a team-centered culture. Grimm's arrival coincides with exciting developments at the 142-room hotel. The Spa at St. David's just unveiled its 300k facelift. Additionally, the hotel's bar and restaurant, Tir a Mor, not only has established itself as a dining hotspot in Cardiff but also recently introduced a terrace in collaboration with the renowned French Champagne brand, Moet & Chandon. Sindhorn Kempinski Hotel Bangkok is delighted to announce the appointment of Christian Ruge as the new General Manager, effective 1 August 2023. Ruge's internationally seasoned career in hotel management and leadership extends across Europe, USA, the Middle East, and Asia, promising a new era of excellence and innovation for the hotel that is a landmark of luxury and wellness in the heart of the city. Born in Essen, Germany, Christian Ruge's impressive 25-year journey in hospitality management has seen him hold important positions in key locations worldwide. He began his career at the Hotel InterContinental Frankfurt, Germany, quickly moving up the ranks to work at their top properties in Europe and USA. Ruge's time with Sofitel Hotels & Resorts included serving as General Manager at the Sofitel Winter Palace Luxor in Egypt and Sofitel Wroclaw Old Town in Poland. In 2012 he joined Kempinski Hotels, and his diverse international experience with the brand includes successful tenures as General Manager in Saudi Arabia (Burj Rafal Hotel Kempinski Riyadh), United Arab Emirates (Kempinski Hotel Ajman), and China (Kempinski Hotel Taiyuan). Prior to joining Sindhorn Kempinski Hotel Bangkok, he was the general manager at Kempinski Hotel Grand Arena in the famous ski resort town of Bansko in Bulgaria. Under his leadership, the hotel received several prestigious awards in 2022, including Bulgaria's Best Ski Hotel, Best Hotel Restaurant, Best Hotel Spa, and Leading Lifestyle Hotel by World Travel Awards. With an MBA in General Management, and a series of professional development courses from Cornell University, USA, Ruge brings an advanced understanding of the hospitality industry. His expertise includes strategic management, hotel real estate investments and asset management, demand management, and new media in hospitality marketing. His commitment to creating a world-class experience for guests and his remarkable achievements in previous roles position him perfectly to take the reins of Sindhorn Kempinski Hotel Bangkok. The entire team is thrilled to welcome him and looks forward to the exciting, innovative strategies he will bring to enhance the property and experience for our esteemed guests. Margaritaville Resort Lake Tahoe, debuting in Winter 2023 as the brand's first lodging location in a ski destination, is pleased to announce the appointment of Bob Jensch as managing director. Margaritaville Resort Lake Tahoe will be the only all-suite resort in the south Lake Tahoe basin, nestled at the base of Tahoe's Heavenly Mountain Resort in the Sierra Nevada Mountains. In his new role, Jensch will be responsible for overseeing all aspects of the resort's operations, focusing on delivering outstanding guest satisfaction, enhancing operational efficiencies, and fostering a positive work environment. His passion for creating exceptional guest experiences, coupled with his expertise in driving revenue growth and operational excellence, make him the perfect fit for this role. Jensch comes to Margaritaville Resort Lake Tahoe from Davidson Resorts, a highly specialized operating vertical of Davidson Hospitality Group, where he served as the regional VP of operations. He has over 35 years of leadership experience in the hospitality industry, previously serving as general manager for Hilton Lake Las Vegas Resort & Spa and Jensch has also held regional VP and corporate VP roles with White Lodging and Station-Casinos Las Vegas and served as general manager at five other properties in Israel, Greece, Jamaica, Hong Kong and Aruba. Jensch will work in tandem with the resort's current general manager, Bill Cottrill, a longstanding community steward with 27 years tenure at the resort, to introduce the Margaritaville lifestyle to the community. Under the leadership of Dan Hansen, a carefully selected and dedicated team has been formed to ensure the Hyatt Studios brand delivers on its value proposition. Hyatt veteran Jason Ballard has been promoted to the position of Head of Operations, Hyatt Studios, reporting directly to Hansen. Most recently serving as Hyatt's Vice President of Franchise Operations, Select Service, Ballard was instrumental in establishing and nurturing Hyatt's Franchise & Owner Relations Group (FORG). Under his leadership, the Americas Select Service Franchise portfolio saw its highest-ever owner and operator satisfaction scores. Since joining Hyatt in 2006, Ballard has held positions in Revenue Management, Capital Planning and Operations Analytics across all three Hyatt regions - Americas, Asia Pacific, and Europe, Africa and Middle East. Ballard played a critical role in establishing the global footprint of the Hyatt Place and Hyatt House brands, spearheading the first Hyatt select service properties in Thailand, China, Japan and Australia, and the first Hyatt branded hotels in Barcelona, Athens and Budapest. His role in implementing global processes and assembling teams in these new markets facilitated the momentous expansion of Hyatt's international brand presence in the select service segment. In addition to Ballard's role, Hyatt has enlisted internal experts and external industry professionals, making substantial leadership appointments in finance and commercial services. Furthermore, the team has strengthened its development efforts by recruiting additional team members who are dedicated to advancing the expansion of the Hyatt Studios brand. Hospitality Net today Sign up to our free daily newsletter, CTO Gears Up for SOTIC 2023 in Turks & Caicos Islands Preparations for the Caribbean Tourism Organizations State of the Industry Conference (SOTIC) are well underway, with the program set to take place at The Shore Club Turks & Caicos on Providenciales from October 9-13, 2023. CTOs business meetings and destination media briefings will precede the official conference program, which features panel discussions spanning diverse themes from tourism investment and financing to aviation competitiveness and the burgeoning film industry. CTOs popular Caribbean Youth Congress also makes its return this year. Neil Walters, CTOs Acting Secretary General, anticipates a plethora of meaningful discussions and industry networking to unfold in Turks and Caicos. SOTIC has traditionally been the CTOs flagship event held in the Caribbean, and with its return this year under the leadership of our newly appointed Secretary General Dona Regis-Prosper, we are confident the forum will set the stage for sharpening our industrys collective vision to become even stronger and more effective in positioning the Caribbean as the worlds leading destination for leisure as well as business travel. Josephine Connolly, Minister of Tourism for the Turks and Caicos Islands, shared her pride in hosting the return of SOTIC after a hiatus of five years, stating, The selection of Turks and Caicos reflects our dedication to hospitality and excellence. As the first major event being held under our new destination marketing and management organization, SOTIC is a significant opportunity for us to collectively shape the narrative of our regions growth in a post-COVID environment. As Minister of Tourism and Vice Chair of the group of British Overseas countries and territories within the Caribbean Tourism Organization, your presence at SOTIC 2023 holds immense importance, said Minister Connolly, as she extended a heartfelt invitation to delegates. Minister Connolly looks forward to showcasing the captivating beauty of the Turks and Caicos Islands and the Territorys dedication to sustainable tourism development. She underscored the potent impact of coordinated action and regional collaboration that conveys a strong message of unity to stakeholders within and beyond the regions borders. For further details as the event draws near, visit here. Traveler Well-Being: The Missing Metric I was invited to lead a session on traveler well-being at the recent GBTA meeting in Dallas. Such an interesting topic, as most of our customers now need to think about employee well-being standards while considering organizational goals and environmental impact. I was invited to lead a session on traveler well-being at the recent GBTA meeting in Dallas. Such an interesting topic, as most of our customers now need to think about employee well-being standards while considering organizational goals and environmental impact. The topic is significant since traveler well-being is frequently squeezed uncomfortably into safety and security. Finding metrics to represent the travelers needs is part of my job, so I was glad to be able to share with such an important audience. Tricia Alsup, Travel Manager at Dollar General, also joined me in this session and we did work together to articulate how to measure, assess, report, and act on well-being metrics. Check out these shocking metrics on employee burnout and turnover: $125 - 190 billion in annual healthcare spending due to workplace burn out. A burned-out employee costs $3,400 out of every $10,000 in salary through disengaged and less productive work. Disengaged teams endure turnover rate up to 43% higher than engaged teams. The replacement cost for the average worker is one-half to two times the annual salary. The risk of not focusing on traveler well-being is high: 87% of travelers feel that the quality of their business travel impacts their business results. Road warriors face a variety of health issues, including obesity, poor sleep patterns, hypertension, increased smoking and alcohol usage, and high levels of anxiety and stress. A World Bank study showed 75% of staff reported high or very high stress related to business travel. The good news is that the rewards can also be high: Travel has the ability to decrease stress and anxiety, and improve mental, physical, emotional well-being. Travel, according to scientists, can boost creativity by breaking the cycle of stagnation. Travel also provides an opportunity for employees to mentally unplug, allowing them to recover from potential burnout. Travel has the potential to increase job satisfaction, employee engagement and revenue growth. Face-to-Face interactions are 34 x more successful than an email. When we think of well-being, it appears to be an intangible term, however this is no longer the case. There are simple approaches to measure traveler well-being using existing travel data. My objective was to demonstrate some simple instances of the types of data points we might examine and how we can transform transactional data into employee well-being insights. We conducted a poll on LinkedIn leading up to the GBTA event and asked people to weigh in on the aspects of travel that impact them the most when traveling. The top 3 responses from voters were: Cabin class for long-haul flights Stress from balancing workload Being away from home (family and friends) Leveraging the insights and feedback form this poll we created a virtual whiteboarding session as I wanted to walk the audience through simple and straightforward ways to measure and track wellbeing impacts like the ones mentioned above. The goal of this exercise was to show that after you have established your criteria, you can then start to place an impact score on each of these factors simply by considering a threshold of what you would consider to be acceptable, not acceptable and maybe something in the middle. For example, consider the Cabin class. We have set our thresholds for this example at 5 and 7 hours, and we have determined that if an employee travels business class, that is the best experience they can have, regardless of the conditions, so that cabin class would get 100% in every scenario. However, for those of us who must travel economy, our comfort levels are often influenced by the length of our flight. We applied thresholds and scores, such as flights of <6 hours in economy are acceptable and will receive a score of 100%. Flights from 6-8 hours start to negatively impact well-being and would therefore receive a score of 50% and flights of over 8 hours in economy will likely be physically impactful for most and therefore would score a 0%. Using that same approach., we looked at a few other examples of thresholds in other categories such as Work-Life Balance, Departure and Arrival Times, and Jetlag. For the final part of the session Tricia and I focused on tangible actions and ways to incorporate well-being metrics into travel program strategy and reporting such as: Travel policy reviews Sustainability initiative impact analysis Business leader reporting Traveler level reporting and education Supplier evaluations and discussion A wise man once said: Not everything that can be counted counts And not everything that counts can be counted (Albert Einstein). These words ring true on our traveler well-being session. For more information on measuring your travelers well-being, contact CWT Solutions Group. Richard Johnson is the Global Head of Solutions Group, CWT. Connect with Richard on LinkedIn. GBTA Convention 2023 Showcases Positive Forecast, Trends and Learning for the Future of the Global Business Travel Industry Worlds largest gathering of the global business travel industry delivers latest growth forecast, learning and education sessions, and insights on key topics including sustainability, technology, workforce development, and DE&I initiatives The global business travel industry and in-person events continue to build momentum as evidenced by the robust attendance, critical insights and attendee engagement that took place this week at GBTA Convention 2023 at the Kay Bailey Hutchison Convention Center in Dallas. The industry came together to connect, learn, grow, and discover, with more than 5,200 registered attendees from 58 countries, over 1,100 travel buyers from 600+ buyer companies, and over 300 exhibitors. The evolution and state of the global business travel industry, future of work, sustainability, and diversity, equity and inclusion were just some of the prominent topics as the industry continues to move forward in a post-pandemic world. As is GBTAs mission and promise, Convention is a platform where we bring together in-person the many voices in the industry for the one purpose of building a better future for business travel through community, learning and advocacy, said Suzanne Neufang, CEO, GBTA. As GBTA just revealed in our latest five-year forecast report, global business travel is expected to continue to be a resilient industry that is poised for growth while delivering value to global economies, companies and business travelers alike. GBTA announced newly elected volunteer leaders GBTA unveiled the results of its 2023 elections including five newly elected Board members and other elected leadership positions who will begin to serve immediately for a two-year term. President: Mark Cuschieri , Executive Director, Global Head of Travel, UBS , Executive Director, Global Head of Travel, UBS Vice President: Rosemary Maloney , Manager, Global Travel, Expense & Card, Alteryx , Manager, Global Travel, Expense & Card, Alteryx Direct Seat, Director-At-Large: Carol Fergus , Director, Global Travel Events & Ground Transportation, Fidelity International , Director, Global Travel Events & Ground Transportation, Fidelity International Allied Seat, Director-At-Large: Mike Daly , Senior Vice President, Serko , Senior Vice President, Serko Regional Director Canada (Direct Member): Elizabeth Oliveira , Global Travel Manager, OMERS , Global Travel Manager, OMERS Allied Leadership Council Chair: Tammy Routh , Senior Vice President, Global Sales Organization, Marriott International , Senior Vice President, Global Sales Organization, Marriott International Chapter Presidents Council (CPC) President: Ronda Dean, Travel Manager, F5 Networks Key programming highlights from GBTA Convention 2023 The WINiT by GBTA Summit engaged, inspired and challenged industry professionals of all levels with the sole mission to positively ignite the careers and lives of women in travel-related industries. Back by popular demand, GBTA Foundation again hosted a Sustainability Pavilion and programming including creative and innovative ways to make business travel more environmentally friendly, eco-aware and sustainable. Convention 2023 also hosted new and returning spaces to learn, connect and relax, including the Innovation Pavilion, Wellness Lounge, and Connect First Lounge for first-time attendees to help participants make the most of their Convention experience. Industry conversations and evolution featured on the Main Stage Convention kicked off with keynote speaker and explorer, Chris Bashinelli, who took attendees on an international adventure, aided with stunning video footage and thought-provoking content, in a session entitled The Power of Human Connection. Convention kicked off with keynote speaker and explorer, Chris Bashinelli, who took attendees on an international adventure, aided with stunning video footage and thought-provoking content, in a session entitled The Power of Human Connection. In the Future of the Workforce panel discussion, panelists explored the role DE&I initiatives play in fostering an environment of belonging, and how we all can support a culture of acceptance and wellbeing. Attendees also learned how to Master the Chemistry of Change from Cassandra Worthy, Founder & CEO of Change Enthusiasm, who shared a self-assessment strategy to transform emotions and redirect anxiety and fears into moments of opportunity. The Industry Insights on Sustainability panel, moderated by Neufang featured Anthony Capuano, President and CEO of Marriott International and Scott Kirby, CEO of United Airlines who delved into how todays top leaders are transforming their operations to drive a positive impact on a global scale in tandem with long-term shareholder value, and what differences the business travel industry can make. Sustainability keynote Paul Polman, Business Leader, Campaigner, and Co-Author of Net Positive, urged attendees to become courageous leaders, and use business as a force for good through principles of Net Positive leadership and the personal, company and broader system changes needed to reach a more sustainable and equitable planet. Convention 2023 concluded with a 10- and 20- year Forecast in Business Travel discussion with keynote Amy Webb, Futurist Founder & CEO of the Future Today Institute, who provided a series of snapshots into the near and far future of business and society along with how organizations can achieve their preferred future and tackle tomorrows most pressing questions about work, relationships, and our way of living. GBTA Convention as the platform for industry news, research and connection During GBTA Media Day more than 20 industry companies announced their breaking news and industry firsts, while GBTA Broadcast Studio hosted over 40 executive interviews from some of the leading and most innovative companies in business travel over the course of the Convention. GBTA unveiled the 2023 Business Travel Index Outlook report* in collaboration with Visa. The report revealed that the global business travel industry is forecast to rebound at a more accelerated rate than predicted last year with expectations to exceed pre-pandemic spending levels of $1.4 trillion in 2024 and grow to nearly $1.8 trillion by 2027. In 2022, global business travel spending rose 47% to $1.03 trillion, with strong gains continuing and 32% growth expected in 2023. Check out the full photo gallery from GBTA Convention 2023 here. And beginning next week, attendees can access replay of education sessions via the Convention mobile app and also the attendee hub. Celebrating outstanding GBTA volunteer contributors GBTA recognized the industry professionals who give generously of their time and talents throughout the year to serve the association, its members and the industry: GBTA Direct Member of the Year Award : Benjamin Park, Executive Director, Travel and Sustainability, Parexel International : Executive Director, Travel and Sustainability, Parexel International GBTA Allied Member of the Year Award : Samantha Bean, Corporate Director, Sales Development, LTD Hospitality Group : Corporate Director, Sales Development, LTD Hospitality Group 2023 Presidents Award : Rita Visser, Director of Global Travel Sourcing and GPO, Oracle : Director of Global Travel Sourcing and GPO, Oracle 2023 Hilfman Leadership Scholarship: Mike Daly, Senior Vice President, Serko A look forward to future GBTA global conventions, conferences, and events GBTA also announced that GBTA Convention 2024 will be held July 22-24 in Atlanta, Georgia and in 2025 in Denver, Colorado on July 23-25. Other upcoming GBTA regional events include the GBTA APAC Conference in Singapore in September and the GBTA + VDR Europe Conference in Hamburg in November. Gen Z is the Generation That Knows How to Make the Most out of Travel Excerpt from Bankrate Surveys show that, even though Generation Z adults may not have reached their peak earning years, they may be more willing to spend money on leisure travel than their Gen X and Baby boomer peers. Pent-up demand for travel caused by COVID-19 means that many Gen Zers are ponying up more cash than normal for their travel plans this year. Gen Z individuals still tend to be savvy when it comes to shopping for the best deal and using credit card rewards to pay for airfare and hotels (which may be why Gen Z has the least amount of credit card debt when compared to older generations). Recent data underscores the fact that Gen Z travelers want to get out and explore, and that theyre willing to do so even if their finances arent exactly squared away. That said, the increased costs of travel may be pushing trips out of reach for some Gen Z adults who have high expenses or incomes on the lower end. Key facts: 24 percent of Gen Z travelers planned to spend less on trips this year compared to last year due to economic concerns (Bankrate) 47 percent of Gen Z individuals who arent taking a summer vacation this year said it is because they cant afford it (Bankrate) 61 percent of Gen Zers who took three or more leisure trips over the previous 12 months come from households with earnings below $50,000 annually (Morning Consult Pro) More than half (52 percent) of Gen Z adults are considered frequent travelers, meaning they take at least three leisure trips over the previous year (Morning Consult Pro) 43 percent of Gen Zers taking a summer vacation this year said they would visit the beach, while 32 percent said they would take a staycation (Bankrate) Click here to read complete article at Bankrate. Wait! Before you go Please sign up for our Evening Digest and Breaking Newsletters Success! An email has been sent to with a link to confirm list signup. Error! There was an error processing your request. * I understand and agree that registration on or use of this site constitutes agreement to its user agreement and privacy policy. Subscribers to Register-Star or The Daily Mail are eligible to receive full access to HudsonValley360. If you have an existing print subscription, please make sure your email address on file matches your HudsonValley360 account email. Hudson, NY (12534) Today Partly cloudy this morning, then becoming cloudy during the afternoon. Slight chance of a rain shower. High 82F. SW winds shifting to NNW at 10 to 15 mph.. Tonight Considerable clouds this evening. Some decrease in clouds late. Low 56F. Winds N at 5 to 10 mph. Kerry Raheb cuts the ribbon with his staff on Friday, marking the official welcome of Indica Cannabis to the town of Adams. Selectmen Joseph Nowak and Howard Rosenberg are at left, and Selectwoman Christine Hoyt at right. Some of the products on available for sale. A look at the renovated interior. PreviousNext Adams Welcomes Opening of Indica Cannabis Dispensary Cameron Lutz and Dani Cook sporting the shop's colors in their hair are ready to fill orders for customers. ADAMS, Mass. Adams welcomed its first cannabis dispensary last week with a red ribbon flourish. Indica Cannabis has been open since mid-June but on Friday, officials gathered to formally greet the town's newest business with a traditional ribbon cutting. "The town invited me in. This is the only place I wanted to be," said Kerry Raheb, owner of Indica LLC. "It was a struggle from day one ... but we did a great business, the town's amazing, the residents are amazing and we have the best team anyone could have." Selectman Howard Rosenberg saluted Raheb's perseverance over the two years it took to make his way through the state and local permitting process. "The hoops you had to pass through, the regulatory part, those were no small hurdles so congratulations on that," he said. And he joked a little on the "historic times" they were living in. "Thirty years ago, something like this, a cruiser would drive up and we'd all get pushed into the back of it," he said to laughter. "But now we get to ride in the front seat." Indica is located at 127 Columbia St., which has been at various times a hippie shop, Chinese restaurant and, long ago, the Towne House bar and restaurant. The exterior has been spruced up and the first floor completely renovated and highlighted in Indica's blue, green and black signature colors. Indicas are a type of cannabis native to parts of Southeast Asia, particularly the Hindu Kush region. The shop offers a wide variety of products, including concentrates, edibles, beverages, pre rolls and tinctures and uses local growers like Berkshire Roots as well as MassGrow. Raheb said pre-rolls, flowers and vaporizers are top sellers in Adams. When asked, he said he hasn't seen any sign there's an oversaturation of shops. "I think we're pulling business from a lot of the stores because we offer great product at a great price," he said. "We're not looking to price gouge, we take care of our customers. So as far as our business, it's exceeded what we expected opening up and we're in first gear, we haven't really gotten going yet. ... "This is the first store in Adams, there's only 500 or so in the state. As far as retail, not everybody offers the product we offer at the price we offer. We're confident that we're going to thrive." Two of the shop's clerks, Cameron Lutz and Dani Cook, rocking its blue and green colors in their hair, said they really enjoyed their jobs. "It's the best job I've had," said Cook. Cook had been there since the dispensary opened, Lutz joined a few weeks ago. Raheb said there were about 10 people employees total and that he hadn't had any problems in finding good staff. The dispensary's been getting 10 or 15 applications a day, he said, noting there are a lot checks that applicants have to go through before being hired. Getting the business open from the licensing, permitting and renovation took a lot of effort and a lot of partners, Raheb said. "It's rewarding but it's a difficult process and not for the faint of heart." Though a Vermont resident, he said Adams was his choice from the beginning. "The majority of the people are extremely happy we're here and they thank us every day for being here," he said. Town Administrator Jay Green noted that Adams was among the first communities to create a cannabis bylaw but has only recently begun to see the benefits. Its first marijuana cultivation facility opened a few weeks ago and now the first dispensary. "It's always a great day for the town when we open any new business," he said, "Adams is a community of small businesses and that's what keeps us going." It was enjoyable to watch Raheb work through the process and achieve his dream, Green said. "We know what it means to you do to this and to do it here in Adams ... "We get a lot of satisfaction of watching somebody achieve their dream." Get our free weekly email for all the latest cinematic news from our film critic Clarisse Loughrey Get our The Life Cinematic email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the The Life Cinematic email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Barbie movie was pulled from cinemas in Algeria this week, almost one month after its release in the North African country. Online news outlet 24H Algerie said Algerias Ministry of Culture and Arts notified cinemas in Algiers, Oran and Constantine to immediately pull the movie. Neither the ministry nor the Algerian Audiovisual Regulatory Authority commented on the reported directive or provided a rationale for it. Barbie opened in some Algerian cinemas last month. The films distributors removed the Hollywood blockbuster from their screening schedules starting this week. The move comes after authorities in Kuwait and Lebanon banned the movie over its themes on gender and sexuality. Some people in Algeria protested the cancellation on social media, posting their complaints along with the hashtag #IAmBarbie. Others denounced it as censorship and bigotry. Margot Robbie and Ryan Gosling in Barbie ( 2022 Warner Bros. Entertainment Inc.) The Barbie film is a threat to morality, they say, writer Leila Belkacem posted on her Facebook page. What morality are they talking about when the censors gorge on porn films at home? Arent you tired of dragging the country into your dark delusions? Fatima Ait Kaci, a retired teacher, told The Associated Press she had promised to take her two granddaughters, who were visiting from Canada, to see the movie. Ait Kaci said she heard what had happened to Barbie only when they arrived at the Riadh El Feth cinema in Algiers and discovered another film showing in the 3pm to 5pm time slot. Algerian authorities dont have the courage to take responsibility for this shameful act of censorship, she said. Watch Apple TV+ free for 7 days New subscribers only. 6.99/mo. after free trial. Plan auto-renews until cancelled Try for free Watch Apple TV+ free for 7 days New subscribers only. 6.99/mo. after free trial. Plan auto-renews until cancelled Try for free Last week, the Audiovisual Regulatory Authority announced its decision to temporarily suspend all programming by private TV channel Es Salam for allegedly broadcasting in an irresponsible and unprofessional manner, a program containing scenes contrary to the precepts of Islam and the way of life of Algerian society. Directed by Greta Gerwig, Barbie stars Margot Robbie as the eponymous doll, alongside Ryan Gosling as Barbies boyfriend, Ken. It contains no overtly sexual scenes or references to LGBTQ+ rights, but it seems to have drawn fire because of its sheer flamboyance and broad message of inclusion and gender equality in a region where same-sex sexual acts are illegal. The Warner Bros film has grossed over $1bn worldwide since its release, making it the highest-grossing release for a female director in history. Robbie, meanwhile, is expected to earn roughly $50m (39.4m) in salary and box office bonuses. Additional reporting by The Associated Press. Get our free weekly email for all the latest cinematic news from our film critic Clarisse Loughrey Get our The Life Cinematic email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the The Life Cinematic email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Bradley Cooper is being criticised for wearing a prosthetic nose in Maestro, a biopic about American composer and conductor Leonard Bernstein. The first trailer, which was released on Tuesday (15 August), gives viewers an early look at Cooper in the role of the renowned musician, who was Jewish. Described as a love letter to life and art, Maestro co-produced by Hollywood heavyweights Martin Scorsese and Steven Spielberg depicts the decades-long relationship between Bernstein and his wife, Felicia Montealegre Cohn Bernstein (Carey Mulligan). Both Cooper and Mulligan wear prosthetics in the movie, in order to play older versions of their characters. However, it is the fake nose worn by Cooper that is generating controversy among film fans, with the 48-year-old accused of fuelling antisemitic stereotypes. All actors should be able to play any part with their skill. However we are living in times where there is huge sensitivity and debate over ethnic and minority representation, British actor Tracy-Ann Oberman wrote on X, the social media site formerly known as Twitter. If Bradley Cooper green lights your film to play the Jewish composer Bernstein and you want him over a Jewish A-Lister who can equally play that role then let Bradley Coopers acting be so magnificent and truthful that the character of Bernstein shines through what he already looks like. If he needs to wear a prosthetic nose then that is, to me and many others, the equivalent of Black-Face or Yellow-Face, she added. Cillian [Murphy] could play Oppenheimer because he looks like Oppenheimer and could get the power of the mans story and Jewishness through the power of his acting, ditto Tom Conti as Einstein didnt have to wear a nose. She concluded: If Bradley Cooper cant do it through the power or acting alone then dont cast him get a Jewish Actor. Watch Apple TV+ free for 7 days New subscribers only. 6.99/mo. after free trial. Plan auto-renews until cancelled Try for free Watch Apple TV+ free for 7 days New subscribers only. 6.99/mo. after free trial. Plan auto-renews until cancelled Try for free Author Ben M Freeman wrote: Bradley Cooper should not be playing Leonard Bernstein. He should not be wearing a prosthetic nose. (Getty) Other critics have pointed out that Coopers prosthetic nose appears to be larger than its real-life counterpart. This isnt about making a non-Jewish actor look more like Leonard Bernstein; its about making a non-Jewish actor look more like a Jewish stereotype, Joel Swanson wrote on X, sharing a side-by-side comparison of Cooper in character, and Bernstein. The Independent has contacted Cooper for comment. The revelation that Cooper would wear a fake nose to play Bernstein first emerged in the form of a still image last year. Writing for The Independent, Noah Berlatsky criticised Coopers decision and said that using prosthetics effectively turns Jewish people into their physical characteristics. It makes us caricatures. A biopic of a celebrated, talented Jewish artist shouldnt encourage these kinds of antisemitic stereotypes, he said. But Cooper appears, unfortunately, to have gotten stuck on those stereotypes himself. Asked to portray a complicated musician, composer and human being, Cooper couldnt see past Bernsteins nose. That doesnt bode well for the quality of the biopic. And its not great for Jewish people either. Carey Mulligan and Bradley Cooper in Maestro (Jason McDonald/Netflix) Actor and producer Jake Gyllenhaal, who has Jewish heritage, previously spoke of his disappointment upon losing a bid for the rights to a Bernstein film to Cooper, admitting he had been yearning to play one of the most preeminent Jewish artists in America for almost two decades. No one likes to admit this, but, we got beat at our own game, he told Deadline in 2021. Thats basically what happened. Theres really nothing more to say about it than that. Theres always another project. Sticking your neck out, hoping to get to tell the stories you love and that have been in your heart for a very long time is something to be proud of. Bradley Cooper as Leonard Bernstein (Jason McDonald/Netflix) He continued: And that story, that idea of playing one of the most preeminent Jewish artists in America and his struggle with his identity was in my heart for 20-some-odd years, but sometimes those things dont work out. In this business, if youre lucky enough to stick it out for a while, we can easily forget that getting to tell the story isnt the most important thing. I mean, this is our life. Gotta enjoy it. Bottom line, and this may be my Achilles heel or it may be my superpower, but I wish them the best. Maestro will be in select UK cinemas on 24 November 2023 and on Netflix from 20 December 2023. Get our free weekly email for all the latest cinematic news from our film critic Clarisse Loughrey Get our The Life Cinematic email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the The Life Cinematic email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Cillian Murphy has named the Christopher Nolan he thinks would make the best double bill with Oppenheimer. The Irish actor plays J Robert Oppenheimer in the biographical drama about the man who helped create the atomic bombs that were dropped on Hiroshima and Nagasaki. Nolans latest film has become a blockbuster hit and one of the most successful film of the directors career to date. At the time of writing, it has made $560.9m globally, and looks set to majorly build on this in the coming weeks following news its 70mm IMAX run has been extended. Part of the reason for its huge success is undoubtedly due to the Barbenheimer craze, which saw many double bill the film with Greta Gerwigs Barbie. Murphy told The Independent, in an interview completed ahead of the Hollywood actorss strike, that he was going to see Barbie but he was then asked which Nolan film he would pick for a double bill with Oppenheimer. It turns out that, for Murphy, it comes down to two options. He told The Independent: You could go Interstellar, which is very... explores similar scientific, physic themes. Or you could watch Dunkirk, which is also set in World War II. Dunkirk is shorter, so that might be a good match cause its like an hour-and-a-half, and then you can go into [Oppenheimer]. Oppenheimer marks Murphys sixth collaboration with Nolan after the Dark Knight trilogy, Inception, and Dunkirk. When asked which Nolan film hed have liked to appeared in, he settled for Interstellar, the space drama starring Matthew McConaughey and Anne Hathaway released in 2017. I adore Interstellar just because I find it so emotional, he said. I remember seeing it in the cinema when I had little kids. It just had a big impact on me. It broke my heart. I love watching his films when Im not in them because you dont have to freak out about the size of your ears, or whatever. Cillian Murphy chooses the Christopher Nolan film he would double bill with Oppenheimer He said, though, that the right people were cast in the film. Murphy also addressed whether would star in 28 Years Later, a 28 Days Later sequel in the works from Danny Boyle and Alex Garland. Fans of Oppenheimer have been highighting a small disturbing detail involving Florence Pughs character, Jean Tatlock, that many viewers missed the first time around. Read The Independents full interview with Murphy and Nolan here. Sign up to Roisin OConnors free weekly newsletter Now Hear This for the inside track on all things music Get our Now Hear This email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Roisin OConnors email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Dont you hate it when a song gets stuck in your head? And dont you hate it even more when its a song thats been adopted by tragic reactionaries as a call-to-action protest anthem? Unfortunately thats what happened this week with the viral country song Rich Men North of Richmond. Recorded by complete unknown Oliver Anthony, it rails against taxes, welfare cheats, the obese. Endorsements duly rolled in from the right-wing media sphere: podcaster Joe Rogan said he loved it, while commentator Matt Walsh branded it raw and authentic. Since its release earlier this year, its clocked millions of views on YouTube and has zipped to the top of the iTunes country chart. Fans of the song have hailed it as giving a voice to the disaffected working class; detractors have criticised it for dog whistle messaging. Leaving aside the politics, though, the song is just not great. Musically, its fine. Anthony, a former factory worker and farmer who apparently lives off the grid in Virginia, has an unvarnished voice, and his guitar playing is competent. The tune is simple and melodic, if rather repetitive. But the words are where it comes unstuck. Lyrically, Rich Men North of Richmond is doggerel an artless, blunt-force hissy fit. Lets break down the lyrics a bit, lest you think Im being harsh. Anthony begins the song with a working mans lament: Ive been sellin my soul, workin all day / Overtime hours for bulls*** pay / So I can sit out here and waste my life away / Drag back home and drown my troubles away. So far, so fine. A lot of monosyllables, and the slightly dubious decision to rhyme away with away, but nothing ruinous. Then we have the chorus. Livin in the new world / With an old soul / These rich men north of Richmond / Lord knows they all just wanna have total control, he sings. The use of a geological dividing line perhaps evokes the American Civil War, and the USs intractable North-South political schism. Its not clear exactly what he means by old soul (didnt he sell his soul at the start of the song?), a phrase most often applied to a person wise beyond their years. Does he mean this? You suspect it may be old in a more atavistic sense the suggestion that he must belong to that sweet, forgotten Southern past, before political correctness and big government came trampling through the wheatfields. Post Malone and Joe Rogan call the NPC TikTok trend 'crazy' and 'strange' The rich men north of Richmond (this last word pronounced Richmen to concoct a sweaty homonym) is another phrase thats insidious in its vaguery. Who exactly does he mean? Politicians? Perhaps. By leaving the lyric open to interpretation, Anthony allows listeners to align their own personal betes noires within the songs crosshairs, whether thats senators, coastal elites, or, as online antisemites are predictably claiming, the Jews. The chorus charges ahead, complaining that these wealthy north-situated men wanna know what you think / wanna know what you do / and they dont think you know / but I know that you do. By this point, its clear the song is uninterested in any discernible rhyme scheme (you do is paired with you do), and has the ring of conspiracy about it. At least the songwriting is consistent: woolly and loaded us against them rhetoric, expressed with a vocabulary that a six-year-old could understand. Anthony finishes the chorus with a jab at tax rates a theme which he then expands upon in verse two. I wish politicians would look out for miners / And not just minors on an island somewhere, he continues. A clunky piece of wordplay, maybe, one which can be read as an allusion to Jeffrey Epstein and his network of child predation. The link between politicians and child sex trafficking is frequent fodder for American conspiracy theorists, and this line only heightens the sense of dog whistle paranoia that pervades Anthonys lyrics. Something perhaps relevant: the musicians official YouTube page features a curated playlist that includes two 9/11 truther conspiracy theory videos. The playlist is called Videos that make your noggin get bigger. It worked for me, though that might just be swelling from hammering my face into the wall after watching. Much of the criticism of the song has focused on the next few lines. Lord, we got folks in the street, aint got nothin to eat, Anthony sings, And the obese milkin welfare / Well, God, if youre 5-foot-3 and youre 300 pounds / Taxes ought not to pay for your bags of fudge rounds. This segment represents the nadir of his rhetoric an ungenerous, fatphobic tangent which places the blame for societys ills on the unhealthy poor, not, as his thesis originally proposed, the unspecified rich northerly men but also the low point of his songwriting craft. Obese is stressed with a jarring first-syllable emphasis. Everything from taxes through to fudge rounds is almost laughably inelegant, a witless mans clumsy stab at bon mot. Rich Men North of Richmond saw Anthony launched to fame overnight (radioWV via YouTube) All of which is to say: Rich Men North of Richmond is not a good song. But its success points to a dispiriting trend in country music. The song it displaced at the top of the iTunes chart, Jason Aldeans Try That in a Small Town, is an even more controversial composition, a pro-gun anthem that has been branded a modern lynching song (Aldean has called this reading meritless). Stomp on the flag and light it up Well, try that in a small town / See how far ya make it down the road, he sings. This song, too, is not just objectionable its crap. Overproduced, naff, and linguistically insipid. There are plenty of country artists out there making accomplished, politically charged music Jason Isbell, Margo Price, the Chicks but almost always from the opposing aisle. There is an irony too in the fact that the new world Anthony bemoans in the chorus of Rich Men North of Richmond is entirely responsible for his overnight success: where else could he have surged to such swift popularity, but along the internets algorithmic rapids? Conservatives have celebrated his song as a call for unity, a work of blue-collar solidarity but its sudden popularity is still rooted in the bitter factionalism that continues to rot American politics. It has become a hit not because of its lyrical grace or musical exceptionalism, but because of what it represents. Underneath it all, Anthonys song is a work of banal and unyielding affirmation. Youre good. Theyre bad. Dont change. Wheres the protest in that? Sign up to Roisin OConnors free weekly newsletter Now Hear This for the inside track on all things music Get our Now Hear This email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Roisin OConnors email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Bruce Springsteen has postponed his tour dates in Philadelphia hours before his first concert at Citizens Bank Park was scheduled to take place. The 73-year-old rock icons official social media accounts announced Wednesday (16 August) that the Boss had been taken ill. Due to Bruce Springsteen having been taken ill, his concerts with The E Street Band at Citizens Bank Park in Philadelphia on August 16 and 18 have been postponed, the statement read. We are working on rescheduling the dates so please hold on to your tickets as they will be valid for the rescheduled shows. Springsteen and the E Street Band were scheduled to perform the pair of concerts at Citizens Bank Park as part of 22 new stops on their 2023 concert tour. The tour comprising 90 shows in total, began on 1 February 1 in Tampa, Florida, marking the first time since 2017 that Springsteen and the E Street Band have toured together, and is scheduled to conclude on 12 December in San Francisco, California. The musician recently returned to London for the first time since 2016. In her five-star review for The Independent, Annabel Nugent wrote: How and why after all these years, the musician, now 73, still wishes to put himself through such a physically gruelling set is a mystery but one that serves the 65,000 people in the crowd tonight well. From the moment he and the E Street Band arrive on stage promptly at 7pm, its a breathless race to the finish line. ... Again, I ask myself: has anyone on earth ever aged better than Bruce Springsteen? In a recent interview, fellow rock legend Paul McCartney said he blamed Springsteen for setting fans expectations when it came to lengthy live shows. You cant now do an hour. We used to do a half hour. That was The Beatles thing half an hour, and we got paid for it, McCartney said. That was it. A Beatles show, we were on and off like that. It didnt seem strange. Now, people will do three or four hours. I blame Bruce Springsteen Ive told him so, I said, Its your fault, he added. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} President Joe Biden said he is working with the government to find a time to visit Hawaii after the devastating wildfires following blowback over his previous comments about the disaster. About 20 minutes into Mr Bidens planned speech about his economic policy on Tuesday in Milwaukee, he pivoted to the impact of the Maui wildfires. I got to talk a little bit about Hawaii, Mr Biden said before sharing his concerns about the victims and reiterating actions hes taken to send federal assistance to Maui where at least 99 people were killed and thousands of others have been displaced due to the fires. This past week and over the weekend, Mr Biden faced heavy criticism for his response regarding the fires. While Hawaii grappled with the wildfires, the president spent time at his home in Rehoboth Beach, Delaware where he was photographed riding his bike and lounging on the beach. But the President chose to give a more concrete statement about the disaster on Tuesday. He said: My wife Jill and I are going to travel to Hawaii as soon as we can. Thats what Ive been talking to the government about, I dont want to get in the way. Ive been to too many disaster areas. But I want to go make sure we got everything they need. Want to be sure we dont disrupt the ongoing recovery efforts. Charred remains of a burned neighbourhood is seen in the aftermath of a wildfire, in Lahaina, western Maui, Hawaii on August 14 (AFP via Getty Images) Mr Biden declared a major disaster shortly after the fires erupted last week. But some Republicans lambasted Mr Biden for not having a more public-facing approach to coordinating federal relief efforts. Reporters repeatedly asked White House press secretary Karine Jean-Pierre why Mr Biden had not been more vocal about his concern for the victims of the wildfire. Some questioned why the president had not scheduled a visit yet. But the Biden administration, as well as Mr Biden, has insisted that behind-the-scenes they have been working hard to bring all federal relief efforts to the people of Hawaii. Mr Biden spent approximately five minutes touching on all the ways hes directed leaders in the Federal Emergency Management Agency (FEMA) as well as several branches of the military to provide all recovery assistance to Hawaii. Our thoughts and prayers are with the people of Hawaii, but not just our prayers every asset, every asset they need will be there for them. And we will be there in Maui as long as it takes, Mr Biden added. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Chilling drone footage captured over the weekend showed what was left of Lahaina, the historic town that was incinerated when wildfires ravaged the Hawaiian island of Maui. All that was left of the razed neighbourhoods were smouldering ruins as some residents returned to survey the destruction. The death toll from the Maui wildfires has risen to 106, Hawaii Governor Josh Green confirmed, but the number is expected to increase as searchers continue to look for at least 1,000 people who are still missing. The grim figure makes the tragedy the deadliest wildfire in the US since 1918 and officials have said the true scale of casualties remains unclear. The fire was about 85 per cent contained earlier this week, after burning an estimated 2,170 acres, according to the County of Maui. Specialist search dogs from the Federal Emergency Management Agencys Urban Search and Rescue teams have now joined the harrowing searches for victims. The dogs and their handlers have taken on the distressing job of searching through the ruins to identify human remains. Mr Green is asking those with missing family members in Maui to submit a DNA test to help identify the victims. Horror drone footage shows historic town of Lahaina burned to ground after devastating wildfires (9NEWS) Just five of the 106 victims that have been found thus far have been identified. Due to the severe burns that many people faced during the deadly wildfires, many of the remains are unrecognisable. Were asking all of our loved friends and family in the area who have any concern to go get swabbed at the family support center so that we can match people genetically, Mr Green said on The Source with CNNs Kaitlin Collins. President Joe Biden and first lady Jill Biden will visit Maui on Monday 21 August to meet with first responders, survivors and officials nearly two weeks after devastating wildfires ravaged parts of the island. Mr Biden declared the crisis a major disaster shortly after the wildfires broke out, and has sent military and federal aid to the islands. Despite this, some condemned the president for failing to schedule a visit to Hawaii and vacationing over the weekend. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} When Joe Biden announced the federal governments latest aid package to families left homeless by the Maui wildfires on Monday, a seemingly innocuous turn of phrase sent conspiracists into meltdown. Were laser-focused on getting aid to survivors, the president wrote, apparently unaware of the bogus claims circulating on social media of space lasers being used to deliberately start the wildfires that swept through Maui on 8 August. As with nearly every traumatic event, from natural disasters to school shootings, fake theories that sprung up immediately online followed a familiar narrative of climate change denial, government cover-up and in some cases antisemitic tropes. Some suggest the United States military set off the blaze, or that it was part of an elite land grab possibly spearheaded by Oprah Winfrey herself. As far-fetched as they might seem, conspiratorial posts suggesting that the wildfires that have claimed at least 99 lives were started as part of a government-celebrity plot have garnered tens of millions of views on X. The site formerly known as Twitter has become an increasingly fertile ground for misinformation under Elon Musks ownership. The theories also tap into legitimate complaints from the grieving and the displaced about the lack of warning they received, despite the threat from wildfires being well documented by Maui County officials. The cause of Mauis wildfires remains under investigation, but officials say that wind gusts whipped up by Hurricane Dora combined with severe drought conditions on west Maui are to blame. Can anyone confirm? Among the most prominent claims circulating online are that the fires were not a natural disaster fuelled by the climate crisis, but some kind of blast from space lasers or directed energy weapons (DEWS). In one post viewed more than one million times, a verified user with more than 100,000 followers posted an image claiming to show a light beam reminiscent of something out of the movie Independence Day being shot at Hawaii. This photo is circulating social media. Apparently this beam was captured before the Hawaii fires. Can anyone confirm? This image being linked to the Maui wildfires was taken in 2019 at a SpaceX launch at Californias Vandenberg Air Force Base, now known as Space Force Base. (Twitter ) The image in fact depicts a time-lapsed image of a 2019 SpaceX launch at Californias Vandenberg Air Force Base, now known as Space Force Base, as users pointed out. Another video posted to Twitter by a verified user falsely claims to show a massive explosion on Maui just before the fires broke out on 8 August. Disturbing New FOOTAGE from Maui fires shows what allegedly happened, the user wrote. DEWs Terrible Revelation in MAUI, another wrote on Instagram. Prepare to step into a world forever changed by a sinister turn of events. The footage was actually of a transformer explosion in Santiago, Chile, earlier this year, according to fact checkers from the Associated Press. The video went viral on TikTok in May, where it has been viewed nearly 10 million times. Chilevision News later reported that a transformer in the suburb of Macul had exploded in high winds, causing a bright flash of light. No, it wasnt an alien attack or a military attack, reporter Javiera Salazar says in the report. It wasnt even lightning, much less artificial intelligence. In a separate post on X, a verified user with 431,000 followers posted a photo of a light beam and an explosion on 10 August that has been viewed nearly three million times. If the fires in Hawaii were natural, what in the h is this? the user writes. False claims that a directed energy weapon strike caused the Maui wildfires have been attracting millions of eyeballs online (Twitter) In 2018, the fact-checking site Snopesinvestigated the image and found it showed an optical phenomenon known as a light pillar, which is caused by light reflections from tiny ice crystals suspended in the atmosphere. Another clearly digitally-altered photo claiming to show a laser beam striking the Waiola Church has been viewed more than nine million times since being posted to Xby Matt Wallace, a right-wing influencer, who often interacts with X owner Elon Musk. Directed energy weapons are defined by the US Navys Office of Naval Research as electromagnetic systems capable of converting chemical or electrical energy to radiated energy which are shot at the speed of light. The space laser conspiracy theory gained traction in 2018 after Republican Congresswoman Marjorie Taylor Greene suggested on Facebook that the Camp Fire in California was caused by a beam from space solar generators. Can boats catch fire? Some have questioned the authenticity of images showing the spread and reach of the wildfires, which were buffeted by strong gusts and severe drought conditions on western Maui and quickly consumed almost the entire town of Lahaina. Among the images of attracting attention are footage showing boats ablaze purportedly somewhere off the coast of Hawaii. UNEXPLAINED INFERNO: EVERY Boat Aflame! How did this happen? the caption on one such video, posted to X complete with dramatic soundtrack, asks. Footage of boats in fire, claiming to be from the Hawaii wildfires this week, have circulated online (Twitter / The Paradox Files) While impossible to verify where the video was shot, it is entirely plausible that boats could catch fire during wildfires fuelled by hurricane-force winds, according to experts. Glowing red-hot embers can rain down on boats, and intense heat from the shore could also cause water-borne vessels to ignite, University of Minnesota Center for Forest Ecology director Lee Frelich told The Independent by email. Fires such as the one that hit Lahaina on Maui occur when there is very flammable vegetation (i.e. dense vegetation dry due to drought) right outside a city, the city has closely spaced buildings made of flammable materials, and there is a day with high winds, Mr Frelich said. Joe and Jill Biden to visit Hawaii to survey aftermath of wildfires It is not surprising that boats started on fire with winds gusting to 80 mph blowing offshore, boats would have had thousands of red hot embers falling on them every minute, and those very close to shore would have experienced high levels of radiant heat as well. Fires that spread across a small body of water to ignite in neighbouring islands, boats and inlets is known as spotting, or spot fires, Mr Frelich told The Independent. The phenomenon is common on islands in northern Minnesotan lakes during wildfire season, he added. How did the trees survive? A video posted to X by the Punish Dem 1776 account showing some trees still standing after the Lahaina blaze, while houses and cars surrounding them have been reduced to ash. Everything is burnt but the trees, but dont point that out or (youre) a conspiracy theorist, the caption reads. The video has been viewed nearly 25 million times in four days. Context has been added by Xs crowd-sourced fact checking service community notes showing that many plants and trees have adapted to survive and even flourish in fires. Most Rev. Clarence Larry Silva, the Bishop of Honolulu, greets parishioners after Mass at Sacred Hearts Mission Church on Sunday, after it was spared by wildfires (Associated Press) But the thousands of reposts and comments on the video show that few people have been swayed. Footage showing that the historic Maria Lanakila Catholic Church in downtown Lahaina was spared from the fires set off similar claims that some nefarious hand was at work. The historic church, completed in 1873, was mostly built from stone, and its wooden roof suffered some damage, church officials have said. An elite land grab An offshoot of the directed energy weapons claims is that the Maui wildfires were started deliberately by wealthy landowners to steal land from indigenous populations on the island. The fake claims have fed into very real fears that residents who have called Maui home for centuries could be forced out after losing their homes in the fire. The island has a chronic housing shortage and in recent years has seen a stream of wealthy transplants and second-home buyers move into towns like Lahaina. The wildfires are likely to send the already high cost of living on the island much higher. Among the many celebrity property holders on Maui are Oprah Winfrey, who owns more than 2,000 acres, Amazon founder Jeff Bezos, actors Matthew McConaughey and Clint Eastwood, and tech entrepreneur Peter Thiel. Ms Winfrey has been assisting with the humanitarian relief effort, while Mr Bezos and wife Lauren Sanchez have set up a $100m humanitarian relief fund. Oprah Winfrey has been handing out humanitarian aid at emergency shelters (BBC) On Monday night, Governor Josh Green asked the state attorney general to approve a moratorium on property sales to prevent predatory land grabs. The spread of conspiracy theories on the social media platform X has increased since Mr Musk removed the blue check marks of experts, celebrities and government officials, and allowed anyone who paid $8 a month to gain verification. This enables so-called verified users to appear more prominently in mentions and posts due to the sites algorithm. Ben Collins, who covers the dystopia beat for NBC News, wrote on X this week that the Hawaiian fires showed how conspiracy culture cannot and should not be reasoned with. There will be no come-to-Jesus moment on climate change or severe weather. There will just be more people claiming Oprah or Biden used a direct energy weapon. Thats our future. X did not provide a response to questions from The Independent about the spread of conspiracy theories on the platform. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} At least 72 people died in India's Himalayan state of Himachal Pradesh as bouts of torrential rain continued to trigger landslides and floods, leaving locals scrambling for safety. Most of the deaths were accounted from Shimla a picturesque tourist town in Himachal Pradesh which has been battered by heavy rain since last week. The state is also popular among Hindu devotees due to the presence of numerous religious shrines. At least 14 people were killed when a Hindu temple in the state capital collapsed, amid fears many others were still trapped beneath the rubble. A cloudburst in Solan district killed seven people earlier this week. Vikram Singh, an operator at the state's emergency operation center, said on Thursday that 72 people died over five days. The Indian Air Force along with national and state disaster response teams have been pressed into action to evacuate people from low-lying, vulnerable areas. Over 2,000 people have been rescued using helicopters and motor boats and are were taken to relief camps, state chief minister Sukhvinder Singh Sukhu said. There has been a 157 per cent surge in rainfall over the past week that has resulted in extensive damage across the state, the chief minister said. "There has been a lot of damage due to the rain. Restoration will take time, but it will be done on a war footing," he added. All the schools and colleges in the state have been ordered to remain closed due to the have been ordered to remain shut on Thursday, while the Himachal Pradesh University has suspended classes til 19 August. The chief minister, who visited the low-lying Kangra Valley on Wednesday, said at least 100 people were still trapped, while 650 had been rescued after the release of water from the Pong Dam. Television footage and videos on social media showed houses flattened by landslide, buses and cars hanging on the edge of precipices after roads gave way, and dozens of rescue workers trying to clear the debris. A security personnel carries the belongings of a villager from the site of a landslide after heavy rains at Jadon village in Solan district of Indias Himachal Pradesh (AFP via Getty Images) The India Meteorological Department (IMD) has forecast isolated but heavy rainfall for the state in the next two days. Mr Sukhu said it would take at least a year to rebuild infrastructure destroyed by the rains of this monsoon, and claimed the estimated loss to be about $100bn. Its a big challenge, a mountain-like challenge, he said. In the neighbouring Uttarakhand state, at least 10 people have died after being trapped under rubble due to a landslide triggered by heavy downpour early this week. Livid locals in Himachal Pradesh and environmentalists have raised concerns over the rise in indiscriminate construction of infrastructure by the rivers to accommodate the growing number of tourists visiting the state each year. "It is poor planning and governance that has led to this much damage," Anand Sharma, a retired meteorologist with the IMD, was quoted by Associated Press as saying. "All the fallen buildings are those that were constructed recently, buildings built a 100 years ago have witnessed little to no damage," he added. This is Krishna Nagar - illegal construction, poor quality, steep slopes yet again. Problems were known, wrote Sidharth Shukla on X, previously known as Twitter. Safety audits should be the No.1 priority for governments in Himachal Pradesh and Uttarakhand, wrote Anoop Nautiyal. Mindless concretisation is responsible not only for destruction of Uttarakhand, Himachal Pradesh etc. It is also responsible for water-logging in every city after 15 minutes of rain, rued Rajendra Kumbhat. Yam Thapa, a 48-year-old supervisor at a slaughterhouse in Shimla, had a narrow escaped on Tuesday when the building collapsed. Tuesday is an off day and a handful of us were at the slaughterhouse. When we noticed a huge crack on the roof, we ran out of the building but Bhalla, Raju and I returned to our residential premise attached to the slaughterhouse to gather our valuables. While I managed to escape, the building collapsed before the two of them could come out," he told The Indian Express. It was a known fact that the slaughterhouse would collapse one day. The soil of the hill had started loosening. Meanwhile, the four houses that had collapsed on the slaughterhouse were vacated on time," he added. Disasters caused by landslides and floods are common in the Himalayan states during the monsoon months between June to September. However, expert believe the climate crisis is playing a significant role in the intensification of such extreme weather. In July, record monsoon showers killed more than 100 people over two weeks in parts of northern India, including Himachal Pradesh, which was one of the worst-hit states. Last year, flash floods killed nearly 200 people and washed away houses in Uttarakhand. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} At least 111 people are dead and thousands more have been forced to evacuate the island of Maui after deadly wildfires raged throughout Hawaii. The fires, which are believed to be the deadliest in the US in the last century, erupted on three of Hawaiis islands forcing visitors to flee and residents to seek emergency shelter. Photos and videos from Maui show the destruction the fires have caused, with some neighbourhoods including the historic town of Lahaina, nearly burned to ash. Search and recovery efforts then began as firefighters worked to contain and put out the fires. But the wildfires have sparked a frenzy of questions about how disasters like this can be prevented in the future. Heres everything we know about how the Hawaii wildfires started. How did the wildfires start? August is part of Hawaiis typical dry season when parts of the island experience abnormal to severe droughts. Since the beginning of August, most of Maui has been under an abnormally dry level of drought, according to the US Drought Monitor. But beginning on Tuesday, 8 August, a portion of Maui escalated to a severe drought level making the area more susceptible to wildfires. Though the islands are no stranger to some wildfires, the number of fires has increased exponentially over the past century due to human activity and an increase in invasive, flammable grasses, according to the Hawaii Wildfire Management Organization (HWMO). Nonnative grasslands and shrublands now cover nearly one-quarter of Hawaiis total land area and, together with a warming, drying climate and year-round fire season, greatly increase the incidence of larger fires, the HWMO wrote in a factsheet. This photo provided by County of Maui shows fire and smoke filling the sky from wildfires on the intersection at Hokiokio Place and Lahaina Bypass in Maui (AP) The invasive grasses, which grew tall during the wet season, quickly dried out during the dry season making them extremely flammable. The dry vegetation combined with the drought conditions made for the perfect environment for wildfires. But what may have caused the explosion in wildfire conditions is the strong winds brought on by Hurricane Dora, a Category 5 hurricane located several hundred miles off the coast of Hawaii. The National Weather Service (NWS) issued a red flag warning to the Hawaii National Guard due to the high winds, low humidity and drought, according to The Washington Post. This graphic shows the location of fires on the island of Maui, Hawaii (AP) The biggest utility company in Hawaii is now coming under scrutiny as questions mount if it took enough precautions to prevent a wildfire as the heavy winds began to hit Maui last week. Attorneys representing Lahaina residents are suing Hawaiian Electric, claiming that its equipment wasnt strong enough to handle the winds coming in over the island, adding that the company should have shut down the power before the winds struck the area, according to The New York Times. Wildfire experts who have looked into the fires in California over the last 20 years see problems with Hawaiian Electric. Officials on the state and local levels have not yet determined a cause for the fire almost a week after they began, but the conditions were similar to other parts of the US where wildfires have been started by electrical equipment, namely old infrastructure, high winds, and dry, easily flammable brush. Many US wildfires start when powerlines are blown down, or when branches or other things land on powerlines leading to flashes of electricity, prompting some utility companies to shut power down ahead of strong winds. Recommended Video appears to show start of deadly Maui wildfires that have claimed more than 110 lives The chief executive of the Frantz Law Group, James Frantz, told The Times that we allege that many of the regulatory laws that require maintenance of equipment were broken. The group is one of several firms going up against Hawaiian Electric. Theres got to be some accountability, he said. Investors in the utility company appear to be concerned as its share price dropped more than a third of its value on Monday 14 August. The company may have to pay large amounts to settle lawsuits from homeowners and businesses, and also invest in fireproofing its current infrastructure. Stock analyst Shahriar Pourreza told The Times that the issue becomes whether they did everything they could that was reasonable to prevent this incident. Was there gross negligence, was there imprudence? he added. The CEO of Hawaiian Electric, Shelee Kimura, said during a press conference on 14 August that they didnt have a shutdown programme and that shutting down the power may have led to problems for people using certain kinds of medical equipment. She noted that turning the power off would have required coordination with the emergency services. In Lahaina, the electricity powers the pumps that provide the water and so that was also a critical need during that time, she said. There are choices that need to be made and all of those factors play into it. A home burned to ashes is seen in foreground as the sunset colors the sky in Kula, Hawaii, Tuesday, Aug. 15, 2023, (AP) Mr Pourreza noted that Hawaiian Electric could be liable for more than $4bn. In June, the company had $314m in cash. Whisker Labs is a private firm that monitors the electrical grid in cities for issues that may lead to a home fire. Its data seems to show major incidents on powerlines close to where the fire is thought to have begun, according to The Times. Late on 7 August and into the early hours of 8 August, the data shows that power lines started to lose voltage, which can occur when branches and other types of vegetation begin to affect wires, lines, poles, or other types of equipment. The firm said it has nearly 1,000 sensors in Hawaii and around 70 on Maui. While all of the sensors on Maui sensed a fault, the strongest one was near Lahaina. Its co-founder and chief executive Bob Marshall told The Times: Something on the grid was very unhappy for eight seconds and trying to recover from a shock. In a 2022 regulatory filing, Hawaiian Electric outlined efforts to reduce the risk of fires. It stated that the firm was hardening poles to be able to handle strong winds and that it was removing vegetation, citing Lahaina as a priority area. Safety measures take time and may be expensive for a company to carry out. Standford climate and energy policy scholar Michael Wara told The Times that burying power lines costs between $3m and $5m for every mile, with those costs usually added to bills for customers. The rates for electricity in Hawaii are already the highest in the US, the US Energy Information Administration states. Why did they not do the cheap thing, turn the power off? Mr Wara asked. Where did the wildfires start? The fires broke out on three islands: Hawaii, Maui and Oahu with the deadliest fires being on Maui. It is unclear where the fires first began but from the time they started, they moved extremely quickly. The town of Lahaina, located in western Maui, was seemingly hit the hardest with more than 270 structures burned. On Saturday 12 August, the Federal Emergency Management Agency and the Pacific Disaster Center said that about 2,000 structures had been destroyed or damaged on Maui with an estimate for rebuilding costs being $5.52bn. The speed at which the fire in Lahaina moved on made it difficult for firefighters to contain the massive blaze. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Vice President Kamala Harris appeared to snap back as she was called out by an activist for the Biden administrations lack of action on the climate crisis as the death toll from the Hawaii wildfires continues to rise. Climate Defiance, which brands itself as a Brand-new, youth-led group using direct action to resist fossil fuels, on Monday night shared a video on X, the platform formerly known as Twitter, stating: We profoundly disrupted a speech by United States Vice President Kamala Harris. As we cried out about the tragic deaths in Hawaii and condemned her fossil fuel crimes, Kamala stood there and laughed. Horrendous. We deserve - and we need - actual climate leaders, the group added. Ms Harris was interrupted by two hecklers as she was addressing a crowd in the gymnasium of Marthas Vineyard Regional High School on Saturday, according to the Vineyard Gazette. She was in Massachusetts to attend two fundraisers as she gears up to run for re-election alongside President Joe Biden. During the speech, she told about 400 supporters to fight for civil rights as she argued their opponents were working to pit Americans against each other. After the event, Climate Defiance gathered outside the school holding a sign saying: Kamala: Off Fossil Fuels. Those who attended the closed speech had to donate to the Biden Victory Fund to see Ms Harris speak, The Gazette noted. Protestor Jay Waxse told the paper that he had travelled from Washington, DC and paid the minimum $50 to attend. He added that other activists had come from all over the country. Mr Waxse said the protest on Saturday was aimed at getting the administration to stop approving oil and gas projects on federal land. Biden positions himself as a climate president ... but its a front, he told The Gazette. The protester in the video shared by Climate Defiance shouted at Ms Harris that We are in the middle of a climate emergency. Eighty people have died in Hawaii, Thousands have been displaced by the climate chaos. The planet is burning, and people are dying. Im speaking, Ms Harris said while the advocate was taken away by security personnel, according to Newsweek. We were wondering if you would uphold your promises, the protester said. You and Joe are failing on climate. The Independent has reached out to Climate Defiance for comment. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The fires in Maui, Hawaii havent been contained yet, but already residents of Lahaina are worried investors will try to capitalise on the disaster for land development. The wildfires that ravaged Maui last week left a scar on towns like Lahaina, where 80 per cent of the structures in town have been damaged or destroyed. Hawaii governor Josh Green estimated that the state has lost an estimated $5.6bn and it could cost that just to rebuild Maui. But while thousands of people living on the islands are trying to recover and find shelter, investors see an opportunity. In a video posted to Instagram, a member of the nonprofit organisation Kakoo Haleakala claimed land investors were already calling Lahaina residents who lost their homes, offering to buy their land. I am so frustrated with inventors and realtors calling the families who lost their home offering to buy their land, the person in the video says. How dare you do that to our community right now. It is unclear which investors or relators the person in Kakoo Haleakalas video was speaking about. One resident, Mark Stefl, told USA Today he was approached by developers to buy his land but that he refused. Im not gonna sell it, Mr Stefl told the newspaper. Im going to stay here. I love it here, as messed up as it is. On 13 August, Keoni, a Kanaka Maoli Kiai (Native Hawaiian Activist) tweeted asking people who are receiving calls from relators to take down their names so they could expose them. More than 4,000 people are displaced and at least 99 have been killed as a result of the fires that began last week. Mr Green said he expected the death toll number to increase in the coming days as cadaver dogs will continue their search of burned areas. Residents of Maui were given little notice when the fires rapidly approached neighbourhood and towns. People had no time to gather their valuables or personal belongings before fleeing for safety. Richy Palalay, a 25-year-old who was born in raised in Lahaina told The Associated Press that his workplace, his neighbourhood, his friends homes and potentially his own home were burned down in the fire. Mr Palalay said he is worried that investors will seize the opportunity to pay a lower price for the land locals live on to build expensive resorts. Im more concerned of big land developed coming in and seeing this charred land as an opportunity to rebuild, Mr Palalay told Associated Press on Saturday. Burned houses and buildings are pictured in the aftermath of a wildfire, as seen in Lahaina, western Maui, Hawaii (AFP via Getty Images) The average home in Lahaina is valued at around $1m, according to Zillow making it a more expensive spot for the average person to buy a home. But the rise of hotels, resorts and condos on Maui, has made it a favourite, and more affordable, destination. One of the things that we as a community and as a state is going to be faced with, is how do we begin to address the long-term recovery, rebuilding, keeping people here and not selling off what losses they had and moving, Archie Kalepa, a resident of Lahaina told The Washington Post. Mr Kalepa added: We want to make sure we keep Lahaina, Lahaina. John Dimuro, who has been living in Maui for more than 40 years told USA Today that the government should intervene. The government should just say no, youre not allowed to develop, Mr Dimuro said on Monday. Say no, just flat out no. Governor Green said in a press conference on Monday that he reached out to the attorney general to explore options to do a moratorium on any sales of properties that have damaged or destroyed. Moreover I would caution people that is going to be a very long time before any growth or housing can be built and so you will be pretty poorly informed if you try to steal land from our people and then build here, Mr Green said. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A Maui family is sharing their experience of narrowly escaping wildfires that killed at least 106 people by jumping into the waters off Lahaina. Noah Tomkinson, 19, and his family were fleeing the fires in their car on Tuesday when they became stuck in a traffic jam as numerous residents sought to escape the rapidly approaching blaze. It was starting to shoot embers down on the grass and light the very dry grass on fire, he told CNN Monday. We had fires on both sides of our car. Thats when Noah, his brother Milo, 13, and their mother jumped into the ocean. The fire was at that point starting to light the buildings across the street on fire, he added. Our car wasnt moving anywhere. The traffic was so bad no one was moving. We knew we had to jump in. The family stayed in the ocean for five hours before they climbed out and found shelter. During that time, that had to cover their mouths to stop from inhaling smoke-laden air, and the family huddled together to keep each other warm in the wasit-deep water. The wild fires knocked out portions of the telephone infrastructure on Maui, and Noahs father, who lives elsewhere on the island, didnt know the trios wellbeing for a harrowing period of 12 hours. Eventually, the 19-year-old was able to crawl on top of a building to find cell phone service and call his dad. Theyre now sheltering at the fathers home in Maui. According to officials from the Honolulu section of the Coast Guard, officials rescued 17 people from the water between 8 and 9 August, while state and local agencies performed numerous other rescues. No one, the Coast Guard said on Tuesday, has been pulled out of the water since 9 August. As the death toll continues to climb, increasing attention is being paid to how Mauis infrastructure appeared to have failed before and during the fires, the deadliest US wildfire in over a century. An extensive system of natural disaster warning sirens werent triggered, though officials say they sent cell phone, TV, and radio-based warnings of the coming wildfires. Fire fighters also reported that fire hydrants had little or no water pressure as first responders attempted to tackle the blaze. Even before the fires, rapid population growth and drought conditions were combining to strain Mauis water supplies, and the blaze melted pipes throughout the island, further depressurising the system, The New York Times reports. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A man who escaped the Maui fires by spending hours in the ocean has revealed harrowing details of how he survived one of the most terrifying natural disasters the country has seen in years. The death toll from the Hawaiian wildfires has now climbed to 106, but officials expect that number to increase further as searchers sift through the toxic rubble for victims and around 1,000 people are still missing. Only five of the deceased have so far been identified because the remains have been left unrecognisable by the blaze, according to Maui County officials Local resident Mike Cicchino, who lived in one of Lahainas inland neighbourhoods with his wife, has spoken out about his terrifying escape from the deadly fires last week. Mr Cicchino was driving to the hardware store last Tuesday for a generator when he was suddenly caught in a desperate fight for his life. The neighbourhood quickly became engulfed in flames and it was like a continuous bomb going off, Mr Cicchino told NewsNation host Natasha Zouves. Mr Cicchino raced back to his house and gathered his wife and the dogs they were watching and attempted to flee the area. The smoke was so thick and black, they eventually lost some of the dogs, he said. Behind us, straight ahead, beside us, everywhere was on fire, Mr Cicchino said, adding that he made final phone calls to his loved ones fearing he would not escape alive. His mother was on the phone to 911 at the time and told the couple to follow the traffic. But the endless line of cars were headed straight for the inferno, he said. Their only option, as they could tell, was to jump into the ocean. Do you want to get burned or take your chances and drown? he told NewsNation he had asked himself. For the next five or six hours, Mr Cicchino said that he and his wife moved back and forth between the ocean and shore. When flames fell from the sky, they ducked beneath the surface of the water. Charred remains of a burned neighbourhood is seen in the aftermath of a wildfire, in Lahaina (AFP via Getty Images) There are points where we were starting to pass out and we were about to drown, Mr Cicchino said. Then, we got to come to shore. The cars parked next to shore caught on fire or were exploding. It was a leapfrog of fire. Go out, were getting burned. Come in, go out. Everywhere, we were getting burned or we couldnt breathe. Mr Cicchino broke down as he recounted seeing death unfold before his eyes while desperately trying to keep himself and his wife above water. They saw several bodies slumped against a wall at the shoreline, he said. Other people in the ocean desperately clung to their babies and small children as the water whipped them around for hours. When Mr Cicchino later returned with the US Coast Guard to help pull people out of the water, the babies were gone. I saw babies out there that I never saw again, Mr Cicchino said, his voice breaking. When I came back, when I was doing a headcount of the kids, the babies werent there anymore. He said the whole ordeal felt like a nightmare. This cannot be real. This cannot actually be happening, he said. But then you realise youre burning. Im feeling pain, and I dont feel pain in nightmares. It was a gruelling 12 hours before the US Coast Guard rescued Mr Cicchino and his wife at around 1am on Wednesday morning. At times, I remember just telling her to make sure that she saves herself. Dont worry. If I start drowning out here, you save yourself. Its the most terrifying experience of our life, he said. Maui resident Mike Cicchino has spoken out about his terrifying escape from the fires (NewsNation) Mr Cicchino said the first thing he did was call his mother back. When I spoke with her, I broke down. When she came and got me, I broke down again, he recalled. We couldnt stop hugging each other. We were afraid to stop hugging each other. Mr Cicchino and others have said they received no warning at all before the fires and believe it could have been prevented. The fires, which are believed to be the deadliest in the US in the last century, erupted last week on three of Hawaiis islands forcing visitors to flee and residents to seek emergency shelter. Photos and videos from Maui show the destruction the fires have caused, with some neighbourhoods including the historic town of Lahaina, nearly burned to ash. Search and recovery efforts then began as firefighters worked to contain and put out the fires. But the wildfires have sparked a frenzy of questions about how disasters like this can be prevented in the future. Hawaiis Attorney General Anne Lopez said her office will be conducting a review of the decision-making and policies surrounding wildfires. Sign up to the Independent Climate email for the latest advice on saving the planet Get our free Climate email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Independent Climate email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Sixty survivors of the Maui wildfires were rescued from a single home that had been cut off since wildfires swept through the Hawaiian island, officials say. The large group had sheltered in an isolated residence in western Maui that had been without electricity and cell phone coverage since 8 August, Maui County mayor Richard Bisset said. Mr Bisset revealed details of the extraordinary rescue as the death toll from the deadly wildfires rose to 111. We discovered yesterday that there was a family that was housing 60 people at a home on the west side, and many of those folks were unaccounted for, and theyve now been reunited with their families, Mr Bisset said. The group was without phones, power and internet, according to Maui police chief John Pelletier. No further details were immediately available about the survivors conditions or where they were found. As the painstaking search and rescue efforts continue, officials estimate that 1,300 Maui residents remain unaccounted for. Hawaii governor Josh Green said just 35 per cent of burned-out areas had been searched, and he expects the death toll to rise in the coming days. Hundreds of specialist Federal Emergency Management Agency officers have arrived on the island, along with at least 40 cadaver dogs, FEMA Administrator Deanne Criswell said at a White House briefing on Wednesday. A resident walks through the ruins of a house destroyed by the Lahaina Fire (EPA) Only five of the victims had been identified by Tuesday afternoon, and a mobile morgue unit with 30 coroners has arrived in Hawaii to help sift through remains, Ms Criswell said. Were working carefully to search the affected areas thoroughly and compassionately while respecting all of the cultural sensitivities. Maui was facing a very long and hard recovery, she added. The Federal Emergency Management Agency (Fema) had paid out $2.3m to displaced households, but only 1,300 families had signed up for the payments, Ms Criswell said. Assistance was available for emergency payments for house repairs, cars and appliances. Ms Criswell urged anyone impacted by the wildfires to sign up at FEMAs website or by calling 1-800- 621-3362. Robert Dyckman and Buddy Jantoc, both from Lahaina, were among the first victims of the fires to be identified by the County of Maui earlier this week. Three more people were identified on Wednesday, 16 August, after officials notified their families. President Joe Biden plans to travel to Maui with First Lady Jill Biden on 21 August to inspect the affected areas and victims and officials involved in the recovery operation. Sign up to our free weekly newsletter for insider tips and product reviews from our shopping experts Sign up for our free IndyBest email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the IndyBest email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} If rumours are to be believed, the launch of the Apple iPhone 15 smartphone is less than a month away now. Gossip about the upcoming handset, expected to launch in September, is getting fierce, and if the leaks are true, we could be about to see the biggest upgrade to the device since 2017 when Apple launched the iPhone X. At Apples Worldwide Developers Conference in June, the company announced what new features would be coming to iOS 17 when the iPhone 15 launches this autumn. The new upgrade includes everything from journalling tools and AirDrop updates to live transcription in voicemail and a new standby mode that turns your iPhone into a smart display (like an Amazon Echo Show). The company also unveiled the Apple Vision Pro. The latest iPhone 15 rumours give more clues as to how the two devices will work together when the new handset is released later this year. That said, rumours are landing almost weekly when it comes to the iPhone 15, iPhone 15 Plus, iPhone 15 Pro and iPhone 15 Pro Max handsets. Leakers claim the iPhone 15 could ditch the lightning port in favour of USB-C for the first time. Apple could also remove the mechanical volume and power buttons on its handsets and replace them with solid-state ones, give the Pro line-up a new titanium design and even launch an iPhone 15 Ultra in 2024. Below, weve rounded up all the iPhone 15 rumours you need to know, including our speculation on the release date, potential specs, price and design. The latest Apple iPhone 15 rumours The latest rumour about the iPhone 15 pertains to the price of the smartphone. According to a research note from Tim Long, an analyst at Barclays and seen by MacRumors, the iPhone 15 Pro could cost $100 (79) more than the iPhone 14 Pro and the iPhone 15 Pro Max could cost $100-$200 (79-157) more than the iPhone 14 Pro Max. Its also long been suggested that the base iPhone 15 and iPhone 15 Plus would remain the same price. Take this with a pinch of salt, however, as last year, rumours suggested that the price of the iPhone 14 would increase by 15 per cent in the US, but prices stayed the same. They did, however, receive a price hike in the UK, so while we might not see a price increase here, prices may go up across the pond. Weve outlined more iPhone 15 rumours below, including specs, design and release date leaks. Apple iPhone 15 release date: When will the new smartphones launch? Although an iPhone 15 release date has yet to be revealed (we wont be getting that until Apples launch event), Apple is a fairly predictable beast when it comes to iPhone release dates. The company almost always announces its new line of smartphones in the first two weeks of September. For example, the iPhone 14 was unveiled on Wednesday 7 September 2022 and the iPhone 13 on Tuesday 14 September 2021. Apple usually prefers Tuesdays when it comes to its iPhone events, so the two most likely dates for an iPhone 15 event would be Tuesday 5 September or Tuesday 12 September. Can we speculate as to which day itll choose? We sure can. Apple CEO Tim Cook delivers keynote address at Apples special event on 7 September 2022 (Getty Images) Labor Day is happening on Monday 4 September in the US. Its highly unlikely that Apple would throw an event right after a long weekend, so were looking at 12 September as the most likely event date. The company usually drops its newest iPhone roughly 10 days after the big event, which is why weve got our sights set on 22 September for the new iPhone launch. Obviously, this is all still conjecture, and we wont know until we hear it from Tim Cook himself, but one leakers report lines up with our basic mathematics. Analyst Jeff Pu of Haitong International Securities has sources in Apples iPhone supply chain, and he claims that the iPhone 15 could launch on 23 September 2023. Thats a Saturday, but if we adjust for time zone differences, a 22 September launch date sounds feasible. The most recent rumour about the iPhone 15 release date comes courtesy of 9to5Mac, who reported on 3 August that major mobile phone carriers were asking its employees not to take any days off on Wednesday 13 September due to a major smartphone announcement. This could be anything, but the date lines up with our Apple event theories above. Apple iPhone 15 price: How much could the new handsets cost? Rumours ahead of the Apple event last year suggested that the iPhone 14 could get a 15 per cent price hike in the US when the phones were revealed, as a result of the global economic downturn and increased prices of components. While the rumour turned out to be incorrect for the US market, all four handsets appeared to get a price hike in the UK, with prices jumping from between 70 for the base iPhone 14 and 150 for the iPhone 14 Pro. Rumours that the iPhone 14 could get a 15 per cent price hike in the US turned out to be incorrect (AFP via Getty Images) Could we see another price hike for the iPhone 15? It appears the leakers are a little wary of reporting price increases after dropping the ball last year. Weve had one unverified report from the Chinese social media platform Weibo suggesting that the iPhone 15 Pro models will be getting a price increase this year, with Jeff Pu backing up that claim in a research note in March. A blog post from Korean firm Naver also suggests that the base iPhone 15 and iPhone 15 Plus could also get a price cut to make the non-Pro devices more enticing to customers, who have seemingly shunned the Plus device, as they did with the iPhone Mini. Apple iPhone 15 design, specs and features While the iPhone 14 Plus hasnt been a roaring success, with a lukewarm response from consumers, it appears the company may continue to power on and manufacture four iPhone 15 models this year, according to market intelligence firm TrendForce. That would give us the iPhone 15, iPhone 15 Plus, iPhone 15 Pro and iPhone 15 Pro Max. Bloombergs Mark Gurman also indicated that an iPhone Ultra could rear its head in the next couple of years, but says that its more likely to feature for the iPhone 16 range than the iPhone 15. If true, it would mean Apple will ditch the floundering iPhone Plus and Mini models in favour of a beefier Ultra model, which Gurman says will need to differentiate itself from the Pro models. There are a few renders swimming out there in terms of the design of the iPhone 15 Pro. In February, 9to5Mac obtained renders of the device based on a CAD model from a case manufacturer. In the render, it appears that the curved display is making a return for the first time since the iPhone 11 Pro, with another leaker suggesting that the iPhone 15 Pro will have curved edges with thinner bezels. The renders also suggest that there will, once again, be two 6.1in iPhones and two 6.7in iPhones. Jeff Pu also claimed in his research note that he expects the Pro models to feature a titanium frame. The lightning port has also been removed in the render in favour of a USB-C port, which seems to be the most plausible rumour so far (given the political wrangling with the EU). Another report from Gurman suggests that all four iPhone 15 models will also get the Dynamic Island from the iPhone 14 Pro, shrinking the notches down for every device. A report in October from reliable analyst Ming-Chi Kuo suggested that the iPhone 15 Pro and iPhone 15 Pro Max could also lose their physical-mechanical buttons in favour of solid-state buttons. The buttons would work similarly to the home button on the iPhone 7 and 8. On those phones, the button could be mistaken for a real one but was actually just a touchpad that used precise vibrations to feel as if it was being pressed down. However, in a Medium post published on 12 April, Kuo backtracked on the report about the transition to solid-state buttons. My latest survey indicates that due to unresolved technical issues before mass production, both high-end iPhone 15 Pro models (Pro & Pro Max) will abandon the closely-watched solid-state button design and revert to the traditional physical button design, Kuo said. And for those of you waiting for Apple to bring back Touch ID (or an in-display fingerprint sensor), weve got bad news. It might not be happening after all. In Mark Gurmans PowerOn newsletter, he claimed that, while the company had been testing in-display sensors, Face ID would be here to stay for the foreseeable future. Twitter leaker Unknownz21 corroborated this claim in a tweet posted in late March. In February, an unnamed source told 9to5Mac that the iPhone 15 Pro could come in a dark red, burgundy colour, with a light blue and light pink colourway being tested for the base iPhone 15 models. Rumours about the specs of the iPhone 15 are still slim on the ground, but one rumour suggests that Apple will continue to differentiate the iPhone 15 and the iPhone 15 Pro by giving the Pro model phones an all-new A17 chip, while the base models will use an A16 Bionic chip. The A17 Bionic chip is rumoured to be a 3-nanometer powerhouse, making it faster and more efficient. This report comes courtesy of Digitimes and Taiwanese manufacturer TSMC, which manufactures Apples silicon. The report was corroborated by a report from Nikkei. Consumers could also be getting more RAM in their devices, according to a TrendForce report, which claims that the next series of iPhone 15 devices could get a boost in RAM, with Pu claiming 8GB instead of 6GB for the Pro models. The current iPhone 14 pro features a main, ultre-wide and telephoto lens (Getty Images) This ones a little sketchy, so get your handfuls of salt, but a rumour picked up by MacRumours claims that Apple will be removing the mute button on the iPhone 15 Pro and iPhone 15 Pro Max, replacing it with an action button that will presumably work like the action button the Apple Watch Ultra. The report suggests that you may be able to customise the action button to perform various functions. A further report published on 17 April suggests that this action button will be the new way of powering off your iPhone. The most recent rumour, published in late July, suggests that the button will be an action button for several different tasks. According to MacRumors, who has found new code in the latest iOS 17 beta, the button could be used for accessibility, shortcuts, silent mode, camera, flashlight, focus, magnifier, translate and voice memos. Reliable leaker Ming-Chi Kuo suggested in June that the iPhone 15 will feature a new ultra-wideband chip that will support Apples upcoming Vision Pro VR headset. The new UWB chip will allow the phone and the headset to be able to work together, he said on Twitter, as part of a more competitive ecosystem. 7 Biggest iPhone 15 Rumors Apple iPhone 15 camera rumours If theres one thing we can guarantee when it comes to iPhone upgrades, its that the camera is going to get a spec boost. In the aforementioned Jeff Pu report, the analyst claims that the iPhone 15 Pro could get a periscope camera, which would improve long-range photography and zoom. This was rumoured for the iPhone 14 Pro as well, however, and it didnt materialise. The renders above do show that the iPhone 15 Pro Max has a slightly bigger camera bump, potentially there to house a periscope camera. This rumour was given credence in June 2022 when leaker Ming-Chi Kuo stated that the iPhone 15 Pro Max would be the only iPhone to get a periscope camera in 2023, giving the iPhone a 6x optical zoom. Samsung was the first to popularise periscope cameras in 2020 when it launched the Samsung Galaxy S20 Ultra. On 8 May, reliable leaker Unknownz21 revealed on Twitter that they had received independent confirmation a periscope camera would indeed be coming to the iPhone 15 Pro Max, and that it would be exclusively available on the larger handset. If true, it would make it the first time Apple has put in a distinctive point of separation between the Pro and Pro Max models. According to a research note from Nomura analysts, the base iPhone 15s cameras will also get some significant improvements. Lower-end i15 models will have main cameras (wide) upgraded to 48MP with image sensor size at 1/1.5 which is slightly smaller than the i14 Pros 48MP sensor size, but much better than i14s 12MP, the note read. On 27 May, Twitter leaker Revegnus claimed that the iPhone 15 Pro Maxs main camera and M12 OLED panel will be the exact same ones found on the iPhone 14 Pro Max. While its a great main camera sensor and a bright OLED panel, it would be a shame not to see an upgrade to both. Apple iPhone 16 rumours We know, the iPhone 15 isnt even out yet and rumours about the iPhone 16 are already starting to emerge. On 16 May, reliable leaker Ming-Chi Kuo claims that the iPhone 16 Pro will be getting a periscope lens in 2024, hinting that the 6.1in iPhone 15 Pro wouldnt be getting one this year. The leaker retains that the larger iPhone 15 Pro Max would still be getting the periscope lens. He went on to suggest that the iPhone 16 Pro would be getting a slightly larger display to accommodate the periscope camera. Earlier, on 9 May, Ross Young of Display Supply Chain Consultants claimed that the aspect ratio of the iPhone 16 Pro and 16 Pro Max would be increasing in size to 6.3in and 6.9in, giving users bigger displays and (inevitably) bigger batteries. On 22 May, 9to5Mac released CADs of the rumoured iPhone 16 Pro Max, and the outlet claims that it will have a 6.9in display, with a larger body. It will be taller, but only slightly wider. The website also suggested that the iPhone 16 Pro Max could ditch its Max moniker and opt to call it the iPhone 16 Pro Ultra, in-line with the Apple Watch Ultras branding. The verdict: Apple iPhone 15 rumours While everything here should be taken with a pinch of salt, the rumours regarding the iPhone 15 are promising indeed. If true, we could be seeing the first iPhones with a USB-C port, a Dynamic Island for the base iPhone 15 models and a periscope camera for the Pro-level devices, as well as a titanium frame and a curved screen. Well be keeping an eye out for more leaks and rumours right up until the likely release date in September. Best Apple iPhone 14 deals If your phone is feeling sluggish and you just cant wait until the iPhone 15 comes out to upgrade, the iPhone 14 and iPhone 14 Pro are worthy handsets. Weve outlined a few of the best deals below and also have a round-up of the best refurbished iPhone deals. Apple iPhone 14, 128GB: Was 849, now 764, Amazon.co.uk (Apple) The base iPhone 14 currently has 10 per cent off at Amazon. This is a fast and attractive smartphone, with Apples excellent design and build quality, boasting now-routine features, such as water resistance and strong screen, and a significantly improved camera, our writer said in their review. The iPhone 14s internal upgrades, from camera to crash detection, are pretty extraordinary, all for a price less than the iPhone 13 pro, with which it shares the same processor. If youd prefer to buy it with a contract, the best deal weve spotted is from Sky Mobile, who is offering a 3GB data contract for 32 per month. There is no up-front cost, and while the contract lasts for 36 months, you can upgrade your phone after 24 months (32 per month, Sky.com). Apple iPhone 14 Pro, 128GB: Was 1,099, now 1,039, Amazon.co.uk (Amazon ) The savings arent as good on the iPhone 14 Pro as they are on the common iPhone 14, but you can still save a modest 60 at Amazon. The iPhone 14 Pro is a powerful, beautiful smartphone. Our writer said in their review. At a time when many talk of incremental improvements or how phones have plateaued, the new pro handset is a real, chunky upgrade, offering slick new software, much better cameras and, in Dynamic Island, the kind of genuine innovation weve come to expect from Apple. Again, the cheapest iPhone 14 contract deal weve spotted is from Sky Mobile. The company is offering up 3GB of data for 41 per month. There is no up-front cost, and you can swap your phone after 24 months (41 per month, Sky.com). Tariff comparison For the latest offers on mobile phone contracts, try the links below: Looking for an offer on the tech brands products? Read our guide to the best Amazon Prime Day Apple deals Sign up to IndyEat's free newsletter for weekly recipes, foodie features and cookbook releases Get our Now Hear This email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the IndyEats email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} As the cost-of-living crisis continues to bite, many of us are looking for ways to save money where we can. Food shops are one of the main things that have become more expensive in recent years so are there any easy ways to save a bit of cash in the kitchen? Chefs are on hand to share their top money-saving tips Make simple swaps Suzanne Mulholland, author of The Batch Lady: Cooking On A Budget (HQ, 22) recommends letting go of loyalty to brand names. For example, she says: Youre not going to notice a difference in flour yet its about a fifth of the price of a branded one. Mulholland continues: If you love to eat haddock, then buy hake instead. If you like to eat cod, buy coley instead monkfish? Buy seacat. These are all very similar fishes, but theyre just not the most popular ones everybody else buys. You wouldnt actually notice the difference between eating a different type of fish, because hake is very much like haddock yet because its not that popular, its half the price. Its also locally sourced, because these are all British fish. Maunika Gowardhan, author of Tandoori Home Cooking (Hardie Grant, 25), also advocates cost-effective swaps. If its a chicken recipe, I normally use chicken thighs over chicken breasts, she says. Its a cheaper cut of meat, and its a more flavourful cut of meat. If youre a keen baker, The Great British Bake Offs Kim-Joy (Bake Me A Cat, Quadrille, 16.99) has a top tip for you. As butter has become so much more expensive, she recommends using more vegetable oil in baking. Make a plan Most people are cooking blindly, says chef Max La Manna (You Can Cook This!, Ebury Publishing, 22), Pulling ingredients together and cooking they do the same when they go to a supermarket, they buy ingredients and a lot of waste happens when people dont have a plan. La Mannas top tip is firstly cook the food you already have before you go out and buy more. After that, its all about making a plan. Shop smarter, says La Manna. Create lists when you go to the supermarket, and stick to that list. Make your ingredients last longer Throwing away produce thats gone off is money down the drain so La Manna is keen for us all to make ingredients last longer. You can extend the shelf life of ingredients for instance, most people throw away bag salad or herbs, he says. What I do with my bag salad, once I bring it home Ill wash it and also let it sit in cold water because it firms up and it gets crisp and it stays fresh a little longer. Once hes washed the salad, he divides the bag of leaves putting half in a container in a tea towel (to absorb some of the moisture) and use within the next three or four days. The other half I cook in hot water blanch it really quickly, squeeze out the water, then I have this kind of pre-cooked spinach. Roll that up tightly, place it in the freezer and then when I need something in a weeks time a stew, a soup, a curry, a stir-fry pop those in. You can also reduce waste by knowing how to store all your other produce properly. Thats where a lot of waste is coming in, people put ingredients away, they turn their back and the foods already gone bad, says La Manna. Know how to store your produce and where to store it potatoes should be kept in a cupboard somewhere dry, dark, cool but well-ventilated so they dont begin to sprout. Same thing with onions. Bananas like to have their own space bananas dont like to be with other ingredients, because they release a chemical and will ripen quicker. Instead of throwing away bananas on the turn, La Manna says: Its great for the freezer, [or] use them in smoothies. Use them as a batter for cupcakes or cakes. Use every part of your ingredients With Chinese food, there really is a no waste policy, says Kwoklyn Wan (One Wok, One Pot, Quadrille, 16.99) and he suggests this ethos could help you save money in the kitchen. Even when you peel your onions, use the onion skin in the stock along with anything else you might normally throw away, such as the top ends of carrots or fish heads. Thats so important if people learn to use every part of that ingredient You can have a fantastic dish with all the best bits, and at the end of it, youve got this fantastic soup base. All youve got to do is add really cheap noodles into it, and maybe a few veggies and tofu, some chicken whatever. Youve got this lovely broth and thats your next meal completely free, or near enough. Be smart with your ingredients Most of us are guilty of buying an exciting-sounding ingredient for our store cupboard and only using it once. If you really want to save money in the kitchen, its all about being smart with what you buy and making sure youre going to use it a lot. Lydia Vernon, co-author of Caught Snackin (Hamlyn, 20), says that on Caught Snackins wildly popular TikTok channel,we like to keep with the same ingredients for each recipe. We stay around things like mixed herbs, garlic powder, plain flour those kind of staples you have in your cupboard all the time, which are going to be cost-effective. Food writer Gurdeep Loyal (Mother Tongue, Fourth Estate, 26) takes this one step further, saying: My advice would be to equip your pantry with four or five very flavour-forward ingredients things such as tamarind paste, things such as brown miso, things such as fennel seeds, for example, or something like tandoori masala powder. Because these four or five pantry staples can transform anything really simple and basic just adding a spoonful of this is going to amplify your cooking into hundreds of different directions. It means you can use very basic supermarket staples, then turn them into something incredible without having to spend money on fancy new ingredients every week. Sign up to IndyEat's free newsletter for weekly recipes, foodie features and cookbook releases Get our Now Hear This email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the IndyEats email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Michelle Obama has revealed that her palate is a little more straightforward than some may assume from a former first lady. Speaking on the first episode of Your Mamas Kitchen, a podcast hosted by journalist Michele Norris and co-produced by the Obamas media company, Higher Ground, Michelle revealed she never really enjoyed eating breakfast. I was kind of a picky eater, she said. I didnt like any breakfast-anything. And my brother, who ate breakfast all the time, thought I was crazy. Michelle continued: We had big breakfasts because my brother, he was a growing athlete. So it was everything cereal followed by scrambled or fried eggs followed by lots of toast and bacon and link sausage. So breakfast was big. As a result, she explained that everybody else in the whole household, on the whole planet, loved breakfast food except for [me] ... I despised breakfast. Michelle revealed that she became really stubborn over her breakfast and decided to choose a different meal. [I ate] peanut butter and jelly every morning until I went to college, she said. That was all I really liked. It was sort of a compromise that I made with my mother because its got peanuts, thats protein, a little bit of oil. Nothings wrong with bread if were having toast, why cant I have it in a sandwich form and jelly? Everybody was having jelly on their toast. (Ritzau Scanpix/AFP via Getty Ima) She added that this would form her breakfast every morning for most of [her] life. However, nowadays, she is a fan of more wide-ranging breakfast foods. Im big into all of it now. Give me eggs benedict. Any eggs, any way, she added. The admission comes after Michelle reflected on former US President Donald Trumps Inauguration Day and revealed why she was sobbing after it. During the first episode of Michelle Obama: The Light Podcast, she explained why Trumps Inauguration Day in 2017 was so emotional, as she, Barack, and their daughters, Malia, now 24, and Sasha, now 21, were officially moving out of their house. We were leaving the home we had been in for eight years, the only home our kids really knew, she explained. They remembered Chicago but they had spent more time in the White House than anywhere, so we were saying goodbye to the staff and all the people who helped to raise them. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Thousands of Hawaii citizens have been displaced following wildfires that devastated the historic town of Lahaina in Maui. Multiple neighbourhoods were burned as the fires were fueled by heavy winds blowing from Hurricane Dora, resulting in many being told to evacuate, with some Hawaii residents taking refuge in the ocean to avoid the flames. Many have lost their lives and thousands have been evacuated as a result of the wildfires. Hawaiis governor Josh Green has estimated that billions of dollars will be needed to fully recover, as the US Civil Air Patrol and the Maui Fire Department reported that approximately 271 structures were damaged or destroyed by the fires. Here is a list of resources to help those impacted by the natural disaster. The Hawaii Salvation Army began providing meals for the approximately 50 individuals who had taken shelter in Maui Countys/American Red Cross Pukalani shelter, an effort that is now spreading across the entire island. They are asking for monetary donations and meal donations from either restaurants or certified kitchens to continue giving out food and shelter. During emergencies, the best way the public can help is to provide monetary donations which allows the delivery of the exact relief supplies a community needs, Victor Leonardi, divisional director of emergency services and safety for The Salvation Army Hawaiian & Pacific Islands Division, said in a news release. Plus, The Salvation Army uses one hundred per cent of all donations designated disaster relief in support of disaster operations. Donations can be made on the Hawaii Salvation Armys website. There are also multiple fundraisers taking place across the state, including the Aina Momona fundraiser through the Hawaii Community Foundation, the Kakoo Maui fund through The Council for Native Hawaiian Advancement, Alakaina Foundation Family, and Kakoo Haleakala, and the Hawaii Community Foundation Maui Strong Fund. The grocery store Foodland is also accepting monetary donations at checkout to support The American Red Crosss efforts. Other places accepting monetary donations include Aloha United Way, Maui Food Bank, and Maui Mutual Aid, which is focusing on supporting Maui families, elderly, people with disabilities and those without insurance. If you are a Hawaii resident, the Hawaii Restaurant Association is putting together donations and gathering volunteers to help evacuees on Oahu. The Maui Police Department is also asking for specific donations of non-perishable food, bottled water, hygiene items, and blankets to be collected at Mauis War Memorial Complex. Ice cream shop Cream B and Hoomana Barbell are asking for donations of food, drinks, snacks, bedding, pillows, and personal hygiene products that can be picked up at 1826 Kaohu St. The Maui Humane Society has said its animals are safe, however, the organisation has said it needs to allocate more space to displaced pets and is asking for more people to sign up to be fosters in the meantime. In addition, the organisation is asking for donations of pet supplies to give to families who are keeping their animals. Monetary donations will also be accepted to help the shelter deal with the increase in care they need to provide. There is also a page on their website to report any lost or found pets. To find all of the latest updates about the Hawaii wildfires, follow our liveblog here. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} David Balogun is a normal kid who competes in paper airplane races with his younger sister, Eliana and struggles to sit still, but he is also one of the youngest people in the United States to receive a high school diploma. In late January, Balogun graduated from Reach Cyber Charter School, which is a tuition-free online school in Pennsylvania. This month he will be starting classes at Southern New Hampshire University as a full-time student. Balogun and his parents, Ronya and Henry, spoke about what it was, and still is, like raising someone with a high intellect on CNBC Make It. The couple said they first tested their sons intelligence when he was six years old. After that, they began to rethink any parenting philosophies they previously had. Theres no book on it, Ronya said. Youve got to develop a different mindset as a parent, Henry added. Its not always easy when your son is asking you questions constantly. You have to keep answering the questions, because you dont want to say, Just leave me alone. Because of Davids unique circumstances, theyve developed their own number one rule: When a system isnt built for your child, dont try to fix your child. Try to fix the system. Ronya said when David was in first grade, a regular classroom wasnt working anymore, noting that sometimes his peers would listen to him more than the teacher. So they looked into their states gifted programs, which also proved to not be rigorous enough for their nine year old. In 2020, his parents enrolled him in Reach which allowed him to individualise his curriculum and take high school level classes. Although that didnt come without challenges, including multiple calls to The College Board because Davids birthdate was too young to enroll in advanced placement exams. As for deciding on college, Ronya said she had to put her foot down mentioning she didnt want David in a class filled with 20 year olds. Its a different adaptation that we dont have in the United States of America yet. Its very scary, you cant find this, she said. Sometimes I cant fix the system, but there are other unconventional choices and solutions to help lead my son through his journey to fulfill his dreams. Trust is also a big part in parenting David, his parents said. They mentioned that, when he was learning specific skills like adding and subtracting negative numbers before he was taught, they had to believe he knew how. I cant tell him, This is what you know, because Im not in his brain, Ronya said. I have to trust him to be partially leading the way. Although there are some boundaries in this trust, as David came home one day claiming he now knew where babies came from. His mother was able to briefly give him some information on reproductive anatomy before putting the conversation to a stop. Mind you, at this moment, Im talking to a six year old, Ronya said. In terms of what happens to David beyond college, his parents are unsure and are just figuring it out as they go along. There is no frame of reference, Ronya said. So you know how sometimes when there is no path, you start a new path? Yep, thats what were doing. The Independent has contacted Ronya and Henry for comment. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Rita Ora has praised her husband Taika Waititi in a celebratory post on Instagram marking his 48th birthday. Sharing a series of candid photos of the filmmaker alongside some of the couple together, Ora gushed about how happy she is with her partner of two years. One post shows the duo dancing while travelling together somewhere in a car and another shows them by the seaside. Happy birthday to the funnest smartest man I have ever come across in my life, Ora wrote in the caption. You keep me together in moments I dont think I can. Thank you for showing me what love really is. Heres to many more moments of me stealing your jokes and telling them 10x louder so people think Im the funny one. I LOVE YOU. Waititi replied in the comments: There arent enough emojis on the planet to describe how happy I am. The couple met at a barbecue in 2018 and shortly became friends. But it wasnt until 2021, when they were both filming in Australia, that they started a romantic relationship. Ora, 32, proposed to Waititi while they were on holiday in Palm Springs in 2022. They got married a few weeks later in Los Angeles. The couple recently spoke about their wedding to Vogue, revealing they planned it in just two weeks. There were about eight people there just close friends and family, and parents on Zoom, said Waititi. Ora chose a Tom Ford dress for the occasion. Tom Ford is one of my favourite designers of all time and favourite humans in general, she told the publication. Hes now one of my close friends, and I adore and admire him so much. To get married in his dress was a dream come true. And because it wasnt planned, I didnt know if the right dress was even going to be in town, and I just took the risk and went to the Tom Ford shop, and they had it perfectly waiting with the veil, in my size, no alterations needed to be done. I mean, it was like it was meant to be, to be honest. And it just made me so happy. Sign up to Simon Calders free travel email for expert advice and money-saving discounts Get Simon Calders Travel email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Simon Calders Travel email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Travelling to different countries also means getting to experience different food. However, an American tourist recently documented her experience eating breakfast in Paris, which ended up costing her $100 and which has since sparked a debate on TikTok over which country makes better breakfast food. Dasia Jacobs, who goes by the username @dasiavu__ on the platform, made a video during her trip to Paris as she vlogged herself grabbing breakfast. The day started off with her walking to a cafe and ordering a glass of orange juice. It was actually tasty and I should have drunk every little inch of it if I would have known what was gonna happen, she said, indicating that the meal would go downhill from there. She then showed her viewers the French toast she ordered, which appeared to be one thick piece of bread covered in fruit and ice cream. A look of fear came across her face as she said in a voice-over, What the frick is that?" she asked viewers as she showed off the plate of food. Disgusting. Zero out of 10. Jacobs pointed to a cluster of red berries on the plate, which she said looked like the fake fruit on those little trees. The berries were most similar to red currants, which are native to western Europe and are less common in the United States. A common difference between French toast in France and the US is that France typically uses stale bread and the dish is made much sweeter. Alongside her main course, Jacobs also ordered a side of bacon with her breakfast which was given to her on a separate plate. It was tasty-ish. But it was super fatty and now my stomach hurts, not gonna lie to you," she said. Typically in Europe its common for the bacon to be thicker in comparison to American bacon, which is normally served crispy. After giving up on her cafe breakfast, which cost her $48, she decided to try her luck at her hotels buffet-style breakfast selection, which she assumed would be free and included in the price of her room. She filmed herself eating a slice of watermelon, a croissant, a pancake, some cheese, and a piece of salami, and then said she was charged $52.50. Combined with her $48 cafe breakfast, Jacobs paid a total of $100 for breakfast that day. "Are you freaking joking? I was sick, yall, I had to go to bed," she said ending the TikTok. Since being posted on 9 July, the clip has been viewed more than 400,000 times. Some of the comments questioned why Jacobs was being so harsh with her critiques of the food, saying she should have known better. It looks delicious and for future reference check out the country youre going to regarding their food or what breakfast is included or not included, one comment wrote. Red currants arent fake fruits, they are part of the berry family. Delicious, another commenter pointed out. Other commenters agreed with Jacobs, saying breakfast should never cost that much. Breakfast costing $48 should be illegal, one person wrote. Another agreed, pointing out how weird the French toast looked. THE RANDOM SCOOP OF ICE CREAM, they commented. The video has since been deleted off from her page. The Independent has contacted Jacobs for comment. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A woman has captured the internets attention for the creative way she measures the size of New York Citys rampant rats. On 12 August, the citys first Anti-Rat Day of Action was held in Harlem as part of mayor Eric Adams ambitious effort to clean the streets of rodents. None other than the citys rat czar, director of rodent mitigation Kathleen Corradi, was present to kick off the days events. Rats need food, water, and shelter to survive, Corradi said in her impassioned speech. Today, were going to cut off their food source and reduce their habitat, take away the places they can live. While Corradis rallying press conference inspired city officials and sanitation department workers to rid the neighbourhood of rats, it was one Harlem resident who went viral for her extremely specific description of the vermin. Weve had rats the size of Crocs just running up and down the street, Ruth McDaniels, the president of the Harlem Street Tenants Association, told CBS. Like a Croc shoe? she added, not to be confused with the reptile. An average size eight, running up and down the street. Unsurprisingly, the amusing soundbite instantly went viral on social media, where one clip of McDaniels segment has received more than 17 million views on TikTok. We love a queen thats creative with her unit of measure, one TikTok user commented. The Croc shoe is sending me, said another. One person seemed to believe McDaniels was comparing the citys rats to an actual crocodile when they wrote: At first I was like: Hold up, you got rats the size of crocodiles? Others couldnt help but feel like the viral news spot was taken from an episode of the comedy series Parks and Recreation. Why does this feel like a Parks and Rec scene, said one user. You cannot convince me this isnt an outtake from Parks and Rec, another agreed. Im so glad someone said this LMAO like this is literally a scene made for the show, a third person wrote. Throughout his tenure as New York City mayor, Adams has waged war on rats - a constant presence in the city since the 1700s, with historical attempts at citywide eradication ranging from open-season shooting in the 1800s to the proposed demolitions of burrow nests in the 1960s. In December, the city began hiring for the new position of director of rodent mitigation, a so-called rat czar who would be tasked with eradicating the longstanding rats. The ideal candidate was described as having a virulent vehemence for vermin as well as a background in urban planning, project management, or government and most importantly, the drive, determination and killer instinct needed to fight the real enemy. Former school teacher Corradi was declared the new rat czar in April, with her first task to launch a rat mitigation zone in Harlem. The city will invest $3.5m to roll out an accelerated rat reduction plan deploying 19 full-time and 14 seasonal employees to combat rats. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Most people hop into bed at night and have one side they prefer to sleep on over the other. However, one woman took to TikTok to admit that she and her husband pick random sides of the bed to sleep on each night, and that they have no set sides. Angelina Murphy, who goes by the username @renovatingourhome on the platform, posted a video last week wanting to see if anyone could relate her sleeping arrangements with her husband. We do not have a regular side of the bed that we sleep on, she announced in her 11 August TikTok. Its never discussed; we just randomly pick a side when we go to sleep. Murphy also said in the video that, whenever the topic comes up in conversation, people view it as the craziest thing theyve ever heard, before explaining that she wanted to know if her TikTok viewers felt the same way. However, the majority of viewers sided with critics of the couples sleeping arrangements. I hope you both get the help you need, one commenter joked. Im single, and I have a side of the bed, a second commenter mentioned. My husband has his side and it is the same even at the hotel, guest room, and tent. Doesnt matter. Its in stone, another wrote. Other people were more concerned about how the couples things are distributed in nightstands. I have things. My things. On my side. Chapstick. Water cup. Lotion. Hair ties. My things, one viewer wrote. So many viewers had questions that Murphy and her husband both posted a follow-up video the next day answering some of them. As for nightstands, she called them glorified junk drawers and said they do not warrant staying on a concrete side. According to the couple, they both have interchangeable phone chargers, with beauty products like lotion staying in the bathroom. We definitely didnt expect this video to go viral at all, but we are finding all of the comments and the general response super funny! Murphy said in a statement to The Independent. As much as commenters may disagree with the lack of set sides of the bed, a relationship expert thinks that it may be a good thing. Pepper Schwartz, a sociology professor at the University of Washington, told Insider that there are benefits to the arrangement. I think that theyre freed from a kind of possessiveness about order that most of us have, and it makes their life a lot more flexible, she said. Schwartz told the outlet that the concept only scares people because there is no sense of habit and humans are creatures of habit. "I think its truly disturbing to people that somebodys living without a habit," she said. The professor explained that when couples create a life together, certain routines and roles become established, such as the one person who always takes out the trash or does the driving, and the general public is concerned that this specific couple doesnt seem to have this routine. "The fact that these people have never decided that, Okay, this is a way we order our lives together, makes people nervous because it kind of questions the whole way everybody creates a couple life," Schwartz said. Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Stay ahead of the trend in fashion and beyond with our free weekly Lifestyle Edit newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Lifestyle Edit email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Footage of the chaos that broke out at a Miami restaurant co-owned by pop star Bad Bunny last week has emerged, after Victoria Beckham was reportedly forced to usher her 12-year-old daughter Harper out of the establishment. On Friday (11 August), a row reportedly broke out at Gekko, a Japanese-inspired steakhouse and lounge, between security and a patron who was allegedly suspected of trying to sneakily take photographs of the celebrity clientele. As well as David Beckham and his family, Lionel Messi and his wife Antonela Roccuzzo were present at the venue, and Inter Miami stars Sergio Busquets and Jordi Alba. Things turned ugly after the customer claimed that he was kicked out and punched in the face. The former Spice Girl was filmed rushing Harper out of Gekko and into a security-driven SUV, but she has not addressed the events that led to their hurried exit. A video obtained by Page Six showed an unidentified man with blood running down his face claiming that he was jumped at by security after defending his homeboy. The man in the footage said: They jumped at us Just because my homeboy was trying to take a picture with his wife, not with Beckham or Messi, nothing. It was a family thing. A woman who was not filmed could be heard in the footage saying: Hes a family man, celebrating his daughters 21st birthday. The video then showed the man and his party shouting at security from outside the building, and a woman can be heard yelling: How dare you! Wheres the manager? How f***ing dare you? Thats f***ing crazy! Someone needs to get fired. A representative for Gekko, which is owned jointly by Bad Bunny and restaurateur David Grutman, alleged that the patron was intoxicated and became aggressive while trying to take photos of other guests. In a statement to the publication, the representative said: An intoxicated guest was overbearingly taking photos of fellow guests and was calmly escorted off the property. The guest then returned in an aggressive attempt to rush the door and re-enter the restaurant, to which he was prevented from entering. The Independent has contacted Gekko and a representative for Bad Bunny for comment. Over the weekend, Victoria shared photographs from the evening but gave no indication that the night had gone awry. Instead, she wrote in the caption: I LOVE MIAMI!!! So much fun last night! Kisses @DavidBeckham @AntonelaRoccuzzo @LeoMessi xxxx. Grutman, who was also at the restaurant that evening, also shared photos of himself posing with Messi, David, and the other stars on Instagram. He appeared to take things in his stride, writing in his caption: Casual Friday at Gekko. Bad Bunny and Grutman, of Groot Hospitality, opened the Japanese steakhouse in August 2022. At the time, the Puerto Rican rapper told Food and Wine: Miami is a city where I can relax. I love to go out to eat, see the ocean its just a great city. Sitting down with friends to enjoy a good meal is one of the moments I value the most. I am thrilled that now I will have a hand in creating this experience for others. Sign up for a full digest of all the best opinions of the week in our Voices Dispatches email Sign up to our free weekly Voices newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Voices Dispatches email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Kidneys from pigs provided life-sustaining kidney function after being transplanted into a brain-dead patient, researchers have found. Kidneys were first transplanted from a genetically modified pig to a human in 2022, and scientists have gone a step further by showing they can support kidney function in someone for a week. The development advances the promise of transplantation from one species to another, known as xenotransplantation, as a therapy to potentially cure end-stage kidney disease, researchers say. They add that it also addresses a critical worldwide kidney organ shortage crisis. It has been truly extraordinary to see the first-ever preclinical demonstration that appropriately modified pig kidneys can provide normal, life-sustaining kidney function in a human safely... Dr Jayme Locke University of Alabama at Birmingham (UAB) transplant surgeon scientist Jayme Locke is director of the universitys Comprehensive Transplant Institute in the Marnix E Heersink School of Medicine and lead author of the paper. She said: It has been truly extraordinary to see the first-ever pre-clinical demonstration that appropriately modified pig kidneys can provide normal, life-sustaining kidney function in a human safely and be achieved using a standard immunosuppression regimen. The kidneys functioned remarkably over the course of this seven-day study. We were able to gather additional safety and scientific information critical to our efforts to seek FDA (Food and Drug Administration) clearance of a Phase I clinical trial in living humans and hopefully add a new, desperately needed solution to address an organ shortage crisis responsible for tens of thousands of preventable deaths each year. The findings come 19 months after last years ground-breaking UAB xenotransplant research study in which genetically modified pig kidneys were successfully transplanted into a recipient after brain death. The new study was conducted using the Parsons Model, a human brain death model developed at UAB to evaluate the safety and feasibility of pig-to-human kidney transplants, without risk to a living human. The procedure is named after Jim Parsons, an organ donor whose family donated his body to advance xenotransplant kidney research. This led to the first clinical grade pig kidney transplant into a human and helped pave the way for future pig kidney-to-living human transplantation. The current research was conducted on a 52-year-old man not named at the request of his family who indicated to them that he wanted his body donated for research. The patient had high blood pressure and stage 2 chronic kidney disease. As part of the study, the man had both his kidneys removed and dialysis stopped, followed by a transplant with 10 gene-edited pig kidneys after he had been successfully cross-matched. The transplanted pig kidneys made urine within four minutes, producing more than 37 litres in the first 24 hours. Were very hopeful that these data will provide further evidence that xenotransplantation is a viable and achievable solution to the organ shortage crisis Jayme Locke The pig kidneys continued to function as they would in a living human for the entirety of the seven-day study, the researchers said in the Jama Surgery journal. They added that the organs were still viable at the time the study was concluded. The kidneys came from a pig maintained in a disease-free facility. They were flushed and packaged using the same operating procedures used in human-to-human transplantation. They were also transported to the transplant centre and transplanted in the same way a human transplant. Dr Locke said: At each of those steps, we were able to test that we do have the correct standard operating procedures in place and that were able to operationalise this in a meaningful, safe way. Thats the ultimate goal. We want to achieve xenotransplant in a safe and efficacious way. Were very hopeful that these data will provide further evidence that xenotransplantation is a viable and achievable solution to the organ shortage crisis that causes thousands of preventable deaths each year. The gap between supply and demand is that vast. Researchers say gene editing in pigs to reduce immune rejection has made organ transplants from pigs to humans possible, an advancement that could offer help to thousands of people who face organ failure, disease or injury. The kidneys used in the UAB studies came from pigs with 10 genetic modifications to make the organs suitable for transplant into a human body. According to Kidney Care UK, more than 70,000 people are being treated for kidney failure. Around 3,000 kidney transplants take place annually but 5,500 people are still waiting. Eight out of 10 people on the transplant list are waiting for a kidney, and the average wait is about two and a half years. Every day one patient will die while waiting, the charity says. The American studies are supported by United Therapeutics Corporation, which awarded a grant to UAB to launch the xenotransplantation programme. Revivicor, a subsidiary of the company, provided the genetically modified pig that was the source of the xenotransplant kidneys, trademarked UKidney. Sign up for a full digest of all the best opinions of the week in our Voices Dispatches email Sign up to our free weekly Voices newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Voices Dispatches email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Scientists have found for the first time a direct association between toxic forever chemicals found in the blood of Air Force servicemen and testicular cancer, an advance that prompts reconsideration of materials used by the military. Researchers, including those from the National Cancer Institute in the US, assessed banked blood drawn from Air Force servicemen to assess links between serum concentrations of Per- and polyfluoroalkyl substances (PFAS), commonly known as forever chemicals and testicular germ cell tumors (TGCT). While previous research has shown that firefighters are diagnosed with testicular cancer at higher rates than people in most other occupations, pointing to the presence of PFAS in the foam, the link between this cancer and the chemical among service members has remained unproven until now. Earlier studies have also shown that some hormone-disrupting chemicals in firefighting foam may specifically be behind the pathogenesis of testicular cancer. PFAS are commonly found in stain-resistant products like rain gear, non-stick cookware, and firefighting foam, and are also known as forever chemicals as they do not break down in the environment. The new study, published recently in the journal Environmental Health Perspectives, found that airmen who were firefighters had elevated levels of PFAS. This study is to our knowledge the first to investigate serum PFAS concentrations among US Air Force servicemen and their associations with TGCT, scientists wrote in the study. Researchers also found that the servicemen with testicular cancer had higher serum levels of forever chemicals than those who had not been diagnosed with cancer. They warn that the findings warrant further investigation for PFAS levels in blood in other populations and military service branches. In the study, scientists assessed blood data of Air Force servicemen from the Department of Defense Serum Repository. They analysed a total of 530 cases and 530 controls with a second sample collected after an average of 4 years after the first for 187 casecontrol pairs. Scientists observed particularly elevated concentrations of some PFAS for military personnel employed as firefighters. The strongest service-related predictor of elevated serum PFAS concentrations observed was employment in fire protection, they noted in the study. Our findings are consistent with those of a cross-sectional study of Australian firefighters employed at commercial airports, researchers added. Scientists call for further research investigating serum PFAS concentrations in military personnel to confirm the latest findings using more recently collected sera. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Bank of Ireland has apologised after a glitch led to some of its customers withdrawing or transferring more money than was in their accounts. The bank said this was down to a technical issue with its services and not a cyber attack. Bank of Ireland said its app and and online services are working again on Wednesday and any transfers or withdrawals taken out during the outage will appear in their accounts during the day. It has informed customers who withdrew more cash than was in their accounts that this would appear as an overdraft, and encouraged those who find themselves in financial difficulty as a result of the fault to get in touch. It comes after large queues built up at the banks ATMs in parts of the country on Tuesday and messages on social media encouraged people to withdraw cash despite not having funds in their accounts. An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. In a statement on Wednesday, the bank said: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers Central Bank These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. Bank of Ireland was fined 24.5 million euro (about 21 million) in 2021 by the Central Bank of Ireland over failures to have a robust framework to ensure continuity of services in the event of a significant IT disruption. Asked about the outage on Tuesday, a spokesman for the Central Bank said it continues to monitor the situation regarding the availability of certain services provided by Bank of Ireland. We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers, and that it is doing all it can to ensure customers expectation of a high-quality, uninterrupted service is met. Customers should contact Bank of Ireland in the first instance if they have concerns about their service. For more information, impacted customers should contact Bank of Ireland on is 0818 214 365 or visit www.bankofireland.com. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Lieutenant General Roly Walker is set to become the next head of the British Army, according to reports. The Ministry of Defence declined to comment on reports that the former Special Forces director will replace General Sir Patrick Sanders. He is expected to step down as Chief of the General Staff next year, despite only taking up the post at the top of the Army in 2022. Lt Gen Walker, who the Telegraph reports will take on the top army job, had been among those tipped as a possible successor. The current Deputy Chief of Defence Staff, he was deployed in Northern Ireland, Iraq and Afghanistan. If appointed, he will take on the role amid debates about the future funding of the British army and concerns over troop cuts. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Police and prosecutors reportedly knew in 2007 that another mans DNA was on the clothes of the woman Andrew Malkinson was wrongly imprisoned for raping, yet he stayed behind bars for 13 more years. Mr Malkinson, who spent 17 years in prison for a rape he did not commit, had his conviction quashed last month after DNA linking another man to the crime was produced. Case files obtained by the 57-year-old as he battled to be freed show that officers and prosecutors knew forensic testing in 2007 had identified a searchable male DNA profile on the rape victims vest top that did not match his own, The Guardian reported. They opted to take no further action and there is no record that they told the Criminal Cases Review Commission (CCRC), the body responsible for investigating possible miscarriages of justice, according to the report. If the CCRC had investigated properly, it would have spared me years in prison for a crime I did not commit Andrew Malkinson The CPS said Mr Malkinsons lawyers were told of the new DNA evidence. The CCRC refused to order further forensic testing or refer the case for appeal in 2012 and the case files reportedly suggest it was worried about costs. Mr Malkinson was wrongly found guilty of raping a woman in Greater Manchester in 2003 and the next year was jailed for life with a minimum term of seven years, but he served a further 10 because he maintained his innocence. Notes of a meeting between the Forensic Science Service, the CPS and Greater Manchester Police (GMP) in December 2009 suggests the CPS understood the possible importance of the 2007 DNA find, according to the report. CPS guidance states it must write to the CCRC at the earliest opportunity about any case in which there is doubt about the safety of the conviction. An internal log of Mr Malkinsons first application to the CCRC in 2009 in a bid to appeal against his conviction reportedly reveals CCRC highlighted the cost of further testing and said it would be unlikely to lead to his conviction being quashed. Mr Malkinsons solicitor Emily Bolton, director of the Appeal charity, said: The documents are a shocking chronicle of how Andy was utterly failed by the body which should have put an end to his wrongful conviction nightmare, but instead acted as a barrier to justice. An overhaul of the CCRC is needed to prevent it failing other innocent prisoners. James Burley, investigator at Appeal, said: These records prove that the CCRCs handling of Andys case was deeply flawed and a complete mess. By not bothering to obtain the police files, the CCRC failed to uncover evidence which could have got Andys name cleared a decade earlier. He added: The CCRCs internal comments show that in deciding not to commission any DNA testing, cost was at the forefront of their considerations. That decision may have saved the CCRC some money, but it came at a brutal cost for both Andy and the victim. The CCRC has been giving the false impression that a DNA breakthrough could not have been achieved by them sooner. These records show that is nonsense, and I dont think they would have commissioned any DNA enquiries on this case at all if APPEAL hadnt obtained new DNA testing results ourselves first. Mr Malkinson said: If the CCRC had investigated properly, it would have spared me years in prison for a crime I did not commit. I feel an apology is the least I am owed, but it seems like the very body set up to address the systems fallibility is labouring under the delusion that it is itself infallible. How many more people has it failed? CCRC, GMP and the CPS have been approached for comment by the PA news agency. A CPS spokesperson told The Guardian: It is clear Mr Malkinson was wrongly convicted of this crime and we share the deep regret that this happened. Evidence of a new DNA profile found on the victims clothing in 2007 was not ignored. It was disclosed to the defence team representing Mr Malkinson for their consideration. In addition, searches of the DNA databases were conducted to identify any other possible suspects. At that time there were no matches and therefore no further investigation could be carried out. The CCRC told the newspaper: As we have said before, it is plainly wrong that a man spent 17 years in prison for a crime he did not commit. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A therapist who gives expert evidence in family court cases and has been criticised on social media and in sections of the press is experienced, independent, dedicated and effective, a judge said. Mr Justice Keehan praised Karen Woodall in a ruling on the latest stage of a separated mother and fathers long-running fight over the care of two children. He commended Ms Woodall, who is described on the website www.karenwoodall.com as a specialist in working with complex divorce and separation and its impact on children, for her professionalism and dedication when working with the family over the past four years. Ms Woodall has been the subject of criticism by a number of people on social media. Most of the posts are unfair and, in some cases, simply wrong in their adverse comments about the role of Ms Woodall as a forensic expert witness Mr Justice Keehan The judge, who has overseen the dispute at hearings in the Family Division of the High Court in London, said Ms Woodall had been the subject of criticism by a number of people on social media. But he said most of the posts were unfair and, in some cases, simply wrong. I wish to commend Ms Woodall for her professionalism and dedication in her work with this family over the last four years, he said. She has been the subject of considerable comment and criticism by sections of the press and on social media. He added: I have always found Ms Woodall to be a very experienced, independent, dedicated and effective expert witness. Ms Woodall has been the subject of criticism by a number of people on social media. Most of the posts are unfair and, in some cases, simply wrong in their adverse comments about the role of Ms Woodall as a forensic expert witness. I have never had occasion to doubt or question her evidence or her approach in any case before me Mr Justice Keehan I repeat that I have found Ms Woodalls reports and evidence, in this case and many others that I have heard, to be balanced, fair and insightful. I have never had occasion to doubt or question her evidence or her approach in any case before me. Mr Justice Keehan, who outlined his thoughts in a ruling published online, did not give detail of the criticism he was referring to. He said the youngsters at the centre of the case were teenagers but could not be identified in media reports. He indicated that during the litigation he had heard evidence from three experts, child psychiatrist Dr Julet Butler, Dr Janine Braier, and Ms Woodall. Dr Braier and Ms Woodall had tried and resolve the conflict between the parents, he said. They had concluded that the childrens mother had turned the children against the father, he said. The judge said, at an earlier stage, he had ruled that the children should leave the care of their mother and live with their father. He said at the most recent stage he had made findings about the mothers behaviour, since they moved to live with their father. The judge said she had orchestrated a campaign of tracking the children and forcing them to make false allegations against their father. He had concluded that it was imperative that she played no future role of any description in their lives. Ms Woodall had told Mr Justice Keehan that she had no confidence that the mother was capable of co-operation with the orders of the court or of co-parenting. She said her view was that any contact between the children and their mother, until they reach the age of 18, would put them at risk of harm. In 2019, Ms Woodall featured in a ruling by a different judge, on another case, who raised concern about litigation in which a woman alienated her children from their father. Judge Stephen Wildblood said the man lost touch with his children despite being an intelligent and loving parent. He said attempts to move the children from their mothers home to their fathers home had failed and the man had ended a legal fight for contact with them. The judge said he had been asked to consider the work of Ms Woodall, who led arrangements for the transfer to the father and offered him professional guidance. Judge Wildblood said plans for the transfer of the children to the father had involved an underestimation of the likely reaction of the children. He said those plans, had been devised, principally by Ms Woodall. The judge described Ms Woodall as a psychotherapist and the leading therapist of the Family Separation Clinic in London. He said Ms Woodall was a court-appointed expert and, although she may not be registered with a specific professional body and did not practise in an area that was subject to statutory regulation (as I understand it), she had supervision from a highly respected consultant child psychiatrist and lectured on issues relating to parental alienation. The judge said, all that was important, no doubt, when considering her role as an expert in accordance with court procedure rules. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A 40-year-old man found dead in a property last week was stabbed more than 100 times, a court has heard. Osman Abshir, 28, is accused of the murder of Fuad Saman, whose body was discovered at an address on Casselden Road in Harlesden, north-west London, on Thursday night following a concern for welfare. Police forced entry into the property and found the victim with head injuries. He was pronounced dead at the scene. A post-mortem examination carried out on Saturday established his cause of death as blunt and sharp force injuries to the head. The defendant, from Brent in nort-west London, was charged with his murder on Sunday and appeared at the Old Bailey on Wednesday, where he did not enter a plea. The court was told the alleged victim was stabbed in the head and lower torso in what was described by the prosecution as a frenzied attack. A pathologist has taken the preliminary view that several of the injuries were inflicted after Mr Saman died, the hearing was told. Abshirs defence barrister Anastasis Tasou did not make an application for bail. Judge Mark Dennis KC remanded him in custody and he will appear at the same court on November 7 when he is expected to enter a plea. He is expected to stand trial for two weeks from June 17 next year. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Detectives investigating criminality linked to a major PSNI data breach have arrested a man on suspicion of being in possession of information likely to be of use to terrorists. A 39-year-old man has been detained after he was arrested following a search in Lurgan, Co Armagh. He is being held on on suspicion of collection of information likely to be useful to terrorists and is being questioned by detectives at Musgrave serious crime suite in Belfast. Detective chief superintendent Andy Hill said: We are working tirelessly to address the risk posed to officers and staff. Todays search operation, and subsequent arrest, is just one piece of a large-scale operation. We will continue in our efforts to disrupt criminal activity associated with this Freedom of Information data breach and to keep communities, and our officers and staff who serve them, safe. Last week, the Police Service of Northern Ireland (PSNI) revealed a document had mistakenly been shared online in response to a Freedom of Information request which included the names of about 10,000 officers and staff. Details released included the surname and first initial of every employee, their rank or grade, where they are based and the unit they work in. This week, PSNI Chief Constable Simon Byrne said he believed the information was in the hands of dissident republicans. It followed the posting of documents from the leak on a wall near a Sinn Fein office in Belfast. Many officers have expressed concern for their safety in Northern Ireland, where police are under threat from terrorists with the current level of threat assessed as severe, meaning an attack is highly likely. Earlier this year, dissident republicans were blamed for the attempted murder of senior PSNI detective John Caldwell. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A family that deprived Royal Mail of 70 million over a decade owned a multi-million-pound mansion and bought properties like Donald Trump, a court has heard. Parmjeet Sandhu, 56, and his nephew Balginder Sandhu, 46, were part of a scheme to under-declare mail posted through a network of logistics companies in Buckinghamshire and Berkshire, pocketing millions of pounds, it is alleged. They worked under Parmjeet Sandhus brother, owner of Packpost International Ltd and architect of the fraud, Narinder Sandhu, who has already pleaded guilty, prosecutors told a jury. Narinder Sandhu and his wife Jaswinder had a multi-million-pound home, a Bentley, a Rolls Royce and a pool house Prosecutor Ellis Sareen Parmjeet, director of sister companies Tiger International Logistics Ltd and Worldwide Transport Express Ltd, and his nephew, Balginder, owner of Global Express Worldwide Ltd, took part, it is alleged, as did a third man, Lakhwinder Sekhon, 42, who is not a family relation. The trio appeared for trial at Southwark Crown Court, central London, on Wednesday charged with conspiracy to commit fraud by false representation between 2008 and 2017. Prosecutor Ellis Sareen said Narinder Sandhu lived with his family at Hadley Grange, a multi-million-pound mansion near Beaconsfield, Bucks. Narinder Sandhu and his wife Jaswinder had a multi-million-pound home, a Bentley, a Rolls Royce and a pool house, Mr Sareen continued. Sandhus declared taxable income was about 1 million per year towards the end of the period that the fraud was running, the prosecutor said. His brother Parmjeet did not become as wealthy, but still made a lot of money, Mr Sareen added. Coming from a man buying properties like Donald Trump Message allegedly sent by Lakhwinder Sekhon to Balginder Sandhu Mr Sareen said thousands of items were under-declared by manipulating docket spreadsheets. He said they exploited and manipulated a self-declaration system used by large postage firms and in some cases paid half of what they should have for the mail posted. Mr Sareen said: In this case, we will be talking about literal tonnes of mail thousands of thousands of items. While completing some invoices in 2012, Balginder Sandhu remarked how rich his alleged co-fraudster Lakhwinder Sekhon was getting. In a message, Sandhu said: Mans is smashing it U keep making big bux. Sekhon replied: Hahaha Coming from a man buying properties like Donald Trump. Balginder Sandhus declared income rose from 30K for the 2008/09 tax year to 350K in 2013/14, which he used to buy properties, Mr Sareen said. His uncle, Parmjeet Sandhu, operated from a warehouse in Slough, Berkshire, where his companies were based, while Balginder was also integral to the scheme, it is alleged. Lakhwinder Sekhon is alleged to have helped Narinder Sandhu find properties in which he could invest profits from the fraud. He had an income of almost 100K a year on average in the tax years 2014/15 to 2016/17, the prosecutor said. By 2016, so many companies were being operated by the group they were starting to forget where they were located, Mr Sareen said. The fraud was only discovered when Royal Mail customers said competitors were offering unrealistic rates. This has cost Royal Mail about 70 million or a little more. (The defendants) have not pocketed 70 million, but they have benefited Prosecutor Ellis Sareen Royal Mail accountants analysed records before detailed checks were carried out, Mr Sareen said. When investigators became suspicious about the groups companies, all of their mail was diverted and checked, at which point it was found their postings had been significantly under declared, the prosecutor said. Police warrants were issued in 2017, and the alleged fraudsters were arrested, the court heard. Mr Sareen continued: This has cost Royal Mail about 70 million or a little more. (The defendants) have not pocketed 70 million, but they have benefited. The three deny the charges and the trial continues. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A family helped run a major fraud operation spanning more than a decade which deprived Royal Mail of around 70 million, a court has heard. Parmjeet Sandhu, 56, and his nephew Balginder Sandhu, 46, were part of a scheme to under-declare mail posted through a network of logistics companies in Buckinghamshire and Berkshire, pocketing millions of pounds, it is alleged. They worked under Parmjeet Sandhus brother, owner of Packpost International Ltd and architect of the fraud, Narinder Sandhu, who has already pleaded guilty, prosecutors told a jury. Parmjeet, director of sister companies Tiger International Logistics Ltd and Worldwide Transport Express Ltd, and his nephew, Balginder, owner of Global Express Worldwide Ltd, took part, it is alleged, as allegedly did a third man, Lakhwinder Sekhon, 42, who is not a family relation. The trio appeared for trial at Southwark Crown Court, central London, on Tuesday charged with conspiracy to commit fraud by false representation between 2008 and 2017. Prosecutor Ellis Sareen said thousands of items were under-declared by manipulating docket spreadsheets, beginning in 2005 and lasting until 2017 when Royal Mail investigators uncovered discrepancies. He said they exploited and manipulated a self-declaration system used by large postage firms and in some cases paid half of what they should have for the mail posted. Mr Sareen said: In this case, we will be talking about literal tonnes of mail thousands of thousands of items. Parmjeet Sandhu operated from a warehouse in Slough, Berkshire, where his companies were based, while Balginder Sandhu was also integral to the scheme, it is alleged. Sekhon is alleged to have helped Narinder Sandhu find properties in which he could invest profits from the fraud. The three deny the charges and the trial continues. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Three people known to a young girl who was found dead at her home in Surrey booked one-way flights out of the country before her body was discovered, it has been claimed. Sara Sharif, 10, was found alone at around 2.50am last Thursday morning when police arrived at an address in Horsell village near Woking . Surrey Police launched a murder investigation and have said they want to speak to three people in connection with Saras death but they believe they have left the country. Sara Sharifs body was found at a property in Woking, Surrey (Sara Sharif ) A travel agent in the town has now told the BBC that he was contacted on 8 August by someone who knew the girl, wanting tickets to Pakistan for three adults and five children. There is no formal extradition treaty between Pakistan and the UK. This means that if a person was charged with a crime in the UK but went to Pakistan, there is no obligation by authorities in Islamabad to return them. Saras mother Olga Sharif said she did not have custody of her daughter and learned of her death from a phone call with officers. My life will never be the same again, she told The Sun . Sara should be with me right now. She was too young. Sara was an amazing child, Mrs Sharif added that she had only seen Sara and her 13-year-old son, Saras brother, two times in four years after losing a custody battle in 2019. Locals left flowers outside a property in Horsell, Surrey, where the 10-year-old girl was found dead (Jonathan Brady/PA) (PA Wire) Surrey Police previously said it believed the three people they wished to speak to left the country last Wednesday, one day before the child was discovered at the house. A police spokesman said: Inquiries are ongoing to locate the three identified people we would like to speak to in connection with our investigation into the death of a 10-year-old girl in Woking. Detectives have confirmed that no other people were present at the address when they attended in the early hours of Thursday morning. The three people they would like to speak to were known to the victim. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Asylum seekers evacuated from the Bibby Stockholm barge following the discovery of dangerous Legionella bacteria have been told they wont be moved back on for weeks, The Independent can reveal. All residents and staff were moved off the vessel in Portland Port on Friday, four days after tests of its water system raised the alarm, Rishi Sunak has committed to putting people back on the barge, and using others, as part of his plans for alternative accommodation to cut the 6m-a-day cost of asylum hotels. On Tuesday, health minister Will Quince said the government hoped the Bibby Stockholm would be occupied again in the next few days, calling potentially fatal Legionella a teething issue. But The Independent understands that it will take weeks for the required work to be carried out, with the barges water system being completely flushed and retested. Official guidance from the Health and Safety Executive states that where high levels of Legionella are found: The system should be resampled and an immediate review of the control measures and risk assessment carried out to identify any remedial actions, including possible disinfection of the system. Retesting should take place a few days after disinfection and at frequent intervals afterwards until a satisfactory level of control is achieved. Costs are rising as the removed asylum seekers remain under health supervision in a hotel, where some have been told they will remain for up to five weeks. Questions over why people were moved onto the Bibby Stockholm before test results for Legionella were received following water sampling on 25 July have not been addressed. The Home Office and its contractors have refused to answer The Independents questions on why residents were not given any safety advice regarding the water, and had not been informed of the issue at the point media statements were released on Friday. Dorset Council said test results were given to government contractors Corporate Travel Management (CTM) and Landry and Kling on 7 August the same day the first migrants were moved on board. It said a Home Office official was verbally informed of the Legionella test results at a meeting on 8 August, but Downing Street has claimed that ministers were not made aware at that point. The Bibby Stockholm accommodation barge remains empty at Portland Port in Dorset (James Manning/PA) (PA Wire) An incident management meeting on Thursday concluded that no one else would be moved onto the Bibby Stockholm while a risk assessment was carried out, and the Home Office then decided to move all 39 asylum seekers off the barge. Stephen Kinnock, Labours shadow immigration minister, said: Every new revelation about this scandal raises further questions about how much the government knew about the risk or actual presence of Legionella when they chose to press ahead with sending asylum-seekers on to that barge. Its clear that ministers were so focused on getting people on to the barge during their catastrophic Small Boats Week that they cut corners. The prime minister has continued to argue that the vessel and military bases are a cheaper solution to housing asylum seekers than hotels, despite a series of setbacks including tuberculosis cases at RAF Wethersfield and delays for safety checks at RAF Scampton. On Tuesday, Mr Sunak swerved a question about whether he was personally warned about potential health risks for asylum seekers on board the Bibby Stockholm, telling journalists: What has happened here is it is right that we go through all the checks and procedures to ensure the wellbeing and health of the people being housed on the barge. He said he remained committed to the barge and to stopping the boats, although numbers are climbing in calm weather. The government hopes to house up to 500 men on the controversial barge, which had already been hit by a succession of delays and safety concerns. Inside the Bibby Stockholm asylum barge Only 15 of the 50 people originally planned to board moved on last Monday, following a wave of legal letters challenging transfer notices over mental and physical health issues. The Home Office dropped attempts to move more than 20 people who contested being moved on board, but threatened other asylum seekers with the withdrawal of housing and financial support. Most of those selected for the barge were not small boat migrants, and claimed asylum after flying to the UK legally, The Independent revealed. Charity Care4Calais, which supports migrants, said ministers should now realise that keeping refugees on barges was untenable. Chief executive Steve Smith added: We have always known our concerns over the health and safety of the barge are justified, and this latest mismanagement proves our point. The Bibby Stockholm is a visual illustration of this governments hostile environment against refugees, but it has also fast become a symbol for the shambolic incompetence which has broken Britains asylum system. The government should now realise warehousing refugees in this manner is completely untenable. A Home Office spokesperson said: The health and welfare of asylum seekers remains of the utmost priority. All asylum seekers accommodated on the Bibby Stockholm have been disembarked as a precaution and moved to alternative accommodation. The Home Office and our contractors are following all protocol and advice from Dorset Councils Environmental Health team, UK Health Security Agency and Dorset NHS who we are working closely with. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Experts have warned it is reasonably certain the UK is in another wave of Covid-19 and suggested people should wear face masks again. Hospital admissions for coronavirus have risen in recent weeks, just as the effectiveness of vaccines is wearing off, a new variant has emerged and ministers have decided Covid boosters will not be offered to nearly 12 million Britons this winter. Without ramping up surveillance, and in the face of waning immunity, we are travelling into winter more vulnerable and with blinkers on, warned Christina Pagel, a member of the Independent Scientific Advisory Group for Emergencies that advises on the virus. Rates have risen since early July (Gov.uk) Prof Pagel predicted the new wave could cause extreme pressure on the health service, with a repeat of last winters unprecedented NHS crisis of Covid, flu and respiratory virus that came all around the same time. Any increase in hospital burden is bad news, given record waiting lists for diagnosis and treatment and persistently high waits in hospitals for admission, she wrote in the British Medical Journal. Infection is also not harmless simply because its causing fewer hospital admissions long Covid remains an ongoing significant problem, damaging peoples lives (eg through persistent fatigue or brain fog), as well as taking them out of the workforce. And she warned that a new variant very different from previous strains could make hard-won protection much less protective. Dr Trisha Greenhalgh, a University of Oxford healthcare expert and also iSage member, wrote on social media: My various science WhatsApp groups are buzzing I understand little of the detail but it looks like its once again time to MASK UP. Asked whether people should wear them again, she added: In high-risk situations I personally would wear one, yes. More to the point, Im currently AVOIDING such situations eg not going to cinema. The new Covid variant, Eris, emerged this summer as hospital admissions rose and estimated numbers of people with Covid jumped by almost 200,000 last month. A descendant of Omicron, Eris, or EG.5.1, now accounts for between 10 per cent and 16.74 per cent of cases, and is the second-most prevalent strain in the UK, according to the UK Health Security Agency (UKHSA). Prof Pagel pointed out under-50s have not had a vaccine for 18 months, and most under-75s for a year. She wrote: Protection from previous infection will also be waning in the absence of a large wave for several months. It is thus likely that this wave is hitting a more susceptible population than the last few, and this might be enough to drive a large wave this September when coupled with return to school and work and more time spent inside, where the virus spreads most easily. Latest cases data in England, as of 10 August (gov.uk) There are no signs that Eris is more dangerous than other variants. But Prof Pagel warned: Given few, if any, mitigations worldwide and much lower surveillance, such a variant could spread a long way before we realised it was a problem. She also raised the alarm over a new as yet unnamed variant with many new mutations, detected in Israel and Denmark. It might fizzle out, but people are more vulnerable now, she said. There are few ways to track the prevalence of Covid-19 in England since the end of wastewater monitoring last March, the end of Office for National Statistics Covid-19 survey in March this year, and gradual reduction of testing in hospitals. Around 7 July, cases were thought to have fallen to their lowest since the summer of 2020. However, since the start of last month, daily hospital admissions have risen, and on 4 August were more than double the figure four weeks earlier. On that date, the 1,802 patients admitted in the previous seven days represented a rise of 366 on the week before a 26 per cent increase. In all, 1,844 Covid patients were in hospital, in an increase thought to be driven partly by more social mixing indoors during wet weather. This article was amended on 17 August 2023. It originally referred to the scientists as members of the official government advisory group, Sage, but this was incorrect. They are in fact members of Independent Sage, which is a group of scientists offering public advice on Covid-19. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Crooked House, famous for its status as the wonkiest pub in Britain, could be reconstructed brick by brick and retain its lopsided image. The pub burned down on 5 August, much to the dismay of those who frequented it. Demolished two days later without permission from the local council, hundreds of people have visited the site to mourn its destruction. West Midlands mayor Andy Street called for the pub to be rebuilt brick by brick (using as much original material as possible) in a letter to the council, with fans campaigning for the reconstruction of the landmark building, mobilising to create a Facebook group which now has more than 18,000 members. Signs are placed around what remains of The Crooked House pub (Independent / Albert Toth) Famed as Britains wonkiest pub, the Crooked House had fascinated tourists and locals alike since the 18th century. Constructed in 1765 in the Black Country village of Himley, near Dudley, it was originally used as a farmhouse on Oak Farm. Crooked House: 'UK's wonkiest pub' reduced to rubble after fire Following a mining subsidence in the 19th century, one side of the building subsequently measured approximately 4ft lower than the other. The modern-day owners used this as an opportunity to create its quirky optical illusions, with pennies and marbles appearing to move uphill along the bar. Now, a construction expert has agreed that the pub could absolutely be rebuilt but warned that the cost could render it unviable. The Crooked House could absolutely be rebuilt and would end up a safer building as subsidence would now not be the reason for its wonkiness. With so many images of the interior, some of which were purposefully designed to accentuate its lean, it could also look pretty much identical, Rico Wojtulewicz, head of Housing and Planning Policy at the National Federation of Builders, told The Independent. However, the cost of this rebuild may make the project unviable because highly prized materials, considerable skill and heritage expertise would be required to faithfully recreate this ... old building, he added, estimating the cost at 1.5m. The challenge to sort and reuse existing bricks is labour intensive, and replicating it inside and out would be a considerable undertaking, therefore 1.5m seems a fair ballpark figure but it could go much higher due to new building regulations and a need to fix the historical subsidence issues, Mr Wojtulewicz advised. Moreover, recreating the historic buildings innate image would be complex. The building caught fire soon after being sold by Marstons (PA) The building would be built to look as though it had a lean, rather than have a lean itself. This would involve optical illusions via the window frames, entrances, roofline, patio area, and brickwork patterns, for example on the buildings exterior leaf, while the inner leaf would provide structural support, he added. Meanwhile, Historic England has revealed it is keen to consider all possible avenues, offering its support to South Staffordshire Council to see the pub reconstructed. We are offering technical advice to South Staffordshire Council on the planning considerations of potentially rebuilding the pub. We recognise how strongly people feel about it since its loss and if the local community and local council feel theres a strong case for rebuilding it, we would gladly continue to advise on next steps, a spokesperson for Historic England told The Independent. But the battle towards the pubs revival could be long, with one campaigner warning that it could face a similar fate to that of The Jester in Cockfosters, north London. The pub burned down in 2018, with Barnet Council subsequently expressing that it had very little say over what could be constructed as a replacement. The incident is far from the first time a beloved and culturally significant pub has come under threat in The Black Country. First licenced around 1860, the Fiddlers Arms pub was only a short walk from the Crooked House. It had gone out of business in 2017 and was standing empty when it suffered a similar fate. In early 2021, developers put forward plans to demolish the pub, and build houses on the site. The local council and residents objected. The Fiddle was a locally listed building, considered culturally significant and beloved by people in the area. The application to demolish was withdrawn in May. It suffered an arson attack the following month, and was demolished shortly after. Likewise, in 2001, the famous central Wolverhampton pub The Elephant & Castle was suddenly demolished, sparking outrage in the city. Both pubs were under consideration for listed status by Historic England at the time they were demolished had this been granted, it wouldve made tearing them down a lot more legally challenging. Someone tried to burn it down once, and it didnt work, said Ben Alcock, who lives locally, of the Fiddlers Arms. It had become an ongoing joke like, are they going to try and burn it down again? Then I walked past one day and it was just gone. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Angry drivers have hit out at Sadiq Khan over a plan to introduce a toll fee on one of Londons busiest tunnels which serves as a key route for commuters travelling to the centre of the capital from South East England. Transport for London (TfL) has confirmed motorists will likely start being charged to drive through the Blackwall Tunnel in 2025, when construction work is expected to end on the Silvertown Tunnel nearby. Drivers are not currently charged for using the Blackwall Tunnel, but a fee is being introduced to help pay back the construction cost for the 2bn project in Silvertown. TfL said the toll will apply to both tunnels to help manage traffic levels. Plans for the toll charge on the Blackwall Tunnel, which is used by around 100,000 motorists every day, were first made public by TfL in 2012, but reports about it have re-emerged in recent days as Mr Khan prepares to extend the controversial Ulez charges to all London boroughs. When complete, the Silvertown Tunnel will link the Greenwich Peninsula on the south bank of the river Thames to east London on the north bank. TfL says the Silvertown Tunnel will help reduce heavy congestion and delays on the Blackwall Tunnel. From 2025 drivers using either tunnel will have to pay an as yet undecided fee and some motorists, already angry about Ulez and congestion charges, have described the plan as another cash grab by the London mayor. The Blackwall Tunnel will only be the start of it - I can see tolls being up on other bridges and crossings as well, Robin Hopkins, the 63-year-old director of delivery firm RMH Same Day Couriers, told Mail Online. Mr Hopkins said he often travels through central London and any additional costs incurred during his trips would ultimately have to be passed onto customers. Its a trickle-down effect, he added. Traffic heads towards the Blackwall Tunnel on a weekday morning, London, (Rex) Transport businesses have already been hammered by fuel costs, and a lot of them have had to pack it in after decades of service. Motorists are clearly being targeted for a cash grab by Sadiq Khan. We urgently need a new mayor who befits the role. Twitter user Siama Qadar, who describes herself as a serial entrepreneur, condemned Mr Khan for another money-making scheme to batter motorists. Not only are we being subjected to Ulez, but also have to pay for Blackwall Tunnel as well. @sadiqkhan and @tfl, please explain why you continue to hurt London motorists? she wrote on X, formerly known as Twitter. TfL says new tunnel will reduce traffic and delays on nearby Blackwall Tunnel (TfL) Mr Khan is extending the Ulez charge to all 32 London boroughs later this month. The scheme - plans for which were first announced by Boris Johnson in 2015 when he was mayor of London - is aimed at improving the capitals air quality. The plan means that drivers of vehicles that do not meet Euro 4 emissions regulations will have to pay a 12.50 charge every day they use their car. The scheme will hit people who drive older cars and critics say the costs of Ulez will disproportionately affect those least able to pay. Ulez has also caused tensions with the Labour Party and was blamed for the party losing the Uxbridge and Ruislip by-election. A spokesman for TfL said: As has been publicised for many years, once the Silvertown Tunnel opens, drivers must pay a user charge for using either the Blackwall or the Silvertown Tunnel. The exact charge levels for various types of vehicles using the new tunnel will be decided closer to the opening date. This user charge will pay for building and maintaining the tunnel - but its main purpose is to help us manage traffic levels. Any surplus revenue will be reinvested in Londons transport network. The Silvertown Tunnel, once open, will support growth in the local area, provide new public transport connections across the river via zero-emission bus routes and address the chronic issues Londoners face at the Blackwall Tunnel. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The founder and organiser of the Leeds Festival has told an inquest he does not believe unaccompanied 16 and 17-year-olds should be banned from the event despite the death of a teenager who took ecstasy at last years event. Melvin Benn had to compose himself as he became emotional shortly after he began giving his evidence on Wednesday at the inquest into the death of 16-year-old David Celino. He told Davids parents, who were sitting just a few feet in front of him at Wakefield Coroners Court, of his genuine sorrow at their sons death. Mr Benn, who is managing director of Festival Republic, became visibly upset and had to take a few moments to compose himself before he revealed that he had lost a nephew to drugs. He told the court that Davids death had taken an emotional toll on myself and all of my staff, no question about that. The inquest has heard that David had gone to the festival, which attracts more than 90,000 people every summer to Bramham Park, near Leeds, with five friends from their homes in Worsley, Greater Manchester, in August last year. He became ill after taking ecstasy, which his group bought from a dealer in the Camping Plus area of the festival, and died after being taken to hospital in Leeds. Earlier this week, Davids father, Gianpiero Celino, told the inquest of his concerns that unaccompanied youngsters are left prey to drug dealers who operate like the child catcher in Chitty Chitty Bang Bang due to lax security. Mr Benn told the hearing on Wednesday: Itll be no surprise to know that I dont believe that there should be a bar on 16- or 17-year-olds. He agreed there is no such prohibition at any major festival in the UK, including Glastonbury, and the coroner discussed with him some of the practical difficulties of such a move. He said he believes 16-year-olds should be able to buy alcohol but the current law prohibiting alcohol sales for under-18s is rigidly applied at the festival. Mr Benn was asked by senior coroner Kevin McLoughlin about Mr Celinos belief that predatory drug dealers targeting teenagers is a foreseeable risk. He replied: Somehow Mr Celinos evidence really pulled it into focus. It struck home. Mr Benn, who also organises the Reading Festival and a number of others around the country, said: They (teenagers) do have naivety. They do have a false sense of security. And that was put into focus by Mr Celino. I have never had that focus. Its never been quite as clear as it was yesterday. Mr Benn said this years festival, which starts next week, will have a much more visible security and help presence. The inquest has heard that it is estimated around 20% of festival attendees are 16 and 17-year-olds and Mr Benn said he was putting more sophisticated systems in place this year to give an accurate picture of this data, using ID and wristbands. He said he believed the provision of AIR (Assistance, Information and Response) Hubs at the festival, staffed by volunteers rather than security staff, was the best way to provide support to youngsters. Mr Benn said these had been introduced as a direct response to Davids death and all festival-goers should be within 200m of a hub. But Mr Benn told the inquest: I do not think drugs are any more freely available at festivals than they are in society generally. Under questioning from Mr and Mrs Celinos barrister, Sarah Barlow, Mr Benn said he did not see what else he can do make sure attendees are aware of the risks around drugs at the festival, but he said he would welcome talking to the family about their thoughts on the matter. Im always open to ideas, he told the hearing. Im not sure what more I could be doing. Asked by Festival Republics barrister Paul Greaney KC, Mr Benn said it was impossible to stop drugs getting onto the festival site. He said searches were targeted because it was practically impossible to properly search everyones bags, tents and sleeping bags for drugs. Mr Benn was asked about whether he had considered introducing so-called front-of-house testing, so drug-users can find out what is in the substances they have bought. He said that the Home Secretary has prohibited this from taking place at festivals, adding that he believed it can give people the wrong idea that their drugs are safe to take. The coroner allowed a break in proceedings on Wednesday afternoon to allow Mr Benn to meet with Davids parents before he had to leave Wakefield. Detective Chief Inspector Philip Jackson told the court he also investigated the death of 17-year-old Anya Buckley, from Oldham, who died after a drug overdose at Leeds Festival in 2019. He said the circumstances were different to Davids death and that investigation led to the dismantling of an organised crime group in Oldham. Mr Jackson agreed that an opportunity was missed when officers did not immediately search for the dealer who supplied David and his friends but he said officers priority on the night was the teenagers welfare. The inquest heard that Festival Republic had drafted in retired West Yorkshire Detective Chief Superintendent Nick Wallen who led the investigations into the murders of MP Jo Cox and school teacher Ann Maguire to draw up its revised security plan for the festival. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Small boat crossings are continuing as the number of migrants arriving in the UK via the English Channel looks set to top 17,000 so far in 2023. About 50 suspected asylum seekers were seen being brought ashore on the Kent coast by the RNLI Dungeness lifeboat on Wednesday. Reports suggested that at least 100 potential asylum seekers had also arrived in Dover since the early hours, with migrants making use of the calmer weather to cross the strait between England and France. Border Force has reportedly been put on red alert due to the number of arrivals expected in the coming days, with good weather predicted to continue into the weekend. The unacceptable number of people risking their lives by making these dangerous crossings is placing an unprecedented strain on our asylum system Home Office spokesman The Home Office would not confirm the reports about Border Force readiness being increased, saying only that its status is operational. Official statistics up to Monday show that 16,790 migrants have arrived in small boats since January 1, with that number expected to pass 17,000 once figures for Tuesday and Wednesday have been included. The department recorded that 509 people arrived in 10 boats on Saturday, despite a tragedy in the Channel that day when at least six people died and dozens of others were rescued after a boat got into difficulty off the coast of Sangatte, northern France. The deaths did not deter more people from making the perilous sea journey, with Home Office records showing that 111 people arrived in two boats on Monday. Prime Minister Rishi Sunak has made stopping the boats one of his top five priorities ahead of next years likely general election. On Tuesday, the Conservative Party leader said the current numbers of arrivals are lower than they have been in previous years, adding it is the first time that has happened. Mr Sunak said he is determined to fix this problem but admitted there is a long way to go. The UK Government has applied a number of measures aimed at making it less appealing to come to Britain via unauthorised routes. Ministers are looking to move migrants out of hotels and into accommodation established on barges and former military sites. The Illegal Migration Act also allows for those arriving via small boats to be sent back to their country of origin or deported to Rwanda in east Africa. However, flights destined for Kigali have yet to take off, with the policy currently awaiting an appeal decision in the courts. A Home Office spokesman said: The unacceptable number of people risking their lives by making these dangerous crossings is placing an unprecedented strain on our asylum system. Our priority is to stop the boats, and our Small Boats Operational Command is working alongside our French partners and other agencies to disrupt the people smugglers. The Government is going even further through our Illegal Migration Act, which will mean that people arriving in the UK illegally are detained and promptly removed to their country of origin or a safe third country. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Staff at the Irish national broadcaster are gravely disturbed by the findings of a report into financial practices at RTE, according to a group of trade unions. The highly anticipated report found it is very plausible that fees paid by RTE to its star presenter Ryan Tubridy were underdeclared by 120,000 euro from 2017-2019 to keep his publicly stated earnings under the 500,000 euro mark. The executive of the RTE Trade Union Group (TUG) said the report gives rise to a number of questions about corporate governance failures as well as the role of auditor Deloitte. I think this is an arrow through the heart of the relationship between management and staff NUJ Irish secretary Seamus Dooley The TUG said there were also questions about how the national broadcaster engaged with its own workers, trade unions and the board. A spokesman said: Trust is the cornerstone of good governance. It is also at the heart of the relationship between management and unions. We hope that the reviews on corporate governance and HR established by the government will provide the framework for dealing with the fundamental issues in the report. The trust that was shattered will not be easy to rebuild and trade unions will judge RTE by the actions and attitude of the management and board of RTE from today. My door is always open Chairwoman of RTE board Siun Ni Raghallaigh Seamus Dooley, the Irish secretary of the National Union of Journalists, told RTEs News At One radio programme that his worst suspicions had been confirmed by the report. I think this is an arrow through the heart of the relationship between management and staff. He said there had been a deliberate attempt to conceal the truth. Mr Dooley said: I walked the short journey across the campus here and people are in a state of complete anger. Chairwoman of the RTE board Siun Ni Raghallaigh told the same programme: I would hope that staff would now see the changes that particularly the board has instigated and wants to bring about. I have said to staff and I have met the trade union group and Ive said: My door is always open. I would hope that they will see, gradually, the change in culture and the openness and transparency that we want to bring into the organisation and I have a lot of faith in our new director-general Kevin Bakhurst and his team that they will carry through on that. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Scottish workers have been left out in the cold by ministers in Scotland and the UK, Angela Rayner has said. The deputy Labour leader will meet apprentices in Glasgow on Thursday to set out her partys plans for a new deal for working people. In the event of victory in the next general election, Labour is pledging to tackle insecure work by giving workers the right to protection against unfair dismissal, sick pay from day one and parental leave. The strategy would also ban zero-hour contracts and fire and rehire policies. Speaking ahead of the visit, Ms Rayner accused the SNP-led Scottish Government and the Conservative-run Westminster Government of failing workers. She said: Working people in Scotland are right to demand better. Faced with a punishing cost-of-living crisis, an explosion in zero-hour contracts and a wages crash, governing parties on both sides of the border are failing working people across the whole of the UK. Scotlands workers have been left out in the cold as ministers in Westminster and Holyrood engage in endless political battles on internal obsessions. But it is not inevitable for working people to shoulder the burden of this crisis, and it is not inevitable that working parents must struggle to feed and clothe their children. At the next general election, there will be a clear choice between a Labour government, or another five years of Tory incompetence. In Scotland, the SNP can only oppose the Tories only Labour can boot them out of office. Earlier this week, Labour leader Sir Keir Starmer visited a gin distillery in Edinburgh and addressed party members in Rutherglen. He was joined by Scottish Labour leader Anas Sarwar, who has backed Ms Rayners message to workers. He said: After 15 years of SNP Government, not a single institution in Scotland is stronger now than when the SNP took office, and working people are paying the price. Across the country people are demanding change, and only Labour can deliver a new deal for working people in Scotland and across the UK. SNP MP David Linden responded: The Labour Party have worked hand-in-glove with the Tories to block the devolution of employment law to Scotland for years. However, with draconian legislation like the EU Retained Law Bill and the Anti-Strike Bill passed through the UK Parliament earlier this year, its clear that protecting workers rights is more important than ever. If senior Labour politicians are serious about tackling employment issues, then they should commit to devolving employment law to Holyrood immediately. Failure to do so will mean people in Scotland wont take him or his party seriously and rightly so. While the SNP will always stand up for workers rights against Westminster attacks, ultimately, Scotland needs the full powers of independence to guarantee, protect and strengthen those rights. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} There is currently no new evidence about the Omagh bomb atrocity which would warrant holding a public inquiry in Ireland, a minister has said. However, Peter Burke, Minister for European Affairs and Defence, stressed that the Irish Government would cooperate fully with the UK Government in an attempt to ensure there are no unanswered questions left about the 1998 bombing. Twenty-nine people, including a woman pregnant with twins, were killed when a massive car bomb exploded in the Co Tyrone town, the worst loss of life in a single incident in Northern Irelands troubled past. It came just months after the historic Belfast/Good Friday Agreement. No-one has ever been criminally convicted of the attack. In 2021, a High Court judge recommended the UK Government carries out an investigation into the Omagh bombing, and urged the Irish Government to do likewise, after finding plausible arguments that there had been a real prospect of preventing the atrocity. Earlier this year, Northern Ireland Secretary Chris Heaton-Harris announced that an independent statutory inquiry will be carried out. Families of some victims of the bombing have urged the Irish Government to do the same. Mr Burke, who attended a memorial service in the town at the weekend, told the BBC Good Morning Ulster programme: We have had a number of previous investigations in this jurisdiction in relation to the events surrounding the Omagh bombing. Also we have had the Nally inquiry which was very detailed. The Nally inquiry found no significant new evidence which warranted the establishment of a public inquiry. The Irish Government wants to work hand in glove with the UK Government in relation to this issue and we will be cooperating fully with this inquiry Peter Burke That is our position at the moment. We have met with a number of survivors and victims, we are also awaiting the final publication of the terms of reference for the inquiry in the UK. We will be giving full cooperation with that inquiry. The Irish Government is very anxious to ensure that when that inquiry has concluded there are no unanswered questions. He added: There have been a number of significant efforts and trials where we have tried to get convictions and hold to account those who perpetrated this heinous crime. The Irish Government wants to work hand in glove with the UK Government in relation to this issue and we will be cooperating fully with this inquiry. A spokesman for the Department of Justice reiterated this position and added: The Irish Government is anxious to ensure as far as possible, that by the end of the UK inquiry, there are no unanswered questions relating to the Omagh bombing that can only be examined in this state. On Tuesday, a number of families who lost loved ones in the 1998 attack, gathered and laid flowers at the spot where the bomb exploded. Speaking afterwards, Kevin Skelton, who lost his wife Philomena in the bombing, called on the Irish Government to step up to the plate over Omagh. Speaking about the UK inquiry, he said: Unless the southern government is involved, its a waste of time. If they do get involved, whether it bears fruit or not I dont know. He said the bomb was driven to Omagh from the Republic of Ireland. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} An online letter campaign to the Brooklyn Mirage nightclub is gaining traction as concertgoers express safety concerns following numerous deaths near the venue. The Google Docs form, with a letter template addressed to Councilwoman Jennifer Gutierrez who represents the area encompassing the venue, has been circulating in social media circles since 1 August. As a member of the live music community, I have serious concerns regarding the safety of concert attendees at the Brooklyn Mirage, and it has come to my attention that there is extreme negligence by the venue and their security team regarding the safety of patrons exiting the venue, the letter states. The letter also highlights the recent deaths of Karl Clemente and John Castic, both 27-year-old men who mysteriously disappeared near the Brooklyn Mirage. Both men were found dead in the nearby body of water. The letter cites a number of safety concerns. It alleges that the venue exceeds capacity by significant numbers, lack of security surrounding the venue, an absence of well-lit pathways on the way to train stations, unpermitted drivers posing as rideshares, and aggressive club and venue promoters surrounding the area, preying on concert patrons who are under the influence to lure them to follow them to unidentified venues and spaces. Attending a concert should never be accompanied with threats of danger, or worse, death, the letter states, asking Councilmember Gutierrez to address the aforementioned issues. The heartbreaking and preventable deaths of Karl Clemente and John Castic, as well as the reported kidnapping from outside of the Mirage, highlight the need for basic public safety measures and street infrastructure in the East Williamsburg Industrial Business Zone, she said in a statement to The Independent. I always appreciate constituents reaching out, and Im in agreement with many of the suggestions that constituents have made, she wrote, and even added an additional issue: lack of cell service. A former employee at The Brooklyn Mirage previously told The Independent there are a lot of dead zones for cell service inside the building. This could lead to not being able to find your friends, meaning one has to wander out into the unilluminated streets alone, or not being able to connect to a ridesharing app, and instead going into an unmarked cab, as some people online have alleged happens with some frequency. Ensuring cell service coverage in the area would require advocacy by the City to cellular carriers. Im continuing to fight for the critical jobs in manufacturing businesses in the [Industrial Business Zones], while also ensuring that New Yorkers who visit nightlife establishments in the area can stay safe, the councilmember said. Ms Gutierrez previously toldThe Independent that a nightclub located in a manufacturing zone, amid warehouses, is not an ideal combination. However, she said, If people are here, we need to figure out how to make it safer for folks. When the venue opened, according to the councilmember, the security used to stand on the route to the nearest train stop to prevent concertgoers from wandering around in the manufacturing district, but due to expenses, the venue stopped providing that service. The Independent has contacted Avant Gardnerthe outdoor complex that includes the Brooklyn Miragefor comment. Clemente turned up at the venue on 11 June, but was turned away because staffers, according to his father. After footage was released that allegedly showed Clemente running down Metropolitan Avenue, his father speculated: Someone was chasing him. Theres something fishy here. Clementes wallet and phone were not recovered with his body, which was found on 16 June. The New York City Office of Chief Medical Examiner told The Independent last week that Clementes death was still under investigation. Castic went missing around 2.30am on 29 July after attending a show at the Brooklyn Mirage. Days later, on 1 August, a man called 911 after seeing a bloated, shirtless body floating face-down. Castics father told the New York Post earlier this month that his sons official cause of death is drowning, after what could have been an allergic reaction to peanuts. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Alex Murdaughs accomplice and longtime friend Cory Fleming was sentenced to nearly four years in prison for his involvement in the convicted killers scheme to steal millions of dollars from his dead housekeepers family. Federal judge Richard Gergel sentenced Fleming on Tuesday to 46 months in prison, which was the lower end of the sentencing guidelines, The Associated Press reported. Fleming, 54, was accused of helping his law school friend steal $4.3m in insurance money that was intended for the sons of Murdaughs housekeeper Gloria Satterfield. Cory Fleming Ms Satterfield died in a mysterious trip and fall accident at the prominent familys 1,700-acre Moselle estate in South Carolina in 2018 the same property where Murdaugh shot and killed his wife Maggie and son Paul three years later on 7 June 2021. Murdaugh was convicted of their murders in March 2023 and is currently serving life in prison. Following the deaths of Maggie and Paul, an investigation into Ms Satterfields passing was reopened as the string of deaths, stolen money and corruption surrounding Murdaugh came to light. Satterfield, who worked for the family for more than 20 years, was found at the bottom of the steps leading into the familys home. She never resumed consciousness and died from her injuries three weeks later on 26 February. At the time, Murdaugh claimed that she had tripped over the familys dogs and hit her head, and her death was regarded as an accidental fall. However, her death certificate cited her manner of death as natural and no autopsy was ever carried out. Fleming was sentenced to nearly four years in prison on Tuesday (WCSC) Murdaugh had promised the sons that he would take care of them and recommended they hire Fleming as their lawyer. He then told insurance companies that Ms Satterfield tripped over their dogs and convinced them to pay more than $4m to what they thought was Satterfields estate through Fleming. But instead, Murdaugh had Fleming send the checks to him. The sons didnt see a dime until Murdaughs finances began to unravel. Murdaugh and Fleming then stole the settlement money for themselves and the housekeepers sons didnt get a dime. Much of the stolen money was funneled through a fake Forge bank account which sought to imitate the legitimate and totally unrelated business Forge Consulting. Fleming said he knew the disgraced attorney was going to steal something from the family, but he thought it might be $100,000 not the entire $4m-plus award. He also said he did not realise the depth of his old friends depravity. Gloria Satterfield died in a trip and fall at the Murdaugh home in 2018 (Provided) Fleming, who surrendered his licence to practise law in both Georgia and South Carolina, is the second associate connected to Murdaugh to be sentenced. Russell Laffitte, who was CEO of Palmetto State Bank at the time, was sentenced to seven years in prison earlier this month after pleading guilty to helping Murdaugh steal money from settlements he got for clients. Alex Murdaugh gives testimony during his murder trial at the Colleton County courthouse in South Carolina in March 2023 He acted as Murdaughs personal banker and as a custodian or conservator for some of his law firm clients. Laffitte then conspired to defraud those clients, with the two men diverting the money to themselves. Murdaugh still faces more than 100 charges in state and federal courts for crimes prosecutors say range from stealing from clients and his familys law firm to running a drug and money laundering ring to tax evasion to unsuccessfully arranging for someone to kill him so his surviving son could get life insurance money. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Brian Laundries family will be deposed this week in a civil lawsuit filed by Gabby Petitos parents for causing emotional distress when the doomed couple was considered to be missing. Joe Petito and Nichole Schmidt claim Christopher and Roberta Laundrie knew Gabby was dead when she vanished in August 2021 while on a road trip with their son Brian, but chose not to take any action other than saying they hoped she would be found. They are set to be questioned by the Laundries legal counsel team, as well family attorney Steven Bertolino, who is also named in the suit, according to WFLA. Its not yet known if the Laundries will be present for the depositions, but if they are, itll be the first time the two sets of parents have come face-to-face since Laundries suicide shortly after Gabby was murdered. Cassie Laundrie, Brian Laundries sister, is the only family member who has spoken publicly since Gabbys death. Obviously me and my family want Gabby to be found safe, Cassie Laundrie said in 2021. Shes like a sister and my children love her, and all I want is for her to come home safe and sound and this to be just a big misunderstanding. She is set to be questioned by the legal team for Mr Petito and Ms Schmidt on 6 November as part of the series of depositions leading up to a trial in May 2024 in Sarasota County court. Joseph Petito, father of Gabby Petito, pictured in 2021 as he pleaded with information about his missing daughter (Copyright 2021 The Associated Press. All rights reserved.) Gabby Petitos mother Nichole Schmidt, wipes a tear from her face during a news conference in 2022 (Copyright 2022 The Associated Press. All rights reserved) The Petitos sued Brian Laundries parents, accusing them of knowing that their son had murdered Gabby and then helped him with the coverup for nearly a month before her body was found in western Wyoming in September 2021, causing mental anguish, pain and suffering. Gabby, 23, was reported missing in August 2021. She had been on a road trip with her fiance Brian, who returned to his Florida home without her. The couple had traveled by van across the US in the summer of 2021, visiting scenic places in Colorado and Utah before heading north. They documented their trip in detail, in real time, on social media. But their happy travels were not as they made it out to be online. Police in Moab, Utah, pulled over the van after it was seen speeding and hitting a curb at the entrance to Arches National Park in August 2021. Petito told police she and Laundrie had been fighting. Officers separated the couple for the night and didnt pursue charges. Petitos body was found a month later near a campground on the edge of Wyomings Grand Teton National Park. A coroner determined shed been strangled a few weeks earlier. A month later, Laundrie was found dead in Florida. Petito told police she and Laundrie had been fighting. Officers separated the couple for the night and didnt pursue charges (The Moab Police Department) Brian Laundrie talks to police after being pulled over (The Moab Police Department) Earlier this year, a handwritten note by Roberta Laundrie in an envelope that read burn after reading was released after a Florida judge ruled that it could be used as evidence in a lawsuit. The undated note reportedly informed her son that she would dispose of a body if needed because she loved him so much, according to copies of the note shared publicly by attorneys for Petitos parents. The letter reads in part: We will always love each other. If youre in jail, I will bake a cake with a file in it. If you need to dispose of a body, I will show up with a shovel and garbage bags. Roberta Laundrie claims in a sworn statement in the Florida case that she gave it to her son just before he and Petito left on a cross-country van trip. I was trying to connect with Brian and repair our relationship as he was planning to leave home," the statement said. I had hoped this letter would remind him how much I loved him. The Petito family expressed doubt about Roberta Laundries claimed timing of her letter, suggesting it was in fact written after Petitos death. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A California judge accused of fatally shooting his wife during an argument while he was drunk has claimed her killing was an accident. Orange County Superior Court Judge Jeffrey Ferguson is free on a $1m bail and must wear an electronic tracking bracelet in the states Los Angeles, Orange and Riverside counties after pleading not guilty in court. The 72-year-old judge was arrested on 3 August in the affluent Anaheim Hills neighbourhood 45 miles southeast of Los Angeles after his adult son called the police. When officers arrived at the home they found his wife, Sheryl Ferguson, dead from at least one gunshot wound. Prosecutors say that the couple began arguing at a restaurant and that the fight between them continued when they got back to their home, reported CBS News. Court papers state that the judge made a threatening hand gesture towards his wife indicative of pointing a gun at her. Investigators say that hIs wife replied something along the lines of Why dont you point a real gun at me? And the judge allegedly pulled out a Glock 0.40 pistol from an ankle holster and shot her in the chest. Court filings say that their son and Judge Ferguson called 911, and the judge also texted his court clerk and bailiff admitting he had shot his wife. Investigators remove firearms from a house in the 8500 block of E Canyon Vista Drive in Anaheim (AP) I just lost it. I just shot my wife. I wont be in tomorrow. I will be in custody. Im so sorry, the filing states he texted. Following his arrest he was arraigned in Los Angeles after state officials were asked to decide if there was a conflict of interest in him being dealt with by courts in Orange County, His next court hearing is scheduled for 30 October. The suspect has been a judge since 2015 after beginning his career in the Orange County district attorneys office in 1983. He and his wife were married in 1996 and have two adult sons, according to CBS Los Angeles. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The weirdness surrounding Brooklyn Mirage continues after reports of a Connecticut-based doctor being kidnapped for a weekend after attending the New York venue surfaced. The alleged incident happened after the Norwalk Hospital doctor, who has not been named, and his friends attended a show at the Brooklyn Mirage on 21 July, according to a police report obtained by The Hour. The bizarre incident took place after the recent deaths of Karl Clemente and John Castic, both 27-year-old men who mysteriously disappeared near the Brooklyn Mirage. Both men were found dead in the nearby body of water. After the July show ended, the Connecticut doctor got into a cab to hitch a ride to his car, which was parked several blocks away, but he wasnt alone. Another man was in the back seat, the outlet reported. The cab driver said he was taking them to an after-hours party in Manhattan and refused to take the doctor to his car, the incident report allegedly said. They actually arrived at a party, where the cab driver reportedly demanded payment; the doctor refused to pay him, saying he did not take him to where he asked to be driven. The pair got into a verbal argument, which quickly escalated into the cab driver allegedly trying to punch the doctor, the outlet said. Thats when 43-year-old Anthony Benjamin intervened in the scuffle to try to calm things down, The Hour reported. Mr Benjamin told the doctor that he was the partys promoter. But when they entered the party, Mr Benjamin started acting weird, according to the doctor, adding that he was the doctors protection and he was packing as he touched his waistband, according to the publication. Mr Benjamin ordered that he and the doctor take a Lyft from Manhattan back to Brooklyn, where they got into the doctors car. Mr Benjamin allegedly told the doctor he would show him around his neighbourhood in the Bronx, the outlet reported. The so-called promoter seemed to try to intimidate the doctor, saying that hes put people in body bags before and that he lets bullets fly. Then, Mr Benjamin threatened the doctor, stating he was going to kill him, but he would then smile and laugh at him, according to the incident report. Later on, Mr Benjamin allegedly demanded the doctor withdraw money from several ATMs, as Mr Benjamin wanted $20,000; the doctor said he couldnt do that, as he was only able to take out about $1,000. Mr Benjamin forced the doctor to use his banking app to see if he could take out more money, the outlet reported. He then gave Benjamin the money, which he was giving it out to people and his friends in the neighborhood, according to the incident report. The hostage-taking transformed into a shopping spree in the Bronx. The doctor allegedly told police that the pair stopped in several stores, including a Foot Locker. Although they attempted to buy some items, the doctors ATM card and credit card kept getting declined. The party promoter also took the doctor to his barber shop, where he got a haircut, and to a strip club, according to the incident report. The doctor kept going along with what Benjamin wanted him to do because whenever he stated he had to leave to go to work Benjamin would get angry, police said in the incident report. Mr Benjamin allegedly told the doctor he had a gun. The doctor, who was working on-call for the weekend, even began taking calls from his patients. Early on 23 July, the doctor received a call from the emergency department about a patient, so he urged Mr Benjamin that he had to get back to work or else people would start to look for him, the outlet wrote. Because the doctor had a stick shift car, Mr Benjamin couldnt drive it, so he allegedly asked Steve Daley, 50, to bring them to Norwalk Hospital. When they arrivedat 3.40am the doctor reported the incident to hospital security, who put Norwalk Hospital on lockdown, according to the incident report. While police spoke with the doctor, officers discovered the men in the car and took them into custody. According to the outlet, Mr Benjamin was charged with second-degree kidnapping and possession of a controlled substance, while Mr Daley was charged with conspiracy to commit second-degree kidnapping. The pair are next scheduled to appear in state Superior Court in Stamford on 19 September, court records show. The Independent has reached out to Mr Benjamins attorney and Avant Gardner, the outdoor complex that includes the Brooklyn Mirage. Norwalk Hospital declined to comment since the investigation is active. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Students at a Florida university were warned to shelter in place after shots were fired at the nearby Gulf Coast Town Center shopping mall on Wednesday morning. Florida Gulf Coast University sent an alert to students after shots were reported at a construction site at the mall 15 miles (24kms) south of downtown Fort Myers at around 11.15am. Lee County Sheriffs Office deputies responded to the shooting, and later confirmed that no shoppers had been hurt. They are searching for the occupants of two cars who fled the scene. The Florida Gulf Coast University police department posted an update at around 1.30pm to say there was no active threat and there had been no danger to students on its main campus. The university said on social media that an alert about an active shooter on campus was sent out in error. LCSO is on scene of an active scene located near a construction site at Gulf Coast Town Center. No shoppers were harmed. It appears to be an isolated altercation between two vehicles that have since fled. No businesses were affected, you may notice an increased deputy presence. Carmine Marceno - Floridas Law and Order Sheriff (@SheriffLeeFL) August 16, 2023 Lee County Sheriff Carmine Marceno said in a social media post that the shooting appeared to have been an isolated altercation between two vehicles that had since fled. He said no businesses had been affected, but to expect an increased law enforcement presence in the area. Florida Gulf Coast University had an enrollment of 16,000 students in 2022. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Armored trucks descended on a Philadelphia neighbourhood on Friday, releasing a flood of FBI agents who stormed and raided a house in search of a suspected aspiring terrorist. There were no adults home when agents arrived; they found three minors in the home. But one of them was their suspect, a 17-year-old with alleged ties to a Syrian extremist group. Reporters outside captured the scene as agents marched a cuffed teenager, wearing only his undergarments, out into the street and into federal custody. Days later, the Philadelphia District Attorney's Office made clear what had happened; they had arrested someone they claim was engaged in "the most serious alleged terrorist activity prosecuted in Philadelphia County court in recent history. The suspect The suspect is a minor, and his name has not been released to the public. Philadelphia District Attorney Larry Krasner called the teenager an "aspiring terrorist" during a press conference after his arrest. "The young man who is under arrest is was, an aspiring terrorist who was not merely thinking, but was doing things that are deeply disturbing and presented a grave danger to everyone," he said. FBI Special Agent in Charge Jacqueline Maguire also spoke at the conference, and revealed the suspect allegedly took steps to build a weapon of mass destruction. "Among the items he purchased were tactical equipment, wiring, chemicals and devices often used as remote detonators," she said. "He had not only taken steps in acquiring those items and materials that are commonly used in improvised explosive devices, but that he had also taken steps to start putting potential devices together," Philadelphia teen arrested as part of terrorism investigation She also noted that the suspect allegedly had access to a "significant number of firearms." "He is currently charged with the following offenses: weapons of mass destruction, criminal conspiracy, arson, causing or risking catastrophe, attempt to commit criminal mischief, possession of an instrument of crime, and reckless endangerment of another person," she said. He is being tried as a juvenile, according to Mr Krasner. The investigation The 17-year-old landed on the FBI's radar after the agency learned of his alleged communication with members of Katibat al Tawhid wal Jihad, a Syria- based extremist group that the US classifies as a global terror organisation. The group has ties to al-Qaeda, the organisation formerly led by Osama Bin Laden that carried out the 9/11 terrorist attacks in New York, Washington DC and Pennsylvania. A Philadelphia teenager was arrested and accused of planning a potentially catastrophic terrorist attack. (NBC10) The FBI believes the organisation was responsible for the bombing of metro station in St Petersburg, Russia, in 2017, which killed 15 people. The agency also believes the group carried out a suicide car bombing in 2016 that injured three members of the Chinese Embassy in Bishkek, Kyrgyzstan. Federal officials believe the teenager was communicating with the terror organisation between March and April of this year. The FBI also discovered a WhatsApp account allegedly linked to his phone number that used a banner of the Riyad-us-Saliheen Brigade of Martyrs, a terror group based in Chechnya. On 6 August, the banner was reportedly changed to that of Isis. Philadelphia District Attorney Larry Krasner said the FBI potentially thwarted a catastrophic terrorist attack by arresting a 17-year-old who had been communicating with a Syrian extremist group (Copyright 2022 The Associated Press. All rights reserved.) The agency eventually began surveilling the teenager, and allegedly witnessed him purchasing bomb-making materials on 7 August. The following day the US Customs and Border Protection agency said the suspect had 14 international shipments of military and tactical gear delivered to their home. Mr Krasner said that the FBI "potentially thwarted a catastrophic terrorist attack in the name of a perverted ideology that in no way, shape, or form represents the beliefs of the overwhelming majority of peace-seeking people of faith, including Muslims. The suspect's family While the suspect's name has not been released as he is a minor, his father has commented on the shocking raid that ended with his child in FBI custody. Qawi Abdul-Rahman, a prominent criminal defence attorney in Philadelphia, told The Daily Beast that he was "shocked and devastated" to learn on Monday that his 17-year-old child was facing terror allegations. He recalled receiving a phone call at work from his children as the raid was ongoing. They told him the FBI had swarmed the home. At the time, only his three children the 17-year-old included were home, as both Mr Abdul-Rahman and his wife were at work. The attorney rushed home to find his child in FBI custody. He said he was "shocked" and "devastated" to learn about his child's alleged involvement with terror organisations. In the aftermath of the arrest, Mr Abdul-Rahman said he was struggling to discuss the incident and its implications with his two younger children. He said he doesn't know "how to even address it," asking how one could even explain such a concept to a child. "Your job as a father is to protect," he told The Daily Beast. "But I couldn't do a thing." Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A Philadelphia criminal defense attorney said he was "shocked" to learn that a 17-year-old arrested by the FBI for alleged terrorist activity was actually his son. Qawi Abdul-Rahman, a prominent attorney in Philadelphia, told The Daily Beast's Noor Ibrahim that he was "shocked and devastated" to learn on Monday that his 17-year-old son was facing allegations that include arson, criminal conspiracy weapons of mass destruction, and risking catastrophe, among others. A press release from the Philadelphia District Attorney's Office described the teenager's alleged actions as "the most serious alleged terrorist activity prosecuted in Philadelphia County court in recent history." The work of the FBI Joint Terrorism Task Force potentially thwarted a catastrophic terrorist attack in the name of a perverted ideology that in no way, shape, or form represents the beliefs of the overwhelming majority of peace-seeking people of faith, including Muslims, the statement said. We intend to pursue full accountability for these crimes and will continue to work vigilantly with our law enforcement partners to protect all of our communities from hateful, ideologically driven acts of violence. Mr Abdul-Rahman said he was working when he received a call from his children informing him that the FBI was raiding their home. He told the Daily Beast that neither he nor his wife were home during the raid, only the 17-year-old and his two siblings were present. The attorney rushed home, where he found a pair of armored FBI vehicles and members of the press outside his home. The FBI eventually handcuffed and marched his son out of the house in nothing but his underwear. Later disclosures from the district attorneys office claim the teen had been in contact with Katibat al Tawhid wal Jihad, a Syrian extremist group designated by the US government as a global terror organisation. The group was responsible for the bombing a metro station in St Petersburg in 2017. That attack killed 15 people. The teenager reportedly made contact with the group through social media. The DAs office said the teen received messages related to construction of improvised explosive devices (IEDs) and to have purchased materials online such as chemical cleaners that are used to construct IEDs, as well as outdoor or tactical gear, and further states that an agent observed him allegedly making purchases of some of the above components. Close Woman's body found off of popular trail believed to be missing Maryland woman Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} More than a week after mother-of-five Rachel Morin was found dead on a popular trail in Maryland, authorities have yet to make any arrests in the investigation into her death. Morin, 37, was last seen heading to the Ma & Pa Trail at around 6pm on 5 August. Her boyfriend reported her missing that night after she failed to return home and her body was found the following day. On Thursday, Harford County Sheriffs Office said investigators had been made aware of potential witnesses who may have seen Morin on the Ma & Pa Trail in Bel Air on Saturday. The witnesses either three men, two women and two dogs or two men, three women and two dogs were urged to come forward. Officials said that these individuals had been identified and were contacted by investigators. A spokesperson for the sheriffs office told The Independent on Tuesday that the department had increased patrol on the Ma & Pa Trail area to reassure the community in the aftermath of Morins tragic death, but noted that there is no perceived threat at this time. Sign up to our free US news bulletin sent straight to your inbox each weekday morning Sign up to our free morning US email news bulletin Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the US Morning Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A man in Utah has been arrested after allegedly posing as a doctor and making at least $2m by selling a fake cure for Covid-19. He evaded arrest for almost three years. Gordon Hunter Pedersen, 63, who lives in Cedar Hills, Utah, was arrested last week on Wednesday after he was charged in 2020 with seven felonies, including mail and wire fraud, selling of misbranded drugs with intent to defraud and mislead, the US attorneys office in the state said on Monday. He allegedly sold a "structural alkaline silver" online and claimed that the drug "resonates or vibrates at a frequency that destroys the membrane of the (COVID-19) virus, making the virus incapable" of infecting humans, court documents said. He posed to his customers as an "anti-ageing medical doctor" with PhD degrees in immunology and naturopathic medicine, it said. A warrant for Mr Padersen was issued in August 2020 when he failed to appear in a court for the indictment, leading to a three-year manhunt. The arrest in the case comes a month after he was spotted on surveillance camera footage at a gas station around 40 miles south of Salt Lake City. Mr Padersen promoted his fake cure through YouTube videos, Facebook posts, podcasts and websites, wearing a white lab coat with Dr monogrammed on it. There is no drug that man has made that can do the same, he said in his podcast interview in March 2020. If you have the silver in you, when the virus arrives, the silver can isolate and eliminate the virus, he added. He maintained that his silver product can destroy Covid-19 virus in an interview with federal agents but acknowledged that his credentials were exaggerated. He had been promoting a cure for diseases such as arthritis, diabetes and pneumonia, since 2014. But he substantially profited between January and April 2020 when he made approximately $2m in sales from the company he co-owned, My Doctor Suggests, according to court records. The US District Court for Utah filed a restraining order against Mr Pedersen to stop him from selling the products by labelling them cure-alls, the Justice Department said at that time. Following three years of evading authorities, Mr Pedersen was identified by a Food and Drug Administration special agent on 5 July, while he was in a vehicle registered under his wifes name, Julia Currey, according to prosecutors. The agent tracked the vehicle to a gas station, where Mr Pedersen was captured on a surveillance camera, as stated by prosecutors. His indictment was part of a task force created by attorney general Merric Garland in 2021 to prosecute businesses and entities that tried to profit unlawfully from the pandemic. His lawyer is yet to comment on the development. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Greg Gutfeld, co-host of Fox News The Five, offered a strange scapegoat for crime in the United States: women. The show played footage of a mob of criminals looting a Los Angeles Nordstrom. But Gutfeld took the incident as an opportunity to go on a tirade, during which he seemed to accuse women of being responsible for the frequency of certain crimes committed in the US nowadays and how such crimes would vanish if women did too. What would happen if all the women took a ladies week off and they went to Venus How many of these problems would still exist? Gutfeld mused. Co-host Dana Perino can be seen looking at him sceptically. The co-host argued that smash and grabs, no-cash bail and rampant recidivism would disappear if women were no longer a factor. I know this is offensive to the judge because she thinks like a dude, Gutfeld joked while pointing at co-host Judge Jeanine Pirro, who laughed at the comment. Gutfeld concluded by summarising his point, What Im saying is that we have gotten so soft and it is because we have decided that discipline and punishment is wrong. Its unclear if Gutfeld was insinuating that he thought women were responsible for the Nordstrom looting. The LAPD estimated the loot to be worth up to $100,000, and the police previously told The Independent that the suspects were both male and female. The Independent has reached out to the network for comment. This isnt Gutfelds first instance fueling controversy. Just last month, he came under fire for saying that some Jews were able to survive the Nazi genocide because they were useful. His words reached the White House, a spokesperson for which condemned Mr Gutfleds assertion. What Fox News allowed to be said on their air yesterday and has so far failed to condemn is an obscenity, White House spokesperson Andrew Bates said in a statement. In defending a horrid, dangerous, extreme lie that insults the memory of the millions of Americans who suffered from the evil of enslavement, a Fox News host told another horrid, dangerous and extreme lie that insults the memory of the millions of people who suffered from the evils of the Holocaust. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The entire police staff in a small Minnesota town has quit over salary disputes. The City of Goodhue will soon be without law enforcement after all seven staff members announced their resignation, Mayor Ellen Anderson Buck said at a city council meeting on Monday. Police Chief Josh Smith and one other officer are now the only ones still remaining on the force until their resignations also take effect on 23 August, the Minneapolis Star-Tribune reported. Ms Anderson Buck said that she was floored by their decision. During a council meeting in July, Mr Smith had voiced his frustration over salary, noting that other police departments offered better pay and more perks such as sign-on bonuses. The mayor said she hadnt expected the entire force to take such drastic action. I just thought one; I didnt realise all of them, she said, per NBC News. We knew we were on the low side and so we were trying very, very hard to bring those numbers up, According to the mayor, the entire department received a 5 percent raise earlier this year, making the current hourly wage $22. Mr Smith was also given a $13,000 yearly increase ahead of budgeting discussions to be held later this year by city officials. Theres zero incentive to come out here to a small town, low pay, being on call, affecting your free time and everything else, he previously told the city council, according to the Star-Tribune. The Goodhue council convenes for a special meeting to address the police department in Goodhue, Minnesota ( 2023 Carlos Gonzalez / Star Tribune) Trying to hire at $22 an hour, youre never going to see another person again walk through those doors. Mr Smith and one other officer were the only officers to remain on staff after another resignation in June. But the harsh reality is, I dont want to be the guy working 80 hours a week just running this PD, being on call 24 hours a day, which I already am, and leaving no time for my family, he warned last month. Mr Smith submitted his resignation on 9 August. Five part-time employees and a full-time officer then quit three days later after learning that the chief was stepping down. Goodhue is located in southeastern Minnesota and has a population of about 1,300 residents. The city said that it would contract the Goodhue County Sheriffs Office to offer extra enforcement while the local police force is rebuilt. This is a great place to live and to work and I think well get them back here. Its just going to take some time and rebuilding, Ms Anderson Buck said. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} More than 100 people have been killed in the wildfires sweeping Hawaii, the deadliest wildfire incident in the US for more than 100 years. Federal officials sent a mobile morgue with coroners, pathologists and technicians to the islands to help identify the dead, as Maui County released the first names of people killed in the wildfire. Just two victims have been named so far, while the county said it has identified three more and will release the names after notifying the next of kin. Smoke and flames rise in Lahaina, Maui County (Reuters) Its going to be a very, very difficult mission, US Department of Health and Human Services deputy assistant secretary Jonathan Greene said. And patience will be incredibly important because of the number of victims. State senator Brian Schatz said the historic town of Lahaina, which dates back to the 1700s, is almost totally burnt to the ground, with the blaze leaving behind smoking piles of rubble where historic buildings once stood. There is very little left there, Hawaii governor Josh Green said in a video message on Sunday as he thanked Joe Biden and the Federal Emergency Management Agency for its emergency relief efforts. Maui County officials said that tens of thousands of people have been evacuated from the island by air, with dozens of people injured in addition to those killed. Meanwhile, the grim search for survivors continues. The fires were whipped up by strong winds from Hurricane Dora passing far to the south. It is the latest in a series of disasters caused by extreme weather around the globe this summer. Experts say the climate crisis is increasing the likelihood of such events taking place. This GOES-18 fire temperature composite satellite image shows hot land surface temperatures in red on the Hawaii Islands (AP) Lahaina resident Mason Jarvi, who escaped from the town, said the fires were like the apocalypse, adding: "We just had the worst disaster Ive ever seen. All of Lahaina is burnt to a crisp. This is a deeply somber day, Maui mayor Richard Bissen said on Wednesday. The gravity of losing any life is tragic. As we grieve with their families, we offer prayers for comfort in this inconsolable time. Follow live updates of the wildfires in Maui here Adding to the problems on the ground are the growing reports of looting and a spate of armed robberies in Lahaina, with local business owners imploring law enforcement to get a grip on the situation. Authorities have meanwhile warned that the effort to find and identify victims is still in its early stages, with crews and cadaver dogs having covered just three per cent of the search area as of Saturday. In better news, the Hawaiian Electric Company reported on Tuesday that it had restored power to all but 2,000 of the 12,400 customers who lost power on West Maui. Power was restored to the Lahaina Civic Center and nearby areas. HECO has 400 employees from across the state working on power restoration and shipped 26 specialized vehicles and equipment to Maui from Oahu, officials said. Where are the current wildfires in Hawaii? The map below shows the areas currently affected by the wildfires. View more When flames first raged across Maui last week, some adults and children were forced to dive into the ocean for safety. Video shows locals leaping into the Pacific as flames spread to the harbour. We had to push off to escape the heat and ash. The harbour quickly filled with black smoke. We made it safe to Lanai, said Brantin Stevens, who shot some of the footage from the scene. According to Hawaii Department of Transportation director Ed Sniffen, more than 11,000 people were flown out of Maui on Wednesday 9 August. Another 14,000 followed a day later. Incredibly, holidaymakers and some celebrities including the hotel heiress Paris Hilton have attempted to press on with their summer plans to visit Hawaii, inviting stern rebukes from local officials and condemnation on social media. What is fuelling the wildfires? Precisely what first started the fires has not yet been established but it is believed that their spread was greatly exacerbated by the heavy winds coming in from Dora lying several hundred miles off the islands south coast. According to the National Weather Service, winds reached speeds of up to 60 mph at one stage. (AP) Robert Bohlin, a meteorologist at the services office in Honolulu, told The New York Times that while the hurricane was not directly responsible for the worsening conditions in the island state, it has made the winds stronger in the area. Also enabling the disaster has been the unchecked spread of non-native dry vegetation like Guinea grass across Hawaii in recent decades, which has been allowed to populate former agricultural land and deforested sites and has unwittingly provided the ideal fuel to feed the blaze. Claims by excessively-online conspiracy theorists that a space laser is to blame are wide of the mark. Additional reporting from agencies. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} An intensifying wildfire in northern California has forced locals to evacuate a nearby town. Some residents of Siskiyou County, California just south of Hamburg and the states northern border were ordered to flee their homes as the Head Fire in the nearby Klamath National Forest began threatening the region. The fire was estimated to cover between 3,000 and 4,000 acres, according to local forest authorities. The #HeadFire has increased in intensity and rate of spread and has spotted across the Klamath River due to thunderstorm downdrafts in the area, officials wrote on Facebook just before 8pm PST on Tuesday. The evacuation warning has been elevated to an order. PLEASE LEAVE THE AREA IMMEDIATELY. The Head Fire is one of 19 fires that ignited in the national forest, according to the Associated Press. While the Head fire grew into a significant blaze, most of the others remained relatively tiny. It is burning near the site of the McKinney Fire of 2022, a blaze that ultimately destroyed the community of Klamath River and killed four people. Both the Head and McKinney fires were tied to severe storms; the Head fire was lit by a lightning strike, and the McKinney fire was spread and fuelled by strong thunderstorms blowing through the region. There have been no reports of injuries or homes destroyed as of Tuesday evening. Evacuation Orders and Warnings have been issued and law enforcement and fire are working to get folks out of the evacuation area, Rachel Smith, the Klamath National Forest supervisor said in a statement on Facebook. If you are in this area, please get out immediately. A wildfire burns in Siskiyou County, California after a lightning strike set the forest ablaze (screengrab/Cal Fire) The Head Fire and the others in the Klamath National Forest are just a few of the blazes burning in parts of California this week, according to local broadcaster KCRA. The Deep Fire broke out in Shasta County, though it is largely smouldering now. In Humboldt County, the Lone Pine Fire which began burning in the Willow Creek area was still generating significant smoke on Tuesday. And a lightning bolt lit the Slide Fire in Tehama County some time on Monday, according to local officials. That fire has already burned at least 60 acres, and has the potential to grow into a much larger blaze. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Illinois will provide for Thursday's funeral and burial for the migrant toddler who died last week on a bus headed to Chicago from Texas, officials said. Jismary Alejandra Barboza Gonzalez, who would have turned 4 next week, died Aug. 10 while on a chartered bus, part of Texas Gov. Greg Abbott's program begun last year of sending migrants crossing into the state to Democratic-led cities across the country. Rachel Otwell, spokesperson for the Illinois Department of Human Services, confirmed the girl's name and said the Illinois Welcoming Center, a partially state-funded program, will cover burial costs for Jismary. The child's great aunt, Gisela Gonzalez, said the family set out for the United States in May from their home in Colombia, where Jismary was born. The funeral service for the girl is scheduled for Thursday at a church in Warsaw, Indiana. Welcoming centers offer comprehensive services for migrants. But Otwell said the family has not requested other help. Otwell declined to identify which of the 36 welcoming center locations would provide the service. Nor would she say from what country Jismary's family had emigrated. Given the sensitivity of this tragic event, and the way migrancy has been unfortunately politicized, (the department) does not believe it is appropriate to share certain details, such as the exact center that has supported the family, Otwell said. Jismary died Thursday while the bus traveled Interstate 57 through Marion County, in southern Illinois, about 90 miles (145 kilometers) east of St. Louis. County Coroner Troy Cannon's autopsy was inconclusive as to the cause of death. He ordered microscopic tests of tissue samples from the child in a search for abnormalities. The coroner's office said Wednesday it had no updates. Gisela Gonzalez, who lives in Venezuela, said there was no indication that the child was in distress or needed medical attention before she apparently suffered cardiac arrest on the bus. She said Jismary's parents faced down the treacherous Darien Gap and crossed five Central American countries and Mexico before turning themselves in at a U.S. immigration checkpoint. According to the Texas Division of Emergency Management, passengers on the bus, which departed from the border city of Brownsville, were given temperature checks and asked about health conditions before boarding. The agency's Friday statement confirming the girl's death marked the first time Texas authorities have announced a death since it began shuttling migrants last August. Texas officials said that when the child became ill, the bus pulled to the side of the road and on-board security personnel called emergency responders. Paramedics assisted the girl, but she later died at a hospital. Abbott's Operation Lone Star has dispatched 30,000 migrants who have crossed into Texas seeking asylum to Chicago, Washington, New York, Philadelphia, Denver and Los Angeles so-called sanctuary cities in a protest he says will end when President Joe Biden secures the border." ___ Winder reported from Chicago. Associated Press writer Valerie Gonzalez in McAllen, Texas, contributed. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A county prosecutor has withdrawn a warrant for a widely condemned police raid on a small-town weekly newspapers office in Kansas over insufficient evidence, as the state agency investigating the incident has ordered the return of seized evidence. Last week, Marion County Police officers and sheriffs deputies seized phones and equipment and effectively forced the 154-year-old Marion County Record to shut down, drawing criticism and warnings from press freedom groups, civil rights advocates and attorneys. On 16 August, Marion County Attorney Joel Ensey said there was insufficient evidence to establish a legally sufficient nexus between this alleged crime and the places searched and the items seized. The Kansas Bureau of Investigation also announced that an investigation will proceed independently without review or examination of any of the evidence seized from the raid on 11 Friday, according to a statement shared with The Independent. A two-page warrant for the raid, signed by Marion County District Court Magistrate Judge Laura Viar, stated that officers were allowed to seize phones, software, items that contained passwords, and all correspondence and documents pertaining to a local restaurant owner Kari Newell under the papers investigation, though no stories about her were published. The warrant appeared to allege that a reporter illegally obtained sealed state records about Ms Newells prior arrest and citation for driving under the influence in 2008. It refers to identity theft and unlawful acts concerning computers as probable cause for the search. The paper also was actively investigating allegations of misconduct surrounding Gideon Cody, Marions chief of police. Officers also reportedly photographed personal financial statements and seized personal items, including a smart speaker used by the papers 98-year-old co-owner Joan Meyer who used the device to ask for assistance. Ms Meyer the mother of publisher Eric Meyer, and stressed beyond her limits and overwhelmed by hours of shock and grief, according to the newspaper collapsed and died one day after the raid. The newspaper obtained a copy of the probable cause affidavit after the raid, finding that it was filed three days after those searches were conducted, which is a little suspicious, Mr Meyer told CNN. Eric Meyer, publisher of the Marion County Record in Marion, Kansas (AP) A statement from the Marion Police Department last week acknowledged that the federal Privacy Protection Act protects journalists from most searches of newsrooms unless they themselves are suspects in the offense that is the subject of the search. On 13 August, a group of more than 30 news organisations and publishers including The New York Times and the Associated Press joined a statement from the Reporters Committee for Freedom of the Press urging the Marion Police Department to return seized material, purge records that were accessed, and initiate a full independent and transparent review of the incident. Newsroom searches and seizures are among the most intrusive actions law enforcement can take with respect to the free press, and the most potentially suppressive of free speech by the press and the public, the statement said. Anyone should have realized that sending the entire police force to search a newsroom because journalists verified information from a source is an outrageous overreaction that threatens freedom of the press, Freedom of the Press Foundation deputy director of advocacy Caitlin Vogus said in a statement shared with The Independent on 16 August. This raid never should have happened, she added. Kansas City attorney Bernie Rhodes told The Star that the announcement from state prosecutors has stopped the hemorrhaging, but it does nothing about taking care of the damage that has already occurred from the violation of the First Amendment in the first place. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Three people have died in the New York City area after getting infections from a flesh-eating bacteria that can be caused by eating oysters or swimming in the ocean, according to health officials. One person died in Suffolk County on Long Island and two other victims were from Connecticut. A fourth victim fell ill but was later sent home from the hospital. The Centers for Disease Control says that the Vibrio vulnificus bacteria is deadly and kills one in five people who contract it. While rare, the vibrio bacteria has unfortunately made it to this region and can be extraordinarily dangerous, Governor Kathy Hochul said in a statement on Wednesday. As we investigate further, it is critical that all New Yorkers stay vigilant and take responsible precautions to keep themselves and their loved ones safe, including protecting open wounds from seawater and for those with compromised immune systems, avoiding raw or undercooked shellfish which may carry the bacteria. Vibrio vulnificus bacteria, which occurs naturally in saltwater coastal environments and can be found in higher concentrations from May to October when the weather is warmer, said the New York State Department of Health. We are reminding providers to be on the lookout for cases of vibriosis, which is not often the first diagnosis that comes to mind, Dr James McDonald, the New York state health commissioner said in the statement. We are also suggesting to New Yorkers that if you have wounds, you should avoid swimming in warm seawater. And, if you have a compromised immune system, you should also avoid handling or eating raw seafood that could also carry the bacteria. Health officials say that anyone with an open wound or recent tattoo or piercing should avoid warm seawater or cover the wound in a waterproof bandage. People with compromised immune systems are also told they should avoid eating raw or undercooked shellfish, such as oysters. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Supreme Court of Missouri ruled that the parents of children who didnt regularly attend school can serve time in jail. Tuesdays opinion highlighted two single mothers, Caitlyn Williams and Tamarae LaRue, who the court said failed to enforce that their children attend school on a regular basis in violation of Missouris compulsory attendance law. According to the filing, after Ms Williams daughters sixth absence from first grade in November 2021, the school sent a letter to the mother. The letter said that The Missouri Department of Elementary and Secondary Education states that students should have a 90% or higher attendance percentage. Although Ms Williams provided reasons for some of her daughters 15 absences like a dentist appointment, suffering from ringworm, a bad cough nine absences were not given excuses, the filing states. The assistant principal reportedly said that the childs lack of attendance affected her performance in the classroom. The state later charged the mother with a class C misdemeanor of violating the compulsory attendance law. The circuit court found Ms Williams guilty, and the single mom was sentenced to seven days in the Laclede County jail. She appealed, but the circuit courts judgment was affirmed. Similarly, Ms LaRue, also a single mother, was charged with a class C misdemeanor of violating the compulsory attendance law for her son who is in kindergarten. According to the filing, she enrolled her son a month late in 2021. Per the same policy as Ms Williams faced, after Ms LaRues child reached six absences, the school sent her a letter. It appears Ms LaRues son missed school 14 times, and for seven of those she did not provide a reason. As was the case with Ms Williams, the circuit court found LaRue guilty; she was sentenced to serve 15 days in the Laclede County jail, but her sentence changed, placing Ms LaRue probation for a term of two years. She also appealed the decision, but the circuit courts judgment was affirmed. According to the court, there are only two exceptions to the statue that the mothers allegedly violated. One is that a child between the ages of five and seven can be excused with a written request. The second exception is granted when a child is determined by the school superintendent to be mentally or physically incapacitated. In both cases, this nonattendance was not excused by any circumstance provided for in the statute, the court wrote. Given the notice provided to each parent and that each parent was in control of their young child, evidence existed to support the inference that each parent knowingly failed to cause their child to attend school on a regular basis. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} An airline pilot died after collapsing in the bathroom of a Miami to Chile flight with 271 passengers aboard. Captain Ivan Andaur began feeling unwell three hours into the LATAM Airlines flight from Florida to Santiago and after collapsing in the bathroom he received emergency treatment from the crew, reported Simple Flying. The flight took thirty minutes to divert to Panama Citys Tocumen International Airport and first responders declared Andaur, a 25-year veteran pilot, dead when the plane landed. The LA505 flight, which was operating a Boeing 787-9 Dreamliner, left Miami at 10.11pm on Monday 14 August and also had a relief captain and first officer onboard when the incident took place. LATAM Airlines Group reports that flight LA505 yesterday, which was on the Miami-Santiago route, had to land at the Tocumen International Airport in Panama due to a medical emergency for one of the three members of the command crew. When the plane landed, emergency services provided life-saving help, but the pilot sadly passed away, the airline said in a statement. We are deeply moved by what has happened, and we extend our sincere condolences to the family of our employee. We are deeply grateful for his 25-year career and his valuable contribution, which was always distinguished by his dedication, professionalism, and dedication. During the flight, all the necessary safety protocols were carried out to safeguard the life of the affected pilot. Following the incident, the flight left Panama City on Tuesday and continued on to Chile. According to the New York Post, Captain Andaur was 56 years old. In March, a Southwest Airlines flight had to return to Harry Reid International Airport in Las Vegas when one of the pilots fell ill shortly after departing for Columbus, Ohio. An off-duty pilot from another airline was onboard the flight as a passenger and helped with radio communications as the flight returned to the Nevada city. In the US airline pilots require a medical certificate that must be renewed annually for anyone under 40, and every six months for those over the age of 40. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A toddler lost their finger after allegedly getting it caught in a supermarket checkout conveyor belt. The five-year-old boy, called Thiago, had been waiting at the till with his parents. It is claimed the boy put his hand on the belt. But moments later, he got his hand stuck and caused serious injuries to his left hand, including losing one of his fingers. The three of them had been at a supermarket near Bogota, Colombia. Thiagos mother. (Jam Press) His mother claimed to local media: No one has responded to us, the insurer has not spoken with us. I had to help my son, take him to the hospital because nobody here gave us first aid. Due to the seriousness of his injuries, Thiago had to be transferred to the Meissen Hospital in the capital, to have X-rays and tests performed. She continued to tell local media: We arrived at the hospital, they did an X-ray. The surgeon told me that the finger had to be amputated because the machine completely cut it off. He already cannot sleep from the pain and says that he can no longer hold a pencil to write. A special prosecutor will likely be appointed to investigate one of 30 unnamed and unindicted co-conspirators inside a sweeping racketeering indictment in Georgia targeting Donald Trump and 18 of his allies. The states current Republican Lt Governor Burt Jones, who was a sitting state senator at the time of the alleged crimes, was one of 16 alternate electors who falsely swore that Mr Trump won the state in the 2020 presidential election. He also pushed for a special legislative session to overturn Joe Bidens victory. But he was not among the 19 defendants in the grand jury case from Fulton County District Attorney Fani Willis, who was barred by a judge from indicting him. Last year, Superior Court Judge Robert McBurney determined that Ms Willis had a conflict of interest in prosecuting Mr Jones after she hosted a fundraiser for his Democratic rival in the 2022 election for lieutenant governor. The Prosecuting Attorneys Council of Georgia is expected to appoint a special prosecutor to separately investigate whether Mr Jones should face criminal charges, according to the agencys executive director Pete Skandalakis. Following the indictment, the council will begin the process of finding a conflict prosecutor to review the case and, if necessary, perform additional investigations, according to a statement from Mr Skandalakis shared with The Independent. After discussing the matter with [Ms Willis], we felt it best that I file a petition with Judge McBurney requesting the release of the report to me to assist the conflict prosecutor in how they handle this matter, he added. Burt Jones (AP) Mr Skandalakis said there is no timetable for that process, adding that because of the unprecedented scope of the case, finding a special prosecutor with the resources to handle such a case will not be easy. Mr Jones is likely the eighth unnamed and unindicted co-conspirator in the indictment unsealed on 14 August. Typically, prosecutors include unindicted co-conspirators who are believed to have conspired with the named defendants to commit a crime or multiple crimes, as indicated in the sprawling RICO case against the former president and his allies. But prosecutors have either provided them some immunity from prosecution in exchange for their testimony or have reached another arrangement. The indictment quotes a post from his Twitter account on 7 December, 2020, in which he calls on supporters to sign the petition for a special legislative session to review the outcome of the 2020 election. That same co-conspirator also was involved with correspondence about the fake elector plot with other co-defendants in the case, according to the indictment. The Independent has requested comment from Mr Joness office. Donald Trump has been accused of considering not attending the first Republican primary debate because hes supposedly scared of former New Jersey Governor Chris Christie, who has vowed to come after Mr Trump. Matt Lewis appeared on MSNBCs Morning Joe after writing a Daily Beast column entitled Showing Up to the GOP Debate Would Be the Ultimate Trump Flex. Im curious to see if Donald Trump is afraid of Chris Christie, so afraid of Chris Christie that he doesnt show up, that he uses excuses such as, Im not going to sign the pledge, the RNC pledge, or whether Donald Trump is just an egomaniac who craves attention, who loves attention, and who now feels that he has a bigger chip on his shoulder, has to unburden himself and play the victim again that he shows up in Milwaukee, he said, according to Mediaite. And I think Im leaning toward the latter. He may not fully appreciate the danger that Chris Christie specifically poses. To take part in the debate, candidates have to pledge to support the eventual nominee, something several candidates, including Mr Trump and Mr Christie, have appeared sceptical of. I think the biggest flex would be to turn himself in, to surrender himself and then go to the debate, Mr Lewis said, referring to Mr Trumps recent indictment in Georgia. I think Donald Trump shows I can walk and chew gum at the same time. And so I think if you add that in and just his compulsive need for attention, I think he shows. Host Joe Scarborough responded that he was going to take the other side of it. I do think hes scared of Chris Christie. He saw what Chris Christie did to Marco Rubio when Chris Christie went into a debate with one thing in mind. He knows Chris Christie put Jared Kushners father in jail for a very long time. He knows hes a prosecutor whos ruthless and knows how to push his case. And he also knows that his secret sauce in 2016 was being the disrupter, he added. Shaking Hillary Clinton up on the debate stage, shaking the Marcos and everybody else up on the debate stage. That gig thats like eight years old, right? Donald Trump and Chris Christie are in a battle of words on the 2024 campaign trail (EPA) Thats Elvis in 77 now. And I think Chris Christies got his number and he knows Donald Trump ... obviously, his political gut instinct is second to none he sees it coming, Mr Scarborough said about the former president. I think hes scared to death of Chris Christie and will not have the guts or the nerve to go on stage with Chris Christie anywhere. Jonathan Lemire, the host of MSNBCs Way Too Early, cited a source in Trumpworld who said that an argument for Mr Trump not to attend would be that Mr Christie would then possibly set his sights on Ron DeSantis and maybe finishes him off there. Mr Lemire also noted that Mr Christie hasnt qualified for the second GOP debate, perhaps allowing Mr Trump to take part without having to battle with the former governor. Donald Trump was indicted for the fourth time in less than five months and now faces 91 criminal counts which carry a possible total of more than seven centuries behind bars. While its unlikely that the former president will be sentenced to hundreds of years in prison, the risk of some prison time is very real. Mr Trump was hit with his latest indictment on Monday night, where a grand jury in Fulton County, Georgia, charged him alongside 18 others for scheming to overturn the 2020 election results in the state. It came just weeks after the twice-impeached president was charged by the office of Special Counsel Jack Smith on the federal level in cases related to attempts to overturn the 2020 election and the January 6 insurrection. In June, Mr Trump was federally charged with 32 counts for mishandling of classified documents in Florida, with six more counts handed down in early August in a superseding indictment. Mr Trumps first indictment was at the state level in late March, when he was charged with 34 counts by the Manhattan District Attorney for making hush money payments to adult actor Stormy Daniels and others ahead of the 2016 election. Heres a rundown of all the charges Mr Trump faces - and the possible jail time they carry on conviction: A sprawling indictment targeting Donald Trumps alleged efforts to overturn election results in the state of Georgia includes 18 co-defendants, including his former White House chief of staff, several Trump-allied attorneys, and the so-called fake electors who joined a scheme to subvert the outcome. All are charged under the states anti-racketeering statute, marking one of the largest criminal cases against the former president and his allies for alleged crimes committed while he was in office in an attempt to remain in power at whatever cost. Mark Meadows, Rudy Giuliani, Sidney Powell, John Eastman, Kenneth Cheseboro and Jenna Ellis are among the 19 defendants, along with former US Department of Justice official Jeffrey Clark, several Trump aides, and those falsely appointed as alternate Georgia electors. The indictment alleges 40 separate crimes and 161 different acts connected to an alleged criminal conspiracy. Trump and the other Defendants charged in this Indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump, according to the indictment. That conspiracy contained a common plan and purpose to commit two or more acts of racketeering activity in Fulton County, Georgia, elsewhere in the State of Georgia, and in other states. Mr Trump and the 18 others named in the indictment are accused of making false statements in an effort to fraudulently persuade state officials, the US Department of Justice and Vice President Mike Pence to reject lawful votes and select a slate of electors loyal to the former president despite Joe Bidens victory in the state. They also are accused of traveling to the state to harass an election worker, intimidate her, and solicit her to falsely confess to election crimes that she did not commit. Mr Trump and others also corruptly conspired to illegally access voting machines in the state, some of which was removed including ballot images, voting equipment software, and personal voter information and then distributed to other members of the enterprise, including members in other states. Rudy Giuliani The former New York City mayor was a key player among Mr Trumps allies to overturn election results as a prominent Trump-allied attorney launching spurious legal challenges while elevating bogus claims and debunked conspiracy theories about election fraud. He built his reputation as a prosecutor using RICO to target organised crime. He is now among 19 defendants charged under a sweeping anti-racketeering statute. In December 2020, Mr Giuliani met with Georgia state legislators three times, including twice in person. During a state Senate committee hearing, he falsely claimed that Georgia election workers smuggled suitcases of fraudulent ballots. He specifically named two election workers Ruby Freeman and Wandrea Shaye Moss and falsely claimed that they were surreptitiously passing around USB ports as if they are vials of heroin or cocaine. His bogus claims fuelled a wave of harassment and threats against both women, who have sued him for defamation in a long-running lawsuit in which he is no longer contesting that he made false and defamatory statements about them. Last year, he was informed that he was a subject in a criminal investigation into election interference in the state, marking the first time that one of Mr Trumps close advisers was targeted for potential crimes connected to the 2020 election. He later appeared for six hours before a special purpose grand jury investigating the case. Mr Giuliani called the indictment an affront to American democracy that does permanent, irrevocable harm to our justice system. The real criminals here are the people who have brought this case forward both directly and indirectly, he said. He is charged under the RICO Act and faces three counts of solicitation of violation of oath by a public officer; three counts of false statements and writings; conspiracy to commit impersonation of a public officer; two counts of conspiracy to commit first-degree forgery; two counts of conspiracy to commit false statements and writings; and conspiracy to commit filing false documents. Mark Meadows Mr Trumps White House chief of staff is accused of playing a key role in advancing the former presidents alleged criminal enterprise, allegedly assisting a pressure campaign to get Georgia officials to reject election results in the state. He joined the phone call to Secretary of State Brad Raffensperger in which Mr Trump urged him to find votes in his favour and reverse Mr Bidens decisive victory in the state in the days leading up to January 6. Mr Meadows also made a surprise December 2020 visit to an election centre outside Atlanta, an incident that Ms Willis specifically cited in a subpoena for his appearance before the special purpose grand jury. He unsuccessfully fought against appearing. He is charged under the RICO Act and faces one count of solicitation of violation of oath by a public officer. Kenneth Chesebro The Trump-allied attorney was among the architects of the fake electors plot, a scheme to plant fake electors in seven states that Mr Biden won and have them fraudulently cast Electoral College votes in Mr Trumps favour instead. Mr Chesebros name wasnt widely known until last December when a report from a House committee investigating the events surrounding the attack on the US Capitol on January 6 named Mr Chesebro as the overall leader of the multi-state plot. The report revealed the details of memos sent by Mr Chesebro on 18 November, 9 December and 13 December that laid the plans foundation. A third email sent to Mr Giuliani on 13 December, 2020 falsely suggested that then Vice President Mike Pence could reject Mr Bidens actual electoral votes for any State where the Trump Campaign organized fake electors, simply because there are two slates of votes, according to the report. The fake electors met the following day. In Georgia, 16 fake electors signed a certificate falsely declaring that Mr Trump had won the election and that they were the duly elected and qualified electors in the state. He is charged under the RICO Act and faces conspiracy to impersonate a public officer; two counts of conspiracy to commit first-degree forgery; two counts of conspiracy to commit false statements and writings; and conspiracy to commit filing false documents. John Eastman The former attorney for Mr Trump, former dean of Chapman Universitys law school and former law clerk of conservative Supreme Court Justice Clarence Thomas was another key advocate for advancing the fake elector plot in the 2020 election. At a Georgia Senate hearing in December 2020, Mr Eastman falsely claimed that state lawmakers both the power and duty to replace a slate of Democratic electors with a group of alternate electors who would fraudulently cast votes for Mr Trump. He authored a memo falsely outlining how Mr Pence could overturn electoral college results during a joint session of Congress on 6 January 2021. Mr Eastman also testified before the special purpose grand jury in Georgia in August 2022 where his legal team said that he was advised to invoke the Fifth Amendment and attorney-client privilege as Mr Trumps lawyer. He is charged under the RICO Act and faces a count of solicitation of violation of oath by a public officer; conspiracy to commit impersonating a public officer; two counts of conspiracy to commit first-degree forgery; two counts of conspiracy to commit false statements and writings; conspiracy to commit filing false documents; and filing false documents. Sidney Powell The prominent election conspiracy theorist mounted what she claimed would be a Kraken case to blow up the 2020 presidential election. Ms Powell who amplified false claims and conspiracy theories about Dominion Voting Systems that were central to a landmark defamation settlement between the company and Fox News is charged in connection with her spurious attempts to overturn Georgias results and breach voting machine data in the state. Ms Powells claims, which even Mr Trump allegedly called crazy, powered lawsuits in several battleground states, including a November 2020 challenge against Georgia Governor Brian Kemp alleging that there was massive election fraud in the state. That lawsuit was dismissed the next month. She was called to appear before the Fulton County special purpose grand jury but refused to show. She is charged under the RICO Act and faces two counts of conspiracy to commit election fraud; conspiracy to commit computer theft; conspiracy to commit computer trespass; conspiracy to commit computer invasion of privacy; and conspiracy to defraud the state. Jeffrey Clark The former US Department of Justice official, once briefly considered by Mr Trump for US Attorney General, is accused of leveraging the federal agency to advance bogus election fraud claims and the fake elector plot. He allegedly proposed sending a letter to state officials in Georgia and elsewhere claiming that the Justice Department had identified significant concerns about the results and should consider sending a separate slate of electors supporting Mr Trump to be approved by Congress. Willis is exceeding her powers by inserting herself into the operations of the federal government to go after Jeff, Rachel Cauley with the Center for Renewing America said in a written statement. Its clear Willis aspires to higher office and is using this witch hunt to climb the political ladder. Jeff Clark was simply doing his job in 2020 and he doesnt deserve to be subjected to this naked political lawfare. Mr Clark is charged under the RICO Act and faces a count of making a criminal attempt to create false statements and writings. Jenna Ellis The Trump-allied lawyer was initially hired by the campaign in November 2019 and joined a legal team that launched spurious lawsuits to reject election results in states that Mr Trump lost. Earlier this year, she was censured by a Colorado judge and signed a legal acknowledgment that she made a number of public statements about the November 2020 presidential election that were false and did so with a reckless state of mind. The Democrats and the Fulton County DA are criminalizing the practice of law, she wrote in a statement following the indictment. I am resolved to trust the Lord and I will simply continue to honor, praise, and serve Him. I deeply appreciate all of my friends who have reached out offering encouragement and support. She is charged under the RICO Act and faces one count of solicitation of violation of oath by a public officer. Mike Roman The GOP operative helped coordinate the so-called alternative elector scheme in emails later published by the House select committee investigating January 6. He is charged under the RICO Act and faces a count of conspiracy to impersonate a public officer; two counts of conspiracy to commit first-degree forgery; two counts of conspiracy to commit false statements and writings; and conspiracy to commit filing false documents. Ray Smith III The Atlanta-based attorney led a Trump campaign suit challenging election results in Georgia and sent a letter to state officials raising bogus allegations of election fraud. Mr Smith also joined a meeting of Trump electors on 14 December, 2020. He is charged under the RICO Act and faces three counts of solicitation of violation of oath by a public officer; three counts of false statements and writings; conspiracy to impersonate a public officer; two counts of conspiracy to commit false statements and writings; and two counts of conspiracy to commit first-degree forgery. The breach of voting machines Coffee County elections supervisor Misty Hampton, who allegedly connected Trump operatives to accessing voting equipment, and Scott Hall, an Atlanta-area Trump supporter and bail bondsman, also were charged in connection with a scheme to access voting machine software. Cathy Latham the chair of the Coffee County Republican Party who was also among the 16 fake electors met with Mr Hall and forensics contractors at Coffee Countys elections office, where data was copied from the countys election software. She appeared to pose for a selfie with one of them, according to surveillance footage, though she later denied her involvement in the scheme. Related All the bombshell charges against Trump and his allies in Georgia RICO case Robert Cheeley, who separately served as an attorney for Ms Latham, also was among people who testified in December 2020 against the outcome of the election. He falsely claimed during a legislative hearing that election workers were double- and triple-counting votes. He is charged under the RICO Act and faces one count of conspiracy to impersonate a public officer; two counts of conspiracy to commit first-degree forgery; two counts conspiracy to commit false statements and writings; conspiracy to commit filing false documents; solicitation of violation of oath by a public officer; and false statements and writings; perjury. Ms Latham is charged under the RICO Act and faces counts of impersonating a public officer; first-degree forgery; false statements and writings; criminal attempt to commit filing false documents; two counts of conspiracy to commit election fraud; conspiracy to commit computer theft; conspiracy to commit computer trespass; conspiracy to commit computer invasion of privacy; conspiracy to defraud the state. Mr Hall and Ms Hampton are both charged under the RICO Act and each faces two counts of conspiracy to commit election fraud; conspiracy to commit computer theft; conspiracy to commit computer trespass; conspiracy to commit computer invasion of privacy; and conspiracy to defraud the state. The fake electors The electors charged in the indictment include David Shafer, the former chair of the Georgia Republican Party, current state Senator Shawn Still, and Ms Latham. Mr Shafer presided over a meeting of fraudulent electors in December 2020, which Mr Sill attended. They both are charged under the RICO Act and face counts of impersonating a public officer; two counts of first-degree forgery; making false statements and writings; and criminal attempt to commit filing false documents. The campaign against election workers Three people are implicated in a pressure campaign against Georgia election worker Ruby Freeman, who along with Shaye Moss was subject to relentless harassment and abuse over false claims that she manipulated election results bogus claims elevated by Mr Trump and Mr Giuliani, who is at the centre of a defamation lawsuit brought by both women. Trevian Kutti, a former publicist for Kanye West, allegedly told Ms Freeman that an armed squad of law enforcement officers would find her and her family if she did not falsely admit to committing election fraud. She offered to connect Ms Freeman to very high-profile people that can make particular things happen in order to defend yourself and your family and labeled her a loose end for a party that needs to tidy up or could risk her freedom and the freedom of one or more of your family members, according to court documents citing police video. She is charged under the RICO Act and faces a count of conspiracy to commit solicitation of false statements and writings and a count of influencing witnesses. Stephen Lee was discovered at Ms Freemans home in December 2020 after a 911 call after Mr Lee allegedly repeatedly knocked on her door. He told officers he was working with some folks to help Ruby out and get some truth. He then sought assistance from Ms Kutti and Harrison Floyd, a former congressional candidate for Illinois and an organiser with Black Voices for Trump. Mr Lee is charged under the RICO Act and faces two counts of criminal attempt to commit influencing witnesses; conspiracy to commit solicitation of false statements and writings; influencing witnesses. Mr Floyd is charged under the RICO Act and faces a count of conspiracy to commit solicitation of false statements and writings and influencing witnesses. President Joe Biden and first lady Jill Biden will visit Maui, Hawaii, on Monday to meet with first responders, survivors and officials nearly two weeks after devastating wildfires ravaged parts of the island. Days after Mr Biden faced a backlash from individuals who believed that he had not said or done enough, the White House announced the trip. In Maui, the President and First Lady will be welcomed by state and local leaders to see firsthand the impacts of the wildfires and the devastating loss of life and land that has occurred on the island, as well as discuss the next steps in the recovery efforts, press secretary Karine Jean-Pierre wrote in a statement. My wife Jill and I are going to travel to Hawaii as soon as we can, Mr Biden said on Tuesday in Milwaukee at a White House event held to highlight his economic agenda. I dont want to get in the way, the president said, adding that recovery work being carried about by emergency responders and search and rescue teams is painstaking work that takes time. Mr Biden said he has assured Hawaii governor Josh Green that the state will have everything it needs from the federal government. In its statement, the White House said that Mr Biden was in close contact with Mr Green, senators Mazie Hirono (D-HI), Brian Schatz (D-HI) and Federal Emergency Management Agency (Fema) administrator Deanna Criswell, who said they expected to be at a stage early next week to allow for a presidential visit. Fast-moving wildfires in Maui have killed at least 106 people and displaced thousands of others when they broke out on 8 August. Yesterday Maui County released the names of two people killed in the wildfire: Lahaina residents Robert Dyckman, 74, and Buddy Jantoc, 79, were among the dead, the first people so named. Although Mr Biden declared the disaster a major disaster nearly two days after the fires began to allow Hawaii to seek federal aid some found his outward appearance somewhat apathetic. Shortly after the fires, Mr Biden went to his home in Rehoboth Beach, Delaware, for a holiday and from where he gave reporters vague and terse responses when asked about the death toll or a potential visit. The White House defended Mr Biden earlier this week by listing all the federal resources and aid that Mr Biden has sent to Hawaii. Ms Jean-Pierre assured reporters that the president and first lady were coordinating a plan to visit Maui though some were unconvinced. During his speech, the president appeared to stumble over his words when describing how he deployed several branches of the military to support rescue and fire suppression efforts in Hawaii in the immediate aftermath of the fires. The army helicopters helped fire suppression and efforts on the Big Island because theres still some burning on the Big Island, not the one that not the where you see on television all the time, Mr Biden said. Wildfires in Lahaina last week (Zeke Kalua/County of Maui via AP) Some believed Mr Biden meant to say Maui when speaking about the army helicopter deployment and then tried to quickly cover up his mistake. Though his explanation was awkward, military assets did become available to all of Hawaii when Mr Biden issued a major disaster declaration. Those assets mainly went toward Maui but were also used for fire suppression on the Big Island. The White House did not clarify how long the president and first lady will spend in Hawaii. Marjorie Taylor Greene is sounding off about her states governor, and his loyalty (or lack thereof) to Donald Trump. In an interview published this week with the Atlanta Journal- Constitution, the congresswoman explained why she attacked Gov Brian Kemp on Twitter after he stated, correctly, that Mr Trump had legitimately lost the 2020 election. His message should have been against this, not arguing with President Trump about the election and making it about his own ego and pride over Georgias election. Thats a bad statement, and I was very upset over it, she told the newspaper. Then, she went on to speculate about her own possible future in politics including a run for Senate against incumbent Senator Jon Ossoff, presumably, in 2026. The congresswoman also openly opined about being a potential addition to Donald Trumps presidential ticket next year. "I haven't made up my mind whether I will do that or not," the newspaper reports she said about a Senate run. "I have a lot of things to think about. Am I going to be a part of President Trump's Cabinet if he wins? Is it possible that I'll be VP?" Ms Greene may have far greater chances of becoming Mr Trumps running mate than she has of coming anywhere near the US Senate. At present, both of Georgias members in the upper chamber of Congress are Democrats. Republicans ran increasingly far-right candidates for those seats in 2020 and 2022, putting them both in Democratic hands through the next election cycle. In order to win a seat in the Senate, Ms Greene would have to prevail in a primary presumably against Mr Kemp or another moderate Republican with ties to state leadership. And then there would be the heavy task of unseating an incumbent senator. Both Mr Ossoff and Sen Raphael Warnock, whose seat is next up in 2028, currently enjoy positive approval ratings in their home state. Ms Greene, by comparison, has never won a statewide election. Her only two elections to Congress have been courtesy of Georgias 14th Congressional District, a region where no Democrat has received 40 per cent of the vote since its creation. The firebrand congresswoman continues to enjoy a close alliance with former Mr Trump, however, which is thought to have protected her from threats of primary challenges from other conservatives who have themselves found Ms Greene to be too friendly with House GOP leadership, at the expense of votes seen as litmus tests. Thailands Constitutional Court cleared the way Wednesday for Parliament to vote for a new prime minister more than three months after national elections by declining to rule on a complaint over the rejection of the winning party's leader. The court had been asked to decide whether Parliament had violated the constitution by refusing to allow the leader of the progressive Move Forward Party to be nominated for a second time as a prime ministerial candidate. Party leader Pita Limjaroenrat had assembled an eight-party coalition with a majority in Parliament's lower house. But under the military-implemented constitution, a new prime minister must receive a majority of votes from both the elected House and the conservative appointed Senate, which was chosen by an earlier military government. Pita lost a first vote in Parliament for prime minister last month, with many senators voting against him because of his partys call for reform of a law that makes it illegal to defame Thailands royal family. Critics say the law, which carries a penalty of up to 15 years in prison, has been abused as a political weapon. Members of the Senate, like the army, see themselves as guardians of traditional conservative royalist values. The combined Parliament then refused to allow Pita to be renominated for a second vote. Several lawmakers from Pita's party and private citizens submitted a complaint to the state ombudsman charging that the action violated the constitution. The ombudsman relayed the complaint to the Constitutional Court, which dismissed the case on Wednesday on the grounds that the complainants had not been directly affected by Parliaments decision and therefore were not entitled to submit the case before the court. While the courts decision suggested that Pita himself could file a petition seeking a ruling on the matter, Move Forward spokesperson Rangsiman Rome said Pita would not do so. He said Move Forward continues to strongly believe that Parliament can renominate a prime ministerial candidate, but that the issue should be resolved through parliamentary procedures, not the court. After its two failed attempts, Move Forward stepped aside to allow its biggest partner in the eight-party coalition, the Pheu Thai party, to attempt to form a new government. Pheu Thai, which finished second in the May polls, then excluded Move Forward from the coalition, saying its call to reform the royal defamation law made it impossible to gather enough support from other parties and the Senate to approve a new prime minister. Pheu Thai has since cobbled together a coalition of nine parties with 238 seats in the 500-member lower house, still short of the majority it needs. It plans to nominate real estate tycoon Srettha Thavisin as prime minister. Move Forward said Tuesday that its elected House members will not vote for a candidate from the Pheu Thai-led coalition. It said the coalition, which includes parties from the outgoing military-backed administration, had violated popular demand for political reform "that was clearly expressed through the election results. The results of Mays general election were a strong repudiation of the countrys conservative elites and reflected the disenchantment in particular of young voters who want to limit the political influence of the military, which has staged more than a dozen coups since Thailand became a constitutional monarchy in 1932. Move Forward's stunning victory came after nearly a decade of military-controlled rule led by Prayuth Chan-ocha, who as army chief ousted a Pheu Thai-led government in a 2014 coup and returned as prime minister after 2019 elections. Many believe that the current Pheu Thai-led coalition needs to include at least one of the two military-backed parties that were soundly rejected in the polls to achieve a House majority. Pheu Thai has not ruled out that possibility. Pheu Thai is the latest in a string of parties affiliated with ex-Prime Minister Thaksin Shinawatra, a billionaire populist who was ousted in a 2006 military coup. Thaksin has said he plans to return to Thailand soon following years of self-imposed exile to escape a prison term in several criminal cases which he has decried as politically motivated. Following the courts decision, House Speaker Wan Muhamad Noor Matha told reporters on Wednesday that he plans to set the next voting for prime minister on Tuesday and will meet with parliamentary leaders on Thursday to discuss the matter. South Carolina Senator and Republican presidential 2024 hopeful Tim Scott joked in Iowa that he is asking everybody to caucus for him even a nine-year-old. When youre desperate for every single vote, youll ask everybody. Just assume that right now Im asking each and every one of you, he said at Story Countys annual dinner on Tuesday,The DailyMail reported. Sen Scott discussed his time at the Iowa State Fair when a nine-year-old girl gave him a bracelet and told him: I want you to be president. He then asked the child if she would caucus for him, he recounted jokingly; she was confused, Mr Scott said. The South Carolina Republican isnt fooling himself as to where he stands in the polls; as of Tuesday, FiveThirtyEight polls show him with 2.4 per cent, holding the seventh slot. The 2024 candidates are all campaigning in Iowa, as the Republicans are competing for the top slot in the first-in-the-nation state. Mr Scott also seemed pleasantly surprised with his return on investment when he alluded to his ads, which the crowd recognised. I say in my commercials, if you take out a loan, he said, and the crowd responded in unison to the presidential candidate, You pay it back. Tim Scott, second from left, hands out grilled pork chops at the Iowa State Fair (REUTERS) Hallelujah yall, this is great news, Sen Scott said, appearing to be thrilled. At least I know youve seen the commercials. He continued, joking, I was wondering if they were buying anything with all that money I was spending in Iowa. This is good news. According to the outlet, Sen Scott then made another reference to his ads, saying, If you commit a violent crime, and waited for the audience to respond, You got to jail. They got it all down, this is great, Mr Scott said. FiveThirtyEight data shows former President Donald Trump having a firm lead in the race, with 54 per cent, followed by Florida Governor Ron DeSantis, entrepreneur Vivek Ramaswamy, former Vice President Mike Pence, former UN ambassador Nikki Haley, and former New Jersey Governor Chris Christie. Rudy Giuliani is furious that Fulton County District Attorney Fani Willis has charged him using the same mob law he has long taken credit for pioneering. The former New York City mayor and former Donald Trump attorney flew into a rage in an appearance on right-wing network Newsmax on Tuesday night as he claimed that the Georgia DAs use of the racketeering law was sloppy and that I was the first one to use it in white-collar cases. Theres probably no one that knows it better than I do, he insisted. Newsmax host Eric Bolling pandered to Mr Giulianis possessiveness of the Racketeer Influenced and Corrupt Organizations (RICO) statute a law typically used to prosecute mob bosses, Mafia figures and other organised crime groups saying that he famously used RICO to put away some of the most dangerous criminals the world has ever seen. He listed off some of the big names that Mr Giuliani put away using the statute, including notorious mobsters Fat Tony Salerno from the Genovese crime family, Tony Ducks, Lucchese family, Carmine Junior Persico, Colombos, Paul Castellano, the boss of the powerful Gambinos. When he then asked Mr Giuliani why the hell is Fani Willis trying to charge you with racketeering?, the man once known as Americas Mayor before his spectacular fall from grace proceeded to unleash on the district attorney. Because shes a politician and not a lawyer. Not an honest, honorable lawyer, he fumed. This is a ridiculous application of the racketeering statute. Theres probably no one that knows it better than I do. Probably some that know it as well. I was the first one to use it in white-collar cases, but in major cases like the Boesky case and the Milken case. This is not meant for election disputes. I mean, this is ridiculous what shes doing. Mr Giuliani went on to attack the competence of DA Willis and claimed that he would have fired her had she worked for him, Also, I dont know if she realises it because she seems like a pretty incompetent, sloppy prosecutor. I mean what she did yesterday with that indictment is inexcusable. If she worked for me, I wouldve fired her, he said. Rudy Giuliani fumed about the use of the RICO Act against him on Newsmax (Newsmax) Mr Giuliani famously used the RICO statute to try to take down the New York City mafia while working as a US attorney in the 1980s. Its clearly a source of pride for the former New York City mayor. In the bio on his freedom fund page which seeks donations to help him in his battles for his freedom and justice he boasts that he pioneered the use of the RICO statute to take massive businesses away from not only the Mafia but other organized crime and illegal drug groups, crooked Wall Street con men, corrupt politicians from Congresspeople to many city, state and federal officials. On Monday, this same statute was used to hit Mr Giuliani and his alleged co-conspirators with a sweeping 41-count indictment, over their efforts to overturn the 2020 presidential election in Georgia. Charged under Georgias RICO statute, the 19 defendants are accused of running a criminal enterprise with the goal of ensuring that Mr Trump remained in power at all costs. The RICO statute is a Richard Nixon-era racketeering law originally passed to prosecute organised crime groups and mafia crime syndicates. In brief, it allows prosecutors to bring different charges against multiple individuals who are all engaging in criminal activity for the purpose of a criminal end goal. All 19 of the defendants were charged with violating Georgias RICO statute. Rudy Giuliani and Donald Trump together in 2020 (AFP via Getty Images) The indictment accuses Mr Trump and his allies of orchestrating and running a criminal enterprise in Fulton County, Georgia, and elsewhere, to accomplish the illegal goal of allowing Donald J. Trump to seize the presidential term of office, beginning on January 20, 2021. This criminal organization constituted an enterprise as that term is dened in O.C.G.A. l6-14-3(3), that is, a group of individuals associated in fact. The Defendants and other members and associates of the enterprise had connections and relationships with one another and with the enterprise, it reads. The criminal organisations members and associates engaged in various related criminal activities including, but not limited to, false statements and writings, impersonating a public ofcer, forgery, ling false documents, inuencing witnesses, computer theft, computer trespass, computer invasion of privacy, conspiracy to defraud the state, acts involving theft, and perjury. Mr Giuliani was hit with 13 charges over the criminal enterprise the most of all codefendants other than Mr Trump who also faces 13 charges. The other co-defendants are: former White House chief of staff Mark Meadows, Kraken lawyer Sidney Powell, attorneys John Eastman, Kenneth Cheseboro, Jenna Ellis, Ray Smith III, and Robert Cheeley, former US Department of Justice official Jeffrey Clark, former Trump campaign official Michael Roman, former state senator and the former chair of the Georgia Republican Party David Schafer, Georgia state senator Shawn Still, Lutheran pastor Stephen Lee, mixed martial artist Harrison Floyd, Kanye Wests former PR Trevian Kutti, former head of the Republican Party in Coffee County Cathleen Latham, Atlanta-area bail bondsman Scott Hall, and former election supervisor of Coffee County Misty Hampton. DA Willis has spent more than two years investigating efforts by Mr Trump and his allies to overturn the 2020 presidential election result in the crucial swing state. The investigation came following the release of a 2 January 2021 phone call Mr Trump made to Georgia Secretary of State Brad Raffensperger where he told him to find enough votes to change the outcome of the election in the state. All I want to do is this: I just want to find 11,780 votes, which is one more than we have, Mr Trump is heard saying in the leaked phone call. Because we won the state. Fulton County District Attorney Fani Willis speaks at press conference on Monday (Copyright 2023 The Associated Press. All rights reserved) Mr Biden won the state by less than 12,000 votes. The investigation then expanded from that phone call to include a scheme whereby a group of fake Republican electors planned to falsely certify the results in Mr Trumps favour instead of Mr Bidens. The plot failed and the fake electors have since reached immunity deals with DA Willis office. Ms Willis said she would like to try the defendants altogether and within the next six months. In total, the former president is now facing 91 charges from four separate criminal cases. On 1 August, he was hit with a federal indictment charging him with four counts over his efforts to overturn the 2020 election and the events leading up to the January 6 Capitol riot, following an investigation led by special counsel Jack Smiths office. This came after Mr Smiths office charged Mr Trump in a separate indictment over his alleged mishandling of classified documents on leaving office. Back in April, Mr Trump was charged for the first time with New York state charges following an investigation into hush money payments made prior to the 2016 election. Rudy Giuliani is too busy to address his indictment as one of Donald Trumps 18 associates facing prosecution over alleged attempts to interfere in the 2020 presidential election in Georgia. The former New York mayor, and Mr Trumps former personal lawyer, sent out a spokesperson to deal with questions rather than face the media the day after the indictment was handed up by a Fulton County grand jury. After the indictment was filed under seal on Monday night and before he knew for sure if his name would be in it, Mr Giuliani admitted on his live show on X, the platform formerly known as Twitter, that he felt sorry for me if he was charged. His spokesperson, Ted Goodman, was asked by reporters on Tuesday why the normal media-friendly Mr Giuliani could not address his indictment himself. This is a busy man, as you guys know he has the top-rated show on the radio, the Rudy Giuliani Show on WABC nationally syndicated. He also has an 8pm show as well, he said. And he went on to defend Mr Giulianis record and career as a prosecutor and politician. Mayor Giuliani has been proven right time and time again and this time will be no different. Mayor Giuliani fought for justice on behalf of all Americans as the third highest-ranking official in the Department of Justice under President Ronald Reagan. Reporter: [Rudy Giuliani] usually comes out in public ... and talks for himself. Giuliani spokesperson Ted Goodman: This is a busy man. As you guys know, he has a top-rated show on the radio ... He has as an 8 o'clock show as well. pic.twitter.com/jSPKUgkoOB The Recount (@therecount) August 15, 2023 He continued his public service as the US Attorney for the Southern District of New York where he took downtime mafia, put behind bars some of the biggest criminals on Wall Street and cleaned up public corruption putting public officials behind bars, including a congressman. The indictment in Georgia against former President Donald Trump is photographed Monday, Aug. 14, 2023. (AP) As mayor, he reduced crime, cleaned up the streets, and comforted the nation following September 11th. Mr Giuliani was working for Mr Trump following his defeat to Joe Biden, and prosecutors say was involved in a criminal enterprise to send Mr Trump back to the White House. But Mr Goodman defended Mr Giuliani and his actions in Georgia. FILE - Chapman University law professor John Eastman stands at left as former New York Mayor Rudolph Giuliani speaks in Washington at a rally in support of President Donald Trump, called the "Save America Rally" on Jan. 6, 2021. (AP) This is a man who isnt afraid to fight, he knows what hes done is all by the book and justice will prevail, he said. Mr Trump has been indicted with 13 felony charges against Mr Trump, including RICO, conspiracy to commit forgery, filing false documents, Solicitation of Violation of Oath by Public Officer and more. In addition, 18 Trump associates have also been indicted, including former White House chief of staff mark Meadows and Mr Giuliani. A biographer who wrote the book on the life and career of Americas Mayor has a new theory for why Rudy Giuliani remains so doggedly loyal to Donald Trump even after it has been proven time and time again that the two never had any convincing proof of election fraud from the 2020 contest. Andrew Kirtzman gave his take on the topic to MSNBCs Chris Jansing during an interview this week; Mr Kirtzman is the author of Giuliani: The Rise and Tragic Fall of Americas Mayor. Mr Kirtzman explains that the major turning point in Mr Giulianis political life came in 2008, when he failed to deliver a competitive performance in that years presidential primary race, despite all expectations for him to do so. [H]e entered as a front runner and ended it in humiliation with just one delegate, Mr Kirtzman explained. [I]t was at that moment in 2008 when things kind of crashed around him, when he lost his 9/11 halo, was left in kind of the political wilderness. There was Donald Trump. And Donald Trump literally took him in to Mar-a-Lago right after his failure in 2008 and kind of shielded Giuliani when he was, kind of fell into a depression, he continued. According to Mr Kirtzman, that relationship blossomed into a mutually beneficial transaction in 2016 Mr Trump gained an endorsement from a supposedly credible voice within the GOP, and Mr Giuliani regained a hint of national relevance. But their friendship truly took off in 2020, when Mr Giuliani became Mr Trumps main stooge in his campaign to tarnish Joe Bidens name before the onset of the general election. When that failed, Mr Giuliani was right there to pick up the pieces of Mr Trumps defeat, spinning them instead into a victory supposedly snatched away by the Democrats. Nearly three years after the 2020 election, theres no sign of any change in the former New York mayors feelings for Mr Trump, or vice versa. But its an objective fact that Mr Giulianis acceptance into the Mar-a-Lago circle has now had more negative consequences for his reputation than positive effects. His law licence is now suspended in both New York and Washington DC; a disbarrment looks likely at least in the latter jurisdiction. He also now faces several felony counts in Georgia, where prosecutors this week handed down a massive indictment charging Mr Trump and his team with crimes related to their election result manipulation efforts. Mr Giuliani has repeatedly denied any wrongdoing. Its unclear where Mr Giuliani goes from here. But it seems, at least for now, that wherever he ends up will have been thanks to a path that became inextricably linked with Mr Trump way back in 2008. A former fundraiser for embattled Republican George Santos has been indicted in New York for allegedly impersonating a top aide to House Speaker Kevin McCarthy. Sam Miele is accused of pretending to be Mr McCarthys chief of staff Dan Meyer as he called and contacted donors to raise money for Mr Santos, from which he earned a commission. Mr Miele was charged with four counts of wire fraud, and one count of aggravated identity theft in the indictment, which was unsealed by the US District Court for the Eastern District of New York on Wednesday. His arrest comes just three months after Mr Santos, a congressman from New York, was charged in the same court with fraud, theft, money laundering and making false statements. He faces up to 20 years in prison if convicted. Prosecutors state in the indictment that in a 26 September 2022 letter, Mr Miele wrote a letter to Mr Santos in which he admitted to faking my identity to a big donor, but stated that he was high risk, high reward in everything I do. The indictment states that between August and December 2021 Mr Miele falsely and without authorization impersonated an individual who was a high-ranking aide to a member of the House with leadership responsibilities. During that period Mr McCarthy, a Republican from California, was the House minority leader. Mr Miele was arraigned on the indictment on Wednesday and pleaded not guilty. He was then released on $150,000 bail. Mr Santos was elected for the first time in November 2022 and his House district covers parts of Nassau County, Long Island, and Queens in New York City. Following his election victory Mr Santos has faced a barrage of accusations that he has faked his personal and family history as well as his education and professional experience. Despite calls for him to resign, Speaker McCarthy has refused to force him to stand down, saying that the House Ethics Committee should carry out its investigation into the lawmaker. Mr Santos has condemned the federal investigation as a witch hunt, vowing to not only remain in Congress but to seek reelection. Donald Trump is now the subject of four criminal cases at a time when he is hot on the trail of another stint in the White House. The former president was indicted, again, by a grand jury in Georgia on 14 August less than a month after Justice Department special counsel Jack Smith unveiled charges against him for his alleged efforts to overturn the 2020 election. This time, Mr Trump was charged with 13 counts related to his alleged actions and conspiracy to change election results in Georgia in the days following the election that he lost. The ex-president was charged alongside 18 other defendants in a whopping total of 41 counts related to the conspiracy. In the 98-page unsealed indictment, Fulton County District Attorney Fani Wilis outlined the ways that Mr Trump and his co-defendants allegedly conspired to replace electors with fake ones, unlawfully access voter data, harassed election workers and solicit public officials to reject election results. The charges stem from Ms Willis years-long investigation into Mr Trump and his allies efforts. This is the fourth criminal indictment Mr Trump is facing this year. Earlier this year, Mr Trump was subject to a Justice Department special counsel indictment surrounding his alleged illegal retention of government papers and showing highly-classified information to unauthorised persons on two separate occasions, among many other allegations. He became the first-ever former or current president to face criminal charges when a New York City grand jury voted to indict him on criminal charges over hush money payments allegedly made to adult film star Stormy Daniels in the days before the 2016 presidential election. He pleaded not guilty in that case to 34 felony counts of falsifying business records in order to conceal an alleged scheme to illegally influence the national vote by suppressing negative stories about him. That followed another Manhattan civil jury finding Mr Trump liable for the sexual abuse of Elle magazine columnist E Jean Carroll in a dressing room of the exclusive Bergdorf Goodman department store in the 1990s. Former president Donald Trump appears in a Manhattan court for his first arraignment of the year on Tuesday 4 April 2023 (AP) Ms Carroll, 79, sued the former president for assaulting her and then destroying her reputation when he accused of lying about the encounter, claiming that she was not his type. He also faces a $250m civil lawsuit from New York attorney general Letitia James, whose investigation allegedly reveals years of illegal conduct to inflate his net worth... to deceive banks and the people of the great state of New York. Mr Trump remains the frontrunner for the 2024 Republican nomination for president and has insisted that he will remain in the race regardless of any outcome of the criminal cases against him. He also has relied on news of the investigations and indictments to raise money for his campaign, which has netted millions of dollars. The former president is also set to be indicted in Georgia for his attempts to overturn the election results in the state. While District Attorney Fani Willis hasnt confirmed that an indictment will be handed down this week, her earlier statements and security measures surrounding the Fulton County courthouse indicate that movement is imminent in the case. But with potential convictions and judgments in both state and federal indictments and with multi-million dollar lawsuits to fight, what will the state of chaos mean for Mr Trumps political future? Can Trump still run for president? In short, yes. There are no restrictions in the US Constitution to prevent anyone under indictment or convicted of a crime or even currently serving prison time, for that matter from running for or winning the presidency. Even if Mr Trump were to be tried and convicted in one of the so-called speedy trials, he could still run the entirety of his presidential campaign from a prison cell. What is far less clear is what would happen were he to win in that scenario. Just as there are no restrictions in the constitution on a person running while under indictment, there is no explanation for what should occur in the event that they win. There is nothing in the founding document that would automatically grant Mr Trump a reprieve from prison time, save for the likelihood that any charges brought by federal authorities, were they still being litigated at the point when he assumed the presidency for a second time, would be dropped due to the Justice Departments refusal to prosecute a sitting president. Related All the lawsuits and criminal charges involving Trump and where they stand In Ms Carrolls case, Mr Trump did not face any jail time because it was a civil trial. State-level charges like the ones filed by Manhattan district attorney Alvin Bragg in the hush money case are far trickier and would fall outside of Mr Trumps prospective presidential pardon power were they to conclude in a conviction. Were conviction on state charges to occur alongside a Trump election victory, it would likely lead to a massive legal fight to determine whether there was a way for the former president to worm his way out of serving time. If Mr Trump was unable to avoid that outcome, it would almost certainly lead to his impeachment (for a historic third time) or removal via the 25th Amendment, which allows the Cabinet to remove a president who is unable to perform their duties. There are many duties and trappings of the presidency that he would simply be unable to fulfill from a prison cell, the viewing of classified materials to name just one. Any potential conviction of Mr Trump is still a long way off and little more than a distant possibility. But the conversations he has started with his bid for the presidency, despite facing four indictments and multiple criminal investigations, have already pushed parts of theoretical US constitutional law into a much more real place than many experts ever believed they would live to see. What has Trump said about the probes? The former president has repeatedly characterised the multiple investigations against him, including the January 6 probe, as a politically motivated hoax and an attempt to steal the 2024 election from him. On 1 August, Mr Trump called Mr Smith deranged and the January 6 charges a fake indictment. The lawlessness of these persecutions of President Trump and his supporters is reminiscent of Nazi Germany in the 1930s, the former Soviet Union, and other authoritarian, dictatorial regimes. President Trump has always followed the law and the Constitution with advice from many highly accomplished attorneys, a statement from Mr Trumps campaign read. On 3 August, the former commander-in-chief left his arraignment in DC after pleading not guilty to the 2020 election charges and told the press: When you look at whats happening this is a persecution of a political opponent. This was never supposed to happen in America. This is the persecution of the person thats leading by very, very substantial numbers in the Republican primary and leading Joe Biden by a lot so if you cant beat them you persecute them or prosecute em. In response to his Georgia indictment, Mr Trump claimed it was bogus saying it was a violation of his First Amendment right. This story was updated on 4 August 2023 to reflect new developments Donald Trump and members of his 2020 campaign legal team were slapped with a comprehensive list of criminal charges related to their efforts to change the lawful results of the presidential election in Georgia late Monday evening. A wide range of charges were listed on the document, unsealed just before midnight after hours of work by the grand jury, containing more than 30 in total and climbing to nearly 100 pages in length. District attorney Fani Willis spoke just before midnight, calling the efforts of the Trump team a criminal conspiracy. The list of criminal counts itself was overshadowed only by the sheer number of individuals connected to Mr Trump who have now been charged. Every prominent member of Mr Trumps legal team, including Rudy Giuliani, Jenna Ellis, Kenneth Chesebro, Sidney Powell and John Eastman are now under indictment, as is former White House chief of staff Mark Meadows. The list goes on to include a former publicist for the rapper Ye, better known as failed (two-time?) presidential contender Kanye West, as well as a currently-sitting member of the Georgia state Senate, Shawn Still. A total of nineteen people are charged in the indictment, with nearly three dozen unindicted co-conspirators also named. Mr Trump himself faces 13 criminal counts, including one he shares with every other defendant: A violation of Georgias Racketeer Influenced and Corrupt Organizations (RICO) statute, which charges those who take actions in service of a criminal enterprise. He also faces 12 other charges, including: Conspiracy to impersonate a public officer, two counts of conspiracy to commit forgery, two counts of conspiracy to make false statements under oath, two counts of conspiracy to file false documents, two counts of solicitation of a public officer, filing false documents, conspiracy to solicit false statements, and making false statements. Mr Meadows, once a GOP congressman and later seen as a yes-man who fulfilled Mr Trumps wishes in the White House after previous chiefs of staff had sought to curb the presidents base instincts, faces just one charge in addition to the RICO violation: Solicitation of a public officer. Mr Giuliani, the ex-mayor who saw his law license suspended after he spread falsehoods and conspiracies about the election, faces 13 charges: The RICO charge, three counts of soliciting public officers, three counts of making false statements under oath, one count of conspiracy to impersonate a public officer, three counts of conspiring to commit forgery, one count of conspiring to make false statements, and one count of conspiring to file false documents. Some of the most serious charges aimed at his legal team fell upon the shoulders of Ms Powell, the attorney excommunicated by the Trump team in the final days of their effort to overturn the election as they derided her conspiracy theories as crazy. According to prosecutors, Ms Powell was involved in the voting systems breach that occurred in Coffee County as Trump supporters sought to obtain private voter data in their quest to prove nonexistent election fraud. Trevian Kutti, a media operative who formerly worked for Ye, was also charged for her alleged attempts to intimidate two women, a mother and her adult daughter, who served as poll workers in Georgia for the election. The Atlanta Journal-Constitution had reported that Ms Kuttis involvement in what became a racist campaign of harassment against the two women was likely to be part of the indictment given that numerous people connected to that harassment had been interviewed. The states former GOP chair, David Shafer, is ensnared in the probe as well. He marks the second state GOP chairman to face indictment for his role in the attempt to overturn the election, following Michigans just days ago. Each charge is different and carries different sentencing guidelines. District Attorney Fani Willis pointed out in response to a reporters question Monday evening that the RICO violation, of which all 19 defendants are charged, carries a mandatory jail sentence. Monday marked the second time Mr Trump has been criminally indicted at the state level. He was previously charged with more than 30 counts of falsifying business records in connection to an alleged hush money scheme. Fulton County District Attorney Fani Willis has proposed a trial date of 4 March 2024 for her case against former president Donald Trump and his associates. That happens to be the day before Super Tuesday, when fourteen states and American Samoa will hold their elections for the Republican nomination. Alabama, Arkansas, California, Colorado, Maine, Massachusetts, Minnesota, North Carolina, Oklahoma, Tennessee, Texas, Utah, Vermont and Virginia will all hold their nominating contests. But the court date will come before the early nominating contests, including the Iowa caucus, the New Hampshire Primary, the Nevada Primary and the South Carolina primary. Mr Trump currently leads in all four states and maintains a dominance among the Republican electorate. After the news of his indictment, many Republicans, including his opponents for the nomination, lept to his defence. A filing in the Superior Court of Fulton County for the state of Georgia shows Ms Williss office will have the arraignment for Mr Trump and his 18 co-defendants on 5 September 2023. In light of Defendant Donald John Trumps other criminal and civil matters pending in the courts of our sister sovereigns the State of Georgia proposes certain deadlines that do not conflict with these other courts already-scheduled hearings and trial dates, the filing said. Further, the proposed dates are requested so as to allow the Defendants needs to review discovery and prepare for trial but also to protect the State of Georgias and the publics interest in a prompt resolution of the charges for which the Defendants have been indicted. A grand jury in Fulton County indicted Mr Trump and 18 of his allies and alleged that they participated in a criminal enterprise in an attempt to overturn the 2020 presidential election results in Georgia. The twice-impeached, four-times indicted former president faces 13 criminal counts. He and every other defendant faces a charge of Georgias Racketeer Influenced and Corrupt Organizations (RICO) law. Mr Trump also faces charges on conspiracy to impersonate a public officer; two counts of conspiracy to commit forgery; two counts of conspiracy to make false statements under oath; two counts of conspiracy to file false documents; two counts of two counts of solicitation of a public officer; filing false documents; conspiracy to solicit false statements and making false statements. In addition to Mr Trump, the grand jury indicted former New York City mayor and Trump lawyer Rudy Giuliani; former Trump attorney John Eastman; former White House chief of staff Mark Meadows; attorney Kenneth Cheesbro; former Justice Department official Jeffrey Clark; attorney Ray Smith; lawyer Robert Cheeley; Trump campaign official Mike Roman; attorney Sidney Powell; and former Trump campaign attorney Jenna Ellis, among others. Trump and the other defendants charged in this Indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump. That conspiracy contained a common plan and purpose to commit two or more acts of racketeering activity in Fulton County, Georgia, elsewhere in the state of Georgia, and in other states, the unsealed indictment said. Ms Willis first announced the probe in early 2021 after Mr Trump attempted to overturn the election results in Georgia after President Joe Biden narrowly won the state. Mr Trump repeatedly insisted he won the state despite evidence to the contrary and pressured Secretary of State Brad Raffensperger to find enough votes to change the results in his favour. Mr Trump has maintained his innocence and said he did nothing wrong when he called Mr Raffensperger or in his attempt to overturn the election. -Andrew Feinberg contributed to this report The judge overseeing Donald Trumps federal election interference case made a barbed comment about Elon Musk in a hearing about access to the former presidents Twitter account, according to court documents. Newly unsealed court transcripts, released on Tuesday, reveal that special counsel Jack Smith requested Mr Trumps direct messages, searches and draft tweets from the social media platform earlier this year. In a hearing, held on 9 February, prosecutors and lawyers for Twitter sparred over the terms of the request. At one point, a Twitter lawyer argued that the platform should be allowed to give Mr Trump advance warning that they would be handing over the records to Mr Smiths office. It was an argument that led to a frosty response from DC District Court Judge Beryl Howell, who questioned why Twitter which has since rebranded as X would wish to take such an extraordinary step. Is it because the new CEO wants to cozy up with the former president? she asked about Musk. It emerged last week that Mr Smiths office was granted a search warrant back in January to access Mr Trumps Twitter account as part of its federal criminal investigation into his efforts to overturn the 2020 presidential election. But, while the judge granted the request for the warrant, Twitter fought against it for months. Mr Musks company argued that it had a First Amendment right to tell Mr Trump about the search warrant despite it being common practice in law enforcement investigations for warrants to include non-disclosure orders barring the subject of the search from being informed in advance. Ultimately, Judge Howell sided with Mr Smiths office, ordering Twitter to comply with the search warrant and hitting the platform with fines. On Monday, Mr Trump railed against Mr Smiths office in a furious Truth Social rant, accusing him of breaking into my Twitter account without informing me and, indeed, trying to completely hide this atrocity from me. The judge took a jab at Twitter boss Elon Musk (REUTERS) While the existence of the warrant came to light last week, it was not clear what content prosecutors were seeking before now. The transcripts revealed that Mr Smiths office sought and was granted access to all content, records and other information related to Mr Trumps @RealDonald Trump Twitter account from October 2020 one month before the election to January 2021 after he left office. This included all tweets created, drafted, favorited/liked, retweeted or deleted from the account, location data, and details on interactions between Mr Trump and other Twitter users. On 1 August months after the search warrant request was granted Mr Trump was charged with four federal charges of conspiracy to defraud the United States, conspiracy to obstruct an official proceeding, obstruction of and attempt to obstruct an official proceeding, and conspiracy against rights over the investigation. The Justice Department alleges that Mr Trump and his circle of co-conspirators knew that he had lost the election but launched a multi-prong conspiracy to do everything they could to enable him to cling to power. This included spreading knowingly false claims of election fraud to get state legislators and election officials to subvert the legitimate election results and change electoral votes for the Defendants opponent, Joseph R. Biden, Jr., to electoral votes for the Defendant, the indictment states. Mr Trump and his allies also allegedly plotted to send slates of fake electors to seven targeted states of Arizona, Georgia, Michigan, Nevada, New Mexico, Pennsylvania and Wisconsin which President Joe Biden had won to get them to falsely certify the election for Mr Trump. The indictment also alleges Mr Trump tried to use the DOJ to conduct sham election crime investigations, sending letters to the seven states claiming that significant concerns had been found in the elections in those states. As well as the false claims about the election being stolen from Mr Trump, the scheme also involved pushing false claims that Vice President Mike Pence had the power to alter the results and pushing Mr Pence to fraudulently alter the election results. Jack Smith at a press conference about Trumps charges (Copyright 2023 The Associated Press. All rights reserved.) When Mr Trumps supporters stormed the US Capitol in a violent attack that ended with five deaths, Mr Trump and his co-conspirators exploited the incident by redoubling efforts to levy false claims of election fraud and convince Members of Congress to further delay the certification based on those claims, the indictment claims. While the former president is the only person charged in the case, the indictment also refers to six co-conspirators who worked with him to try to overturn the 2020 presidential election. In total, Mr Trump is facing four separate criminal trials after he was indicted by a grand jury in Georgia this week over his attempts to overturn the 2020 election in the state. Charged under Georgias RICO statute, Mr Trump and 18 of his allies and codefendants are accused of running a criminal enterprise with the goal of ensuring that Mr Trump remained in power at all costs. Mr Trump is also facing New York state charges over hush money payments made prior to the 2016 election and separate federal charges over his alleged mishandling of classified documents on leaving office. Donald Trump will face yet another arraignment, this time in Fulton County, Georgia, after he was indicted on 13 charges related to his alleged efforts to overturn the 2020 election in the state. On Monday evening, Mr Trump was charged with violating the RICO Act as well as other charges alleging conspiracy, making false statements and filing false documents related to his combined efforts with 18 other named defendants in changing election results. The charges stem from an investigation by Fulton County District Attorney Fani Willis into Mr Trump and his allies actions in the state in the days and weeks after the 2020 election. These actions included an infamous phone call that Mr Trump made to Brad Raffensperger, Georgias Republican secretary of state, in which Mr Trump asked him to find 11,780 votes. It also detailed a plot to install fake state electors. Now, Mr Trump will have to add another series of court hearings for this case, including his official arraignment, to his already packed schedule. Heres what we know about whats next for Mr Trump in the Georgia indictment. When is the arraignment? Mr Trumps arraignment date has not been set yet. But in a press conference on Monday evening, Ms Willis announced that arrest warrants have been issued for Mr Trump and his 18 co-defendants and gave them a deadline of 25 August at noon to surrender. Defendants can turn themselves in at any time, a spokesperson for the Fulton County Sheriffs Office told Atlanta Journal-Constitution on Tuesday. The jail is open 24/7. Also, due to the unprecedented nature of this case, some circumstances may change with little or no warning. The spokesperson said that based on guidance from the district attorneys office and the presiding judge they expect that all 19 defendants named in the indictment will be booked at the Rice Street Jail. The spokesperson added that some of the arraignments may be done virtually depending on the decision of the presiding judge Republican presidential candidate and former U.S. President Donald Trump is directed to his vehicle after speaking at the Steer N' Stein bar at the Iowa State Fair (Getty Images) Will there be cameras in the courtroom? Georgia law is unique in that it requires cameras be allowed in judicial proceedings so long as the judge approves it. Mr Trumps upcoming arraignment is likely to be televised, just as parts of Mondays indictment were. This will mark the first publicly available viewing of the ex-presidents slew of criminal indictments. The New York indictment and both federal indictments did not have cameras in the courtroom. According to the law, Georgia believes televised proceedings promote access to and understanding of court proceedings not only by the participants in them but also by the general public. In order for a judge to prevent cameras in the courtroom, they would need a compelling reason like a child victim or witness. Will Trump receive a mugshot and fingerprints? Like his previous arraignments, Mr Trump will be fingerprinted, as is customary with criminal charges. In the past, the ex-president did not have a mugshot taken because he is considered a notable person therefore an identifying mugshot was unnecessary. However, Fulton County Sheriff Pat Labat told WSB-TV earlier this month that Mr Trump likely will pose for a mugshot because he will be treated like any other person. Unless somebody tells me differently, we are following our normal practices, and so it doesnt matter your status, well have a mugshot ready for you, Mr Labat said. Fulton County District Attorney Fani Willis, right, talks with a member of her team during proceedings to seat a special purpose grand jury in Fulton County, Georgia, on May 2, 2022 (AP) Will he get bail? More than likely, Mr Trump will be released on bail or bond of some sort. In all his previous arraignments, the ex-president was released on a bond given his notable status. In his most recent federal arraignment related to his alleged efforts to overturn the 2020 election, Mr Trump was released on an appearance bond and under strict rules. During the ex-presidents first federal arraignment for his alleged retention of classified documents, Mr Trump signed a personal surety bond. In April, a New York judge allowed Mr Trump to walk without bail as well. A bond is usually set to ensure a defendant appears in court. A judge can outright deny bond which then requires the defendant to be taken into custody to await trial. Close Donald Trump indictment - latest news Donald Trump has confirmed he will be skipping the upcoming GOP presidential primary debate scheduled for Wednesday. The announcement ahead of Trumps expected surrender to the Fulton County jail. The former president and 18 of his allies were indicted last Monday for allegedly running a criminal enterprise to try to thwart the 2020 presidential election in Georgia. The deadline for their surrender was set at 25 August. The public knows who I am & what a successful Presidency I had, Mr Trump wrote on his social media site. I WILL THEREFORE NOT BE DOING THE DEBATES! His spokesman did not immediately clarify whether he plans to boycott every primary debate or just those that have currently been scheduled. Mr Trump had been discussing a number of debate counterprogramming options, including sitting for an interview with ex-Fox News host Tucker Carlson, who has been hosting a show on X, the website formerly known as Twitter. According to the New York Times the interview set to air on Wednesday has already been taped. A sweeping criminal indictment targeting Donald Trump and 18 co-defendants including members of his former legal team, White House chief of staff and government officials also lists 30 unnamed co-conspirators who are accused of supporting the former presidents alleged criminal enterprise to overturn the 2020 presidential election in the state of Georgia. The identities of those unnamed (and, as of now, uncharged) co-conspirators were not immediately clear following a state grand jurys indictment on 14 August. But many of the details of their alleged actions bear similarities to Trumpworld figures who were identified in previously-reported events described in the indictment. In all, the sweeping charging document outlines a vast criminal conspiracy case connecting the actions of more than 50 people accused of trying to undermine and subvert the outcome of the 2020 election, including attempts to appoint a fraudulent slate of electors loyal to the former president, a harassment campaign targeting election workers, and efforts to copy and distribute sensitive voting machine data from a state elections office. Donald Trump indicted for fourth time Typically, prosecutors include unindicted co-conspirators who are believed to have conspired with the named defendants to commit a crime or multiple crimes, as indicated in the massive RICO case against Mr Trump and his allies. But prosecutors have either provided them some immunity from prosecution in exchange for their testimony or have reached another arrangement. As with the federal indictment targeting Mr Trumps efforts to subvert the 2020 election, many of the alleged actions outlined in the Georgia case echo those uncovered by the House select committee investigating January 6. Many of the unindicted co-conspirators in the Georgia case are known to the grand jury, the document states. Unindicted co-conspirators nine through 19 are likely the other fake electors who have not been charged in the indictment, while unindicted co-conspirators 24 through 29 are among those likely involved in a scheme to hijack voting machine software in Coffee County. Several people allegedly involved in an effort to seize voting machine software and share files with other election deniers were charged with several crimes in the indictment. One key unindicted co-conspirator No 20 allegedly joined a White House meeting on 18 December 2020 involving Mr Trump and attorney Rudy Giuliani and Sidney Powell to discuss certain strategies and theories intended to influence the outcome of the 2020 election, including seizing voting equipment and appointing Ms Powell as special counsel with broad authority to investigate allegations of voter fraud in Georgia and elsewhere, according to the indictment. The first unindicted co-conspirator listed in the indictment is accused of discussing a draft speech with Mr Trump on 31 October 2020, days before Election Day, to falsely declare victory and make baseless allegations of widespread voter fraud and manipulation. The House select committee previously reported that then-President Trump consulted with Tom Fitton of right-wing activist group Judicial Watch on that same day. An email sent to Mr Fitton and obtained by the National Archives includes Mr Trumps false declarations of voter fraud and a statement claiming that we had an election today and I won a message that January 6 committee member Zoe Lofgren said amounted to instructions for an intentional and premeditated effort to subvert the democratic process. A second unindicted co-conspirator allegedly received a voice message about voter fraud from Mr Giuliani on 3 November 2020 as well as messages about organizing a fraudulent slate of electors loyal to Mr Trump including a key meeting on 14 December 2020, when the 16 so-called alternate electors convened at the state capitol. The third unindicted co-conspirator referenced in the indictment joined Mr Giuliani and former Trump campaign attorneys Jenna Ellis and Sidney Powell at a now-infamous 90-minute press briefing at the Republican National Committee headquarters bloated with false claims and wild accusations about election fraud and manipulation on 19 November 2020. Ms Powell and Ms Ellis among members of the so-called strike force behind a spurious and failed legal campaign to challenge election results are now among the 19 co-defendants in the Georgia indictment. The other three people who stood behind the lectern at that press conference were GOP operatives Joseph diGenova, Victoria Toensing and Boris Epshteyn. Robert Sinners the head of Mr Trumps Georgia campaign operations whose role in the alleged scheme to subvert the states election results is likely the fourth unnamed and unindicted co-conspirator in the indictment. The indictment outlines several events in the days after Election Day previously uncovered by the House select committee, including correspondence surrounding the fake elector scheme, that match descriptions in the Georgia case. Rudy Giuliani and members of a legal team that led a dubious, failed effort to challenge election results in state that Donald Trump lost held an infamous press conference on 19 November, 2020. (AFP via Getty Images) The indictment also notes a White House meeting on 25 November 2020, when Mark Meadows, Trump campaign attorneys and two unindicted co-conspirators met with Pennsylvania state lawmakers to discuss holding a special legislative session to contest election results. Georgias current Lt Governor Burt Jones, a former state senator, is likely the eighth unnamed and unindicted co-conspirator in the indictment. The indictment quotes a post from his Twitter account on 7 December, 2020, in which he calls on supporters to sign the petition for a special legislative session to review the outcome of the 2020 election, a message shared by Mr Giuliani. That same co-conspirator also was involved with correspondence about the fake elector plot with other co-defendants in the case, according to the indictment. Her first day as the chief prosecutor for Fulton County came with news that then-President Donald Trump attempted to pressure Georgias top election officials to reverse his loss in the state during the 2020 presidential election. A phone call between Mr Trump and Georgias Secretary of State Brad Raffensperger was published by The Washington Post late at night on 3 January, 2021. Hours later, Fani Willis would walk into her first day on the job as Fulton Countys district attorney, an office that is now spearheading a criminal investigation into Mr Trump, with the phone call serving as a central damning piece of evidence against him. Fani Willis announces arrest warrants for Trump and 18 co-defendants For more than two years, her office has been investigating efforts to overturn election results in the state and the baseless allegations of widespread election fraud that fuelled them, adding to a long list of investigations and other legal consequences facing Mr Trump and others who rejected 2020 results. On 14 August, a grand jury voted to charge the former president and 18 of his allies with 48 counts related to their alleged criminal enterprise to overturn election results in Georgia. Days earlier, Mr Trump was indicted on four counts in a separate federal probe led by US Department of Justice special counsel Jack Smith into the former presidents efforts to overturn the 2020 election. The closely watched case on the state level against the former president resulted in racketeering charges similar to those that Ms Willis has made a career out of bringing against dozens of others. An anti-racketeering RICO statute typically used to prosecute members of the Mafia and break up organised crime has been used by her office in indictments against more than two dozen people connected to a sprawling Atlanta hip-hop empire, 38 alleged gang members, and 25 educators accused of cheating Atlantas public school system. Evidence in the case includes Mr Trumps infamous phone call, a breach of voting machines by a group of Trump-connected operatives, and a multi-state effort fuelled by conspiracy theories and legally dubious arguments to replace Georgia electors with a slate of Trump loyalists to certify his election in Congress. The case also investiges the harassment of two Georgia election officials by a group of Trump-connected operatives and the baseless allegations of voter fraud and manipulation, a case that is separately at the center of a long-running defamation lawsuit. It doesnt matter if youre rich, poor, Black, white, Democrat or Republican, Ms Willis told CNN last year. If you violated the law, youre going to be charged. Get out of my county Ms Willis graduated from Howard University in 1992 and Emory University School of Law in 1996. She began her career in the Fulton County District Attorneys office in 2001, with roles in nearly every division in the agency, and serving as lead prosecutor in more than 100 jury trials. She is the first Black woman elected to lead the counts district attorneys office. (AP) Last year, her office charged rappers Young Thug and Gunna and 26 others in a sprawling, 65-count RICO case following an 88-page grand-jury indictment characterising their YSL group as a criminal street gang behind 182 instances of gang activity and criminal conspiracies. Her office also led RICO indictments against 12 alleged members of the Bloods gang, including the rapper YFN Lucci, and 26 alleged members of the Drug Rich gang, connected to a gang string of robberies and home invasions across Atlanta. I have some legal advice: Dont confess to crimes on rap lyrics if you do not want them used, she told reporters at a press conference last year. Or at least get out of my county. In a controversial case from 2014, she served as the lead prosecutor in a RICO case involving 35 Atlanta public school educators tied to an infamous cheating scandal, ultimately resulting in racketeering convictions against 11 of 12 people accused of manipulating students standardised test scores. As the countys chief prosecutor, she has expanded her offices gang unit and lobbied for passage of a statewide measure that would impose mandatory minimum sentences for repeat offenders and increase the power of the Georgia Bureau of Investigation in an effort to crack down on gang violence. Key points from Trump's infamous Georgia call Following RICO charges against alleged members of the Drug Rich gang, accused of a series of high-profile robberies and shootings involving Atlantas wealthy, Ms Willis told reporters: If you thought Fulton was a good county to bring your crime to, to bring your violence to, you are wrong and you are going to suffer consequences. The Trump investigation Over the last two years, the Fulton County district attorneys office has helmed a criminal probe into whether Mr Trump and his allies illegally interfered with the 2020 election in the state, which several recounts have confirmed President Joe Biden won definitively against Mr Trump. In January 2022, Ms Willis convened a special grand jury, a 26-member panel given subpoena power and investigative authority to interview witnesses and ultimately deliver a report, as per state law, that includes charging recommendations. The grand jury does not have authority to issue an indictment. It is up to Ms Willis to determine whether to charge Mr Trump and others connected to her case. Her office sent letters to people connected to the so-called alternate electors scheme, including Georgia lawmakers and the chair of the Georgia Republican Party, and more than a dozen others who signed unofficial electoral certificates to subvert the Electoral College process and pledge the states votes for Mr Trump, who lost in Georgia. Also central to the investigation is Mr Trumps call on 2 January, 2021, which he made days before a joint session of Congress convened to certify Mr Bidens victory, while those faithful to Mr Trump made last-ditch efforts to pressure then-Vice President Mike Pence to reject the elections outcome, or stormed the US Capitol in an antidemocratic show of force that has led to hundreds of federal prosecutions, including more than a dozen on treason-related charges. A list of grand jury witnesses included former White House chief of staff Mark Meadows, US Senator Lindsey Graham and former Senator Kelly Loeffler, and five members of Mr Trumps legal team, including Rudy Giuliani, Jenna Ellis and fake elector architect John Eastman, among several others. The grand jury investigation also looked into a phone call on 13 November, 2020 from Senator Graham to Mr Raffensberger, as well as Mr Trumps own remarks to a rally crowd months after he left the White House in which he appeared to publicly brag that he had asked Georgias Governor Brian Kemp to help us out and re-do the election. In all, the special grand jury heard from roughly 75 witnesses before dissolving in January. As a judge heard arguments on 24 January whether to publicly release the grand jurys report, Ms Willis said that a decision from her office on whether to bring criminal charges was imminent. In a series of Truth Social posts during the hearing, Mr Trump continued to lie about the results of the 2020 election, defended his perfect phone call to Georgia officials, and baselessly alleged widespread vote manipulation. Judge Robert McBurney granted a partial release of the special grand jurys report, which includes its introduction and conclusion and a section in which jury members expressed concerns that some witnesses may have lied under oath. The recommendations to Ms Willis include a roster of who should (or should not) be indicted, and for what, in relation to the conduct (and aftermath) of the 2020 general election in Georgia. A partially released report shows that the jury unanimously agreed that no widespread fraud took place in Georgias election following interviews with election officials, analysis and poll workers. It also includes a recommendation to Ms Williss office to seek indictments for one or more witnesses who likely committed perjury, and it will ultimately be up to her office to seek indictments where she finds sufficient cause. The publicly released filing does not include witness names, names of people recommended for indictments, or other recommended charges. Asked on 13 February how she feels about the judges decision to publicly release parts of the document, Ms Willis smiled and told reporters: Im pleased with it. The grand jury and sweeping criminal charges A grand jury was impaneled to hear the case on 11 July. On 14 August, jurors voted to indict Mr Trump and 18 others including his former White House chief of staff, several Trump-allied attorneys, and the so-called fake electors who joined a scheme to subvert the outcome. The indictment alleges 40 separate crimes and 161 different acts connected to an alleged criminal conspiracy. All 19 defendants in the indictment are charged under the RICO statute. Mr Trump is also charged with 12 other crimes. Meadows, Giuliani, Trump-connected attorneys Sidney Powell, John Eastman, Kenneth Chesebro and Jenna Ellis, former Justice Department official Jeffrey Clark, several Trump aides, and a current state senator and several people connected to the alternate Georgia electors plot are among those facing a range of criminal charges. I make decisions in this office based on the facts in the law, Ms Willis said during a late-night press conference hours after the indictment was unsealed in court. The law is completely nonpartisan. Thats how decisions are made in every case to date. What Trump has said about the investigation The former president has repeatedly characterised the multiple investigations against him as part of a politically motivated hoax and an attempt to steal the 2024 election from him, turning an allegation he now faces in two criminal indictments against his opponents and portraying himself as a victim of political persecution. He has characterised Ms Willis as a racist making a fake case against him to interfere with his election prosepects. Following the Georgia indictment, he lashed out at the prosecutor on his Truth Social platform and in fundraising messages through his campaign while continuing his bogus, repeatedly debunked narrative that the 2020 presidential election was rigged and stolen from him. This story was first published on 15 February and has been updated with developments Donald Trump has now added a fourth criminal case to his list of ever-growing legal troubles this time for his alleged efforts to overturn the 2020 election in Georgia. The former president was indicted by a grand jury in Fulton County on 14 August on 13 charges accusing the ex-president of engaging in a conspiracy to replace public officials with fake electors as well as engaging in overt acts to further the conspiracy. The indictment arrived after a two-year-long investigation from Fulton County District Attorney Fani Willis into Mr Trumps actions following the 2020 election. It is the second criminal indictment the former president is facing related to his alleged interference in the election that Mr Trump lost. The ex-president was charged with four federal counts related to his supposed efforts earlier this year. A statement from Mr Trumps campaign called the latest indictment bogus and accused Ms Willis of engaging in political targeting. Combined with the intentionally slow-walked investigations by the Biden-Smith goon squads and the false charges in New York, the timing of this latest coordinated strike by a biased prosecutor in an overwhelmingly Democrat jurisdiction not only betrays the trust of the American people, but also exposes true motivation driving their fabricated accusations, the statement from Mr Trumps campaign read. They are taking away President Trumps First Amendment right to free speech, and the right to challenge a rigged and stolen election that the Democrats do all the time. The ones who should be prosecuted are the ones who created the corruption. What charges is he facing? The indictment in the 2020 election interference case charges Mr Trump with 13 counts: violating the RICO Act, three counts of solicitation of violating an oath by a public officer, conspiracy to commit impersonating a public officer, two counts of conspiracy to commit forgery in the first degree, two counts of conspiracy to commit false statement and writings, conspiracy to commit filing of false documents, filing false documents and two counts making false statements. The charges stem from an alleged plot and conspiracy Mr Trump engaged in to turn Georgias votes over to himself. One of the many actions stated in the indictment was Mr Trumps effort to replace electors in Georgia with false ones. It is the second criminal case over Mr Trumps alleged actions while he was running the country. Former President Donald Trump walks over to speak with reporters before he boards his plane at Ronald Reagan Washington Airport after his arraignment (Copyright 2023 The Associated Press. All rights reserved.) The first federal indictment against the ex-president occurred on 8 June, when a grand jury in Mr Smiths investigation charged Mr Trump and his co-defendant Walt Nauta on 37 counts related to Mr Trumps alleged unlawful retention of national defence information and obstruction of justice. Those charges stem from a case that began early last year after National Archives and Records Administration (NARA) officials discovered more than 100 classified documents in boxes that were retrieved from Mr Trumps Palm Beach, Florida residence. This came after his first criminal indictment in March on state charges in New York. In that case, he appeared in a Manhattan courtroom to face criminal charges following Manhattan District Attorney Alvin Braggs investigation into hush payments leading up to the 2016 presidential election. After the first two arraignments, he returned to his properties to deliver remarks to crowds of supporters to cast himself as a victim of political persecution, baselessly accusing his political rivals of interfering with his chances of winning election to the presidency in 2024. In all three cases, Mr Trump has pleaded not guilty. In this handout photo provided by the U.S. Department of Justice, stacks of boxes can be observed in the White and Gold Ballroom of former U.S. President Donald Trumps Mar-a-Lago estate in Palm Beach, Florida (Getty Images) This most recent indictment stems from a years-long investigation from Fulton County District Attorney Fani Willis that has been probing Mr Trumps attempts to reject the results of that election in the state of Georgia. So will he go to jail? With his growing list of criminal cases, speculation is mounting as to whether Mr Trump will face jail time. If convicted, federal and state prosecutors and judges could have to decide whether to jail a presidential candidate or even the potential victor in the 2024 race. Following the first federal indictment, experts said that the Justice Department was likely to attempt to have Mr Trump incarcerated if hes convicted. Each charge in that case the classified documents case carries a maximum sentence ranging from five years to 20 years. A potential sentence, if convicted, could include decades in prison. National security lawyer and George Washington University law professor Kel McClanahan said that the department will probably want to go for incarceration in the case of Mr Trump, according to Insider. Mr McClanahan said that the evidence in the indictment is intended to show that Mr Trump is a kingpin who knowingly broke the law, endangered national security, endangered nuclear weapon security, [and] endangered other countries national security. Former US President Donald Trump waves from his vehicle following his appearance at Wilkie D. Ferguson Jr. United States Federal Courthouse, in Miami, Florida, on June 13, 2023 (AFP via Getty Images) The consensus among most legal experts commenting on the indictment appears to be that Mr Trump is in serious legal jeopardy. In Georgia, there are mandatory minimum sentences under the racketeering charges Mr Trump faces. The former president could be sentenced to between five and 20 years in prison if he is convicted. A former assistant US attorney in the Southern District of New York, Sarah Krissoff, said that to the extent that theres a conviction here, the Department of Justice is going to want to be seeking a real sentence because of the nature of the conduct, how long it lasted, his involvement, the involvement of other people, working allegedly at Trumps direction. She noted that if Mr Trump is convicted, the sentence would depend on the judge, which seems likely to be Trump-appointee Aileen Cannon in the District Court for the Southern District of Florida. Meanwhile, Mr Trump could be looking at a maximum of five to 20 years of prison time if he is convicted in the January 6 charges. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The woman who cooked a beef Wellington mushroom dish that killed three people, was an experienced fungi forager, according to a family friend. Erin Patterson, 46, was known to expertly pick wild mushrooms, and was very good at foraging, a family friend was quoted as saying by Daily MailAustralia. The Patterson family (including Erin and estranged husband Simon) would pick mushrooms each year when they were in season, the friend said. Tragedy struck in Australia last month when Ms Patterson invited her former parents-in-law Gail and Tom Patterson for a meal, along with Gails sister Heather Wilkinson and her husband, Ian. Within days of the meal, Gail and Tom, both 70, and Heather, 66, were all dead and Ian, 68, was left fighting for his life. Ms Patterson, who does not face any charges, has given a detailed account of the sequence of events to the authorities, according to local media reports. In her statement, Ms Patterson claimed that she didnt know the mushrooms were poisonous when she prepared the meal in Leongatha, South Gippsland last month. Ms Pattersons former partner, Simon, had been invited to the meal but was unable to attend. In a statement to the police, she also reportedly claimed spending time in the hospital after eating the meal, adding that her children ate left over the next day while scraping out the mushrooms. I am now devastated to think that these mushrooms may have contributed to the illness suffered by my loved ones, the 48-year-old said. I really want to repeat that I had absolutely no reason to hurt these people whom I loved. Meanwhile, a man commissioned to paint a graffiti told new.com.au on the condition of anonymity that the former home of Ms Patterson in Korumburra had an eerie death wall with childrens drawings and the warning, you dont [have] long to live. The wall was covered in red, blue, and black graffiti with another section reading your dead from my sword. Among the many things, it has two stick figures of a man and a woman containing the texts I am dead and no I am really dead. Below it were two tombstones with RIP and Me RIP written on them, according to the pictures shared by the outlet. Ive looked at it and gone, holy sh*t, what the hells going on here? the person told the outlet. I went, this is actually really scary for kids to do this inside the kitchen-dining room. I didnt think it was right, it looked scary. It just didnt look right to me as a parent. A paramedic tending to those who died in the incident was so concerned by their final words that he passed them to the investigators, reported Herald Sun, quoting a source close to the investigation. However, the contents of the statement were not shared. Overwhelmed by the press intrusion, Ms Patterson said: I lost my parents-in-law, my children lost their grandparents. And Ive been painted as an evil witch. And the media is making it impossible for me to live in this town. I cant have friends over, she told The Australian. The media is at the house where my children are at. The media are at my sisters house so I cant go there. This is unfair. The mushrooms in question were a combination of button mushrooms purchased from a supermarket and dried mushrooms sourced from an unspecified Asian grocery store in Melbourne. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} A former Australian priest convicted of peodophilia had another 12 months added to his 39-year prison sentence for abusing his 72nd victim. Gerald Ridsdale, 89, was sentenced to prison in 1994 for a series of convictions for abusing children between 1961 and 1988 while he worked as a Roman Catholic priest in churches and schools across Victoria. On Tuesday, Ridsdale was sentenced to an additional year by the Ballarat Magistrates Court after he pleaded guilty in June to assaulting a 13-year-old boy while he worked at a Catholic school in Horsham in 1987. It was his 193rd conviction for child abuse. Ridsdale appeared in court via a video link from a hospital prison bed. He kept his eyes closed throughout the hearing. Magistrate Hugh Radford told Ridsdale he had been in a position of trust and should have been providing guidance to his victim. The accused must serve at least 33 years and six months of his 40-year sentence before he is eligible for parole. His earliest release date is April 2028, when he will be in his 90s. You will probably die in custody," the magistrate told Ridsdale. The accused grabbed the survivor's arm and called him a "big strong lad" before reportedly touching him inappropriately in the school's counsellor's office, according to 9 News. The survivor, who is now in his 40s, ran out of the room after the assault took place and said he felt like "the world was 100 miles per hour". "It was like I was a passenger and had no control," he said in a statement read to the court. "This led me down a path of destruction." Ridsdale was recommended palliative care after he suffered a fall in November 2022 which made him bed-ridden. During his 29 years as a priest, Ridsdale was shuffled between 16 church posts. In 2017, a government inquiry into child sex abuse found his frequent relocations were evidence of the church covering up his crimes. The inquiry found that the late Australian Cardinal George Pell, who became the third-highest ranking cleric in the Vatican in 2014, knew Ridsdale had been sexually abusing children years before his arrest. Pell denied any previous knowledge of criminal allegations against Ridsdale. Thousands of battled-hardened Wagner fighters arrived in Belarus under a deal ending the attempted coup against Vladimir Putin by the groups chief Yevgeny Prigozhin. No one thought that we had seen the last of them. The mercenaries have moved towards the city of Grdno, according to the Polish military, and set up camp in the Brestsky area around six miles (10km) from Polands border. And now, in a menacing turn, posters have begun to appear stuck next to Polish border posts with pictures of the fighters holding up signs in English saying We are here. PMC [private military company] Wagner Group: Join us with a QR code for would-be recruits. They have also appeared in Warsaw and Krakow. Polands interior minister Mariusz Kaminski said two Russians have been arrested over the matter. Close Ukraine war: Footage appears to show moment drone attack hits building in central Moscow For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} The Netherlands and Denmark will supply dozens of F-16s to Ukraine as they pledged unwavering support in a landmark announcement yesterday. While Volodymyr Zelensky said his country would receive 42 jets in total, the two donor countries did not specify numbers in a joint statement confirming the deliveries. Denmarks prime minister Mette Frederiksen said 19 jets will hopefully be sent to Kyiv, of which six will arrive around the new year, eight more next year and the remaining five will be sent in 2025 as he asked Ukraine to please take this donation as a token of Denmarks unwavering support for your countrys fight for freedom. Dutch prime minister Mark Rutte said the Netherlands has 42 of the jets, but said some will be needed for training purposes. Ukraine has been asking its allies for advanced fighter jets since the very beginning of Russias invasion, but its Western allies have been reluctant to provide military donations that could be used to strike deep inside Moscows territory. It comes as nearly 50 flights to and from Moscow were disrupted after Russia said it foiled attacks by two Ukrainian drones in the city. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} More than 3,000 tourists have been evacuated from a popular holiday destination in the south of France after a devastating wildfire ripped through homes and campsites overnight. Holidaymakers fled from four campsites close to the Spanish border as flames spread across 500 hectares of land on Monday night. Around 650 firefighters battled the flames in the Pyrenees-Orientales region, which left 17 with minor injuries, the local prefecture announced. Sparked by intense heat, dryness and tumultuous winds of up to 180km/h, the fire broke out between Saint-Andre and Argeles-sur-Mer. Around 650 firefighters were brought in to tackle the flames threatening the town of Saint-Andre (France Securite Civile) Michalak Guimbert, deputy mayor of Argeles, said tourists had arrived in municipal halls in swimsuits because they were returning from the beach when the fire broke out. She said flabbergasted children were among those running from the flames. A British man living in the south of France said the fire was the biggest he has seen and it was unbelievable there were no lives lost. It accelerated when it came over the Pyrenees, hit the flat Roussillon plain heading straight for us and were all terrified because it was going to incinerate the village and all the campsites around it, Steven Brady, originally from Wigan but who now lives in the Roussillon region, said. It started halfway down the hill in Saint-Andre, right near us. Thankfully for us, but not for the people there, it headed towards the sea, which is very rare. It was terrifying. Most of the residents of this village all went out to climb the hill last night and got the cameras out theyve probably never seen that phenomenon. Fire rages above Frances Pyrenees-Orientales department (France Securite Civile) As well as a campsite and warehouse, 30 houses were damaged by the fire. Mr Brady said: It came on like a mini hurricane. The flames were whipping up... it was horrific. Your average fires happen quite a lot around here, but that was something that could have been an absolute catastrophe. As the wildfires were brought under control by Tuesday morning, the extent of the devastation wrought by the flames became clear. Pictures show blackened and charred campsites, which had been full of happy holidaymakers just hours before. Our town is hard hit, and there is a lot of damage, Saint-Andres mayor, Samuel Molly, told radio netwok France Bleu. The village is burned on both sides, a third has been hit by the fire. A woman stops at a safe distance to take a picture of the fires, still raging across the department (AFP via Getty Images) Christelle, a resident who fled her home, told France Bleu Roussillon: This fire is very impressive, it scares me. I hope I will find my whole house. The fire is now under control, the French interior minister Gerald Darmanin said on Twitter. No residents or tourists were injured but Mr Darmanin asked that the population and holidaymakers be extremely vigilant. A campsite destroyed by wildfire shows the sheer force of the blaze (AFP via Getty Images) Frances minister of ecological transition, Christophe Bechu, visited Les Chenes Rouges campsite on Tuesday. He posted photos of charred trees, saying: These striking images testify to the intensity of the fire. I am committed to supporting tourism stakeholders in the reconstruction and restoration of devastated natural areas. Drought and fire are two sides of the same coin: climate change, Mr Bechu said. Most of the evacuees have been able to return to their accommodation or homes, but a few dozen tourists were still waiting to be rehoused, Mr Bechu told reporters in Saint-Andre. Some of those people had lost their documents, money and cars in the blaze, he added. Wildfires have beset Europe this summer, with both locals and tourists being forced to flee from the deadly flames. Mr Bechu said that this summer had seen fewer fires in France than last year, when 70,000 hectares were burnt to ashes but that it was necessary to be humble as summer was not over. Five regions in the east of the country are currently on high alert for heatwaves, according to the French weather authority Meteo Frances website. Last week, firefighters evacuated more than 130 people as they fought to control a wildfire at Portbou on the Mediterranean coastal Spanish border with France. The blaze ravaged some 435 hectares of land. Reuters contributed to this report For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Cluster bombs have been banned by more than 120 countries, with many allies and humanitarian groups also opposing the use of the bomb. The weapon does not violate international law when used on the battlefield, but any use in populated areas is considered a war crime. Earlier this year, Ukraine welcomed the Biden administrations decision to provide the weapon and said it needed weapons, more weapons, and more weapons, including cluster munitions if it is to defeat Russia. A cluster munition in Lebanon (AP2011) Over the past few days, fierce fighting has been taking place in and around Urozhaine and Staromaiorske and according to reports Vladimir Putins soldiers have been seen fleeing the US-supplied cluster munitions. Footage released by the Ukrainian defence ministry shows the cluster bombs hitting Russian forces amid Kyivs recapturing of Urozhaine in the Donetsk region. Urozhaine liberated, Hanna Maliar, Kyivs deputy defence minister said on Telegram. Our defenders are entrenched on the outskirts. Cluster bombs typically release large numbers of smaller bomblets that can kill at random, over a large area and those that fail to explode can be a danger for years to come. Here is a look at what cluster munitions are, why they are so controversial and where they have been used. What is a cluster munition? A cluster munition is a bomb that opens in the air and releases smaller bomblets across a wide area. The bomblets are designed to take out tanks and equipment, as well as troops, hitting multiple targets at the same time. The munitions are launched by the same artillery weapons that Western allies have already provided to Ukraine for the war such as howitzers and the type of cluster munition that the US is sending is based on a common 155 mm shell that is already widely in use across the battlefield. A Ukrainian serviceman carry a 155mm shell into self-propelled howitzer "Bohdana" before firing towards Russian positions near Bakhmut, Ukraine, Friday, July 7, 2023 (AP) Why are they so controversial? In previous conflicts, cluster munitions have had a high dud rate, which meant that thousands of the smaller unexploded bomblets remained behind and killed and maimed people decades later. The US last used its cluster munitions in battle in Iraq in 2003, and decided not to continue using them as the conflict shifted to more urban environments with more dense civilian populations. Brigadier General Pat Ryder the Pentagon press secretary said the US Defense Department has multiple variants of the munitions and the ones that we are considering providing would not include older variants with [unexploding] rates that are higher than 2.35 per cent. A convention banning the use of cluster bombs has been joined by more than 120 countries, which agreed not to use, produce, transfer, or stockpile the weapons and to clear them after they've been used. The US, Russia and Ukraine haven't signed on. Why provide them now? For more than a year the US has dipped into its own stocks of traditional 155 howitzer munitions and sent more than two million rounds to Ukraine. Allies across the globe, including the UK, have provided hundreds of thousands more. A 155 mm round can strike targets 15 to 20 miles (24 to 32 kilometres) away, making them a munition of choice for Ukrainian ground troops trying to hit enemy targets from a distance. Ukrainian forces are burning through thousands of rounds a day battling the Russians. Yehor Cherniev, a member of the Ukrainian parliament, told reporters at a German Marshall Fund event in the US that Kyiv would likely need to fire 7,000 to 9,000 rounds daily during the intensified counteroffensive. Providing that many puts substantial pressure on US and allied stocks. The cluster bomb can destroy more targets with fewer rounds, and since the US hasnt used them in conflict since Iraq, it has large amounts of them in storage it can access quickly, said Ryan Brobst, a research analyst for the Foundation for Defense of Democracies. A casing of a cluster bomb rocket lays on the ground in Zarichne, Ukraine (AFP via Getty Images) A March 2023 letter from top House and Senate Republicans to the Biden administration said the US may have as many as three million cluster munitions available for use, and urged the White House to send the munitions to alleviate pressure on American war supplies. Cluster munitions are more effective than unitary artillery shells because they inflict damage over a wider area, Mr Brobst said. This is important for Ukraine as they try to clear heavily fortified Russian positions. Tapping into the US stores of cluster munitions could address Ukraines shell shortage and alleviate pressure on the 155 mm stockpiles in the US and elsewhere, Mr Brobst said. Is using cluster bombs a war crime? The use of cluster bombs itself does not violate international law, but using them against civilians can be a violation. As in any strike, determining a war crime requires looking at whether the target was legitimate and if precautions were taken to avoid civilian casualties. The part of international law where this starts playing [a role], though, is indiscriminate attacks targeting civilians, Human Rights Watchs associate arms director Mark Hiznay said. So that's not necessarily related to the weapons, but the way the weapons are used. Where have cluster bombs been used? The bombs have been deployed in many recent conflicts. The US initially considered cluster bombs an integral part of its arsenal during the invasion of Afghanistan that began in 2001, according to Human Rights Watch (HRW). The group estimated that the US-led coalition dropped more than 1,500 cluster bombs in Afghanistan during the first three years of the conflict. The Defense Department had been due to stop use of any cluster munitions with a rate of unexploded ordnance greater than 1 per cent, by 2019. But the Trump administration rolled back that policy, allowing commanders to approve the use of such munitions. Syrian government troops have often used cluster munitions supplied by Russia against opposition strongholds during that countrys civil war, frequently hitting civilian targets and infrastructure. And Israel used them in civilian areas in south Lebanon, including during the 1982 invasion. Ukrainian military serviceman holds a defused cluster bomb from an MSLR missile (REUTERS) During the month-long 2006 war with Hezbollah, HRW and the United Nations accused Israel of firing as many as four million cluster munitions into Lebanon. That left unexploded ordnance that threatens Lebanese civilians to this day. The Saudi-led coalition in Yemen has been criticized for its use of cluster bombs in the war with the Iran-backed Houthi rebels that has ravaged the southern Arabian country. In 2017, Yemen was the second deadliest country for cluster munitions after Syria, according to the UN. Children have been killed or maimed long after the munitions originally fell, making it difficult to know the true toll. In the 1980s, the Russians made heavy use of cluster bombs during their 10-year invasion of Afghanistan. As a result of decades of war, the Afghan countryside remains one of the most heavily mined areas in the world. Which countries have banned the cluster bomb? The Convention on Cluster Munitions is an international treaty of more than 100 states which prohibits all use, production, transfer and stockpiling of cluster munitions. The Convention was first adopted on 30 May 2008 in Dublin by 107 States and signed in Oslo on 3 December the same year, according to its official website. It soon became binding international law when it entered into force on 1 August 2010 and so far, there have been a total of 123 States which have joined the Convention 111 States Parties and 12 Signatories. Italy, Spain, Germany and the UK are among the NATO members who have expressed opposition to the use of cluster bombs. The full of countries can be found here. The Associated Press contributed to this report For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Ukraines security services have claimed responsibility for an attack on the vital bridge connecting Crimea to Russia last month. The countrys intelligence bureau, the Security Service of Ukraine (SBU), said it used remotely controlled sea drones carrying 850kg of explosives to target the Crimean Bridge, a critical supply link built by Vladimir Putin in 2018. The drone strike on 17 July damaged the bridge and killed two civilians, according to Russian officials. Ukraine does not usually claim responsibility for such attacks, but spy chief Vasyl Maliuk confirmed the security services involvement in an interview with CNN and warned the Russian president that more similar strikes will follow. Mr Maliuk said the drones called 2Sea Baby were developed internally by the SBU, which has now released footage to CNN showing the pilots screen moments before the attack alongside CCTV of the immediate aftermath. Damaged parts of the Crimean Bridge connecting Russia and Crimea (OSTOROZHNO NOVOSTI) The SBU chief said Sea Baby drones were also used to attack a Russian oil tanker in the Black Sea and the warship Olenegorsky Gornyak earlier this month. The tanker was reportedly carrying fuel for the Russian military. He told the American broadcaster the July attack involved months of preparation involving both the SBU and the Ukrainian Navy. He added: At the final stage of the preparation we could not even think about sleep or food. We were fully concentrated on the operation. The final last days were quite nervous. When the explosion happened, we were so happy and started congratulating one another. This was a very emotional moment for all of us and our victory, which will definitely come soon. Mr Maliuk said Ukraine was also responsible for the first attack on the Crimean Bridge, also known as the Kerch Bridge, last October. Flames on the Crimean Bridge after an attack in October (AP) Speaking of the maritime threat posed to Russia, he added: We are working on a number of new interesting operations, including in the Black Sea waters. I promise you, itll be exciting, especially for our enemies. Meanwhile, Ukraine on Wednesday said Russian forces had attacked its grain storage facilities overnight, but a container ship left the Black Sea port of Odesa despite Moscows threat to target shipping after it abandoned the export deal last month. Overnight air strikes damaged silos and warehouses at Reni on the Danube River, a vital wartime route for food exports, according to Ukrainian officials, who posted photos of destroyed storage facilities and piles of scattered grain and sunflowers. A granary destroyed in a Russian drone attack at night is seen in a Danube port near Odesa (Odesa Regional Administration Press Office) There was no immediate comment from Moscow, but Russia has made regular air strikes on Ukrainian ports and grain silos since mid-July, when it pulled out of the UN-backed deal for Ukraine to export grain. It comes as Russian troops were seen fleeing US-supplied cluster bombs in the Donetsk region, as Kyiv announced it had recaptured a key strategic settlement amid its ongoing counter-offensive against Moscow. Footage released by the government shows cluster munitions, which spray bomblets, hitting Russian forces amid Kyivs recapturing of Urozhaine. Ukrainian troops have liberated Urozhaine village, Donetsk region! the Ukrainian defence ministry posted on X, formerly known as Twitter, on Wednesday. Hours after the announcement that Urozhaine had been recaptured, one of Ukraines top generals, Oleksandr Syrskyi, warned the situation on the Kupiansk front in the northeastern region of Kharkiv was growing more difficult. Kupiansk was seized by Russia in the early days of the invasion before Ukrainian troops recaptured it in a lightning offensive last September. Due to the complication of the situation in the Kupiansk direction, I worked most of the day with units that lead the defence on the approaches to the city, Mr Syrskyi said. The enemy is trying to break through the defences of our troops every day, in different directions, with assault squads consisting mainly of convicts, with the aim of blockading and then capturing Kupiansk. Losing Kupiansk twice would be a major blow to Kyivs battlefield momentum at a time when the counteroffensive has so far failed to deliver significant territorial gains, except in villages such as Urozhaine. Elsewhere, the Lithuanian government on Wednesday said it had decided to close two of the countrys six border crossing points with Belarus due to geopolitical circumstances, weeks after Russian Wagner Group mercenaries took refuge in the country. The government did not spell out its reasoning for closing the two rural crossing points, which were not used by commercial vehicles, from Friday. Lithuanian officials have also been discouraging its citizens from travelling to Belarus, a close Russian ally, setting up signs at the borders saying: Do not risk your safety, do not travel to Belarus. You may fail to come back. Senior Nato official Stian Jenssen, chief of staff to secretary general Jens Stoltenberg, has meanwhile apologised a day after he sparked anger in Kyiv by saying Ukraine could give up territory in exchange for membership. My statement was part of a larger discussion about possible future scenarios in Ukraine, and I shouldnt have said it that way. It was a mistake, he told the Norwegian newspaper, VG, which originally printed his comments. Mykhailo Podolyak, a senior adviser to Ukrainian president Volodymyr Zelensky, earlier said: Trading territory for a Nato umbrella? It is ridiculous. That means deliberately choosing the defeat of democracy, encouraging a global criminal, preserving the Russian regime, destroying international law and passing the war on to other generations. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Some iPhone users are set to receive payouts as part of a controversy over Apples battery technology. Owners of older devices who joined a lawsuit over what was termed batterygate are set to receive around $65, according to the lawyers behind it. The payments relate to a controversy that erupted in 2017, when users complained that Apple was intentionally limiting the performance of their iPhones. As their devices aged, they found, Apple would place restrictions on how fast the devices could run. Apple said the restrictions were a way of ensuring that older devices could continue to function even as their batteries degraded. The older batteries did not provide reliable or constant power, and so spikes in performance could mean the devices would spontaneously shut down otherwise. But users complained that they had not been told about the change and had no way to turn it off. It also played into a persistent belief that Apple slows down older devices as part of planned obsolescence aimed at encouraging people to buy new iPhones though there is no evidence that is the case. The controversy meant that lawyers brought complaints on behalf of owners of the iPhone 6, 6 Plus, 6S, 6S Plus, 7, 7 Plus, or the first-generation iPhone SE, in 2018. Now they have achieved success in what they say is the largest all-cash recovery in a computer intrusion case in history. The settlement stipulated that Apple would pay at least $310 million to affected customers, which is expected to work out at about $65 each. But users must have signed up before October 2020, when a deadline passed, if they want to receive it, and only those 100 million people who did so will be part of the settlement. Apple has not publicly commented on the proceedings. It had appealed the settlement, but an appeals court in the US has since dismissed that case, allowing the payments to go forward. Sign up to Simon Calders free travel email for expert advice and money-saving discounts Get Simon Calders Travel email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Simon Calders Travel email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} If youre looking to explore a country of vast deserts, vibrant cities and attractive coastline, Oman may just be what youre after. The nation lies on the southeast of the Arabian Peninsula, and is one of the most beautiful destinations in the Gulf. Oman is a country steeped in history but also one embracing modernity. The capital, Muscat, is a thriving coastal city that showcases the success of the countrys strong modernisation process. Conversely, the town of Nizwa still bears witness to times gone by, giving visitors an excellent insight into Omani culture. The towering Hajar Mountains dominate the landscape around these cities, while a expanse of desert lies in between, and along the coast there are white sand beaches to be found. With such a destination of such depth, it can be hard to know how to plan a holiday. Weve made it easier for you, finding the best places to add to your Oman itinerary. Muscat Muscat is surrounded by mountains and desert (Getty Images/iStockphoto) Omans ancient capital is located in the north of the country. It sits on the Gulf of Oman and is backed by the imposing Hajar Mountains, giving the city surroundings that are equally as picturesque as its white-washed buildings and striking architecture. The most impressive of this architecture is the Grand Mosque. Completed in 2001, it showcases modern Islamic design and has space for over 20,000 worshippers. The sultans own palace is a modest building, with a retro style, from the mushroom pillars to the Disneyesque colonnade. Across from it, the National Museum is the choice for those looking to delve into the countrys history, with exhibits exploring Omani heritage as far back as pre-historic times. A wander around the city will take you past a mix of modern shops and restaurants, elegant hotels, colourful mosques and traditional buildings. This is especially true in Muttrah, where the mazy streets of the Muttrah Souk lie near the waterside corniche promenade. Read more on North Africa and the Middle East travel: Nizwa Nizwa is an ancient city known for its fort (Getty Images/iStockphoto) Once a religiously conservative and isolationist city, Nizwa has developed into one of the main tourist destinations in the country. Its religious history is still visible through the many mosque domes and minarets, while honey-hued sarooj buildings (made from clay-like soil) populate much of the rest of the skyline, with the towering Hajar Mountains almost always in the background. The Nizwa Fort, a 17th-century building featuring a 40-metre circular tower, is the citys standout site. The souk, one of the oldest in Oman, is less touristy than those of major Arabian destinations and is mainly dedicated to fresh produce though there is a small section selling crafts and souvenirs. Sur Sur sits roughly 125 miles southeast of Muscat (Getty Images/iStockphoto) A coastal town two hours away from Muscat, Sur is a similarly charming, if less grand, city of white-washed buildings and golden desert hills. Far removed from the capitals busier streets, Sur is a laid-back place that was once well-known for its ship-building, famous for the hand-crafted dhow boats that were made in its harbour (and still are at the Dhow Factory). The citys relationship with the sea can be explored further at the Maritime History, an open-air attraction that houses the Fatah Al Khair, a restored traditional vessel. The citys main landmarks include the 300-year-old Sunaysilah Castle, built at a time when the city was a major centre of trade, and the Al-Ayjah Lighthouse, constructed by the Portuguese and now offering a platform that gives sweeping views of Sur. The city also has its own corniche promenade, a good place for a gentle stroll while taking in views of the sea. Tiwi Beach and Fins Beach offer white sands, pebbles and clear waters less than an hour north up the coast, while the Bimmah Sinkhole is a wild haven surrounded by golden limestone hills and caves. Wadi Shab is one of a series of well-known wadis these are magnificent oasis river valleys that offer hikes and tranquil swimming spots throughout the country, and it lies 10 minutes south of Tiwi. Dhofar and Salalah The region around Salalah is famous for its waterfalls (Getty Images/iStockphoto) The Dhofar region sits on the southern coast in a diverse area of the country. The annual Khareef monsoon changes the arid desert terrain into a verdant landscape of green-topped cliffs and seasonal waterfalls. The area was historically known for its frankincense production, and today the main production area Wadi Dawkah and the ruins of local medieval towns at Khor Rori and Ubar are Unesco-listed as the Land of Frankincense. The region is home to some of the best beaches in Oman, from the white sands of Al Fazayah to the mountainous surroundings of Mughsail Beach (and its famous geysers). Alongside Dawkah, Wadi Darbat is where waterfalls feed into lakes and swathes of dense greenery meet mountain terrain. The capital of the region, Salalah, is the third-largest city in Oman. Visitors can explore the centuries-old Al Hosn souk, a maze of alleyways that adjoins the Sultans Palace, which itself dominates the southern end of the city and lies along its beach, Al Haffa. The birthplace of the late Sultan Qaboos, it is also home to a mosque that bears his name. Khasab and the Musandam Peninsula Musandam Peninsula is locally known as the Ruus Al Jibal (Getty Images/iStockphoto) Spearated from the rest of Oman by part of the UAE, the Musandam Peninsula is the northwestern tip of the Arabian Peninsula, lying between the Arabian Gulf and the Gulf of Oman. The western part of the Hajar Mountains rise steadily from the waters of the Gulf, and the movement of tectonic plates has created a network of khors, fjord-like landscapes that have led to the area being nicknamed the Norway of Arabia. Two of the most well-known are Khor Najd and Khor Al Sham, where arid yellow cliffs and mountains surround blue lagoons. Khasab is the largest settlement in the area and makes a good base for exploring. A small but lively town, it has its own Portuguese-built fort (which houses exhibits on Musandam culture, history and geology), plus a bustling souk and harbour area. Visitors can arrange boat tours and snorkelling trips, and there are also various wild swimming opportunities. Others may wish to set off to explore the Hajar Mountains, including Jebel Harim, the highest peak on the peninsula. Bahla Bahla is known as the Hidden Village' (Getty Images/iStockphoto) Bahla is a fortified town that lies just west of Nizwa, with a seven-mile perimeter wall surrounding it. According to Unesco, Bahla is an outstanding example of a fortified oasis settlement centred around its famous fortress, Bahla Fort. Constructed in the 13th century by the ancient Banu Nebhan tribe, it is a mud-brick structure with stone foundations, intricate battlements and round towers and now a Unesco-listed site. The remaining buildings in this oasis many of which were built with similar unbaked mud brick are along a network of streets lined with palm trees, historically linked to the importance of the towns complex falaj irrigation system. Among low-rise arched buildings, covered alleys and the Bahla Mosque, youll find the towns small Old Souq. Smaller and more functional than other markets in the country, theres a focus on food, spices and household items. Close to Bahla lies the Jabreen Castle, another of Omans well-preserved fortifications, this one dating back to 1675. Sharqiya Sands The Sharqiya Sands were formerly known as the Wahiba Sands (Getty Images/iStockphoto) The Sharqiya Sands is a land of rising dunes and sparse desert vegetation, only disturbed by ripples of wind and the movement of Bedouin tribes. The dunes are famed for the varied colours, from gold to shades of deep orange, and their height, with some rising up to 100 metres tall. The region is also home to several oases and a surprising range of flora and fauna, and its a popular place to camp. The area is located between Muscat and Sur, and can make an ideal overnight stop if travelling between the two cities. Activites in the dunes include buggy rides, 4x4 safaris and camel rides. You can visit Bedouin sites, which provide an insight into the traditions of these nomadic groups while offering a range of accommodation (including sand houses and private tents). Read our reviews of the best winter sun hotels This is the moment armed Russian naval inspectors storm a cargo ship in the Black Sea after the vessel allegedly failed to stop when told to by a Kremlin warship. Moscow said it fired warning shots at the Sukru Okan after it failed to respond to a demand for it to halt on Sunday. In the video, released by Moscow, the servicemen make their way down a corridor carrying automatic weapons. They enter the vessels bridge and question the captain on why the ship had not stopped. It appears the vessels failure to stop may have been caused by a language barrier. A Black Country pub called The Tilted Barrel - where pool balls are said to roll uphill - is now Britains wonkiest boozer following the loss of the Crooked House. The 200-year-old pub, in Tipton, West Midlands, is just five miles away from its demolished counterpart which caught fire on 5 August. It is also wonky due to mining subsidence but unlike the Crooked House, the site is Grade II listed, which will help protect its future. Landlady Haych Mann, 38, who took over The Tilted Barrel in February, said it was bittersweet to take on the mantle of Britains wonkiest pub. She says she had to move the pool table out of one lopsided room, where balls rolled uphill, just like they did in the Crooked House. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the View from Westminster email {{ #verifyErrors }} {{ message }} {{ /verifyErrors }} {{ ^verifyErrors }} Something went wrong. Please try again later {{ /verifyErrors }} Im no fan of the Republican Party, but Im thankful that Fulton County DA Fani Willis is about to save it. America works better when it has two semi-functioning political parties, rather than what weve had since 2016 one traditional political party, and one dumpster full of racist raccoons worshipping at the feet of Donald Trump. Its not that we need complete unanimity on every issue, we just need our political response to tragedies like the wildfires in Hawaii to be a consensus on helping victims, without a sizable group of red-hatted mouth-breathers farting out conspiracy theories about space lasers and Hunter Bidens laptop being what set them off. My country has never been the soberest voice in any given room, but as Trumpism has seeped into our bloodstream like sweaty hair dye oozing from Rudy Guilinis brow, weve suddenly become the town drunk, toilet-tweeting our inchoate rage into the ether, unaffected by facts, reason, responsibility, or any of the other basic human things youd find in any childrens book (if you were still allowed to read them, that is). The smart Republicans understand that their emperor is naked, but with the very minor exception of Chris Christie, whose lungs are still scarred with the Covid that Trump spat on him during the 2020 debate prep, none have had the courage to cough up any real criticism of the man. And this is why Fani Willis is the hero the Republican Party has been looking for. Her sweeping RICO indictment of 19 co-conspirators has the potential to excise a sizable portion of the misshapen mole spreading across the nose of the Republican Party. In 98 bold-faced pages, she not only diagnoses the disease, she offers a cure, both for her country, but also for the party currently vilifying her. The names on this indictment read like the credits of an under-viewed Battle of the Network Stars, except instead of Klinger from MASH and one of Suzanne Somerss replacements from Threes Company, we have the likes of traffic court lawyer Jenna Ellis and leopard-printed, Dr Pepper-enthusiast Sidney Powell. Imagine The Avengers with zero super powers and a carbon-monoxide leak on the set. Here are some of the highlights, such as they are: Mark Meadows The founder of the Freedom Caucus (the Orwellian-named collection of congresspeople who prefer wearing AR-15 lapel pins to actually helping their constituents), Meadows is one of a long line of Trumpists who think theyre smart enough to use Trumpsim for their own ends. Mark Meadows looked at the Chief of Staff position that had ground down Reince Priebus, John Kelly, and Mick Mulvany into the useless pencil nubs you find in your kids backpack at the end of the school year, and said, Yeah, Ill take that job! Meadows, like a lot of traditional Republicans who readjusted their orbit around the Orange Gas Giant, made the mistake of confusing his cynicism for wisdom. Sidney Powell What can you say about Sidney Powell that hasnt already been babbled out by a fanatical snake handler, delirious with venom, as he slowly expires on the floor? The woman behind the famous release the Kraken (and were STILL waiting, Sidney), Powell lives on Mars in the castle Dr Manhattan built in Watchmen and only comes to earth long enough to whisper lunacies so fanciful that even the most hardened members of Trumps inner circle thought she was crazy. Jenna Ellis A former traffic court lawyer, Jenna has, in recent months, defected to team DeSantis, which is, of course, the equivalent of being rescued off the Titanic by the Hindenburg. Before that, though, she was a major voice on the election-denial team Trump put together, a truly MAGA combination of wine oclock mom bromides, bible quotes, and a complete lack of any understanding whatsoever of constitutional law. Rudy Giuliani A man that a lot of Americans admired after 9/11, Rudy Giuliani has completely sold out his legacy in order to become a remora fish in a boxy suit, mindlessly devouring whatever crumbs of power Trump sloughs off for him. A frothing goblin man, Giuliani needed Trump to stay in power because that was the only way for the increasingly chaotic former mayor to keep any relevance whatsoever. These and the other co-conspirators make up the core of Trumpism, which is best defined as a tossed-together summer salad of cynical ambition, desperation, incompetence, and moon-man insanity. What Fani Willis has the chance to do here, then, is not just put Trump away, but to yank a large chunk of the dark tumor of Trumpsim out of the Republican Party. If shes successful, shell not only have won a victory for the rule of law and American principles, shell have done more than any other person alive to return some semblance of respectability back to the Republican Party. 20 years from now, if theres still a thing called the Republican Party, theyll have Fani Willis and this indictment to thank for their continued survival. Jay Black is a comedian, writer and actor from New Jersey. Michael McGrath needs to get his Budget right. Photo: Frank McGrath The Minister for Finance, Michael McGrath, says he has asked for a full account of the tech blunder at Bank of Ireland on Tuesday that locked customers out of accounts and sparked a race by thousands of people to ATMs to pull cash. Minister McGrath said his department has sought answers from the Central Bank. I have asked the Central Bank of Ireland to establish a full account of what happened, why it happened and what will be done to avoid a repeat. My officials have been in contact with the Central Bank of Ireland and Bank of Ireland today." He has also sought a review of wider banking technology infrastructure. Queues at Bank of Ireland ATMs as customers with no money withdraw up to 1,000 in cash Given our growing dependence on technology for the delivery of financial services, I have asked my officials to engage with the Central Bank on its assessment of the robustness of this Bank of Ireland incident, and more broadly the robustness of the technology systems used by regulated, customer-facing financial service providers here in Ireland, and whether any further steps are required to reduce the risk of outages that impact on customers. The Minister said banks and other financial service providers must ensure continuity of service for their customers. "This is vital for the normal functioning of our society and our economy. Disruption to banking services can have a significant effect on peoples personal lives and on the running of businesses. Customers rightly have an expectation of a high quality of service and to be able to have uninterrupted access to services, he said. Booking.com wants airline boss to submit deposition in US court case brought over alleged screen-scraping of fares Lawyer for Booking.com have blasted Ryanair chief executive Michael OLeary and the airline for what they insist is a concerted scheme to eliminate competition from travel agents. They claim he is the general in a war he is leading against travel agencies. They have asked a Delaware court to force Mr OLeary to make himself available for deposition in an ongoing legal case and for Ryanair to produce documents that Booking.com says it has so far refused to provide. Ryanair has sued Booking.com and its subsidiaries including Kayak and Priceline in the United States for alleged screen-scraping of its fares. Screen-scraping involves a third party accessing an airlines website and often offering that airlines fares to its own customers via its own website. Misleadingly cloaked as a computer fraud case and filed in the United States, where Ryanair does not even fly, the lawsuit is really an anti-consumer tactic by Ryanair to limit travellers choices and destroy competition, lawyers for Booking.com have told the judge hearing the case. Last month, the lawyers requested that a number of Ryanair executives make themselves available for deposition on dates this month. Mr OLeary was requested to give a deposition on August 23. But Ryanair has refused to provide documents in Mr OLearys possession, or to make him available for deposition. Lawyers for Mr OLeary said in an email to the defendants last month that they have failed to explain why they believe they are entitled to know the sources of documents that exist in Mr OLearys possession. They added that Mr OLeary lacks unique or superior knowledge of facts relevant to the disputed issues in this litigation compared to other lower-level employees. Today's News in 90 seconds - August 16th But Booking.coms legal team have insisted they are entitled to relevant documents in Mr OLearys possession and to depose him. Mr OLeary is the leader and public face of the Ryanair airline and he has made countless public statements in that capacity on topics of central relevance to this litigation, they said. Without seeing his documents, we cannot know the precise metes and bounds of his involvement in every issue. Ryanair has even claimed that Mr OLeary does not have an email address, use email or dictate emails. In the letter to Judge William Bryson last week, the Booking.com lawyers said that Mr OLeary has personal knowledge and involvement in key issues related to the claims and counterclaims in the action. Mr OLearys malice toward travel agents and statements that eventually we will shut them down reflect Ryanairs true motive behind its CFAA [Computer Fraud and Abuse Act] claims, its defamatory statements about [the] defendants and its interference with defendants customers, they insisted. Last week, a group representing online agents including Booking.com, sent an open letter to UK regulators claiming the airline could be in breach of UK data protection rules due to the amount of data passengers whove booked a Ryanair flight with the agents are required to provide to the airline in order to be able to access their booking details and check-in. Ryanair retorted that the passengers are being duped and overcharged by a group of online travel agents who unlawfully scrape Ryanairs website. Massive technology break-down allowed customers with no money in accounts to access fundsBank said this morning that the mobile app and 365online are now working again Queues at Bank of Ireland ATMs as customers with no money withdraw up to 1,000 in cash Bank of Irelands mobile app and 365 Online services have been restored after a massive technology breakdown allowed customers who have no money in their accounts to get access to funds. This prompted huge queues at ATMs around the country last night, with people mistakenly believing they were getting free cash. In some towns, the queues were so big that gardai were deployed to control them. Bank of Ireland said this morning that its mobile app and 365 Online had now been restored and it apologised to customers. It said in a statement: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. "These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. The incident is a massive embarrassment for the bank. The fault with the online app allowed people who had no money in their account to transfer up to 500 into a Revolut account. Some people claimed they were able to get access to 1,000, but the bank insisted the daily withdrawal limit had been 500. Once people use their Bank of Ireland app to transfer the funds to Revolut, they could then withdraw the cash from the Revoult account through any ATM. Huge queues at ATMs in Dublin, Limerick, Dundalk and other parts of the country were reported yesterday evening as people took advantage of the screw-up to withdraw cash from their Revolut accounts. There were reports in Dundalk of gardai having to control crowds at ATMs in the town. In a statement today, a Garda spokesperson said that decisions to deploy gardai to ATMs were taken at a local level. The spokesperson said: On a case by case basis, local decisions were made depending on the public safety and public order presented to members of An Garda Siochana. An Garda Siochana remind people of their personal responsibility in carrying out their personal banking. The frenzied withdrawal of cash was despite warnings on social media that there was no such thing as free cash and the money would have to be repaid. The glitch comes after bank reported half-year profits of more than 1bn last month. Bank of Ireland insisted that any money taken from accounts where there were no funds would show up as a debit, and essentially be treated as an unauthorised overdraft. In June, there was another IT outage at Bank of Ireland but it did not result in people getting access to funds they did not have in their accounts. It is understood a probe will now be conducted into the latest IT blunder, with the Central Bank expected to be involved. The bank said yesterday evening as the glitch became apparent: We are working on a technical issue that is impacting a number of our services including our mobile app and 365 Online. We are working to fix this as quickly as possible and apologise to customers for any inconvenience caused. We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn. It was the second time on a day that the bank issued a statement. Yesterday afternoon it apologised after it was hit with unexpected disruption to its mobile app and online services. A spokesperson for the Central Bank said this morning: The Central Bank continues to monitor the situation regarding the availability of certain services provided by Bank of Ireland. We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers, and that it is doing all it can to ensure customers expectation of a high quality, uninterrupted service is met. Customers should contact Bank of Ireland in the first instance if they have concerns about their service. For more information, impacted customers should contact Bank of Ireland on 0818 214 365 or visit www.bankofireland.com. Lead singer of The Killers booed after bringing Russian fan on stage in Georgia The Killers have issued an apology after singer Brandon Flowers told fans in Georgia to see a Russian audience member as their brother. The Las Vegas-formed rock band were performing at the Black Sea Arena in Batumi, Georgia, as part of their Imploding the Mirage tour on Tuesday when frontman Flowers made the comments. Towards the end of the concert, the group invited a Russian fan on stage to play drums with them for their 2006 song For Reasons Unknown. The band have done this on several occasions while touring around the world. In clips shared on social media, Flowers was seen telling the crowd: We dont know the etiquette of this land but this guys a Russian. You OK with a Russian coming up here? While there were some cheers in the crowd, many booed and shouted: No. Singer Brandon Flowers of The Killers Georgia gained independence from Soviet rule in 1991, and, in 2008, Russia invaded Georgia. Tensions have been heightened following Russias invasion of Ukraine last year, which has led to many Russian people emigrating to Georgia. As a result, the people of Georgia are largely pro-Ukraine. The Killers then performed the song, which featured on the album Sams Town, with Flowers telling the crowd after: You cant recognise if someones your brother? Hes not your brother? We all separate on the borders of our countries? Im not your brother? Am I not your brother, being from America? Repeating this, Flowers said that the band had the ability to bring people together, adding: Tonight, I want us to celebrate that were here together, and I dont want it to turn ugly. I see you as my brothers and my sisters, he continued, before introducing the next song. According to local news, the comments prompted walk outs among the crowd, with one fan heard shouting: This is Georgia! The Killers apologise after crowd boos singer for bringing Russian fan onstage at concert in Georgia After the show, The Killers released a statement on Twitter addressing Flowers comments. Good people of Georgia, it was never our intention to offend anyone! they wrote. We have a long-standing tradition of inviting people to play drums and it seemed from the stage that the initial response from the crowd indicated that they were okay with tonights audience participation member coming on-stage with us. The band continued: We recognise that a comment, meant to suggest that all of The Killers audience and fans are brothers and sisters, could be misconstrued. We did not mean to upset anyone and apologise. We stand with you and hope to return soon. While several fans replying to The Killers post commended them for trying to unite people with their music, others accused the band of cultural insensitivity. Others took issue with the statement for suggesting Flowers brothers and sisters comment might have been misconstrued. This could have been a decent acknowledgment of a mistake, condemnation of Russias war crimes in and occupation of Georgia and Ukraine, and support of our territorial integrity and sovereignty, Katie Shoshiashvili, a journalist based in Tbilisi, Georgia, wrote. But instead we got a response with no mention of Russia at all. Stevie Nicks says Christine McVie would have loved Daisy Jones & The Six (Ian West/PA) Stevie Nicks says watching musical drama series Daisy Jones & The Six was very emotional and that the late Christine McVie would have loved it. The Amazon Prime Video series stars Riley Keough the granddaughter of Elvis Presley and is based on the 2019 novel of the same name by Taylor Jenkins Reid. The book tells the story of a 1970s band, their final show and subsequent breakup, and is loosely inspired by British/US rock band Fleetwood Mac. Posting on social media, Nicks praised Keoughs performance and said the series made her feel like a ghost watching my own story. Just finished watching @daisyjonesand6 for the 2nd time, she said. In the beginning, it wasnt really my story, but Riley seamlessly, soon became my story. It brought back memories that made me feel like a ghost watching my own story. It was very emotional for me. I just wish Christine could have seen it. She would have loved it. Hopefully it will continue. McVie, responsible for Fleetwood Mac hits including Songbird, You Make Loving Fun and Little Lies, died in November at the age of 79 from an ischemic stroke. She was a later addition to the line-up and performed alongside Mick Fleetwood, Lindsey Buckingham, her husband John McVie, and Nicks. Wolf howls in chaos, but winds its way to a gripping conclusion Annes Elwy as Lucia Anchor-Ferrers in the gripping, twist-packed finale of Wolf on BBC1. Photo: BBC/Hartswood Films Ltd THIS REVIEW CONTAINS MAJOR SPOILERS FOR THE FINAL EPISODE OF WOLF A big, juicy twist in a thriller can go a long way toward compensating for any weaknesses, and Wolf (BBC1, Tuesday) certainly had its fair share of weaknesses. For one thing, it would probably have worked better at five episodes instead of six, which made it a little flabbier than it needed to be. I could also have lived with less of Sian Reese-Williamss sneering tough cookie DI Lincoln, who gradually became something of an irritant. A little less fuss and a little more clarity would have improved Mondays penultimate instalment, which was, if not entirely incomprehensible, then at least unnecessarily murky and muddled. The tonal shifts from horror to black comedy to knockabout farce and whatever you fancy yourself were wild and divisive. The same was true of the acting styles on show. Wolf boasted the finest cast weve seen in a British TV series in quite a while, yet it often seemed like the director was giving them different instructions on how to play the whole thing. It was only as we neared the end, however, that we realised thered been a method in all this madness. Wed already had one big surprise in episode four, when it was revealed that Honey (Sacha Dhawan) wasnt really a psychopath, merely a struggling actor whod been paid a lot of money by a mystery man to behave like one and scare the living daylights out of the Anchor-Ferrers family he and his companion Molina (Iwan Rheon) were keeping captive in their home. This would explain why Dhawan a very good actor playing a very bad actor playing a maniac went further over the top than a Johnny Sexton conversion. But there were even more dramatic rug-pulls to come in Tuesdays finale, which packed in more twists than youd encounter riding the worlds biggest rollercoaster. Lucia had been deeply disturbed since childhood and once killed a cat for kicks. The murders were in revenge for Hugo and Sophie bullying and tormenting her The most gobsmacking reveal of all was that Molina and Lucia Anchor-Ferrers (Annes Elwy) were the Donkey Pitch killers whod murdered teenagers Hugo and Sophie Lucias sister five years earlier. Lucia had been deeply disturbed since childhood and once killed a cat for kicks. The murders were in revenge for Hugo and Sophie bullying and tormenting her, including locking her in an animal cage. Her parents, Oliver (Aidan Teale) and Matilda (Juliet Stevenson), knew what happened but chose not to turn their only surviving daughter in to the police. Instead, she was sent to a psychiatric institution, where she met and fell in love with Molina a match made in hell. Their plan, in which Honey had been a dupe, was to force Oliver to hand over money. Lucia knew he intended to leave everything to her absent brother. After that, theyd kill both parents. Unfortunately for them, but more unfortunately for Oliver, he dies of a heart attack before the bank transfer can be made. Its the clueless Honey who discovers the horrific truth after he investigates the strange smell coming from the Anchor-Ferrers basement and finds the body of their housekeeper, who Molina had killed to prevent her from entering the house (that explains the intestines in the trees). He vainly tries to help Matilda, whos still handcuffed to a radiator, to escape. She doesnt believe him, though, and fatally stabs him in the neck with a piece of wire. Poor Honey! In the meantime, DI Jack Caffery (Ukweli Roach) has also twigged that Lucia is one of the two murderers and makes his way to the house. Molina tries to stab him, but Jack overpowers him and strangles him. He then encounters Lucia, who pretends shes going to jump out of an upstairs window. While taunting Jack, however, she loses her footing and plunges to her death. Jack then rescues Matilda. Wolf, which often felt chaotic and liable to shoot off the rails completely, eventually revealed itself to be a fiendishly clever, if far-fetched, thriller. Writer Megan Gallagher, adapting the late Mo Hayders novel, did a first-class job of blindsiding the audience. There was one final twist regarding Jacks missing brother, which suggests Wolf will be back. The Glanbia plc board has announced that Siobhan Talbot has notified it of her intention to retire from Glanbia plc following ten years as Group Managing Director of the Company. Siobhan will step down from her position and from the Glanbia Board on 31 December 2023 and will retire from the Group in January 2024. Hugh McGuire, currently Chief Executive Officer (CEO) of Glanbia Performance Nutrition (GPN), will be appointed CEO of Glanbia plc and join the Glanbia Board as an Executive Director effective 1 January 2024. Siobhan Talbot joined the Group in 1992 and held a number of senior positions, including Group Finance Director, prior to her appointment as Group Managing Director in 2013. Under Talbot's leadership, the Groups business model has been reshaped towards growing and higher margin consumer branded and ingredient nutrition markets worldwide. In 2022, Glanbia sold its remaining stake in Ireland's largest dairy business to the farmer-owned Glanbia Ireland, now called Tirlan. Hugh McGuire joined Glanbia in 2003 and held a range of senior leadership roles across the Group, residing in the US for nine years, during his career with the Company. Donard Gaynor, Chairman of Glanbia plc said the Board would like to express its deep gratitude to Siobhan for her leadership and contribution to Glanbia over the past ten years as Group Managing Director. "A deeply principled and values driven leader, Siobhan has provided outstanding strategic direction to Glanbia, reshaping the business and its culture to become a clear leader in the world of better nutrition," she said. He also said the CEO appointment was the conclusion of an extensive selection process led by the Boards Nomination and Governance Committee with the support of an executive search firm. Following this process, he said the Board is delighted to appoint Hugh McGuire as CEO of Glanbia effective 1 January 2024. "Hugh has led the growth and evolution of GPN with unrelenting focus to become a global leader and a key earnings generator. He combines a passion for our business and our served markets with entrepreneurial flair and a growth mind-set. I have known Hugh since I have joined the Board and I know he is committed to Glanbias success and is the ideal leader to take us through to the next phase of our growth and evolution," he said. In 2016, when milk prices went to unsustainable levels, the EU Commission introduced a payment of 14c/kg of milk for every litre less a farmer produced compared to the previous year. With the milk price at breaking point a repeat of the 2016 Temporary Milk Reduction Scheme is on the agenda, according to the ICMSA. ICMSA President Pat McCormack said a repeat of the temporary Milk Supply Reduction Scheme last introduced in 2016 must now be considered and is already on the Commissions agenda. Several dairy farmer representative groups in the EU have already approach the EU Commission about the possibility of such a re-introduction, he said. Current milk prices are not sustainable and there was nothing on the market horizon that indicated the kind of upswing required if prices were going to move upwards at a rate that restored some degree of viability to milk production. None of this, he explained, was to excuse the processors from their lamentable failure to work the figures and roll-out fixed price schemes that would tide their suppliers through to the market restoration that would eventually come. But he said that ICMSA was becoming very struck by the similarity in circumstances between the current situation and those that applied in 2016 when the EU deployed a voluntary and temporary supply reduction scheme that worked successfully to put a floor under a below-the-costs-of-production milk price and initiated a very successful market restoration and steady series of price improvements. Milk processors and their representative organisations are very good at preaching sustainability to farmers, but since January 2023, milk prices have fallen to levels well below the EU average and to a level where many dairy producers are now producing milk below the cost of production. "That wipes out dairy farmers and highlights the fundamental contradiction at the heart of the sustainability debate: if youre not economically sustainable then every other type of sustainability becomes irrelevant. The contradiction is stark and cant be circumvented; if the people demanding sustainability cant or wont pay a sustainable price then this becomes a meaningless exercise. Thats where we were in 2016 and thats pretty well exactly where we are now in 2023. This is the Breaking Point for dairy farmers, and we are here again, he said. In 2016, when milk prices went to unsustainable levels, the EU Commission introduced a payment of 14c/kg of milk for every litre less a farmer produced compared to the previous year. McCormack said the measure had been spectacularly successful. Within weeks of its introduction, milk processors in Ireland went from a scenario of talking down prices to actually increasing milk prices the immediately following month. It gave farmers the option to dry off cows early, reduce theirs costs and critically it forced the processors to pay a realistic price for milk that returned a level of margin to farmers. "Processors need milk volumes for their processing plants and customers and the scheme compelled the processors to confront the truth that they cannot expect farmers to continue to produce that milk at a loss it forced the processors to pay the price that guaranteed their supply of milk. Tourist line up at the Molly Malone statue is Dublin's Suffolk Street. Photo: David Conachy The iconic Molly Malone statue in Dublin has been vandalised with black paint daubed across her front. The statue, which is located on Suffolk Street in the centre of Dublin, is a popular draw for tourists who have their photo taken with it. Dublin City Council told independent.ie: We can confirm that the Molly Malone statue has been vandalised with black paint. We will be removing the paint as soon as possible. The motive for the attack is unclear, however a council source said it was different in character from repeated attacks on street art featuring the legendary Dubliners vocalist Luke Kelly. Mollys polished bronze front features in postcards of Dublin and she is seen as an embodiment of the city. Molly Malone | A Brief History The famous fishmonger has been dubbed the tart with the cart ever since the life-size creation, by sculptor and artist Jeanne Rynhart, was first unveiled at the bottom of Grafton Street. It was inaugurated by Lord of Mayor of Dublin Ben Briscoe who died this year to mark the citys millennium in 1988. It was subsequently moved from Grafton Street because of works to facilitate the Luas in 2014 and now stands in Suffolk Street. The intention is to return Molly to the bottom of Grafton Street, but various complications to the plan have arisen. The statue underwent restoration at the time of the transfer, with the stated intention to bring her back to her original dark brown colour, but leaving the areas where shes been rubbed over the years. The statue has been vandalised in the past, including in 2014 when it was sprayed with red paint. The statue portrays Molly as a young woman in 17th-century dress, complete with a cart on which are to be seen baskets of the cockles and mussels she supposedly traded in Dublin. It has also been alleged that the related song, which emerged in the 17th century, used fishmonger in the Elizabethan sense employed by Shakespeare to convey that she was actually a sex worker. So much cash was being handled in a property that is suspected of being controlled by a main player in the Black Axe fraud organisation that steel doors have been erected at the house for protection, the Irish Independent can reveal. Gardai were deployed to the Bank of Ireland branch on Clanbrassil Street in Dundalk last night Garda Headquarters has defended the decision to deploy personnel to some ATMs across the country after receiving over 40 calls concerning gatherings at cash machines. A small number of public order incidents were reported last night while gardai were also alerted to an incident of assault and robbery at one ATM. It came after large crowds gathered at ATMs in locations including Dublin, Limerick and Dundalk as people took advantage of a glitch with the Bank of Ireland app and online services. The major technological breakdown - which has since been resolved - allowed customers who had no money in their accounts to access funds and transfer it to their Revolut accounts before withdrawing the cash. Queues at Bank of Ireland ATMs as customers with no money withdraw up to 1,000 in cash Last night footage emerged of gardai manning ATMs in Dundalk while other images showed gardai patrolling areas where large queues had formed. This has led to criticism of the decision to deploy gardai to ATMs with Labour Party TD Aodhan O Riordain saying there is "something unnerving" about gardai being involved in "protecting" the cash machines. In a lengthy statement this evening, Garda Headquarters said that it became aware of an "unusual volume of public activity" at some ATMs across the country. "Gardai received in excess of 40 calls across our four Regional Garda Control Rooms, between 8pm and 12 midnight, from members of the public primarily concerned as to the level of public activity taking place and crowds gathering at ATMs but also including small number of calls at ATMs relating to public order incidents and one call in relation to an assault/robbery," the statement said. It added that "events last night involved a complicated set of circumstances involving large sums of cash in circulation, in some instances large crowds and queues gathering and a developing and escalating situation. "In response to this dynamic and evolving situation and to ensure public safety, decisions were made locally on a case-by-case basis depending on the circumstances presenting to control rooms and operational members." The statement added: "This is the reality of day to day operational policing." Earlier Bank of Ireland said it was aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. "These transfers and withdrawals will be applied to customers' accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us," Bank of Ireland said in a statement. A MAN charged with assault causing harm to a young man who suffered fatal slash injuries to his throat from a broken bottle has been remanded in custody. Aaron Babbington (29) is charged with assault causing harm to Jason Butler (32) in Cork city centre on June 14 last. Mr Butler sustained serious injuries to his throat in an alleged incident between St Patrick's Street and the Grand Parade at 7.30pm that evening and died two days later in Cork University Hospital (CUH). The 32 year old was originally from Castleredmond in Midleton. Babbington of Churchfield Avenue, Cork first appeared before Cork District Court on June 16. He was charged with assault causing harm to Mr Butler and was remanded in custody. Judge John King was told that gardai are still awaiting further instructions from the Director of Public Prosecutions (DPP) in relation to the matter. Sergeant Gearoid Davis applied for Babbington to be remanded in continuing custody until such detailed directions are obtained. Babbington appeared before the court by video-link. Today's News in 90 seconds - August 16th While the courts are closed for the month of August, remand court hearings take place on Mondays, Wednesdays and Fridays. When Babbington first appeared before Cork District Court, gardai objected to bail on the basis of the serious nature of the charge. Det Garda Patrick Russell previously gave evidence of arrest, charge and caution. He said that Babbington made no reply when the charge was formally put to him under caution. Det Garda Russell said the gardai had strong evidence in the matter including witness statements and CCTV security camera footage from premises in Cork city centre. The court was previously told that the alleged incident was captured on CCTV security camera footage. He said that the alleged incident happened in broad daylight in an area with a large number of pedestrians present, including children. The court further heard that alcohol consumption was a factor in the matter. Babbington was arrested by gardai in Cork city centre on June 14, a short time after the alleged incident. Mr Butler passed away at Cork University Hospital (CUH) two days after he sustained his injury despite the desperate efforts of doctors to stabilise his condition. A previous court hearing was told he had been stabbed in the neck with a bottle. He was residing at SVP Deerpark House Hotel in Friars Walk in Cork. This is a support service which assists people as they attempt to move on from homelessness. A priest has promised a council planner that if she grants planning permission for a new church car-park it will bring her many blessings. Fr Robert McNamara, parish priest of Lisdoonvarna, Kilshanny, Toovahera and Doolin in Co Clare, made the pledge to the planner in an email where he said he was perplexed why Transport Infrastructure Ireland (TII) would object to his plans for a new car-park to serve a little architectural gem of a church in the Burren. Fr McNamara has lodged plans with Clare County Council for the car-park adjoining the historic 1878 Church of our Lady of Lourdes at Toovahera, Kilmoone West, Lisdoonvarna. The car-park scheme is aimed at eliminating the on-road parking during mass times at the church, which has become in demand for smaller weddings. However, in a submission, TII told the council that the proposal was at variance with national policy in relation to the control of frontage development on or affecting national roads. TII contends that the planned car-park by itself, or by the precedent which a grant of permission for it would set, would adversely affect the operation and safety of the national road network. Today's News in 90 seconds - August 16th It also states that the proposal, if approved, would have an adverse impact on the national road. In response, Fr McNamara told the council that he, along with the parish council and parish finance committee, were perplexed by TIIs objection on the basis of health and safety. This seems so ironic as we are trying to enhance health and safety, he said. Fr McNamara addresses a council planner in an email and tells her: I really hope that you do the sensible and decent thing and please uphold our application. I can promise you that to do so will bring you many blessings. Earlier in the email, Fr McNamara said the aim in providing the car-park was to create a safe environment on a busy road by taking cars off the road during mass times and avoiding the necessity for dangerous situations and indeed, fatalities. He said the issue of safety had been thrown into even sharper relief by what he described as the recent sad death of parishioner Gerry OConnor whose motorbike, he said, collided with a car driven by a French tourist with tragic results. Fr McNamara said the church served a vibrant community "who meet for holy mass every Saturday evening, as well as for occasional weddings, funerals and christenings. In response to TIIs concerns, the council has placed the application on hold pending Fr McNamara submitting a road safety audit. The council also requested that Fr McNamara carry out an archaeological impact assessment because the proposed site is located in an area that has a particularly high density of recorded monuments. Asked to comment, Fr McNamara said: We are trying to enhance road safety. He said he remained confident that planning permission would be granted, adding: Because it is a good endeavour at aiming to improve road safety, I trust in the Lord that everything will turn out OK. Fr McNamara also praised the generosity of local couple Patrick and Frances ODonohue for donating the site for the car-park. Broadcaster insists report makes clear his income for 2020 and 2021 is same as figures that were publishedReport finds on balance of probabilities RTE wanted to make presenters pay look less than 500,000Grant Thornton report cites significant deficiencies in internal management controls. RTE chair admits siloed management culture prevailedRTE Trade Union Group gravely disturbed by findings of report The controversy first arose in relation to the understatement of Ryan Tubridy's pay Ryan Tubridy has welcomed the publication of the Grant Thornton report into payments made to him by RTE and says he hopes it can help restore the confidence and trust of his colleagues and listeners. Mr Tubridy said the report made clear his income from RTE in 2020 and 2021 was the same as the figures published as his earnings by RTE. The investigation by Grant Thornton found that RTE adjusted the publicly stated figures for Ryan Tubridys salary between 2017 and 2019 to make it appear as though he was paid less than 500,000. The Grant Thornton report says that, on the balance of probabilities, the reason for not reporting the correct figure was about making his overall payment seem smaller. This afternoon, the RTE Trade Union Group said it was gravely disturbed by the findings of the latest review. In a statement released following the publication of the report this morning, Mr Tubridy said: I welcome the findings of the Grant Thornton Report, published today. I also welcome the reports findings that I did not claim 120,000 in fees which was due to me in 2020 and that I did not agree with how RTE proposed to account for this decision. It is also clear that my actual income from RTE in 2020 and 2021 matches what was originally published as my earnings for those years and RTE has not yet published its top 10 earner details for 2022. I repeat my offer to publish the details of any future RTE contract. I am committed to re-establishing the confidence and trust of my colleagues and listeners, and I hope that any fair assessment of the findings of todays report will help in this regard. Finally, I want to acknowledge the huge support that I have received in recent weeks from people across the country; many cards and letters, greetings on the street and words of support from people I bumped into meant an awful lot to me and I appreciate them all very much. The Grant Thornton report highlights poor governance including significant deficiencies in internal management controls, failures in the finance function, and a lack of communication from the RTE executive to the board. It also makes clear clear that neither Mr Tubridy nor his agents at NK Management had any involvement in the adjustments for the period 2017 to 2019. 'We'll wait to see what he does about it' - RTE Director-General Kevin Bakhurst on Ryan Tubridy's offer to return payments RTE chair Siun Ni Raghallaigh said: Regrettably, this report confirms our view of the siloed management culture that has prevailed in RTE and supports the decision by the board to initiate an ongoing programme of corrective action. The report paints a picture of poor internal communication and weak processes. She adds: The report identifies specific dates whereby errors could have been corrected but were not and key documents that could have been effectively interrogated but were not. It is also clear from the report that the-then executive did not properly engage with the board on these matters, nor was relevant information provided to the board by the executive or by the auditors. Ms Ni Raghallaigh said the board would be taking on board the issues raised by the report and would be discussing it with RTEs auditors Deloitte. Steps have been taken to ensure there can be no repeat of these failures. Working with director general Kevin Bakhurst, the board of RTE remains committed to an ongoing programme of reform and recovery for the organisation. I hope that the publication of this report represents an important staging point in RTEs rebuilding of trust with the public and stakeholders, she concluded. The Irish Independent last night revealed how RTE ignored its own payroll system to understate Mr Tubridys pay from 2017 to 2019. In total, the figures for the three-year period were 120,000 lower than the public and the Oireachtas were told. Read more RTE ignored its own payroll to understate Ryan Tubridy salary, report shows The Grant Thornton report into the payments notes Mr Tubridy was entitled to a bonus of 120,000 in 2019, but he waived it. Welcoming the reports publication, Media Minister Catherine Martin said it highlights further lapses in governance and failure of proper internal controls and processes within RTE, which are deeply concerning. She has spoken with Ms Ni Raghallaigh to stress the need for urgency in addressing the issues and ensuring robust processes are put in place. This is essential to restore the trust and confidence which is expected of a public service broadcaster. It is also of key importance that RTE co-operates fully with the Oireachtas Committees which have been examining these matters, she said. A copy of this Grant Thornton report will be provided to the Expert Advisory Committee on Governance and Culture so that they may consider its findings as part of their examination of the wider governance structures and culture within RTE, and bring recommendations to ensure best practice is adopted, Ms Martin said. In a statement this afternoon, the RTE Trade Union Group said the report raised questions about corporate governance failures. "The RTE Trade Union Group is gravely disturbed by the findings of the second Grant Thornton Review, the union representing staff said. At a meeting of the TUG Executive today there was a preliminary discussion on the report. The TUG Executive noted the statements of the Chair of the RTE Board and of Minister Catherine Martin. The report gives rise to a number of questions about corporate governance failures, the role of the auditor, Deloitte and critically, for the TUG, the manner in which RTE engaged with its own workers, its trade unions and with the board. Trust is the cornerstone of good governance. It is also at the heart of the relationship between management and unions. We hope that the reviews on corporate governance and HR established by the government will provide the framework for dealing with the fundamental issues in the report. The trust that was shattered will not be easy to rebuild and trade unions will judge RTE by the actions and attitude of the management and Board of RTE from today. It comes as RTE continues to suffer a decline in TV licence fee income. Revenue from the fee fell by another 900,000 in the second week of August. Since the scandal broke, it is now estimated that revenue is down 4.6m. This will have major knock-on impacts on the broadcaster and its ability to produce new content. RTE and Ryan Tubridy are said to be at a make-or-break stage in negotiations about his return to the airwaves. Both sides are due to re-enter discussions this week, with Mr Bakhurst saying things are at a delicate stage. The talks are based solely on the possibility of Mr Tubridy taking the reins again for his 9am show on RTE Radio 1. There is an urgency now to resolving this matter, and I hope we can do so in the coming week or so, Mr Bakhurst said in recent days. Mr Tubridy has let it be known that he wants to get back to work the station, which he has described as his home. The Grant Thornton report today will provide some comfort to Mr Tubridy but it does say he was paid an extra 150,000 years by RTE as part of a deal that involved doing promotional work for Renault. Mr Bakhurst has said he would like to see this money repaid. A Brazilian student has told how he lost 6,000 in a Dublin rental scam after waking to find 12 people in his living room who had fallen victim to the same fraudster. Levi Amarilo was shocked to be woken in his bed in the apartment by a woman who asked him what he was doing in the apartment. When he asked what she was doing there, she told him she had rented the accommodation from a man. On going into the living room with her, Mr Amarilo discovered 12 people from countries including Chile, Mexico, Spain and Brazil, after the Brazilian landlord had given them all keys to move in on the same day. The scammer subsequently fled to Sao Paulo. Mr Amarilo had moved into the apartment only two days previously, having arrived in Ireland to study English, when he realised he had been scammed. He had handed over 6,000 in deposits and rent up front, which he thought would secure him the apartment. Levi and the other victims realised they had been scammed out of a huge amount of money because the property was vacant only temporarily. However, despite the involvement of gardai, the money could not be recouped since the scammer had fled the jurisdiction. Only through the help of his Irish employer a hotel that provided him with a short-term room to stay in and a loan which he repaid, did he and his partner manage to successfully rent another studio, and remain in Ireland. Daft.ie's Rental Price Report 2023 Q2 Each year, hundreds of students are targeted by false landlords and scammers seeking to con them out of their savings. They are offered accommodation units and properties that the scammer either purport to be renting or that dont exist. Mr Amarilo had temporarily lived with his scammer but then moved into the apartment that he thought he would be renting because he needed more space due to his brother joining him in Ireland. He said he trusted the man because they had lived together. "We kind of became friends with this man, went to pubs together in the city centre. "I was asleep [in the new place] and a woman woke me up to ask me who I was. I told her I was renting this place and she said she was too, and said: I think we have been the victim of a con. "I went to the living room and there were 12 or 13 people there who were all victims of this man, Mr Amarilo told RTEs Morning Ireland. This example is why housing charity Threshold and the Union of Students in Ireland (USI) are teaming up for their Scamwatch campaign in an attempt to help students protects themselves from rental frauds. John-Mark McCafferty, CEO of Threshold, said it had become increasingly aware of a surge of rental scams, particularly ahead of the academic period, with students unfortunately being easy targets. In a highly competitive, low supply rental market, scams are on the rise. Its important that students and all renters nationwide are aware of such scams and take the appropriate actions to prevent themselves from falling into these traps. To avoid rental scams, it is key that renters take precautions, such as requesting a written agreement of rental terms and conditions, and using a secure payment method and not cash in hand after the contract has been signed and witnessed in the presence of the other party. Never rush headlong into anything. Scammers do apply pressure, which is one of the tell-tale signs. We strongly encourage any student or renter who is unsure if they are being scammed to contact our advisors for free advice and support, Mr McCafferty said. Laura Harmon, executive director of the Irish Council for International Students (ICOS), said the number of cases involving international students being scammed was growing A study that ICOS carried out last year involving almost 500 international students found that nearly one-in-seven (14pc) said that they had been a victim of an accommodation scam while in Ireland, of whom a quarter were English-language students. These students are often more vulnerable as English is not their first language and they usually dont have relations or friends they can stay with in the short-term while looking for a place to live, Ms Harmon said. Zaid Al-Barghouthi, vice-president for campaigns at USI, encouraged students to reach out to them if they needed help. The USI and member students unions in third-level institutions nationwide are on hand to help, and we urge all students to be vigilant and on their guard in relation to the various and ever more complex rental scams that are out there. "We hope this campaign can serve as a reference for students as they are on the hunt for a place to live. Also, if you recognise a scam, or have been a victim of one, its important to report it to the gardai. Teacher Sarah Power contacted 20 creches to find a place many wouldnt even take her childrens names as they were booked up for years in advance. Photo: Frank McGrath At one point in her search for childcare, Sarah Power had tried 20 creches to get a place for her two-year-old daughter Sophie. The primary teacher, based in south Dublin, has been stepping up her hunt for pre-school care for her daughter and six-month-old son David as her maternity leave nears its end. From tourist taxes to the thorny question of tipping, here are the extras to watch out for on your next trip... Next time you order a toasted sandwich in Italy, be wary of asking it to be cut in half. A tourist at a bar on Lake Como in northern Italy was charged 2 extra for a diviso da meta (or cutting in half charge) for his toasted sandwich. The proprietor of the Bar Pace in Gera Lario, at the northern end of the beautiful Italian water, told an Italian newspaper the fee was to cover the extra cost of washing an additional plate and the extra place mat. How common is this and what other pitfalls await the unwary diner in Italy and elsewhere? Is this cutting-in-half charge unusual? I would be surprised to find an extra fee for a trivial service like this. But businesses around Lake Como are, like many parts of Europe, heavily dependent on tourism. With a limited season in which to prosper, some may see visitors who are clearly only passing through as people they dont mind cheesing off. It is fairly standard to charge slightly more than half the price for a half-sized portion. In the US this is formalised in a number of restaurants as a split plate charge of $5 (4.60) or so. But conversely, if you order a dessert to share, you would probably expect an extra spoon to be offered without any additional charge. Is Italy particularly imaginative when it comes to hidden extras? A per-person charge known as a coperto is common practice at restaurants in Italy and elsewhere. It is usually a couple of euros, and notionally covers olives and bread. In Venice, though, you may need superhuman sight to spot the extras. A coffee in St Marks Square costs anything from 12 to 18, with an extra 6 to pay if the band happens to strike up while you are sitting there. The price list is usually at the back of the cafe to avoid the risk of potential clients seeing it before ordering, reports travel writer and guide Neil Taylor. The highly experienced Italian travel guide, James Hill, warns of other soaring charges that tourists should know about. Prices, especially at the beaches, have gone nuclear, he says. You may have heard about 500 being charged for a day on a Puglian beach with an umbrella and four loungers. Taxi drivers may add a 25pc surcharge after 10pm, which may not always concur with the official tariff. Having said all of that, most enterprises in Italy are entirely scrupulous with great service at reasonable prices. Where else should travellers be careful about hidden extras? Italians as well as many other nationalities are shocked by the UKs charges of up to 7 that motorists must pay for dropping off passengers outside airport terminals. Drinking can also prove unexpectedly expensive. Some tourist bars in Prague have a disagreeable policy where people who are having a couple of beers might be billed for salt, pepper and ketchup. In Vienna, one reader reports a 3 per person charge for background music. What about hotels? Italys imposta di soggiorno, or accommodation tax, is common and expensive. In Venice it is designed to finance tourism, the maintenance of cultural heritage sites and the environment as well as public services. The tax is generally about 1 per star per person per night. So for a week in a five-star hotel a couple would typically pay an extra 70 or so. Rome, Milan and Florence have similar scales. The imposta may be lower in low season but that may only be the month of January. Amsterdam has a similar fee. This year Manchester became the first city in the UK to introduce a charge for an overnight stay, though only 1 per room per night. Nothing, though, compares with the resort fees at some US hotels, which can add $50 (46) to the nightly room charge. President Biden has vowed to outlaw fees that confuse or deceive consumers. When have you been fleeced at a restaurant? Several times for wandering off menu or for ordering something for which you cant see a price quoted. Usually its the fish of the day I have paid an astronomical amount for a tiny fillet on the Greek island of Santorini, and in the Portuguese city of Porto. If youre enjoying the sunset and a glass of wine, you can overlook the wisdom of asking, before you order a freshly caught fish, How much will that cost me? When the waiter says, That all depends on how much it weighs, press the point to check how much your particular seafood dish will set you back. If in doubt, always ask the price before you order. Then theres the thorny question of tipping? Yes, in tourist areas of Italy and elsewhere, if there is a service charge, that must be mentioned but may not be obvious. Such charges are thankfully rare and I tip anything between zero and perhaps 5 though that would be for a fabulous meal and a bill of perhaps 100. Never a fixed percentage, and that applies throughout Continental Europe. Service compris, as they say in France and if you want to leave without tipping, thats just fine. In many parts of the world, including much of Asia and Australia, theres no tradition of tipping. What about in North America? If it moves, it probably expects a tip. Taxi (and Uber) drivers, of course. Bellhops who take your bags to the room. Guides and bus drivers on excursions. And, when eating and drinking, waiting staff (a minimum of 18pc) and bartenders ($1 per drink). This also extends to dining cars on trains, and drinks at airport lounges, though there is no expectation (yet) of tipping airline staff for a safe and punctual flight. Ask Allison: My mother used to comment on how much I eat, and now it has switched to the grandkids. How do I put an end to it? It appears he missed an excellent chance to keep his mouth shut, said Doc Holliday in the film Tombstone. In such cowboy films, the less said the less chance of ending up dead. Former US president Donald Trump did not get where he is today, by being the silent type. But his now-infamous If you go after me, Im coming after you remark is taking on an even more ominous tone as the stakes rise. That they are coming is beyond doubt, with the fourth and arguably most serious indictment now on his head. The posse is hot on his heels. The latest charge arises from the strong-arming of Georgias then-secretary of state, Brad Raffensperger. Mr Trump urged Mr Raffensperger to find 11,000 votes. Mr Trump argues its a question of free speech. Had he not threatened Mr Raffensperger with criminal charges unless he supported the attempted coup, it might have been. Now he stands indicted along with 18 others for an array of crimes related to efforts to sabotage Georgias vote in the wake of his 2020 election loss. The fact that the entire conversation was recorded renders his furious claims of a witch-hunt all the more ridiculous. Mr Trumps heated phone call to Mr Raffensperger gives luminescence to the evidential trail. Lawyers say the conversation is proof that a fraudulent conspiracy took place. The evidence in special prosecutor Jack Smiths two recent federal indictments of Mr Trump is equally strong. Mr Trump would do well to remember just like every other US citizen, it is in the court room, not the court of public opinion, where the evidence will be tested. He still seems intent on testing the rules that apply to tampering with witnesses and intimidating judges. He has falsely accused the judge in the federal January 6 case, Tanya Chutkan, of having admitted shes running election interference against Trump. His claims may be fatuous but they are weaponised to both rally the base, and fill the political atmosphere with paranoia. The result is that the 2024 White House race will take place in a white-hot cauldron of acrimony. Some find it amusing that the roots of the charges against Mr Trump can be traced back to racketeering legislation, drafted to bring down the mob. The stakes are far too high for shallow schadenfreude. This is no longer a confrontation between two political monoliths. The charges against Mr Trump are grave enough to corrode respect for law and democracy in the most powerful country in the world. The stability and future of the United States will also be in the balance when these cases are weighed in the scales of justice. If convicted, and should he go on to win the presidency, Mr Trump has the power to pardon himself on the federal charges. Not so on the fourth indictment, as it is based on State law. Whatever the mood music, the Ray Charles hit Georgia on My Mind is unlikely to feature at his upcoming rallies. Cul na Cathrach from the air, as pictured by Aindrias Moynihan TD, who has posted a video online to show the new section of the bypass opened last week. The sign at the Tun Lan roundabout near Baile Mhic Ire/Ballymakeera, points drivers exiting the N22 bypass to the Cul na Cathrach ambush site, but not to Cill na Martra the nearest village in the Macroom direction on the R618. The residents of Cill na Martra in the Muscrai Gaeltacht have said they are the bye passed village as the new section of the N22 bypass opened last weekend without one sign directing motorists on the 300m route to the mid Cork community. The chairman of Coiste Forbartha Chill na Martra, Gearoid O hEalaithe, said there was no excuse for the omission of Cill na Martra - as well as the villages of Reidh na nDoiri and Beal Athan Ghaorthaidh - from the signage. We are pursuing this issue it is very important that our village is signposted at the very least from the bypass, he said, adding that Cill na Martra will be the location for Comortas Peile na Gaeltachta, a GAA competition involving teams travelling from all over the island to participate, next Summer. The concern of Cill na Martra residents comes as the organisers of a postcard campaign to demand signage for Gaeltacht Mhuscrai and its villages on the by-pass have said their protest is gathering momentum, According to the manager of Comharchumann Forbhartha Mhuscrai, the Muscrai Gaeltacht development co-operative, hundreds of postcards have been sent to Transport Minister Eamon Ryan by local people, whether at home, or abroad since the campaign was launched last week. The campaign was launched as the second part of the bypass, from Carrigaphooka, east of Macroom, to Tun Lan, west of the double village of Baile Mhuirne/Baile Mhic Ire, opened on Friday. Were sending postcards to Minister Ryan to get his support for better signage for Gaeltacht Mhuscrai and the villages on the bypass, said Caroline Ni Nuallain, Comharchumann Forbartha Mhuscrai manager. Weve got locals who are sending them in their droves and people from Muscrai who are on their holidays as well as people with links to the Gaeltacht from the broader diaspora. Its an important issue for us as while the bypass is welcome in many ways, we think its vital for the continued development of Muscrai in terms of tourism, that people know where we are and this is especially the case in terms of motorists on the bypass. The Comharchumann is also seeking meetings with senior Cork County Council and TII officials as the group believes it had an agreement with them since 2021 to have adequate signage in place when the bypass opened. In a report broadcast last week on Nuacht TG4, Cork County Council indicated it wouldnt be erecting additional signs to Gaeltacht Mhuscrai. While the new 8km section of the bypass does feature a small An Ghaeltacht Muscrai sign at the eastern boundary of the Gaeltacht area, it only includes a G in old Gaelic lettering on signs nearer the villages of Baile Mhuirne and Baile Mhic Ire. The absence of signage for Cill na Martra on the bypass or at its exits at Gurteenroe near Macroom or Tun Lan near Baile Mhic Ire sharply contrasts with the abundance of signs for the Cul na Cathrach ambush site at these locations. While these signs are necessary for a significant historic site marking the location of a pivotal War of Indepence engagement in 1921, there is strong feeling locally that living Irish speaking communities should also be adequately signposted. In a statement issued by the Department of Transport, it said that Cork County Council was the body with the responsibility to decide how to interpret the Traffic Signs Manual, which the Department prepares, and which signs to use. At a recent meeting of Macroom Municipal District Council, when the issue was being discussed, councillors were told that the decisions about which signs should be on the bypass rested with Transport Infrastructure Ireland. The blue/grey discolouration on the surface of the Glashaboy River. Photo: Inland Fisheries Ireland. AN investigation has been launched by Inland Fisheries Ireland (IFI), the State agency responsible for the protection and conservation of freshwater fish and habitats, into a potentially serious water pollution incident along a stretch of a river in County Cork. The body was made aware of the incident, on the tidal section of the Glashaboy River downstream from the bridge in Glanmire on Monday morning following calls to its dedicated hotline. An IFI spokesperson said that while initial reports suggested there had not been any fish or wildlife killed, officials would be closely monitoring the situation over the coming days. IFI was first alerted to the incident by multiple calls to our hotline number and staff were on the scene shortly after the first call came in, said the spokesperson. They said the pollution appeared to have impacted a 1-1.5km long stretch of the river, resulting in the formation blue/grey discolouration on the surface of the water. The spokesperson said the freshwater part of the Glashaboy River upstream had not been affected by the pollution incident. IFI staff have taken water samples for analysis. We are not in a position to confirm the specific cause of the pollution incident at this early stage, but our investigations are continuing, said the spokesperson. Inland Fisheries Ireland would like to thank the members of the public who made contact about the incident and would like to remind the general public that they can report instances of pollution, fish kills or illegal fishing nationwide by calling our confidential 24/7 number, on 0818 34 74 24, they added. This is the second investigation launched in Cork by IFI in recent weeks, following what the body described as serious incident in mid-July near Kinsale that resulted in the deaths of at least 2,000 fish. IFI was alerted to that particular incident along a 5km stretch of the Brownsmill Stream flowing into Kinsale estuary following a call from a member of the public who reported seeing dead fish in the stream. The species of dead fish discovered by IFI officers tasked to the scene included brown trout and eel. The IFI spokesperson said their investigation into the fish kill was ongoing. We are not in apposition to make any further comment at this stage, said the spokesperson. Valerie Fahy is a single mother of two children and the family is facing homelessness this weekend. A SINGLE mother living in Ballyhea in north Cork is facing homelessness this weekend after being given notice to leave the house she had been renting for the past three and a half years. Valerie Fahy who is living with her 15 year old son and five year old daughter has been told by Cork County Council to present herself as homeless at the authoritys base in Mallow and that they would see if they could find something for her. Ms. Fahy, who hails from Galway originally, told The Corkman that she had no family in the north Cork area and was desperate to find a home in or around Charleville as her children had all their friends there and were attending school in the town. This is my home, this is real to me its my life, she said. There is no-where for me to go, except to a tent in the park with my two children. My children are starting back at school in a few weeks. My landlord wants the house back for family members. Ive tried in vain over the past few months to find another house. Its now almost the 11th hour and still nothing. Today's News in 90 seconds - August 16th I have been waiting on a decision from Cork Council as to whether my application for social housing has been successful but Im getting no answers from them, even though they know the situation I am in. They are aware I have no living relatives in Charleville. My friends all have families of their own so cannot offer me any help. Ive sent them everything they have asked of me and when I ring, I just get told the same thing that my file hasnt been looked at yet. Theres no homeless accommodation in the area whatsoever and Im petrified Im going to be sleeping in my car or a tent in the park. I feel very vulnerable, and its taken a huge role on my health and the health of my children. My son wont socialise with his friends anymore he is so down and has gone from an A student to doing not so well in exams. Hes doing his Junior Cert this year and my little daughter is just starting; how do I explain to a small child we are going to be homeless? The Corkman has sent a query to Cork County Council seeking responses to query what a person in the position of Ms Fahy should do on being made homeless in north Cork this week. We await a response. In the meantime, a visit to Air BnB and a search for properties in the Charleville area yields more than 120 properties are available in the region which stretches from Adare to Doneraile. This newspaper understands that there are a number of council properties in the Charleville area that are vacant at present but that the process of allocating these properties to people on the housing list is ongoing. While builders, working for the Office of Public Works, recently moved on to a site to the rear of the Charleville Park Hotel on the outskirts of the town to start work on building 68 modular housing units, these are intended for Ukrainian refugees and while the land belongs to Cork County Council, the authority will not be involved in the allocation of the housing. Planning permission is not required for modular housing as it is viewed as temporary accommodation for the specific purpose of accommodating refugees. This newspaper also sought to contact Ms. Fahys landlord but there was no response as we went to press. Cor Chuil Aodha with director Peadar O Riada and Sean O Se at Fleadh Cheoil na hEireann in Mullingar at the weekend. Sean O Suilleabhain of CCE, Lachtain Naofa, Co Cork, 3rd Place All Ireland Winner for the Irish Singing (Men)"Corn Clement Mhic Shuibhne" (12-15 years) at the 2023 Comhaltas Ceoltoiri Eireann All Ireland Fleadh Cheoil in Mullingar. Photographer - Anna Allen Photography Mullingar Hannah Ni Thuama of CCE, Sraid a' Mhuilinn, Co Cork, 3rd Place All Ireland Winner for the Concertina "Dympna O'Sullivan Cup" (Under 12) at the 2023 Comhaltas Ceoltoiri Eireann All Ireland Fleadh Cheoil in Mullingar. Photographer - Anna Allen Photography Mullingar Meadhbh Ni Chathasaigh of CCE, Baile an Chollaigh, Co Cork, 1st Place All Ireland Winner for the Fiddle Slow Airs "Martin Mulvihill Cup" (15 18 years) at the 2023 Comhaltas Ceoltoiri Eireann All Ireland Fleadh Cheoil in Mullingar. Photo: Anna Allen Photography Mullingar Ellen De Burca of CCE, Lachtain Naofa, Co Cork, 1st Place All Ireland Winner for the Whistle "Corn Mhic Pharthalain" (15 18 years) at the 2023 Comhaltas Ceoltoiri Eireann All Ireland Fleadh Cheoil in Mullingar. Photographer - Anna Allen Photography Mullingar THE musicians, storytellers, Irish language comhra experts and sean nos singers of Cork Comhaltas Ceoltoiri Eireann branches such as Lachtain Naofa in Cill na Martra, Cloch na Coillte, Newcestown, Ballincollig, Aghinagh and many others have returned from Fleadh Cheoil na hEireann with a rich haul of awards and medals. The Fleadh Cheoil concluded on Sunday in Mullingar after ten days of competitions and concerts in the home town of Joe Dolan. The Cill na Martra Comhaltas branch, Lachtain Naofa, travelled wth several contenders in different competitions and were heavily laden on their return. Ellen De Burca (tin whistle), Finin O Conaill (Comhra Gaeilge) and Sean O Muimhneachain (Story telling) each won national titles in their competitions, to add to a long list of similar titles representatives of the club have won in the past. For Finin, it was very much a family affair as both his sisters, Meabh and Caitriona won the competition previously. Sean O Muineachain won medals in other competitions such as the Amhran Nua-Chumtha as Gaeilge and the Newly Composed English Language Song. While they werent competing in any competition, Cor Chuil Aodha and director Peadar O Riada and Sean O Se, were the stars of a concert in Mullingar Cathedral on Saturday night before singing at the Fleadh Cheoil Mass concelebrated by a number of bishops on Sunday morning, a Mass which was broadcast live on RTE Radio. The former RTE northern editor Tommie Gorman will deliver the oration at Beal na Blath on Sunday at 3pm. THE former RTE Northern Editor Tommie Gorman will deliver this years oration at Beal na Blath in Mid-Cork on Sunday, marking the 101st anniversary of the death of Michael Collins in the Civil War. The chair of the Michael Collins Commemoration Committee, Ballincollig-based Cllr Garret Kelleher, said they were delighted when Mr Gorman, a native of Sligo, accepted their invitation to speak at this years event. Tommie has reported on many complex and delicate political situations and in so doing, he has earned the respect and trust of all of those with whom he dealt. He has a deep understanding of our history and appreciation of its relevance to the issues which confront us today, said Cllr Kelleher. Mr Gorman said he was surprised but hugely honoured to speak at this years commemoration, which marks the death of Collins, who was killed on August 22nd, 1922, when his convoy of National Army troops was attacked by anti-Treaty IRA at Beal na Blath. I was surprised by the invite for a start it came from left field and Im very conscious of the honour thats involved but also the responsibility because I dont think many reporters have been asked to speak at the ceremony over the years, said Mr Gorman. I would be very conscious of how the outworkings of that period from 1914 and the decade that followed, continues to be at the very heart of political and public life in Ireland. So I am very conscious of the role that Collins and his contemporaries had in shaping our present and our future, he added. Mr Gorman said that when the invite came he was keen to find out why they were asking him. I think its because of the 20-years I spent in Belfast but also the 12-years I spent in Brussels and whatever remarks I make will take into account that experience, said Mr Gorman. My remarks, I hope, will have a consciousness of the all-Ireland dimension but also a consciousness of the European dimension ... our responsibility really as the generation that is building the new Ireland is in taking on the next phase of the challenge that faced Collins and his contemporaries, he added. Mr Gorman will follow in the footsteps of leading political figures such as Micheal Martin; Leo Varadkar, who addressed last years 100th anniversary ceremony; President Michael D Higgins and Enda Kenny and other speakers such as historian, the late Prof John A Murphy and filmmaker David Puttnam. Nine people were rescued this morning by Dublin Fire Brigade (DFB) after a fire at a city centre apartment block. The blaze, which happened in the early hours of Tuesday, is believed to have been caused by an an e-bike battery, it has been confirmed. The incident took place on Francis Street just off Hanover Lane in the Liberties, Dublin 8. People in dressing gowns are seen in images posted to social media, as they watched on in shock. Dramatic rescue Other photos show the flooded stairwell involved after water was used to douse the flames as well as a collection of bicycles. Worryingly, the battery in question was not being charged when it caught fire. A statement from DFB said: This morning nine people were taken to safety following a fire off Francis Street. Damaged stairwell An e-bike battery (not on charge) in a stairwell is believed to be the source of the fire Firefighters are reminding residents to keep staircases clear as they are a primary escape route #BeSafe, they added. E-bike battery fires appear to be on the increase right across Europe, with the BBC reporting that one goes up in flames in London every two days. Bicycles at scene Some tips to prevent battery fires include: Try to use the original battery and charger. If you need an extra, stick to the same brand. Check the battery and battery plug for damage, do not use if there is wear and tear. Also, people are advised not to leave charging e-bikes or batteries unattended. Finally, do not keep your bike near flammable materials, such as house paint. Members of the McShain family, John McShain, left, Rick Horstmann, Harry O'Donoghue, Former Butler Killarney House, Minister Malcolm Noonan and guests on Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family, in recognition for their philanthropic generosity gifting Innisfallen Island. Photo by Valerie O'Sullivan. Minister Malcolm Noonan, Alice McLoughlin, Danny O'Keeffe, James O'Donoghue, John Lalor, joined Members of the McShain family, on Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan. Members of the McShain family, John McShain, left, Rick Horstmann, Polly Bell McShain, Alice McLoughlin, Dan Kelleher, Former Superintentent KIllarney National Park, Danny O'Keeffe, Regional Manager NPWS, and Minister Malcolm Noonan and guests on Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan. Boatman Joe McGann, waiting for Members of the McShain family, and guests to take to Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Members of the McShain family, Rick Horseman, John McShain, right, with Danny O'Keeffe, left, Regional Manager, NPWS, Padruig Brac O'Sullivan, Conservation Ranger, NPWS, Minister for Electoral Reform and Heritage, Malcolm Noonan, John Lalor, Senior Photographer, OPW on Innisfallen Island, Lough Lein, Killarney National Park, to unveil a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan Members of the McShain family including Rick Horsttmann, John McShain, Alice McLoughlin, with Angela McAllen, Gerry O'Grady, Boatman, Joe McGann, on their way to Innisfallen Island, Lough Lein, Killarney National Park, to unveil a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Members of the McShain family: Rick Horstmann, John McShain, and former Butler to MsShain family, Harry O'Donoghue, on Innisfallen Island, Lough Lein, Killarney National Park, to unveil a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan. Alice McLoughlin, Dan Kelleher, Former Superintentent Killarney National Park, Danny O'Keeffe, Regional Manager NPWS, on Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan. Members of the McShain family, Rick Horstmann, left and John McShain, on Innisfallen Island, Lough Lein, Killarney National Park, unveiling a plaque in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family. Photo by Valerie O'Sullivan. The contribution made by the McShain family to Killarney and Kerry will never be forgotten and this week as a mark of gratitude a plaque has been unveiled to the family. Minister of State for Heritage Malcolm Noonan was joined by representatives of Killarney National Park and members of the McShain family for the ceremony on Innisfallen Island. The plaque is in honour of John and Mary McShain, their daughter Sr Pauline and all the McShain family, in recognition for their philanthropic generosity gifting Innisfallen Island the 6th century Historical Abbey and University on Lough Lein to the nation in 1972. The family, who are from the US, further sold Killarney House and its estate to the Irish State for a nominal sum in 1979 on the assumption the land would be incorporated into Killarney National Park. John McShain was born in Philadelphia in 1896. His company became the leading builders in America, responsible for the construction of the most iconic structures in the world - The Pentagon, John F. Kennedy Centre, Jefferson Memorial, Roosevelt Library, Washington National Airport. A devout catholic, his company also constructed the Basilica of the National Shrine of the Immaculate Conception, and of course the reconstruction of the White House (1949-1952). He had strong links to Kerry and to Killarney and it was his generosity that played a key role in developing the tourism assets of the town and country. Louth County Council bough the former church from the Dominican order in 2020 Deputy Fergus O'Dowd at the former Dominican Church. which is set to become the new location for the library. After years of speculation, and recent attempts by local Hindis to gain access for use as a temple, Louth County Council has finally announced its intended use for the former Dominican church building on Wellington Quay. Local Fine Gael TD Fergus ODowd has confirmed that Louth County Council has applied for funding to extend and refurbish the Dominican Church in Drogheda to provide for a brand new state of the art library. The council submitted an application under the Libraries Capital Programme 2023-2027 and whilst there is some way to go before a final decision is made, Im delighted to see my that previous recommendations were taken on board for this historic church to remain in community use and serve as a brand new library, said Deputy ODowd. Our existing library and the wonderful staff continue to serve the town well but it has been clear for some time that we need to modernise and expand the existing services, what better way than utilising an existing landmark within the town. The Dominican Church is also located in the vicinity of the long awaited Westgate Vision Plans so if the library application is successful it will certainly complement any Westgate development into the future. Louth County Council purchased the 18th century St. Mary Magdalen's church from the Dominican order in 2020 when they decided to leave the town after almost 800 years. All applications received under the Libraries Capital Programme are currently being assessed by both the Department of Rural and Community Development and the Libraries Development Unit in the LGMA. Following this, those proposals recommended for approval will then be assessed by the technical staff in the Department of Housing, Heritage and Local Government. It is anticipated that successful projects, including the amount of Libraries Capital Programme funding being awarded, will be announced in Q4 of 2023, he added. Fingers crossed the application will get over the line and we can look forward to a brand new library for our town. Sligos craft beer festival Hagstravaganza saw a crowd of around 1,500 head for Ballymote on Saturday in warm, sunny weather. Many had taken return trips on the train from Sligo to the South Sligo town. The event was organised by The White Hag brewery where a total of 26 different national and international breweries brought displayed more than 70 different craft beers. Ben Kehs came from Deschutes Brewery, USA for the first time and had a great time at the festival and did a lot of business. He said, We were invited through another brewery in USA, and this is something we were looking forward to. Its amazing and the crowd is a testament to Irish peoples love for craft beers. I am very happy and excited to be here, and the crowd is way above our expectations. Beers from Ukraine were very much loved by those in attendance while some felt disappointed for not having the controversial Putin Huilo (Putin is a d**k) on display. Attendeee Mary Lowe from Grange loved the other Ukrainian beers that were available. She said, It is a very nice atmosphere, and they have a very good selection of beers. Also, the weather is complimenting the whole event. I have only been here twice, and I am having good fun. I think its a very good way of socialising. Andriy Korol is the export manager for Ukrainian Brewery Pravda and enjoyd being part of such an exciting event. He said, It feels amazing, and I love being a part of this festival. We are getting very good responses from people. We also met two brewers in The White Hag, who are Ukrainians and its very nice to see that support from Ireland. Unfortunately, the Putin Huilo is not here as we had to import it from our distributors in London. Its also good that its not here as we didnt have enough stock of it to go around. There is a large demand of it that we could not meet at the time. Shane Walsh from Galway said, The event is very well organised and everything fits in so well. I am very happy to be here, and I am going to come again. Its a perfect place to bring your friends and socialise with other people too. The board of the Western Development Commission (WDC), the state agency responsible for the economic and social development of the West of Ireland has appointed a new chief executive with Allan Mulrooney stepping into the role from next month. Sligo native, Mr. Mulrooney has a strong track record in both the private and public sectors and has been acting as Interim CEO at the WDC since December 2022. This comes after Tomas O Siochain stepped down to take on a new position as CEO of Udaras na Gaeltachta. Prior to taking over as Interim CEO Allan held the role of Head of Communications and Atlantic Economic Corridor Development at the WDC for over four years. Preceding this he worked at IDA Ireland, the States inward investment promotion agency that is tasked with growing and sustaining FDI in Ireland. Allan has held several senior positions in private sector companies including the telecommunications sector, in public relations and has long been involved in community building projects across the North West focused on tourism and the growth of micro-enterprises. Gerry Finn, Chairperson of the WDC, said On behalf of the Board, I am pleased to welcome Allan to the role of CEO. He has a proven record of achievement within the organisation and we look forward to working with him to maximise the continued growth of the region. One of his initial tasks will be to oversee the implementation and completion of the WDC strategy Work Smarter, Live Better 2019 2024. Looking ahead to our next strategy which Allan will oversee, the organisation will have a with increased focus on key issues for the region including offshore wind, transition to a low carbon economy and the further digitalisation of the region. Minister for Rural and Community Development, Heather Humphreys TD said: The Western Development Commission is playing a major role in the successful delivery of the Governments ambitious rural development policy, Our Rural Future. Through implementing my Departments flagship Connected Hubs initiative, the WDC is supporting the regeneration of our rural towns and villages by deepening remote working infrastructure across rural Ireland. This work helps ensure that rural Ireland is a great place to live, work, run a business and raise a family. I would like to congratulate Allan on his appointment. I am looking forward to working with him as the Government continues to support regional businesses and create jobs in rural Ireland. A NEW service by Vodafone called Hi Digital Drop-In Fridays will be available at its Main Street Store in Wexford town to enable older people get free practical support on using their phone, tablets and devices. The service is part of a 3m investment programme that Vodafone is rolling out across retail stores to enhance customer experience. In partnership with ALONE, the Vodafone Ireland Foundation is launching Hi Digital Drop-in Fridays in 21 stores nationwide, which will give free dedicated digital support for those over the age of 65, as part of the ongoing Hi Digital programme. However, if some Fridays are difficult, people are still encouraged to visit for support and guidance as normal throughout the week. Launched in 2021, Hi Digital is a programme that provides digital skills training for people over 65, through online and in-person classes across the country. For Hi Digital Drop-in Fridays the stores will dedicate 10 a.m. to 1 p.m. on Friday as a time when older persons can drop in for practical support on using their devices. A network of Vodafone employees have been trained as Hi Digital Ambassadors, meaning they are well equipped to provide these customers with the practical support that they need. Jenny Hayes, Head of Consumer Sales, said: Any questions or support required can be answered, be it, contacting a family member or loved one, paying a bill, or downloading an app, and it will further help us to combat the current digital divide across Ireland. New Ross became the guitar capital of Ireland for the weekend, as guitar lovers from all over travelled to the now annual New Ross Guitar Festival. A varied and eclectic programme of guitarists performed in front of large and appreciative audiences in venues such as St Michaels Theatre, The Church of the Assumption, Rosbercon and Killesk Church, Duncannon. As well as ticketed events with international acts, the festival also included several free events, some busking and pop up performances by guitar students in local cafes and bars. The two main international acts pulled big crowds, with German Reentko Dirks performing a lunchtime recital at Killesk Church and Celili Rafa Kaya from Turkey hitting the St Michael's Theatre stage on Sunday. Both complete masters of their instrument, they certainly lived up to and built upon their reputations before packed New Ross audiences. On Saturday night, the festival paid homage to legendary Irish Trad guitarist Donal Lunny, who was joined by Zoe Conway on fiddle and vocals and Mairtin OConnor on box accordion. A wonderful show, many described it as being up there with the best that St Michael's Theatre has seen. John Walshs Flamenco playing and Colm Linday and his bands rock and funk also proved a hit, while the collaboration of Michael OToole and Musici Ireland string quartet was impressive. Redmond OToole got the festival off to a great start with a haunting performance on his unusual eight-string Brahms guitar. Chair of the Festival, Cllr Bridin Murphy was delighted with the response to this years programme. I am thrilled that the Guitar Festival has really become established on the festival calendar in New Ross, she said. Weve always aimed for world-class performance and this is certainly being achieved. In its first two years New Ross has welcomed guitarists who are tops in their field from Italy, Croatia, Germany, the USA and Turkey as well as Irelands finest. New Ross will quickly become the Guitar Capital of Ireland. Cllr Murphy also thanked the Arts Council, the Arts Department of Wexford County Council and the New Ross Municipal District for their support. A Co Wexford woman has been nominated as the first Irish woman to stand for one of the most senior roles in the IFA, in the upcoming IFA deputy president elections. Alice Doyle from Ballyoughter in north Wexford was nominated by six county IFA branches, including Wexford, to run in the election which takes place in November. Ms Doyle is currently chair of the national IFA Farm Family & Social Affairs Committee and has been very proactive at promoting mental health awareness among farming families. She has also been very active in Macra na Feirme. Ms Doyle said: I am very honoured to have been chosen to stand in the upcoming elections and look forward to meeting IFA members over the coming months. September 12 is the closing date for nominations for the role, with voting taking place for the first time by post this November. Wexford IFA president Jer OMahoney welcomed the news, saying he believes Ms Doyle would make an excellent deputy president. "The voting system has changed this year so it will be quite interesting, he said. You used to have to attend your branch and sign the ballot paper there. There are 75,000 IFA members who will all be getting the ballot paper in the post so they can post their vote in or bring it into their branch. We are expecting a much higher turn out and in Alices case there are so many women who dont attend the meetings youd imagine there would be a silent vote which could be 40pc, so its a great opportunity. He said the vote shouldnt come don to men versus a woman, but added that as this is the first time a woman is running for the role there will be a novelty factor, which will be significant. The central bank is reportedly set to step in after internal disagreements have paralysed the Board of Directors of Wexford Credit Union. In the midst of a bitter internal dispute, in recent days the chairperson, Brian Murphy, has resigned his position. He follows the entire Board Oversight Committee, made up of five people, who stepped down and are refusing to come back until one particular member of the board resigns or is removed from his post. In the absence of an oversight committee and with the chairperson having stepped down, the board is currently unable to sit. This carries serious implications for those waiting to hear back on larger loans or mortgages requiring board sign-off, as they will be left to wait until the situation is fully resolved. While the Central Bank are reportedly engaging with the Board Oversight Committee, if the five stick to their guns and refuse to return to their positions within 30 days, the Central Bank will be forced to step in and a Special General Meeting will be called something which reportedly carries a cost of up to 30,000 for Wexford Credit Union. "Theres a lot of money at stake here," one source said. As it stands the Board of Directors can't sit and cant make any decisions. The central bank has already stated that, in their opinion, a complete review of governance and ethics needs to be carried out. Nobody is in any doubt about the reputational damage that has been done. Another well-placed source within the setup at Wexford Credit Union described the situation as unfortunate. Today's News in 90 seconds - August 16th "Personally, Id hope that things could be resolved, they said. Youre dealing with egos and clashes of personality. The only thing I can say is that there is no question of any financial impropriety or anything like that. This issue is purely in the governance and operational side of things. "On one hand its a minor enough thing, but it has the potential to become serious if things proceed and the Central Bank has to get involved. While declining to comment on the circumstances of individual credit unions or cases, a spokesperson for the Central Bank confirmed that under the Credit Union Act of 1997, each credit union must have a board oversight committee consisting of three or five members. They stated that the credit unions Board of Directors is required to ensure that their individual credit union has adequate and appropriate resources and systems in place to meet legislative and regulatory obligations. They must also ensure they have effective governance structures in place, including effective risk management, internal audit and compliance functions." A credit unions core foundations are its governance, risk management and operational capabilities, the statement continued. The Central Bank expects all credit unions to ensure they comply with the requirements of the 1997 Act, including those relating to board oversight committees, boards of directors and, more generally, the governance of credit unions. Meanwhile, at 10 p.m. on Tuesday night as the story broke, Wexford Credit Union issued a statement via its own social media accounts, in which it refuted claims made by internal sources within its own setup that loan and mortgage applications could be delayed as a result of the unrest behind the scenes. "Any internal issues at Wexford Credit Union that may occur are handled internally just as with any other organisation, the statement read. If such issues arise that require the need for a special general meeting to be convened under the Credit Union Act, then the members will be notified as per the requirements of the legislation. "Currently, Wexford Credit Union is not under any regulatory restrictions or directions from the Central Bank of Ireland. There is no impact to members savings or to any members who are awaiting loan or mortgage decisions. For members of Wexford Credit Union, it is currently business as usual. Councillors Pat Fitzgerald and Tommy Annesley (right) pictured with Arklow Municipal staff at the sinkhole on the Wexford Road, where they helped direct traffic late into the evening. Arklow Councillors Pat Fitzgerald and Tommy Annesley sprung into action to protect local motorists and pedestrians after a substantial sinkhole opened up on the busy Wexford Road, leading to lengthy traffic delays in the County Wicklow town. The sizeable cavity, which has since been filled in by Arklow Municipal District staff and repaired by Irish Water, was a cause of great concern and intrigue for local commuters and was initially believed to have opened due to the collapse of an underground water culvert. Responding to a report from a concerned shop owner about the fast-expanding hole on Thursday afternoon, Cllr Fitzgerald hopped into his car and raced down to the scene. Not knowing what to expect, upon seeing the gaping hole widen with each passing vehicle that drove over it, the councillor donned a high-vis jacket and began to direct traffic. When I got the call there on Thursday at about 7 p.m., I didnt really think it would be a big deal, but it turned out to be a lot more serious than I thought and a bit of an event, Cllr Fitzgerald said. The sinkhole on the busy Wexford Road in Arklow. I went up and saw the hole, parked my car, and said Id have to do something about it. The cars were absolutely rocking off it, with the bottom end of vehicles nearly bashing off the lip of the hole, and there was a real danger to the motorists and pedestrians as it continued to deteriorate. A local woman approached me with a bib, so I put it on and started directing the traffic. I would have a bit of an idea of how to offer direction from all my time at the side of GAA pitches, but its certainly the first time Ive stepped out onto the road to do it! Cllr Annesley arrived shortly after me and put down a couple of cones, and we stayed there for the guts of an hour and a half. It was quickly getting dark, and I think the two of us were getting a bit worried because it could have easily led to someone being injured. The Municipal District staff arrived down as quickly as they could, fair play to them, and set up around the hole. I kept doing the traffic while they were setting up, and the council lads must have been there till after 10 p.m. before they had finished. Im happy to say that it was dealt with as quickly as possible, and the council staff did a great job responding to the problem and fixing it. As Cllr Annesley later revealed, engineers confirmed that the hole opened due to the collapse of an old wastewater pipe belonging to Irish Water, who returned to the scene on Saturday morning to repair the damage. I got a phone call from a concerned motorist on Thursday and ran up there to the Wexford Road to see what was happening, Cllr Annesley said. The sinkhole on the busy Wexford Road in Arklow. The hole was like a little pimple at first, but you could see it growing and getting bigger and bigger before your eyes, and vehicles were hitting it and making it bigger. I got a shovel and stuck it down into the hole, and I lost the handle of it in the darkness. Now, I didnt lose the shovel because I didnt let go, but it couldve kept going and going if it wanted to. So, I got a cone or two while Pat was directing the traffic around it. Someone had come along and given him a high-vis jacket, and he certainly looked the part fair play to him. We were joking later that he shouldnt have been out there because he didnt have the right road traffic qualifications! The night was closing in very quickly, and we were afraid someone was going to get hit by a car or fall, but we stayed there until the council crew arrived about an hour or so later when they put down sandbags and did a great job fixing it up. Unfortunately, Ive been told that Irish Water will have to dig it up again to complete the repairs, which is an inconvenience of course but thats just something that has to be done. Im just glad that we were all able to come together to prevent an accident from happening, and I have to commend the council staff for the swiftness of their action. It couldve easily ended in tears or serious damage, and Im happy it was resolved without much of a disturbance. Celebrating 25 years at the helm of one of Arklows most loved venues, the Crean family have been remembering its past as a fishermans refuge Proud owners of The Harbour Bar in Arklow, the Crean family, are celebrating 25 years as custodians of the historic pub, which has welcomed generations of local fishermen and thirsty travellers aboard since 1883. Anchored in the heart of Arklows renowned maritime district, the beloved local institution is a living and breathing ode to the towns celebrated seafaring past, offering weary sailors respite and playing host to countless colourful characters and toe-tapping trad sessions over the decades. When seasoned publicans Una and William P. Crean purchased The Harbour Bar in 1998, the Wicklow natives nailed their colours to its mast, maintaining the warm and convivial atmosphere that has made it a home away from home for so many. Reflecting on a quarter of a century at the helm, William Jnr, who took up stewardship of the pub in 2020 and capably weathered the Covid storm, said it has been a privilege and a pleasure to have held the course for so long. Mam, who is originally from Roundwood, and Dad, who hailed from near Rathnew, ran the Rafter Inn in Enniscorthy, which is now Rackards Bar on Rafter Street, from 1973 to 1982, William began. I grew up over the pub, which they ran very successfully for years, with my two sisters before the folks decided to emigrate to Canada. When the time came to return home in the late 90s, they had a bit of cash in their pockets, and the opportunity to buy The Harbour Bar presented itself. A local fisherman, Jimmy Doyle, had been the landlord there since the late 70s or early 80s, and my parents purchased from him in 1998. Of course, the pub has a huge cultural significance in the town, dating back all the way to 1883. Jimmys predecessor, Felix Feli ORafferty, who owned it back in the 50s and 60s, was a larger-than-life character and a real cornerstone of the community. Back in his day, fathers would take their sons in before they were of age, and Feli would give them two pints and that was it. Hed know who they were and their fathers, and hed allow it on the basis that they wouldnt be out drinking in a ditch somewhere and could grow up around hard-working men. He used to bottle Guinness there and was famous for giving out loans to people. If the local fisherman didnt get a catch, hed roll them over on credit, and then when they did get their catch, theyd be divvying it up at the table, and Feli would get his cut! Needless to say, my parents knew they were becoming custodians of a really unique and important piece of Wicklow heritage, and tried to honour that responsibility, William continued. Mickey Murphy, brother-in-law of Jim Tyrell, who lived across the road and was a member of the Columbia Showband and his own trio, had helped furnish and decorate the Rafter Inn in Enniscorthy for my parents in 1973, and Mickeys son Sean helped put in the new pub counter in 1998, using wood that came from the Arklow Pottery. Dad worked the bar until he became ill around 2009, when decided to take a step back and rented it out to a man named Declan Kenny. Sadly, we lost Dad in 2014 and, when we took the reins back during Covid, I took up its stewardship, calling on Mums saged advice whenever I needed it. Thankfully, with her insights and the goodwill of the Arklow community, its gone from strength to strength ever since. As you step over the treasured threshold of The Harbour Bar, you immediately feel the rich tapestry of the ports golden age and glean a profound sense of how it has managed to stay on an even keel while others have sunk into obscurity. Whether its the framed document about a back room in the pub where the provisional IRA had a meeting broken up by the Royal Irish Constabulary or the echoes of a thousand voyages and cherished family memories, the essence of Arklow is hewn into its very foundations. Our corner of the town is like its own little village, William beamed. I suppose the pub is a bit of a spiritual home for the harbour and maritime section and wouldve benefited from a lot of trade from fishermen and decades of local weddings, family gatherings, funerals and sessions. It feels much more like the kind of tightly-knit pub you would expect to find in a one-horse town, and I always tell any new barmen that it will be one of the easiest places theyll ever get to work in. All the guys at the counter are from the same place, and they all know each other, so if a stranger walks in or someone that has been barred, theyll let you know straight away! Weve really had a great sense of that community spirit over the recent past, with the trad session to close out the Maritime weekend going down a treat and raising a hefty chunk of change for the Lifeboat. These sessions were a firm fixture at the pub until Covid halted them, and were very keen to get back to that age-old practice. We also welcomed the queen of Arklow, Roisin Murphy, into the bar in May when she was shooting her new music video. She filmed here with a packed house cheering her on and enjoying her stunning voice throughout the afternoon. Roisin was very insistent on using the pub in particular, as it played a big part in her life growing up, and she wouldve attended many family gatherings and memorable nights out here over the years. In a lot of ways, Rosins attachment to The Harbour Bar is very much representative of an awful lot of our customers. They all have a connection through their cherished memories with grandparents and parents. Everyone has their own stake in it, and its just one of those spots in the town where everyone feels right at home. We cannot thank the Arklow community for supporting and embracing us for the past 25 years. Its not just a pub, but a major piece of local heritage and, on behalf of the whole family, we feel truly honoured to have played our part in keeping it in ship-shape for generations to come. Bray Garda Station has warned that its analysis service has flagged an upwards trend in car theft in the Bray and north Wicklow area, with a particular spike in Greystones. Crime Prevention Officer Sergeant John FitzPatrick said some simple steps can be taken to prevent your car from being stolen. Id like to appeal, in particular, to people who have invested in cars imported from Japan for sale on the second-hand car market here, he said. Often they are not fitted with immobilisers or car alarms. An immobiliser is a device that prevents a car from starting unless the correct key or fob is used. They are compulsorily fitted on all new vehicles in Europe since January 1, 1998. Often the cars imported and sold here by dealers are not normally available as new models in the Irish market and thus can be quite recognisable by people looking to steal them, he said. And for this reason, these cars are actively being targeted as they are easy to start and steal. Sgt FitzPatrick said a third-party immobiliser and alarm can be fitted in your local garage. The advice is to: Think. Deter. Delay. Detect. Deter: Install a visible wheel steering lock (ideally secured by design approved). A visible deterrent will cause the would-be thief to move on to easier pickings. Delay: Park your car in a position where it is not as easy for potential criminals to make a quick getaway, or use a removable security post. Detect: Use your car alarm if you have one and park in a well-lit area to increase the chances of would-be thieves being caught. We have also seen a small rise in e-scooter and motorbike theft and again these valuable items should be secured with a good quality lock, he continued. Covering a motorbike and fitting a GPS tracker is also good practice. A cover instantly makes it harder for a would-be thief to know what the make and model of the motorbike is. A cover also provides another time-consuming obstacle for the thief. !If possible, all cars should be parked on a drive and gates closed at night or when the vehicle is not in use. If there are no gates, a removable vehicle bollard acts as deterrent. Keyless entry cars should have their fobs stored in a Faraday pouch to prevent interception of the radio signal for use in a car theft, he added. You can report any unusual activity around vehicles in your area to Bray Garda Station on 666 5300. ICOS, the policy and representative body for Irish dairy co-operatives, believes the proposal will have undesirable consequences for the dairy sector at farm and processing level. Niall Matthews, Chair of the ICOS Dairy Committee, stated: ICOS does not believe that the proposed scheme will contribute to a viable and sustainable dairy industry into the future. The dairy industry must be allowed to increase productivity at an organic and reasonable growth rate, so as to support existing family farms and generational renewal. The reduction in emissions can be achieved by adopting science-based measures on farm, and by supporting the adoption of new technologies. Mr Matthews said ICOS is adamant that any scheme to reduce emissions must not impact on milk volumes available to process at co-op level. These co-ops on behalf of their farmer owners have invested heavily since the abolition of quotas to handle the volumes of milk that were part of an agreed national strategy; The scheme must also allow for a gradual and organic growth by milk suppliers and should promote generational renewal and new entrants to dairying to ensure innovation, diversity and a balanced age profile in the dairy food industry; The scheme should also provide a guarantee that the rights of landowners will not be impinged and that lands are transferable to all enterprises, including dairy farmers, who may wish to reduce stocking rates on existing holdings. ICOS is warning the Government that the introduction of a policy that will reduce milk supply could have very serious economic implications for processing co-ops, said Mr Matthews. The dairy sector has already transitioned from a period of expansion to moderate growth. It is essential that milk processing plants are utilised as efficiently as possible due to our seasonal grass-based production model. We cannot support a policy that could reduce milk supply with consequences for the investment made in dairy processing by farmers and their co-ops. He concluded, As global demand for nutritious dairy continues to increase, any reduction in Irish output will be offset elsewhere. The leakage of dairy production from a temperate grass-based system, as we have in Ireland, to other systems could double or treble global emissions associated with the same amount of product, while resulting in a zero environmental dividend and long lasting economic and social implications for rural communities in Ireland, which is not acceptable. Prospective west Wicklow councillor Deirdre McCormack has said that the unfair treatment of Blessington firefighters, who are trapped in their own homes, must be resolved as expeditiously as possible. The Social Democrats candidate in the Baltinglass MD 2024 local elections joined retained Blessington firefighters as they picketed outside Blessington Credit Union on Saturday as part of week of industrial action that saw stations crews from Blessington, Dunlavin, Baltinglass, Tinahely, and Carnew hold alternating full-scale strikes. Speaking at length with firefighter Lee Brennan, his dedicated fire service colleagues and a SIPTU representative, Ms McCormack listened to their grievances about the need for improved wages, the intense pressures of operating an understaffed station and its devastating impact on their families and work-life balance. As Ms McCormack explained, it was with deep frustration and heavy hearts that the devoted crew from the Blessington station joined their colleagues from Wicklows retained fire stations in their masse industrial action. Ms McCormack implored the community, Councillors and TDs to throw their full weight behind their just cause. Not one of them want to be out there picketing theyd much rather be doing their job, protecting their community, Ms McCormack began. I went down there to join them and show my solidarity, and I spoke to Lee Brennan and Eoin, the SIPTU representative, just to reiterate our support for them. Jennifer Whitmore has raised the matter in the Dail several times, and were 100 per cent behind the firefighters and support them in their industrial action its just such a shame it has come to this. I suppose its a bit of a two-edged sword in that the pay is not attracting new people in, but there are also people leaving. I know in Blessington, they lost two firefighters to full-time jobs at the Dublin fire service in the last year, and I know people have retired as well, so the people left are stuck covering a huge range of hours. Our firefighters are so dedicated to their community and the calling of being a firefighter, but the reality is that theyre not getting time off with their families, and the job is very restrictive. They cant be more than two kilometres away from the station when theyre on call, and as the guys in Blessington were telling me, they cant even go down to Naas. Theyre essentially trapped in their own homes, and they were saying to me that it very much has the feeling of being back in the Covid lockdown again when you couldnt travel any significant distance. Even when I was talking to them there at the weekend, the beepers all went off, and they told me that was just the reality of their lives. A friend of mines husband used to be in the service here, so Ive some idea how much of a sacrifice these families make for the community. If she was ever trying to plan anything, even a trip to do the shopping, shed always need a backup babysitter because the beeper could go off at any moment. It was great to see the community engaging with them outside the Credit Union, with people and children going over and chatting with the firefighters and passing cars beeping their horns in support, Ms McCormack continued. The Blessington community clearly understand the valuable service these people provide and the numerous sacrifices they make to do it. The Blessington station wouldnt be the biggest, but they cover a huge area, with the next closest 20km away in Dunlavin, so its no wonder they were receiving support from all over west Wicklow. The job they do is a thankless job. We need our firefighters theyre as essential as it gets. Echoing Ms McCormacks sentiments, Cathaoirleach of the Baltinglass Municipal District, Edward Timmins said: The firefighters wouldve written to us there a while back, and I think their case deserves to be listened to. They have genuine concerns and grievances that should be taken on board and need to be heard. GREYSTONES Rowing Club welcomed clubs from across the east coast as they hosted their annual rowing regatta. Ten clubs pitted their skills against one another, ranging from Wicklow town, all along the east coast of Wicklow and Dublin, as far as Skerries and Fingal. Races for 14 different sections took place, ranging from senior men and ladies to U-14 girls and boys. Club Chairman Patrick Byrne said: Things went very well and we always get a huge attendance from club level as well as loads of passers-by. We won a good few medals. Our inter men, inter women, U-12 boys, U-14 and U-18 girls all achiev4ed second place, while our mixed crew finished third. Our U-14 girls also went on to win the Wicklow Rowing Regatta. Greystones Rowing Club are over 10 years ago but are thriving, with many of thew new arrivals in the area keen to take up rowing as the clubs numbers continue to increase. Adult rowing in Greystones is growing steadily, after a drop off over the years. We also have a big improvement in our junior level at the moment. Greystones is growing all the time with lots of new people moving into the area. We are trying to showcase the many positive aspects of rowing to the younger cohorts who only moved to the area recently. We held a number of open days in recent years and they seem to have worked and the club is in a very healthy position. Rowing is a great way to get fit. The Regatta season is over but there are still plenty of other events taking place to keep everyone busy. You basically get on the water in March and remain until the end of October. There is also a great social aspect, added Patrick. Ashton Kutcher and Mila Kunis opens their holiday home to EVERYONE Ashton Kutcher and Mila Kunis are inviting members of the public to rent out their California beach house for an unforgettable summer stay. The Hollywood power couple are offering the property in Santa Barbara to up to four people for a one-night stay on August 19 promising that the guests would leave like were old buds. In an online ad posted on rental site Airbnb, Kutcher and Kunis said they would greet their guests upon arrival and capture some content together to commemorate the stay. Our Santa Barbara County beach house is our home away from home, especially when were in need of some R&R (you fellow parents know what were talking about), the listing said. Steps from the beach, and with beautiful views of the Santa Ynez mountains, youll find no shortage of sights and plenty of activities to make for an unforgettable summer stay. The actors also offered to provide meals and snacks. Booking for the stay opens at 10am Pacific Time (6pm UK time) on Wednesday. In a clip of the pair on the beach posted to his social media, Kutcher wrote: Its not the craziest idea Ive had stay with us at our beach house and leave like were old buds! Kutcher and Kunis married in 2015 and share two children. Doctor Who star Ncuti Gatwa will feature alongside Helena Bonham Carter, Theo James and Jessie Buckley in Oscar-winner Sir Sam Mendess audio adaptation of David Copperfield. Gatwa, who found fame as Eric Effiong in acclaimed teenage drama Sex Education, will play the title role in the Charles Dickens classic for online audio book platform Audible, which will see the character find his way in a challenging world. Gatwa, 30, is set to take over as the 15th Time Lord in the BBCs science fiction series Doctor Who over the festive period, following David Tennant, who will star as the Doctor in a trio of 60th anniversary specials in November. Ncuti Gatwa and Millie Gibson as the 15th Doctor and his sidekick Ruby Sunday (BBC/Bad Wolf/Disney/PA) In the audio version of David Copperfield, Gatwa will star alongside Bonham Carter as Aunt Betsey Trotwood, James as James Steerforth, Jack Lowden as Uriah Heep and Buckley as Peggotty. Other stars include Richard Armitage as Edward Murdstone and Toby Jones as Wilkins Micawber, in Sir Sams second Dickens collaboration with Audible, after Oliver Twist was released last year. Sir Sam said: Im thrilled to continue my collaboration with Audible after the success of Oliver Twist, and where better to go next than to David Copperfield, which for so many and indeed for Dickens himself is a favourite amongst his canon. We have an outstanding cast, led by the incomparable Ncuti Gatwa, whose amazing comic timing brings new dimensions to the character of David. In the sound design weve leaned into hyper-realism so that the listener really feels like theyre on the journey with David through his misfortunes and triumphs. Another feast for the ears. Theo James will play James Steerforth (Ian West/PA) The dramatisation explores the complexities and intimacies of the relationship between David Copperfield and James Steerforth in an adaptation that gives fresh life and vividness to this beloved tale. It is being recorded in a studio in London as well as in the Charles Dickens Museum, and will be released on November 30. Aurelie de Troyer, head of content for the UK and Canada at Audible, said: We are delighted to be working with the incredible Sam Mendes again in the next instalment of our Dickens collaboration. David Copperfield features rich storytelling and who better to breathe new life into the character of David than the shining star that is Ncuti Gatwa in his audio drama acting debut. The cast is sensational and the cinematic sound design will transport listeners to Dickens world as soon as they hit play. Image: Pixabay Riding on the efforts of Jamge Integrated Village Cooperative Society (IVCS) and the State government, pineapples from Meghalaya are currently being exported to Abu Dhabi. The pineapples of the state are grown on the fertile slopes of Garo Hills. They are known for their sweet taste and low pesticide residue. The IVCS has over 250 farming households from across four villages, who produce almost 100 tonnes of pineapples each year, reports North East Now. The pineapples are being exported to Lulu Group, a leading retail chain in the Middle East. The LuLu Group will be marketing the pineapples in its stores across the UAE, the news portal reported. The export of the fruit is a sign of the growing economic ties between Meghalaya and the Middle East. (With UNI inputs) Photo Courtesy: UNI The Indian Army and the Chinese Peoples Liberation Army (PLA) agreed to resolve the remaining issues along the Line of Actual Control (LAC) in the Western Sector. The decision was taken during the 19th round of India-China Corps Commander Level Meeting at Chushul-Moldo border meeting point on the Indian side from August 13 t August 14. "The two sides had a positive, constructive and in-depth discussion on the resolution of the remaining issues along the LAC in the Western Sector. In line with the guidance provided by the leadership, they exchanged views in an open and forward-looking manner," read a statement issued by the Ministry of External Affairs. The two sides agreed to resolve the remaining issues in an expeditious manner and maintain the momentum of dialogue and negotiations through military and diplomatic channels. In the interim, the two sides agreed to maintain peace and tranquillity on the ground in the border areas. The two sides are engaged in a standoff in the Ladakh sector for more than three years now. The 18th round of military dialogue between the two countries was held in April. New Delhi/UNI: President Droupadi Murmu, Prime Minister Narendra Modi and Vice President Jagdeep Dhankhar paid floral tributes to former Prime Minister Atal Bihari Vajpayee at Sadaiv Atal on his death anniversary on Wednesday. Home Minister Amit Shah, Defence Minister Rajnath Singh, Nitin Gadkari, Anurag Singh Thakur, Lok Sabha Speaker Om Birla, and other prominent leaders also paid their tributes at Sadaiv Atal. Taking to X, formerly known as Twitter, Prime Minister Narendra Modi said, "I joined the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi." I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nation's progress and in taking it to the 21st century in a wide range of sectors. Narendra Modi (@narendramodi) August 16, 2023 The Prime Minister added that India greatly benefited from his leadership and that he played a pivotal role in boosting our nation's progress and taking it into the 21st century in a wide range of sectors. In a message on social media, Union Home Minister Amit Shah said Atal Ji established the highest standards of politics based on ideology and principles. He said that with his strong will to serve the nation, on the one hand, he laid the foundation of good governance, and on the other hand, he introduced India's potential to the whole world from Pokhran. Shah said that he made an invaluable contribution by taking the party from zero to peak with his organisational skills. Vajpayee, the country's 10th prime minister, breathed his last on August 16, 2018 after a prolonged illness at the age of 93. President Droupadi Murmu paid homage to former Prime Minister of India, Shri Atal Bihari Vajpayee on his death anniversary, at his Samadhi, 'Sadaiv Atal' in New Delhi. pic.twitter.com/KUXBWKtZWd President of India (@rashtrapatibhvn) August 16, 2023 Vajpayee served as the Prime Minister of India from May 16, 1996, to June 1, 1996, and again from March 19, 1998, to May 22, 2004. He was the first member of the Bharatiya Janata Party (BJP) to become India's Prime Minister. He also served as India's External Affairs Minister in the cabinet of Prime Minister Morarji Desai from 1977 to 1979. BJP President JP Nadda also paid his tribute on the death anniversary of Atal Bihari Vajpayee and said, "He ushered in a new political era in India". "His dedication towards poor welfare will always pave our way. On the death anniversary of Bharat Ratna, respected Atal Bihari Vajpayee ji, I bow down to him," he tweeted. Photo courtesy: UNI Agartala/UNI: The ruling BJP on Tuesday night declared its candidates for by-election to Dhanpur and Boxnagar assembly seats of Tripuras Sepahijala district after a series of meetings among the leaders. While Taffajal Hossain has been re-nominated in Boxanagar, BJP Mandal President of the constituency Bindu Debnath was given a ticket from Dhanpur constituency which was won by CPI(M) for the last 40 years including communist stalwart Samar Chowdhury and former Chief Minister Manik Sarkar until last assembly elections in March. Union Minister of State for Empowerment and Social Justice Pratima Bhoumik won the seat in last assembly election but she resigned a fortnight after the announcement of election result because of internal politics in BJP, as she was one of the accused of working against the party candidates in several seats including Chief Minister Dr Manik Saha and became a strong contender for CM post. According to party sources, Pratima Bhoumik had been persuaded to field her brother Biswajit Bhoumik from Dhanpur but the party didnt allow it. However, by-elections in both seats appeared to become tougher for the ruling party due to the united opposition against BJP. Party President Rajib Bhattacharjee told media that the party is confident of the victory in the by-poll on Sept 5 and now efforts have been made to increase the winning margin and said, Our candidates would start campaign at the household level besides, big rallies and processions after submission of nomination on Thursday. At present BJP has 31 MLAs and its ally IPFT has one legislator in 60 members assembly. However, with the death of Samsul Haque elected from Boxanagar CPMs strength reduced to 10, and TIPRA Motha has retained 13 and Congress has three MLAs. Meanwhile, CPM announced Mijan Hossain, the second son of former CPM MLA Samsul Haque who died last month as a candidate from Boxnagar and its youth front leader Kaushik Chanda re-nominated from Dhanpur constituency. Image: Pixabay A 19-year-old woman and her eight-month-old daughter were hacked to death by her husband allegedly over minor dispute in the Dataganj area of Badaun district here in Uttar Pradesh on Wednesday, police said here. Inspector Dataganj Saurabh Singh said that Ajay Yadav alias Akhilesh Yadav, a resident of Budeli village, had a love marriage with Khusboo, a resident of Bihar, in Delhi two years back. After marriage, Khusboo came to the village and started living with Ajay. The couple had an eight-month-old daughter, Shrishti, he said. He said according to the family members the couple was having a dispute for the past several days over Khusboo requesting to go to her parents house. It is being said the couple once again had an argument over the same issue after which Ajay left for his farm, he said. The Inspector said Ajay returned home around 6 am and hacked wife Khusboo and daughter Shrishti with an axe. Superintendent of Police (City) along with Circle Officer (CO) Dataganj and the forensic team reached the spot and launched an investigation, he said. Senior Superintendent of Police (SSP) OP Singh said that Ajay alias Akhilesh killed his wife and eight-month-old daughter with an axe. " The accused has been taken into custody. Further action will be taken after lodging a case on the basis of the complaint of family members, " he said. (With UNI inputs) Photo courtesy: Facebook/Sharad Pawar Mumbai/IBNS: As strain builds within the Maharashtra opposition alliance over a meeting between Sharad Pawar and his nephew Ajit Pawar, the veteran politician has trashed claims that he has been offered a Union Minister post if he leaves the INDIA bloc and joins hands with the Bharatiya Janata Party (BJP). The said meeting took place at a Pune businessman's residence on Saturday, over a month after Ajit Pawar and eight other NCP leaders joined the Eknath Shinde-led government backed by the BJP. Since then, both factions have engaged in a tussle as to who will control the NCP. The meeting sparked speculation that Ajit Pawar is trying to convince his uncle Sharad Pawar, a key face of the opposition's INDIA bloc, to shift his allegiance. According to reports, Pawar later said some "well-wishers" were trying to convince him to tie up with the BJP, but added that he had no such plan. Speaking on the same, Pawar Wednesday said: "There was no such discussion. I am not denying that the meeting happened, but as the head of the family, I speak to all family members. These are just rumors but there's no reality in any of these things being said." "I am the seniormost person in the party, who will give me an offer," the veteran asked. Earlier too, Pawar had maintained that Ajit Pawar is his nephew and it is natural for them to meet Meanwhile, Uddhav Thackeray's Shiv Sena faction got upset over Sharad Pawar's meetings with Ajit Pawar. The Shiv Sena faction headed by Thackeray is a part of the opposition alliance in Maharashtra along with the Congress and the NCP. While Pawar has asserted that he has no plans to join hands with the BJP, his ally is making no bones about its disapproval of the meetings. Rajya Sabha MP and Shiv Sena (UBT) leader Sanjay Raut responded to Pawar's remark that there is nothing wrong with him meeting his nephew. "Sharad Pawar is saying Ajit Pawar is his nephew and can meet him. Why are their followers fighting on the streets then?" Raut had asked. In what appeared to be a swipe at the veteran leader, he had said, "We don't put up appearances by sitting with another party's leaders as our workers fight." He described Pawar as the "Bhishma Pitamaha" of Maharashtra politics and said he "should not do anything that creates doubt in the minds of people". In image Rahul Gandhi and Mallikarjun Kharge attending Congress meeting in Delhi/ courtesy: Twitter/INC New Delhi/IBNS: A fresh row was created following a statement by a Congress leader that the party has asked its leaders to prepare for a contest in all the seven Lok Sabha seats in Delhi next year. Soon after the statement was made, the Aam Aadmi Party, a member of the newly formed opposition bloc INDIA, questioned the need for the alliance if all parties decided to go solo. Congress chief Mallikarjun Kharge and party MP Rahul Gandhi held a four-hour meeting with their senior colleagues in Delhi earlier in the evening, where they broadly discussed strategies for the big fight in 2024. After the meeting, Congress leader Alka Lamba said they have been asked to prepare to contest in all the seven Lok Sabha seats in the national capital. "We have been told how to prepare for the 2024 election. Before the Delhi meeting, the leadership has met our people in 18 states. It has been decided all Congress leaders will immediately go to work on the seven seats in Delhi to win them," Lamba told news agency ANI. "Seven months are left. All party workers have been asked to prepare for all seven seats," she said. Soon, Deepak Babaria, the Congress's in-charge of Delhi, stepped in to clarify after the AAP expressed "surprise". Babaria said the comment was the leader's (Ms Lamba's) opinion and no plan for seat-sharing was discussed in the meeting. "We did not discuss that issue in today's meeting. The discussion was about how to strengthen the party in Delhi," he said. AAP Chief Arvind Kejriwal and Punjab Chief Minister Bhagwant Mann are also scheduled to visit Madhya Pradesh and Chhattisgarh to campaign for their party on August 19 and 20. Before Babaria's clarification, the AAP appeared to have taken a view on the matter that could have implications for the INDIA alliance. "The Congress leader's statement is very surprising. After such statements, what is the justification for the INDIA alliance? Arvind Kejriwal ji should decide on what to do next, which is important in the interest of the country. A decision should be taken," AAP leader Vinay Mishra told NDTV. According to reports, the Congress has kept the door open for alliances, though there is much speculation over a possible tie-up between the Congress and Chief Minister Arvind Kejriwal's AAP. "The meeting lasted for four hours; 40 leaders attended and gave their opinion. The talks focused on strengthening the Congress in Delhi," Alka Lamba said. Representative image showing the interior of a flight/courtesy: Pixabay New Delhi/IBNS: A 10-year-old girl received second-degree burns on her left leg after a crew member accidentally dropped a cup of hot chocolate that the child ordered. The incident occurred on an Air Vistara flight from Delhi to Frankfurt on August 11 and the family has alleged that the airline did not apologise or pay for the medical costs. The airline has, however, clarified that its teams have been in touch with the family throughout, their return to India has been facilitated, and all medical expenses will be borne by it. Rachna Gupta, who was travelling on the flight to Frankfurt with her daughter, Tara, claimed that they missed their connecting flight to Lisbon due to the incident. Gupta said that while a paramedic administered immediate first aid to Tara, the airline arranged an ambulance for them. However, she alleged that the family didn't get an apology from the airline and had to bear the high medical costs. "@airvistara air hostess causes 2nd degree burn to 10 year old on flight to Frankfurt. An unfortunate accident poorly handled. Vistara hostess didn't apologize, captain or crew members didn't apologize," Gupta posted on X, formerly known as Twitter. "After basic first aid on flight my daughter and I were left in an ambulance to fend for ourselves (pay for ambulance / navigate the German medical emergency services) in an unfamiliar environment. Our luggage had to be taken out by friend of a friend who made 3 trips to the airport and spent 4-5 hours pillar to post," she said. Gupta said she had to pay the ambulance bill of 503 Euros as well as the hospital bill. She said they missed their connecting flight and alleged that the airline did not make efforts to arrange an alternate flight for them. She claimed that the airline did get in touch with her, but only after her post. In a detailed statement, Air Vistara clarified that they have already facilitated the mother and daughter's return to India and are reviewing their processes to ensure such situations are avoided in the future. "We confirm an unfortunate incident occured onboard UK25 flying from Delhi to Frankfurt on 11 August, 2023, where a child sustained injuries due to spillage of hot beverage on the body. Our cabin crew had served hot chocolate to the child on the request of her parents, however, the hot water spilled on her since the child was playful during the service," the airline's statement said. "Our teams have been in touch with the customer ever since. We have already facilitated their early return to India, arranged for ground transportation in Frankfurt, met them at the airport and extended extensive on-ground support. We have conveyed to the customer that all medical expenses arising from this incident will be reimbursed by us," said the statement. The port city of Mykolaiv, in the south of Ukraine, is being targeted with renewed shelling. Photo Courtesy: UNOCHA/Saviano Abreu Local officials in Ukraine on Wednesday said at least three people died due to Russian missile attacks in the Volyn region of the war-hit country. Several were wounded after a factory in Lutsk was hit, regional head Yuriy Poguliaiko was quoted as saying by BBC. According to reports, air strikes damaged buildings in the western Lviv region. However, no casualty was reported. Ukraine's air defence forces said they had destroyed 16 out of at least 28 missiles launched by Russia overnight, reports said. Air raid sirens lasted for two hours in Lviv and Volyn regions of the country. A Swedish company was reportedly hit by airstrikes in Lutsk. "We are very sad to confirm that three of our colleagues have been killed," SKF company spokesman Carl Bjernstam told BBC. An escalation of Russian attacks against civilians in Ukraine has brought more death and injuries to southern regions while hampering aid operations, the UNs top humanitarian official in the country said on Monday. Denise Brown issued a statement deploring the indiscriminate attacks impacting civilians and civilian infrastructure. She stressed that people in the south, including in the Kherson and Odesa regions, had endured a particularly harsh weekend after reports that strikes left many civilians, including children, killed and injured. An entire family, including an infant, were among the victims, according to media reports. Homes, hospitals and schools also were damaged. Meanwhile, the UN and partners continue to support people across Ukraine. Last week, two inter-agency convoys delivered assistance to front-line communities in the Donetsk and Zaporizhzhia regions. Trucks transported bottled water, food, medicines, shelter materials, hygiene kits, and household items to support more than 15,000 people who remain in these areas. Since January, humanitarians have delivered aid to more than 7.3 million people in Ukraine, where a total of 18 million people need support. Takhar Provincial Governor Office in Taloqan. Photo Courtesy: Wikimedia Commons Kabul: An explosion hit Taloqan region of Afghanistan on Tuesday which left at least two people injured, media reports said on Wednesday. The blast unfolded within the third security district of Taloqan, an official statement issued by the provincial security officials was quoted as saying by Khaama Press. The investigations findings suggest that the explosion was rooted in a personal conflict. No group has claimed responsibility for the incident so far. Photo Courtesy:Bishop Azad Marshall Twitter page Several churches in Pakistan's Jaranwala region were vandalised by an angry mob over blasphemy allegations on Wednesday. Christian leader Akmal Bhatti told Dawn News the crowd had torched at least five churches and looted valuables from houses abandoned by their owners after clerics made announcements in mosques inciting the mob. Images circulating on social media showed smoke coming out from church buildings. Jaranwala pastor Imran Bhatti told Dawn News that the ransacked churches included the Salvation Army Church, United Presbyterian Church, Allied Foundation Church and Shehroonwala Church situated in the Isa Nagri area. He added the mob demolished the house of a Christian cleaner, accused of blasphemy. A first information report has also been registered against the accused under sections 295B (defiling, etc., of the Holy Quran) and 295C (use of derogatory remarks, etc., in respect of the Holy Prophet) of the Pakistan Penal Code. Speaking to Dawn News, Punjab police chief Usman Anwar said the police negotiated with the protesters and the area had been cordoned off. There are narrow lanes [in the area] in which small two to three marla churches are located and there is one main church they have vandalised portions of the churches, he said. Words fail me as I write this. We, Bishops, Priests and lay people are deeply pained and distressed at the Jaranwala incident in the Faisalabad District in Pakistan. A church building is being burnt as I type this message. Bibles have been desecrated and Christians have been pic.twitter.com/xruE83NPXL Bishop Azad Marshall (@BishopAzadM) August 16, 2023 President Bishop of the Church of Pakistan Azad Marshall condemned the incident and tweeted: "Words fail me as I write this. We, Bishops, Priests and lay people are deeply pained and distressed at the Jaranwala incident in the Faisalabad District in Pakistan. A church building is being burnt as I type this message. Bibles have been desecrated and Christians have been tortured and harrased having been falsely accused of violating the Holy Quran. We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland that has just celebrated independence and freedom. #CryWithUs #PrayWithUs." Former interior minister Rana Sanaullah described the incidents as a 'dastardly attack'. Strongly condemn the dastardly attack on the church in Jaranwala today. The culprits must be brought to justice at the earliest, and the law should take its due course. Extremism and hatred nullify the fundamental principles of Islam. Rana SanaUllah Khan (@RanaSanaullahPK) August 16, 2023 Condemning the incident, he posted on X: "Strongly condemn the dastardly attack on the church in Jaranwala today. The culprits must be brought to justice at the earliest, and the law should take its due course. Extremism and hatred nullify the fundamental principles of Islam." PPP Chairman Bilawal Bhutto-Zardari said he was horrified to hear about the incidents. Horrified to hear about the attack on Churches in Jaranwala, Faisalabad, Violating the sanctity of places of worship is absolutely unacceptable. The administration must ensure the safety of the Christian community and their Churches. BilawalBhuttoZardari (@BBhuttoZardari) August 16, 2023 "Horrified to hear about the attack on Churches in Jaranwala, Faisalabad, Violating the sanctity of places of worship is absolutely unacceptable. The administration must ensure the safety of the Christian community and their Churches," Bhutto said. Photo Courtesy: UNI Washington/UNI: President Joe Biden and First Lady Jill Biden will be traveling to Maui on August 21 as a response to the deadliest wildfires in Hawaii, states White House Press Secretary Karine Jean-Pierre on Wednesday. "The President and First Lady will travel to Maui on Monday, August 21 to meet with first responders, survivors, as well as federal, state, and local officials, in the wake of deadly wildfires on the island," the statement read. The president and first lady will see firsthand the impacts of the wildfires and the devastating loss of life and land that has occurred on the island, as well as discuss the ongoing recovery efforts. Biden remains committed to delivering all the assistance required by the islanders for the recovery, the statement added. The death toll from the wildfires in Maui County reached 106 as of Tuesday night. Terrorist attack in Niger claims life of 17. Photo Courtesy: UNI Niamey/Niger/UNI: 17 soldiers were killed in an ambush by terrorists in West Niger's Tillaberi region on Tuesday, the Ministry of National Defense of Niger's junta said Wednesday. "Yesterday around 1:30 p.m. (1230 GMT), a detachment of the Nigerian Armed Forces, in motion between Boni and Torodi, encountered a terrorist ambush near the locality of Koutougou (52 km southwest of Torodi)," the ministry stated. It added that the prompt soldier response and air-ground engagement at the encounter scene facilitated enemy handling. The wounded evacuated to Niamey, the ministry said. The area known as the "three borders" (of Niger-Mali-Burkina Faso), where Torodi is located, has become a place in recent years where terrorist groups carry out deadly attacks on the borders, targeting both military and civilian populations. TULSA, OKLA. Apollonia Pina, a member of the Muscogee Nation of Oklahoma, looked for Plan B four years ago. She approached the Indian Health Care Resource Center of Tulsa, where she usually got her healthcare. However, the pharmacist there in... In the second week of July, the 16th Session the Expert Mechanism met in Geneva, Switzerland. the Expert Mechanism on the Rights of Indigenous Peoples (EMRIP) was established by the Human Rights Council, the UN's main human rights body in 2007. T... "O ghar aaja pardesi ki teri meri ikk jindri." Gadar 2 is receiving love from one and all. Sunny Deol's highest-grossing film is also the second-highest opening film of 2023 in India, behind Shah Rukh Khan's Pathaan. People flocked to theatres on Independence day to watch the cross-border romantic saga. Twitter While Ameesha Patel and Sunny Deol starrer is setting records one after the other, this incident in Uttar Pradesh's Budaun has left everyone in shock. UP man beaten for narrating Gadar 2 story to kids Twitter A man named Amit Kumar watched Gadar 2 in theatres and he was having a discussion about the film with kids in his neighborhood. He was reportedly narrating them the story of the movie when a man named Tausif passed by. Triggered by the story of the film, he confronted the man who clarified to him that he was just talking about the movie and nothing more. Later, Tausif allegedly came along with over 10 men at Amit Gupta's home and started beating him. The video of the attack has surfaced online. Shocking & Horrible !! Watch Out !! Situation of Hindus in One & Only Hindu Majority Nation Badaun, UP: Taufeeq and his brother Yusuf attacked Amit, just because he was discussing the story of #Gadar2 openly with his neighbors; FIR registered.@RadharamnDas @pallavict pic.twitter.com/1CCg2YAUsc Saffron Swamy (@SaffronSwamyy) August 16, 2023 As quoted by Times Now, Amit Gupta said, "I was standing in front of my house and was discussing Gadar 2 with kids. A man named Tausif was passing by and interrupted asking why am I talking about Muslims. I told him this has nothing to do with you. He then started abusing me and then grabbed me by the neck, and ripped off my clothes. Another man intervened and sorted things out. However, when I reached home, Tausif, accompanied by 10-12 individuals forcefully entered my house. My mother and younger brother were also present. The mob attacked us and broke things." FIR registered Md Taufiq, from Budaun, Uttar Pradesh, got triggered by Gadar 2 story and started beating Amit Kumar for narrating the story of the movie to his neighbors.. Apparently Gadar 2 movie has many scenes mocking Pakistan. pic.twitter.com/7C0unTCFf9 The Right Wing Guy (@rightwing_guy) August 16, 2023 Reportedly, an FIR has been registered under sections 323, 504, and 506 of the Indian Penal Code. Gadar 2's story starts from where Gadar had ended. In the 2001 film, Sakeena played by Ameesha Patel reunites Tara Singh (Sunny Deol) and Jeete (their son played by Utkarsh Sharma). In the sequel, Tara Singh once again crosses the border in to rescue his son who has been captured in Pakistan. For more news and updates from the world of celebrities from Bollywood and Hollywood, keep reading Indiatimes Entertainment. Following a lengthy public discussion attended by scores of people, a city committee has asked staff to draft a bylaw allowing consumer (backyard) fireworks on certain days in London. The vote by the community and protective services committee came after nearly three hours of residents speaking out on the issue. Three overflow rooms were used to accommodate the large number of residents that arrived at city hall. The public meeting and subsequent committee debate concentrated on a staff report asking for guidance on creating a bylaw concerning the future of backyard pyrotechnics in London. Staff gave the committee and council two options from which to pick. Fireworks In Backyards In London, Ontario, Approved By City Committee Credit: Canva Option A: Option Backyard fireworks should be permitted only on Victoria Day, Canada Day, and Diwali. Fireworks would be permitted to be discharged on the day preceding Canada Day, as they are already permitted. Option A would also reduce the number of days permitted for the sale of pyrotechnics in London from seven to five and increase the present fines for violating the fireworks bylaw. Option B: Option B would prohibit the use of backyard fireworks in the city and only allow the use of authorized fireworks. Option B would also prohibit the sale of backyard fireworks and raise existing penalties. Approximately 15 licenses are typically issued yearly, permitting commercial fireworks displays such as Canada Day and New Year's Eve. An online survey of Londoners asked to choose between two options offered by staff yielded 52.2 percent in favour of option A and 47.8 percent in favour of option B. There were 1,635 replies to the poll. Those who spoke during the public meeting segment, like those who took the online survey, were divided on the subject. Most speakers who supported Option A had experience owning or working for a fireworks company, or they were visible members of the South Asian community who celebrated Diwali. Fireworks, according to Paresh Soni, executive director of the Hindu Legacy group in London, are an "integral" component of Diwali, a major religious holiday celebrating the "victory of light over darkness." Instagram/cityoflondonont "Diwali is an 800-year-old tradition, so fireworks are in our DNA," Soni said, adding that most Diwali firework displays employ sparklers and fountain fireworks and run between 15 and 20 minutes. While many people favoured pyrotechnics, nearly as many were against them, Deanna Ronson, one of the organizers of Londoners for Quiet Fireworks, believes fireworks should be outright prohibited. "Fireworks create unnecessary pollution and glasshouse gases, they increase the risk of fire, and they have negative impacts on wildlife and pets," Ronson wrote in a letter to the committee. Others who spoke out against fireworks noted the effects on those suffering from PTSD and anxiety and the noise and light pollution at times when many people sleep. While a divisive issue profoundly divided London, those speaking for one side supported or sympathized with those arguing for the other. A few speakers in favour of the ban on fireworks who acknowledge Diwali may be granted an exemption, and those in favour of option A expressed a want for more enforcement of people who do not respect bylaws, as well as a desire to speak with those suffering from PTSD and pet owners. Coun. David Ferreria attempted to move Option B after the public meeting part. Credit: Canva "It's about the dangers and public safety for me," Ferreira explained. "These are essentially explosives, and they can be used inappropriately." No other committee member, however, was willing to second Ferreria's motion. Corrine Rahman, on the other hand, moved to Option A. The committee voted 4-1 in support, with Rahman, Peloza, Pribil, and Mayor Josh Morgan voting yes and Ferreria voting nay. Susan Stevenson was absent. Peloza's amendment, which was approved, asked staff to provide information on different business licensing bylaw problems about fireworks, such as mandatory notification to shops and clients and compliance procedures. The committee's recommendation still requires full council approval. The next council meeting is on August 29. According to municipal staff, if the council approves the committee recommendation, a draught bylaw might be ready by the end of the year. What do you think about it? Do let us know in the comments. For more trending stories, follow us on Telegram. Ghent University in Belgium will soon start a special literature course that explores the creative aspects of American popstar Taylor Swift's music. The course is called "Literature: Taylors Version," inspired by her re-recorded albums. It will begin this fall and will be taught by respected assistant professor Elly McCausland. McCausland, famous for her blog "Swifterature," where she analyzes Swift's themes, imagery, and language intricacies by comparing them to famous writers like Sylvia Plath, Charles Dickens, and William Shakespeare, is now applying her knowledge to an academic investigation. "Highly prolific and autobiographical in her songwriting, Swift makes frequent allusions to canonical literary texts in her music," the class syllabus attests. "Using Swifts work as a springboard, we will explore, among other topics, literary feminism, ecocriticism, fan studies, and tropes such as the anti-hero. Swifts enduring popularity stems, at least in part, from the heavily intertextual aspect of her work, and this course will dig deeper to explore its literary roots." McCausland's course warmly welcomes everyone, even those unfamiliar with Swift's music. Taylor Swift The course's main focus is to uncover the complex layers of Swift's artistry, using her music as a way to explore the world of literature that might have inspired her creativity. McCausland is a respected scholar who earned her Bachelor's and Master's degrees from Oxford University and a Ph.D. from the University of York. AFP The idea of studying Swift's songwriting had been developing in her thoughts, becoming solidified when Swift's most recent album, "Midnights," was released. Students will participate in a diverse assessment procedure. This involves crafting a "reflection report," potentially in the form of a song, along with composing a 4,000-word essay that examines the importance of a selected text from the course in relation to the wider literary tradition. "Ill be delighted with everything that happens during this course," McCausland told CNN. "Im really excited to see what the students come up with." Several prestigious institutions including Stanford, New York University, Arizona State University, Berklee College of Music, and Rice University have embraced the exploration of Swift's lyrical progression, her influence on feminism, gender studies, and the concept of American nationalism. Swift's inclusion in college courses is not a first. In 2016, the University of Texas led the way by offering an English Literature course that analyzed Beyonce's visual album "Lemonade" and its intricate connection to Black feminism. This was followed by the University of Copenhagen introducing a course titled "Beyonce, Gender, and Race" the following year. These instances underline the growing recognition of pop culture's profound impact on academic discourse. For more trending stories, follow us on Telegram. A British police force backed down after they arrested an autistic girl over saying that a female officer looked like her lesbian nana The National Union of Air Transport Employees (NUATE) has ordered the Aviation Security (AVSEC) and logistics sub-sector to commence an immediate strike from August 16th, 2023 over their N30,000 monthly pay. The union made this known in a circular made available to journalists on Tuesday and addressed it to the Director-General Civil Aviation (DGCA); Managing Director, Federal Airports Authority of Nigeria (FAAN), Commissioner of Police, Airports Command, the Airport Commandant, Director, Department of State Security (DSS), Murtala Muhammed International Airport (MMIA), Lagos Command. The circular, which was signed by the General Secretary, NUATE, Comrade Ocheme Aba, lamented that AVSEC who are mostly graduates are paid a paltry N30,000 monthly. He explained that all efforts to negotiate improved wages for their members in the past had failed and wondered why N30,000 could be sufficient for the personnel in todays Nigeria. READ MORE: Aviation Workers In Kaduna Shutdown Operations Amid Unpaid Salaries The circular reads, As you are all aware, the union has done everything possible to resolve the lingering crisis of extreme impoverishment of employees of Aviation Security (AvSec) & logistics sub-sector of the aviation industry in Nigeria, but to no avail. The managements of all the companies in the business have all failed to secure decent contracts that can avail fair remuneration for their workers. With current salary levels as low as N30,000 a month for graduates, there is no gainsaying that AvSec employment in Nigeria is nothing other than a slave labour camp; to call a spade a spade. This situation can no longer be allowed to continue. In this regard, and further to our letter of ultimatum dated 26th June, 2023, all workers in all Aviation Logistics companies in Nigeria are hereby directed to totally withdraw services as from August 16, 2023 indefinitely, until our demand for fair remuneration is met. Please note that only the National Secretariat of NUATE is authorized to issue any further directives on this matter. State Councils and Branches of NUATE in Abuja, Lagos, Kano, Port Harcourt and Enugu are hereby directed to be on hand to assure full compliance with this notice. The Department of State Services (DSS) has issued a security alert on a grand plot by bandits to attack the Abuja-Kaduna train service anytime soon. In a leaked letter signed by R.N. Adepemu, DSS Director of Federal Capital Territory Command, entitled, Security Alert: Impending Threat By A Coalition Of Banditry Syndicate To Attack Abuja-Kaduna Train Service Along AKTS Corridor, the DSS cautioned train passengers to be extra vigilant. The DSS secret memo further stated that a coalition of banditry syndicate was plotting to attack the Abuja-Kaduna train aimed at kidnapping passengers on board for ransom any moment from now. In view of the threat inherent and the need to forestall likely breach of security along the AKTS, it is advised that, existing security arrangements be scaled up within and along the route. In addition, the need for the under listed counter security measures be emplaced ta nip in the bud the impending threats. Air surveillance patrol; Surveillance on the rail track; Military checkpoint/ patrol; Police checkpoint/ patrol; Security raid operation be carried out around Byazhin, Jibi, as well as ja forest Enhance the Nigeria Police Mobile Force (NPMF) operatives with walkie talkie to sustain escort services on train. READ ALSO: Withdraw All Sanctions, Dont Jeopardise Nigerias Relationship With Niger Rep. Northern Elders Tell Tinubu Deploy more Nigerian Security and Civil Defence Corps (NSCDC) with walkie talkie to provide escort duty; ie contents of this correspondence are classified: Unauthorized disclostre could lead to prosecution. Crisis response team be established in the advent of attack for quick response time; Intelligence sharing amongst all relevant stakeholders. Although, the aforementioned threat is yet to be in the public domain, the development is capable of heightening fears over safety of lives and property amongst stakeholders and commuters of trail transportation along the AKTS corridor. Therefore, the need to discreetly manage this intelligence provided cannot be, over emphasized. This is particularly against the backdrop of fatal attacks along the routes which left casualties and victims hence, some passengers were killed as well as others abducted for several months before their eventual release, the DSS memo stated. Recall that an AbujaKaduna bound train was attacked in Katari village, Kaduna State on Monday, March 28, 2022, prompting the Nigeria Railway Corporation (NRC) to briefly halted operations along the route. Godswill Akpabio, Nigerias Senate President, says behavioural change is required to tackle the menace of corruption and failing social norms in the country. Akpabio, represented by Saviour Enyiekere, his deputy chief of staff, spoke on Tuesday at the national policy dialogue on corruption, social norms and behaviour change in Nigeria, organised by the Independent Corrupt Practices and Other Related Offences Commission (ICPC). His words: The process of behavioural change typically involves awareness and recognising the need for change and potential benefits and consequences associated thereof. This affects gathering information, learning, and becoming aware of existing behaviours. It also involves motivation and developing the desire to change. The motivation to change certain behaviours can be influenced by personal goals, values, social pressures, or external incentives. Essentially, behavioural change becomes possible when we educate and inform by providing accurate and relevant information about unacceptable behaviours, their consequences, and alternative options. This helps individuals make informed decisions and understand the benefits of the change that we seek. I also believe that in achieving behavioural changes, incentives and rewards play a great role as they tend to reinforce desired behaviours. READ ALSO: Tinubu Allegedly Bars Ex-NDelta Millitants From Aso Rock Over Asari-Dokubos Incessant Reckless Comments Tangible rewards, recognition, or positive feedback that encourages individuals to continue or adopt new behaviours have the capacity to drive people towards new behaviours. Therefore, for us as a country and a people desirous of delivering change to our society and through it, building a nation that caters for its people and makes its resources work for its people, we must use behavioural change as a tool to tackle the menace of corruption and failing social values in our country. According to Akpabio, tackling corruption involves transparency and accountability, noting that the 10th senate under his leadership is positioned to bridge existing legislative gaps in the fight against corruption. However, institutions like the ICPC must enforce comprehensive anti-corruption laws that criminalize corrupt activities, and establish clear guidelines for ethical behaviours. The extant laws of Nigeria have provided for the independence of the judiciary and effective law enforcement agencies to investigate and prosecute corruption cases. I also believe that we must develop the courage to promote a culture of ethics and integrity in our schools. This is because fostering a culture of ethics and integrity in the society through education and awareness campaigns will help to promote such values as honesty, he added. President Bola Ahmed Tinubu has commended the solidarity of the Economic Community of Central African States (ECCAS) on the political situation of embattled West African country, Niger Republic. Tinubu made the submission when he received the Special Envoy of President Ali Bongo Ondimba and the Minister of Foreign Affairs of the Republic of Gabon, Hermann Immongault, at the State House in Abuja on Tuesday. According to him, the special message of support and solidarity from President Ondimba, who doubles as the Chairman of ECCAS, showed full support to the Economic Community of West African States (ECOWAS) resolutions on the unconstitutional takeover of government in Niger. READ ALSO: Niger Coup: Apply More Pressure To Restore Constitutional Order, Secure Bazoums Release U.S To President Tinubu I appreciate the solidarity and support of President Bongo on the situation in Niger. We are working not to compound the problem. We have well-meaning people who have intervened, Special Adviser to the President on Media and Publicity, Ajuri Ngelale, quoted Tinubu as saying. The statement added that Tinubu understands the fear of people on any form of military action, adding that ECOWAS is working to keep the sanctions in place, and following them to the letter. While expressing happiness that ECCAS is with ECOWAS on the issue, he said, Interference in democratic governance is not acceptable to ECOWAS. The Presidency has revealed that Nigeria is currently the only country in West Africa enjoying the cheapest and most affordable price of fuel. The information is coming amidst the hike in the cost of living brought about by the removal of petrol subsidy which has led to a corresponding increase in fuel prices This was made known by the Special Adviser to the President on Media and Publicity, Ajuri Ngelale, on Tuesday, August 15, 2023, while addressing State House correspondents at the Presidential Villa, Abuja. The presidential aide also noted that the daily consumption of fuel had dropped from 67 million litres to 46 million litres following the removal of subsidy. Ngelale who stated that he spoke to President Bola Tinubu on Tuesday morning noted that the President urged stakeholders in the country to hold their peace while adding that the threats of an indefinite strike by the organised labour were premature. READ ALSO: Fuel Subsidy Removal: Having More Refineries Wont Reduce Pump Price Ajuri Ngelale He said, The President wishes first to state that it is incumbent upon all stakeholders in the country to hold their peace. We have heard very recently from the organised labour movement in the country with respect to their most recent threat. We believe that the threat was premature and that there is a need on all sides to ensure that fact finding and diligence are done on what the current state of the downstream and midstream petroleum industry is before any threats or conclusions are arrived at or issued. Secondly, Mr. President, wishes to assure Nigerians following the announcement by the NNPC Limited just yesterday that there will be no increase in the pump price of Premium Motor Spirit anywhere in the country. We repeat, the President affirms that there will be no increase in the pump price of Premium Motor Spirit. Speaking further, the aide noted that the market having been deregulated would no longer allow a single entity to dominate the market. The market has been deregulated. It has been liberalised and we are moving forward in that direction without looking back. The President also wishes to affirm that there are presently inefficiencies within the midstream and downstream petroleum sub-sectors that once very swiftly addressed and cleaned up will ensure that we can maintain prices where they are without having to resort to a reversal of this administrations deregulation policy in the petroleum industry. Ngelale also noted that Tinubu approved that the chart containing prices of PMS in other countries be transmitted to Nigerians so as to show the cost of PMS in West African countries. In the graphic, what you will find is the present cost of refined Premium Motor Spirit at the pump in each of the West African nations that border us and Ill just name some for example, even as I know, you will be showing your audiences the graphics, which the President has graciously approved for public release today. Senegal at pump price today of N1,273 equivalent per litre; Guinea at N1,075 per litre, Cote d Ivore at N1,048 per litre equivalent in their currency, Mali N1,113 per litre, Central African Republic N1,414 per litre, Nigeria is presently averaging between N568 and N630 per litre. We are presently the cheapest, most affordable purchasing state in the West African sub-region by some distance. There is no country that is below N700 per litre. So this is the backdrop we have seen that at the inception of our deregulation policy as of June 1 as Mr. President took office, we have seen the PMS consumption in the country drop immediately from 67 million litres per day , down to 46 million litres per day. The impact is evident. He further said that the President pleaded with Nigerians to be patient and bear with his administration. What it also does mean though, is that we are not at the end of the tunnel. There is still a bit of darkness to travel through to get towards the light. And we are pleading with Nigerians to please be patient with us. And as we promised from the beginning, we will be open with Nigerians, and will be transparent with them. And we are ready to show you exactly what it is that our nation is facing with respect to the illiquidity in the market in terms of foreign exchange, as a result of what is now known to have been a gross mismanagement of the Central Bank of Nigeria over the course of several years preceding this time. As part of efforts to enhance digital skills and empower the Nigerian workforce, World tech giant, Google has unveiled plans to train 20,000 Nigerian women and youth in various digital competencies. It was gathered that the investment is in alignment with the federal governments commitment to create one million digital jobs. INFORMATION NIGERIA learnt that the program would offer training in diverse areas such as data science, artificial intelligence, web development, and mobile app development, utilising both online and in-person delivery methods. The initiative seeks to engage those with a strong interest in digital technology, a promising academic background, and a dedication to uplifting their communities. However, the Vice President Kashim Shettima, said: We need to think outside the box and pave the way for more job opportunities. As a nation, we must walk the talk. Its straightforward to discuss ambitious plans, but the real challenge lies in bringing these ideas to life. I want to assure Google and all our partners that this administration stands ready for collaboration. READ MORE: Well Support Googles Commitment to Create 1m Digital Jobs In Nigeria Tinubu Assures Nigeria is open for business. Our current president is deeply committed to leaving a legacy that will make Nigerians proud for generations to come. We have a unique opportunity to harness the potentials of our huge youth population to create millions of jobs in the digital sector. With a larger English-speaking populace than many countries in Africa and beyond, Nigeria stands out. We may have missed out on the agricultural and industrial revolutions, but we are now in the knowledge-driven, post-industrial age. The potential we have is immense, and were uniquely positioned to bridge the anticipated global talent gap. Our intent to partner with Google is clear and unwavering. We seek to work hand in hand with you for the betterment of our nation. This initiative consists of three components. The first is the Digital Onboarders Program, aimed at equipping 5,000 youth not in employment, education, or training with the necessary digital skills, followed by their integration into consumer-centric businesses across 12 states in Nigeria. The Arewa Tech4Ladies program would provide digital learning for women in four semi-urban and rural communities in Kaduna State for women in four semi-urban and rural communities in Kaduna State. Through this, 5,000 women and girls will be trained in areas such as data science and artificial intelligence. The final pillar is the Engage Nigeria initiative, which is designed to offer training and mentorship to 10,000 prodigious young talents across the nation, supporting the growing creative industry. Google Nigerias Country Director, Mr. Olumide Balogun, said: We see immense potential in Nigeria, particularly among the youth. Digital technologies arent just tools, theyre gateways to countless opportunities. With these platforms, our dynamic youth can step forth, becoming pivotal players in the digital economy. Our grant from Google.org is not only an investment but a testament to our belief in Nigerias bright future. Were honoured to support the Federal Governments admirable goal of creating 1 million digital jobs and will continue to be steadfast partners in this transformative journey. Governor Godwin Obaseki of Edo State, says the Emilokan system is not a strategy for winning elections and ruling the people of Edo State. The Peoples Democratic Party governor disclosed this when leaders of Edo South Senatorial District visited him at the Government House on a solidarity visit. Emilokan, a Yoruba word which means it is my turn, was made popular by President Bola Ahmed Tinubu during his campaign for the 2023 election. The ideology behind the word as used then by Tinubu was that he had worked for President Muhammadu Buhari to become the first opposition leader to be elected Nigerian president, and therefore it was his (Tinubus) turn to be President after Buhari. The Emilokan syndrome or spirit is not a strategy for winning elections and ruling Edo people, the governor of Edo was quoted as saying on Tuesday, according to a statement forwarded to newsmen by Crusoe Osagie, the special assistant on media project to the Edo State Government. According to him, the rift between him and his Deputy, Philip Shaibu is really unfortunate, sad and avoidable because the people know where we are coming from and what we have gone through. He however noted that Edo people will not accept Emilokan because they are not known for that. For us, it is the people that will decide. What has happened in the last few months is strange and even if you have ambition, this is not the time to express it. The time table for the election is not out. You cant be part of a government and also be the one to destabilise the same government. I thought we came together and will leave together and let the people say you have done a great job and they will now make the decision themselves. They are the ones to say that come rain or sunshine, we must continue. READ ALSO: Impeachment: Withdraw Suit, Seek Political Solution Edo PDP Faults Shaibus Legal Action You are telling the world one thing and yet doing another. You are loyal to your principal and yet you are in Court with your principal. Everybody has the right to be ambitious but do it the right way, Obaseki said. When we came in, I said lets build institutions, not individuals. The Constitution provides for a Deputy Governor and what I cant do, I call on my Deputy Governor to do it for me. That is not a crime. The Constitution doesnt provide for co-governor but Deputy Governor. Elevating a Deputy Governor and making him visible is not a crime. But this I did because I want to build institutions rather than individuals or persons. To mistake that and misuse it is really unfortunate as you have created the impression that the Deputy Governor should not be given responsibility, he added. Speaking on the charge that he gave Shaibu too much responsibility, Obaseki said: People are already blaming me now for giving him responsibility and visibility and its my fault. How can it be my fault when you want to groom young people? I believe when young people work with you, give them opportunities and expose them, preparing them for leadership positions in life, praying they dont abuse the privilege. I have no regret for exposing my deputy to power and leadership position. I have not made any mistakes but he is the one that made the mistake. I hope he retraces his steps. He is the one that took me to Court; he should be the one to talk to, not me. We are determined and focused to finish well and with your support, we are sure to finish well. We are not starting any new projects but finishing the ones already started and making sure all we started we finish and design a plan for those coming in, as no government can finish the work. Noting that Edo people cannot afford to make mistakes, he said: We cant make any mistake again as our people have suffered in the past. We will not allow them to suffer again. That is why we are doing our best in the State to change the narrative. The Muslim Rights Concern (MURIC) has slammed the Lagos State chapter of the Christian Association of Nigeria (CAN) over its position on the controversy surrounding the number of Christians nominated as Commissioners by Lagos Governor, Babajide Sanwo-Olu. Information Nigeria understands that there are 31 Christians and eight Muslims on the nominee list Ishaq Akintola, MURICs Director in a statement on Tuesday said the press conference addressed by Stephen Adegbite, chairman of Lagos CAN, in which he supported the lopsided list of commissioners submitted by Governor Babajide Sanwo-Olu, is in bad taste. Note that, Stephen Adegbite, during a press briefing at Alausa on Monday, noted that Sanwo-Olus choice was based purely on merit, competence, and sufficient capabilities to deliver on the set goals and objectives of the government. But the Director in reaction further wondered if Lagos CAN could have acted the same way if it was Muslims who had 31 commissioners while Christians had 8 only. Our response to his (Adegbites) vituperations and irrational position is very simple. Governor Sanwo-Olu supported the Muslim-Muslim ticket not because he was convinced that it was democratic and therefore right, not even because he was fully in support of a Muslim ruling the country, but because he had no choice but to support his principal and political godfather. READ ALSO: Politics Is Being Overplayed, No Reason El-Rufai Shouldnt Be Cleared As Minister Salihu Lukman Failure to do so would have endangered his chances of securing a second term. Sanwo-Olus perceived support for the Muslim-Muslim ticket was therefore a self-preservation move. It was not from the governors heart but from his pharyngeal cavity, MURIC stated. It was therefore most inconsiderate and very selfish for Lagos CAN chairman to base his rationalisation of the ratio 31:8 of Lagos commissioners list on Sanwo-Olus support for the Muslim-Muslim ticket. They are two incompatible situations for the following reasons. But the hypocrisy of the Lagos CAN chairman will become more glaring if he can agree that he will accept the same arrangement if vice versa, i.e. if Muslims are given 31 slots while Christians get 8 only come 2027 when it will be the turn of Muslims to occupy Alausa secretariat. Will CAN accept that from a Muslim governor in 2027? No, CAN cannot. The press conference held yesterday by CAN Lagos chairman must therefore be reduced to sheer hocus pocus and the height of hypocrisy. Could Lagos CAN have acted the same way if it was Muslims who had 31 commissioners while Christians had 8 only? Akintola queried. The Federal Government has insisted that no federal university is allowed to charge tuition fees in the country. The Permanent Secretary, Ministry of Education, Mr David Adejo disclosed this at a public hearing by the House of Representatives ad hoc committee on student loans in Abuja on Tuesday. READ ALSO: Tuition Hike Will Only Increase Number Of Out-Of-School Children NANS Slam FG, Threatens Nationwide Protest Adejo said that the charges collected by the institutions were used to pay for some of their services, including electricity bills. He faulted claims that the signing of the Students loan act was responsible for some of the hike in the university charges. What they collect is charges to cover the cost of accommodation, ICT, and power, among others. It is the Governing Councils of the Universities that have the power to approve such charges for them. The only university that increased charges after the signing of the student loans act is the University of Lagos. They came to the Ministry with a proposal to increase their charges because all Governing Councils were dissolved and we gave them approval. Immediately that was done, there was a resolution from the House stopping the increase in fees and the President also gave a directive stopping any increase in fees and that is where it is, even though several others have brought their proposal, he said. Adejo said that despite the charges, the universities had not been able to meet up with some of their expenses. He said that modalities had been put in place for the take-off of the student loans scheme in the 2023/2024 academic calendar. Adejo said that President Bola Tinubu had given a directive that all necessary works must be completed on the modalities for the take-off of the scheme to enable its take-off in September. The chairman of the committee, Teseer Ugbor said the student loan was part of the palliatives by the Federal Government to alleviate the suffering of Nigerians and to ensure access to higher education by interested Nigerians. He, however, expressed concern over the disbursement process, the recovery of the funds from beneficiaries as well as the possibility of some students not being able to access the loan. He called for dialogue in the process of trying to amend the law to ensure that all Nigerian students interested in the loan benefitted from it. There was a casualty on Tuesday when an oil rig belonging to Seplat Energy Plc collapsed in Delta State. INFORMATION NIGERIA reports that the affected oil rig is located near Ovhor in the State. According to a statement issued by the companys Chief Executive Officer, Mr. Roger Brown, disclosed that 92 of the 96-member crew were accounted for and safe. The company, however, noted that one person died in the incident while three of its crew members are still missing as of reporting time. READ MORE: Troops Uncover, Destroy Illegal Refinery In Delta Seplat Energy regrets to announce a serious incident on the Depthwise swamp drilling rig Majestic early this morning. Seplat, the operator of the SEPLAT/NNPCL joint venture, contracted the rig. It was in transit to its planned drilling location at Ovhor in Delta State when it capsized. 92 of the 96-member crew are accounted for and safe. However, tragically one fatality occurred during the incident, while three other rig personnel are still missing, the company wrote on Twitter. The Senior Special Assistant to the President on Media and Publicity, Tope Ajayi on Tuesday said there were no plans by the administration of President Bola Tinubu to reintroduce fuel subsidy. Recall that during his inaugural speech on May 29, 2023, the President announced the removal of fuel subsidy, which had made petrol prices low for decades. Meanwhile, there has been some speculations on internet claiming that the president is planning to reintroduce fuel subsidy. Reacting to the speculations, the SSA to the President, Tope took to his official Twitter account to dismiss the claim. READ MORE: Fuel Subsidy Removal: Having More Refineries Wont Reduce Pump Price Ajuri Ngelale He said, There is no plan to reintroduce any form of fuel subsidy. There is no condition to support any increase in prices at this time. President Tinubu is convinced based on information before him that we can maintain current pricing without reversing the current deregulation policy by swiftly cleaning up existing inefficiencies within the midstream and downstream Petroleum sector. Ajayis comment followed news about a temporary reintroduction of fuel subsidy following the increment in the landing cost of fuel due to the free fall of the Naira in the parallel market. Nigeria has continued to grapple with the soaring costs of goods and services due to the removal of the subsidy on petrol and the unification of the naira at both the I and E window and parallel markets by the Central Bank of Nigeria. On Monday, independent oil marketers hinted at a possible increase in the cost of fuel owing to the increment in the landing cost of the product. The Northern Elders Forum (NEF) has advised President Bola Ahmed Tinubu to withdraw all sanctions imposed on Niger Republic as soon as possible while dialogue continues. Recall that Nigeria closed all its borders with Niger Republic, cut off electricity supply, among other sanctions. Director of Advocacy and Public Affairs, NEF, Hakeem Baba Ahmed in a statement, said Nigeria should remove all sanctions and other measures intended to force the government and people of Niger into acquiescence. This will make Nigerias negotiations easier to conduct by utilizing all assets that both countries value. The safety of President Bazoum and his family, as well as the restoration of constitutional order, must remain non-negotiable priorities. Military leaders in Niger must recognize and respect the position of ECOWAS, to whose fundamental principles and goals the Niger Republic has submitted. READ ALSO: Nigerians Who Voted Tinubu Now Regretting Ondo PDP Slams APC President Tinubu should also look into balancing these responsibilities without jeopardizing Nigerias and Nigerians position on Niger Republic or ECOWAS unity, Baba-Ahmed added. The Forum also kicked against using force in the troubled country, saying it would exacerbate the situation. The use of force against Niger must be avoided. It is unlikely that the goals of restoring constitutional order and expanding the frontiers of democratic systems in West Africa will be met. It will exacerbate the regions security and humanitarian crises. It is likely to weaken and further divide ECOWAS, as well as provide greater access for non-African interests into the lives of Africans, with negative consequences, he declared. Oyo State Governor, Seyi Makinde has revealed why he supported President Bola Tinubu to win the last presidential election. Makinde said that he took the decision recognising that it was time to make a strong decision that would reposition the landscape of Nigerias democracy now and not at future elections. Makinde made this known on Tuesday at the opening ceremony and dinner of the ongoing Pentecostal Fellowship of Nigeria (PFN) National Executive Retreat 2023 at the Waniq Event Centre, Jericho, Ibadan, Oyo State. He therefore urged church leaders and Christians at large to continue to intercede for the leaders of the nation according to the scripture. Quoting the book of Timothy 2:1-2: I urge, then, first of all, that petitions, prayers, intercession and thanksgiving be made for all people for kings and all those in authority that we may live peaceful and quiet lives in all godliness and holiness. READ MORE: We Have Paid Salaries, Pensions, Return To Work Makinde Tells Protesting Workers Governor Makinde urged Nigerians to pray and support the government in power, whether they liked him or not, for the sake of the state and the country. He also stressed the role of the body of Christ in rebuilding Nigeria, as the Bible has stated the importance of praying for the leaders of the nation. As Christians, we can carry out the work God has assigned to us more effectively until we have political stability as a nation. Just as the PFN runs a single term of four years in office, which I am hearing for the first time. I am also a supporter of single-term structures. The tip screen at Greenstreet Coffee Roastery in South Philadelphia on Tuesday, August 1, 2023. Some readers told us they felt overwhelmed and even frustrated by what they see as pressure to tip, particularly at counter-service establishments where they didnt think gratuity was expected. Read more Patti Zitkus is unabashedly pro-tipping. She said she does so generously for all kinds of workers, from gas station attendants to plumbers to mechanics. But the 57-year-old woman was taken aback in July when she was prompted to tip at the merchandise stand at a concert in Philadelphia. It did shock me a bit, she said. I felt it was weird, but I did it. Because theyre standing out there. Its hot as hell, and some people want you to hold up every shirt. At the counter, the iPad screen in front of her provided three options she cant remember what the percentages were and she selected the middle one, thinking it was appropriate since she only had the worker hold up one shirt. Advertisement The Culture Club concert was her first since she saw the Eagles in 2018, a show at which she cant recall being asked to tip once, she said. But over the past five years, tipping prompts have proliferated nationwide, with customers being asked if they want to tip at bridal shops, plant stores, and even online retailers. I do find youre seeing the tip jars everywhere, Zitkus said. Sometimes Im like, Wow, everybody wants a tip. As a former hotel housekeeper and the daughter of a beautician, however, she knows what its like to rely on tips. Now a project manager, Zitkus said she will continue to tip as long as she is able. If she didnt have the means, she said, she probably wouldnt go out or utilize a service in the first place. Zitkus was one of dozens of readers who responded via email and social media to The Inquirers tipping guide, which included the insights of a dozen service industry insiders, including baristas, bartenders, hairdressers, and rideshare and food-delivery drivers. The guide came as two-thirds of U.S. consumers say they have a negative view of tipping, for many reasons including annoyance at pre-entered tip screens. Several Philadelphia-area service workers told The Inquirer that customers shouldnt worry so much about tipping a certain percentage. Instead, the workers said, customers should consider the effort that went into providing the service. Some readers told us they felt overwhelmed and even frustrated by what they see as pressure to tip, particularly at counter-service establishments where they didnt think gratuity was expected. I think Im reaching the breaking point, one reader emailed, adding that they recently walked out of a counter-service restaurant in the city when they saw a 20% tip would be automatically included in the bill. During the pandemic, when we would go out to dinner, Id tip nicely, because I knew these people werent seeing a lot of customers, said Richard Cohen, 70, of Northeast Philadelphia. Now its ridiculous. There is a tip jar everywhere you go. He said he still tips 20% at sit-down restaurants, in cash if he can, unless the service is bad. He doesnt appreciate the prompts at take-out or counter-service businesses, where he doesnt think tipping is necessary and which he mostly avoids. Yet some also came down on Zitkuss side, saying they had no problem adding a couple dollars, at most, to a bill, especially if workers are helpful. If it is any place that is providing me a service, I try to tip, said Justin Uscenski, 34, of the Gayborhood. Some of that I guess is caving in to pressure. Uscenski, who works in retail management, said its a topic discussed often among their friends, with each persons financial situation impacting their opinion on what is appropriate. Uscenski was last prompted to tip earlier this month when grabbing an acai bowl to-go. They gave $1, which they felt good about since the worker behind the counter was friendly. Theres seldom a time when Uscenski doesnt tip except if Im at a sporting event and Im paying $19 for a beer and someone is just opening it and handing it to me. But most of the time, they said, I dont think a dollar will break the bank. Family members of Eddie Irizarry including sister Maria Irizarry (left) and an aunt, Zoraida Garcia (right) speak to media as they gather on the block where he was killed for a balloon release in the Fairhill section of Philadelphia on Wednesday. Irizarry was shot and killed by a Philadelphia police officer Monday. Initially, police said Irizarry got out of his car and lunged at officers with a knife. A day later, police corrected their story and said Irizarry did not get out of the car or lunge at officers. Read more New questions have emerged about the fatal police shooting of 27-year-old Eddie Irizarry in North Philadelphia this week after the Police Department changed its narrative of the events leading up to his death. At the scene of the shooting Monday, police first said Irizarry emerged from his car after a traffic stop with a knife in his hand and lunged at police before an officer shot him multiple times, killing him. But on Tuesday night, the department offered a new and different account of the shooting, saying Irizarry did not flee the traffic stop, never lunged at officers with a weapon, and was seated in his car when they shot him. Police Commissioner Danielle Outlaw said at a news conference Wednesday morning that the initial information shared was generated internally, and that officials are backtracking to find out how the details came together. She said they did not learn of the inaccuracies until they reviewed the officers body camera footage. Advertisement Obviously, it was different than what we were led to believe when we got to the scene, said Deputy Police Commissioner Christine Coulter. Outlaw vowed transparency as the department continues to investigate, and said the District Attorneys Office was heading an independent investigation. Still, she declined to answer many questions about the events leading up to Irizarrys death, citing the ongoing inquiries. She would not say whether Irizarry was holding a knife when the officer shot him or whether the officer gave any verbal warning before firing. The encounter began about 12:30 p.m. Monday, when two uniformed officers with the 24th Police District said they saw Irizarry driving erratically near B Street and Erie Avenue in North Philadelphia, according to Cpl. Jasmine Reilly, a department spokesperson. Reilly initially said that when the officers attempted to pull him over, Irizarry fled in his gold Toyota Corolla. They followed him south until he stopped on East Willard Street. As officers approached the stopped car, police initially said, Irizarry stepped out with a knife. The officers gave multiple commands for him to drop the weapon, Reilly had said, but he did not. He then lunged at the officers, she said, and one officer shot him multiple times. But on Tuesday night, police revised that narrative, saying Irizarry did not flee and was seated in his car when the officer shot him. According to the new statement, the officers observed Irizarry driving erratically but did not attempt to pull him over, and instead followed him as he drove south. Irizarry then pulled the wrong way onto the 100 block of East Willard Street, and parked his car. Heres what happened next, according to the new statement: The officers got out of their patrol car and approached Irizarrys car from both sides. As one officer approached the drivers side of the car, the second attempted to open the passenger side door. One officer then alerted his partner that the male had a weapon. As Irizarry turned toward the officer on the drivers side, that officer shot Irizarry multiple times. The statement said that two knives were observed inside the vehicle. The officers then carried Irizarry to their cruiser and rushed him to Temple University Hospital, where he died shortly after, at 12:48 p.m. Video posted on Instagram of the moments immediately after the shooting appeared to show the officers pulling Irizarry out of the drivers side of the Toyota, and there appeared to be a bullet hole in the cars windshield. Police said they recovered a kitchen knife and a folded serrated knife inside the car. But Outlaw declined to say whether Irizarry was holding the knife or had threatened police with it. She also declined to share the length of the interaction or to say whether the officer ordered Irizarry to drop the weapon before firing. She said Irizarry was shot several times but declined to say how many shots were fired or how many times he was struck. Coulter said it was unclear whether the officers turned on their emergency lights or sirens before getting out of their cruiser. She said by the time backup arrived a few minutes later, the lights were not on. The officer a five-year veteran of the department whose name is expected to be released Thursday has not yet been interviewed, Coulter said. It is department protocol, she said, that officers involved in shootings are given 72 hours to retain counsel before being interviewed. Irizarrys family said the revised story confirmed the skepticism theyd felt about the police narrative since Monday afternoon. My nephew was no harm to anyone, Zoraida Garcia, Irizarrys aunt, said in an interview Wednesday. Never been incarcerated. Dont even have a traffic stop ticket in his record. Irizarry, who moved to Philadelphia from Puerto Rico about seven years ago, did not speak or understand English, Garcia said. She wondered aloud whether a language barrier might have created confusion in the chaotic moments that preceded his death. If the officer was saying anything to him in English, Im quite sure that he didnt even understood what was going on, she said. She said the family has faced near complete silence from police. And they have been unable to see Irizarrys body, she said, noting that an officer had turned the family away at Temple Hospital, citing an ongoing investigation. At the scene of the shooting Monday, police provided Irizarrys relatives with little information, Garcia said. At the hospital, she said, doctors told them Irizarry had been shot six times and died, but provided no additional details. Were just frustrated, really frustrated, she said. Especially to find out that it was an officer. Its just sad because we expect them to pretty much protect us from a lot of stuff thats going on out here. On Wednesday morning, Garcia said, the family was making funeral arrangements for her nephew. Then, she said, they would focus on seeking justice. We need answers, she said. We just need answers. Wednesday afternoon, on a small one-way street in West Kensington, Irizarrys family gathered outside a family home to remember the introverted young man. Irizarry was following in his fathers footsteps and was working as a mechanic, said his father and namesake, Eddie Irizarry. Of how his son met his death, the elder Irizarry said in Spanish, Something didnt square with me from the beginning. Younger sister Maria Irizarry, 21, was angry to hear police tell reporters on Wednesday that they had spoken with the family. In reality, she said, no one from the department has reached out to them. Later Wednesday evening, at a vigil held on the narrow strip of East Willard Street where Irizarry was killed, dozens of family members and friends gathered in memory of Junito, as they affectionately called him. They expressed frustration over his death and released bunches of white balloons, one with writing that said in Spanish, Junito, we will give you justice. Earlier in the day, Outlaw acknowledged the familys and communitys frustration. Sometimes I feel like we take 20 steps forward, and it just takes one incident and we take 50 steps backward, she said. I understand the reticence, I understand folks not really being sure whether or not they should even trust what we are saying today because of what we said initially. But Im hoping that they see this is a genuine effort to do everything we can to share what we know when we have it. Still, of the outstanding questions, she said: It is our duty to protect the integrity of the investigation. Staff writer Ximena Conde contributed to this article. When it comes to life for city-dwelling pet owners, Philadelphia is pretty ruff, according to a new study. Philly, in fact, is one of the worst cities for pet owners, thanks to a low percentage of pet-friendly apartments, high veterinarian costs, and few vets per capita, among other factors, real estate rental company Zumper found. Overall, Philadelphia ranked 90th of 100 cities surveyed for the companys study. Local cities that fared worse were Newark, N.J., (94th) and Jersey City (dead last). Looking at data from Zumpers own listings, as well as from sources including the most recent U.S. Census, the U.S. Environmental Protection Agency, and geographic database OpenStreetMap, the study ranked 100 cities across the country to figure out where pet owners have it best. Factors included pet-friendly rental pricing, parks per capita, vets per capita, veterinarian treatment costs, air quality index, and walkability. Cities were then graded on a scale of A to F. Philly received a failing grade in the percentage of pet-friendly apartments, vets per capita, and vet costs, meaning we ranked in the bottom 20% of cities surveyed in those categories. Pet-friendly rental pricing earned Philadelphia a D (ranking us higher than 20% of cities in the survey), as did the number of parks per capita. Phillys air quality index, meanwhile, earned a C (ranking higher than 40% of surveyed cities). Phillys lone A grade came in walkability, meaning the city ranked higher than 80% of surveyed cities for the ease of pedestrian movement and the availability of pet-friendly walking areas, Zumper said. In that category, Philly took 13th place. Advertisement Overall, Zumper found that about 43% of Philadelphia rental listings were pet-friendly, and one-bedroom, pet-friendly rental units tacked on $20 in fees per month. In terms of parks, Philly ranked 34th of the cities surveyed. Vet costs were relatively high, putting Philly 27th, the study found. While admittedly not great, at least we ranked above Jersey City, which received a failing grade in nearly every category. And New York City, which placed 95th, did worse than us, too. But, sadly, Pittsburgh beat us by a long shot, placing 29th overall. And taking the number one spot was Richmond, Va., which had as many A ratings as we had Fs. Still, despite Phillys purportedly abysmal ranking for pet owners from Zumper, the citys proportion of pets is relatively high. In some cases, very high. The 2021 Census, for example, found that the Philadelphia metropolitan area had the highest percentage of cat ownership among the countrys 15 largest metro areas at 23%, or 568,000 households. And according to the census, some 788,000 households in the area, or 32%, have dogs, putting us in eighth for dog ownership nationwide. But why does Philly have so many cats, exactly? No one knows for sure, but some folks have theories. Ultimately, they may just be Philadelphians kindred spirits. I can see that they expect you to take care of them, and they just do what they want, Annie Duggan, a project manager from Havertown, told The Inquirer last year. That speaks to something in the character of Philadelphia. The Milky Way is a dramatic presence at Cherry Springs State Park, with a jet crossing this view. The International Dark-Sky Association certifies the park as an "international dark sky park." Read more When Brendan Happe came to Philadelphia for a weekend five years ago to visit his stepbrother Bill Green, they did something that persuaded Happe to move to the city: They looked up at the night sky. I saw the moon up close for the first time that night, Happe said of viewing the vast craters and miles-high mountain ranges on the Earths moon through Greens binoculars. Green and Happe founded the Philly Moon Men in 2018, organizing sidewalk astronomy events along South Street. They brushed up on their knowledge of the heavens and invited people to pause the chaos of everyday life to learn more about something that many take for granted. The sky is literally the background to our world, and we dont really pay much attention to it, Happe said. Viewing a clear night sky can be challenging in Philadelphia or any metro area because of light pollution. Add the prospect of needing an expensive telescope to stargaze, and many people can be turned off from doing so. However, according to the Philly Moon Men and Franklin Institute chief astronomer Derrick Pitts, pursuing a stargazing hobby is not as intimidating as it seems. You dont have to have a big telescope. In fact, you can start right at the basics just by observing, Pitts said. The lowest level you can start at is just go outside and look up, and if you pick the right time to do that, theres a whole host of things you can see without any optical aid, Pitts said. If youve always been curious about the night sky and want to take up stargazing, heres your sign. These local astronomy experts, along with Tatenda Mushonga, an organizer for the local public astronomy viewing group Philly #Popscope, and folks from the cosmological trivia group Astronomy on Tap Philadelphia, shared their best tips for budding astronomers to get the most out of stargazing near Philadelphia. The naked eye, binoculars, and telescopes All the experts that spoke to us for this article agree that bigger isnt always better when it comes to telescopes and binoculars for stargazing. If youre a newcomer to this hobby, the first thing you should use to stargaze is the naked eye. In the evening, about half an hour after sunset, youve got all the bright stars and whatever bright planets are available. You have the moon, you might have some meteors or satellites, Pitts said. , Depending on how dark your sky is, you might even be able to see the arms of our galaxy and at least one other spiral galaxy. To level up, the Moon Men suggest getting middle-of-the-road binoculars, which cost around $100 or more. Get a nice pair of binoculars and put them on a tripod to keep it steady. You can see Jupiters moons, and you can already see the craters on the moon, Happe said. An easy pair of binoculars to use has a magnification range of 7 to 10 with a binocular lens diameter up to 50 millimeters these specifications will be noted by number combos like 7x42 or 10x50, the first number being the magnification range and second being the diameter length. Check out outdoors shops like REI and Cabelas or photography retailers like Unique Photo or B&H Photo and Video. To view farther into the solar system, a second-hand telescope costing $200 to $300 is the next best bet, Happe said. The Philly Moon Men, local online astronomy groups on Facebook, and popular astronomy forums like cloudynights.com often have used telescopes for sales, or you can buy new from retailers like High Point Scientific, Astronomics, or B&H. That level can bring you to Saturns rings, Jupiters weather, and the craters in the mountains on the moon, Happe said. With telescopes, its all about how much light it can capture in the night sky, expressed by the telescopes aperture length. The greater the aperture, the clearer and farther the views. For beginner telescopes, an aperture range between 70 mm to 130 mm is best, according to the astronomers at Telescopic Watch. When looking to go bigger, Pitts said the most one should spend is $1,500 because after that, the difference between more expensive telescopes is splitting hairs. What to know about light pollution Finding the perfect spot to stargaze depends on light pollution and the weather at night. Youre looking for clear weather and skies with little light pollution in the surrounding area. Even In Philadelphia, you can stargaze by going to dark areas of a park or getting above the streetlights on rooftops, Green said. If you want clearer views or views outside the solar system with the proper telescope, Happe said, youll likely need to leave the city for an area with less light. Some of these spots are designated Dark Sky Places, which DarkSky International defines as land possessing an exceptional or distinguished quality of starry nights and a nighttime environment that is protected from light pollution through local outreach and advocacy. The best apps for stargazing, and more Local astronomers use tools like lightpollutionmap.info and darksky.org to find the darkest areas around the region. They also use apps like Stellarium (Android) and StarView (iPhone) to find, weeks in advance, the best times to stargaze for astronomical events and use the apps camera features to identify stars, constellations, and satellites in real time. Where to stargaze near Philadelphia For those ready to take their stargazing a little more seriously, there are plenty of spots near (and far) from Philadelphia. You can still stargaze in the city, but for the best views, youll need to go to dark areas of parks like Fairmounts Belmont Plateau and Lemon Hill, or have some high-quality equipment. Regional observatories invite the public to use its advanced telescopes, like Franklin Institutes Holt & Miller Observatory and Widener Universitys Observatory in Chester. Stargazing spots far from Philadelphia but worth traveling for Jeffrey Clark, former acting assistant attorney general, testifying at hearing held by U.S. Rep. Matt Gaetz (R. Fla.) in the U.S. Capitol on June 13. Read more By now, the role Philadelphia native Jeffrey Clark played in former President Donald Trumps efforts to overturn the results of the 2020 election has been well documented in congressional investigations, media reports, and law enforcement probes. But the 40-count indictment returned Monday by a grand jury in Fulton County, Georgia, is the first time the Tacony-reared former Justice Department official has been charged with a crime. Clark, 56, was one of 18 Trump aides and allies named as defendants alongside the former president in a case seeking to prosecute them for their role in undermining Georgias election results. Heres what you need to know about Clark, his role in the case, and his ties to Philadelphia: Advertisement READ MORE: As it happened: Donald Trump indicted for 4th time; Philly operative among others charged with trying to overturn 2020 election Who is Jeffrey Clark? Before the 2020 election, Clark was a little-known environmental lawyer at the U.S. Justice Department. But as Trump and his allies scrambled for a way to undo Joe Bidens election victory in late 2020, he emerged as one of the DOJ officials most open to the presidents election lies. Former Attorney General Bill Barr had resigned on Dec. 23 after concluding there was no evidence of widespread fraud in the election. And his acting replacement, Jeffrey Rosen, stood by those findings. As Trump became increasingly annoyed by what he viewed as the departments failure to find evidence to substantiate his conspiracy theories, a Pennsylvania lawmaker U.S. Rep. Scott Perry introduced him to Clark, who at the time was serving as acting head of the Justice Departments Civil Division. Perry, who represents the Harrisburg region and was one of the earliest proponents of Trumps false claims about the election, has testified that he described Clark to Trump as someone who could really get in there and do something about this. And after an initial Oval Office meeting in late December 2020, Clark began circulating a draft letter on DOJ letterhead addressed to state officials in Georgia. It said the department had identified significant concerns that may have impacted the outcome of the election in multiple states. It urged Georgias legislature to convene a special session to consider selecting an alternate slate of pro-Trump electors in time for the Jan. 6, 2021, congressional certification of the Electoral College vote. Speaking later to the Senate Judiciary Committee, Rosens deputy Richard Donoghue described the letter as wildly inappropriate and irresponsible nothing less than the department meddling in the outcome of a presidential election. The letter was referenced in the federal indictment filed earlier this month by Special Counsel Jack Smiths office in Washington. Clark, however, was not charged with a crime or mentioned by name in that document. Instead, it refers to him only as unindicted coconspirator No. 4. READ MORE: Who is Mike Roman, the Philly campaign operative charged in Georgia with helping Trump efforts to overturn the 2020 election? What are his Philadelphia connections? Clark was born in Tacony, the youngest of four siblings, and spent his early years in a family home on Marsden Street in what, at the time, was a primarily white, working-class neighborhood near the foot of the Tacony-Palmyra Bridge. He attended St. Leos Elementary School and Father Judge High School, where he graduated at the top of his class. His senior yearbook lists a string of extracurricular activities including his participation in Mathletes, the National Honor Society, the newspaper and yearbook and clubs focused on chess, German, Latin and computer science. Former classmates at Father Judge have described him as a serious and thoughtful student eager to impress by racking up academic titles and prestige. He left Philadelphia shortly after his high school graduation in 1985 to attend Harvard. After earning degrees in economics and history there, he went on to receive advanced degrees from the University of Delaware and Georgetown law. Hes based his career primarily in Washington ever since. READ MORE: Trump is coming to Philadelphia for a fundraiser next month How does he factor into the Georgia indictment? Of Trumps 18 codefendants in the Georgia case, Clark faces some of the fewest charges. The grand jury indicted him on two counts racketeering conspiracy and attempting to commit a false statement in writing. That latter charge is tied to letters he sent on Dec. 28, 2020, and Jan. 2, 2021, to Georgia Gov. Brian Kemp, Speaker of the Georgia House of Representatives David Ralston, and President Pro Tempore of the Georgia Senate Butch Miller on Justice Department letterhead saying investigators had identified significant concerns about the outcome of the Georgia election. Prosecutors in Georgia allege that he knew those claims were false and attempted to use them to pressure the state officials to overturn President Joe Bidens victory in the state. The indictment also details an hour-long phone conversation Clark allegedly had on Jan. 2 with a codefendant, Scott Graham Hall. Hall, an Atlanta-area bail bondsman, is accused in the indictment of illegally commandeering voting information from machines used in Coffee County, Georgia, that Trump and his allies allegedly later used to falsely claim fraud had taken place there. What other role has he played in Trumps efforts to undermine the 2020 election results? Clarks willingness to use the imprimatur of the Justice Department to back Trumps false election claims nearly propelled him into the job of attorney general. According to the report by the Congressional committee that investigated the Jan. 6, 2021, attack on the U.S. Capitol, Clark met with Trump in the days after sending the letter to Georgia officials to float a new plan: Oust Rosen as acting attorney general and appoint him instead. According to Clark, Trump was keen on the idea that Clark, as attorney general, would adopt a more aggressive posture in pressuring state officials to appoint false Trump electors and was taking steps to name Clark to the DOJs top job. But the federal indictment filed by Smiths office earlier this month notes that a White House lawyer tried to dissuade Clark from accepting the promotion, warning him there had been no widespread fraud and that if Trump remained in office nonetheless, there would be riots in every major city in the United States. According to the indictment, Clark responded: Well thats why theres an Insurrection Act a reference to the federal law that allows the president to deploy the military domestically. Nonetheless, when word got out of what Clark and Trump were planning, Rosen demanded his own meeting with president. And on Jan. 3, he and Donoghue found themselves in the Oval Office for a tense exchange in which both men threatened to resign should Trump follow through with the appointment. Youre an environmental lawyer, Donoghue told Clark during that meeting, according to the transcript. How about you go back to your office, and well call you when theres an oil spill. Ultimately, the threat of mass resignations swayed Trump. According to accounts shared in court filings and Senate testimony, Trump told Clark he wasnt going to take him up on his offer but praised his willingness to fight. Clark resigned from the Justice Department shortly afterward, when the New York Times first reported details of his actions after the election. Staff writer Andrew Seidman contributed to this article. A portrait of American soldier Travis King is displayed as his grandfather, Carl Gates, talks about his grandson on July 19, 2023, in Kenosha, Wis. Read more SEOUL, South Korea North Korea on Wednesday offered its first official confirmation that it had detained a U.S. soldier who bolted into its country last month, releasing a statement through its state media attributing statements to the Army private that criticized the United States. One expert called the announcement 100% North Korean propaganda. There was no immediate verification that Pvt. Travis King actually made any of the comments about his home country. King, who had served in South Korea and sprinted into North Korea while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. READ MORE: U.S. soldier who fled to North Korea had served 2 months in South Korea prison on assault charge The official Korean Central News Agency, citing an investigation, said King told them he decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. Advertisement KCNA is the official voice of leader Kim Jong Uns government, and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. The report said North Koreas investigation into Kings illegal entry would continue. Verifying the authenticity of the comments attributed to King in North Koreas state media is impossible. What might happen next The United States, South Korea and others have accused North Korea of using foreign detainees in the past to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A U.S. Defense Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the U.S. had no way to verify North Koreas claims about King. The official said the Pentagon was working through all available channels to bring King back to the U.S. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didnt immediately express its intention to accept King as a refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Koreas description of King as an illegal entrant, rather than someone who voluntarily entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he wouldnt provide it with high-profile U.S. intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. The bigger diplomatic picture North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. King, 23, was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. U.S. officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonorable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden has provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. People set down their signs as they gather at City Hall to listen to speakers during the 2023 Peoples Convention Rally in July. Read more A second tenant shot during by a private security contractor during an eviction has filed a lawsuit just as officials are preparing a plan to restart Philadelphias unusual for-profit eviction system. Unlike in other jurisdictions, city courts appoint a private lawyer, Marisa Shuter, to serve as a landlord-tenant officer responsible for enforcing evictions using private security in exchange for the right to collect millions in fees from landlords. But court officials ground those operations to a halt after a contractor shot Latese Bethea in her leg in July the third episode in four months in which deputized landlord-tenant officers opened fire during attempted lockouts; two of those resulted in tenants being wounded. On Wednesday, a lawyer for Bethea filed suit in the citys Court of Common Pleas against Shuter, the landlord, and the contractor who allegedly shot her. Advertisement The civil suit accuses the parties of negligence. Lawyer Kevin OBrien accused Shuter of operating a cheaper alternative to the Sheriffs Office which is also legally empowered to perform lockouts by skimping on the training and credentialing of the contractors. The landlord in this case got what they paid for. Discount officers with discount training at discount prices, OBrien said in a statement. Had the Landlord Tenant Office trained their officers on the legal and proper use of force, this incident would not have occurred. Bethea, in statement from her lawyer, said she remained traumatized and is seeking counseling for her daughter, 8, who witnessed the shooting. I am still recovering from the shock and physical pain of being shot in my home, she said. What concerns me most is this happened in front of my daughter. It breaks my heart that she doesnt feel safe and will have to live with the fear and memory of seeing a man shoot her mother in our home. The suit also names the Grace Townhomes, an affordable housing complex operated by the nonprofit Womens Community Revitalization Project, which sought the eviction. A representative for the nonprofit declined comment. It also targets a still-unidentified security contractor who fired the shot that struck Bethea. Across all three cases, Shuter has maintained that her officers were acting in self-defense when firing their weapons. In Betheas case, a representative for the landlord alleged she was assaulted by the tenants. Shuter has declined to speak directly to reporters. Mike Neilon, a spokesperson, declined to comment on the lawsuit. Before Betheas shooting, a landlord-tenant officer shot 35-year-old Angel Davis in the head during a lockout in North Philadelphia in March, sending her to the hospital with serious injuries. Then, in June, a landlord-tenant officer shot at, but did not strike, a tenants dog during an eviction. Lawyers for Davis also filed suit last month against Shuter, her former landlord, and the contractor. The legal actions take place against a backdrop of a stewing controversy. Housing advocates and some legislators are calling for changes to or even abolition of the office, while Shuter and landlord groups are pushing to resume lockouts. Court records show that Shuters deputies resumed serving eviction notices earlier this month, and City Councilmember Kendra Brooks who has been involved in an advisory group with court officials about the office said she expected an official plan for resumption by weeks end. We have been in communication with the landlord-tenant officer and the court and made it clear that any permanent solution must guarantee accountability, robust independent oversight, and notification of the date and time of eviction to every tenant, she said. Where does the eviction hiatus stand? A preliminary proposal for resuming lockouts was circulated by Shuter last week to the landlords group Homeowners Association of Philadelphia (HAPCO), and, briefly, made public on the groups online blog before being abruptly deleted. The proposal, which has not been finalized, indicated that Shuter sought to resume lockouts Aug. 21, under some revised guidelines. Shuters proposal would require a Pennsylvania state constable a sworn law enforcement officer elected to municipal positions outside Philadelphia be present during all lockouts, alongside deputized landlord-tenant officers. That change would increase the number of officers present during an eviction from one to two. Landlords would be required to fill out an affidavit attesting to the weight and breed of tenants dogs, the number of minors in a property, whether firearms are present, whether tenants are known to be violent, and whether health or mobility issues are known. Landlords would also foot the cost for the increase in staffing, training, and insurance, the proposal says. The fee for serving a writ, previously $45, would increase to $250 under the plan. These guidelines do not include changes some housing advocates have pushed for, such as suggesting officers dispatched to evictions be unarmed or requiring explicit tenant notification of the exact date and time of a lockout. Other advocates have called for the for-profit system to be dismantled. I dont see this as a solution, said Sterling Johnson, an organizer with Philadelphia Housing Action. More training and another officer is not what anyone asked. Shuters plan would need approval from Municipal Court President Judge Patrick F. Dugan. Neilon, Shuters spokesperson, declined to elaborate on the plan and acknowledged that discussions with stakeholders were ongoing. A spokesperson for the First Judicial District declined to comment. While evictions still officially remain on hiatus, landlords and their lawyers are eager for their return. In an email reviewed by The Inquirer, a member of Baritz Law Associates a legal firm that handles many evictions wrote to clients on Monday saying lockouts would soon resume, with the introductory line: GREAT NEWS!! Republican David Oh, left, and Democrat Cherelle Parker, right, are their parties' nominees in the mayor's race. Read more The two candidates running this fall to become the 100th mayor of Philadelphia know each other well. Democrat Cherelle Parker and Republican David Oh served on City Council together until resigning to run in the race to replace outgoing Mayor Jim Kenney. Philadelphia has not had a competitive general election in a mayors race in two decades. Oh is hoping to change that this year, but he faces long odds against Parker thanks to Philadelphias overwhelmingly Democratic electorate. READ MORE: Sign up for The Inquirer's newsletter on this year's historic mayoral election Advertisement Heres what you need to know about the November general election: Who is Cherelle Parker? Parker, 50, has lived in Northwest Philadelphia for almost her entire life. A West Oak Lane native, she was raised in part by her grandparents and by a single mother who died when Parker was 11 years old. While at the Parkway Program high school in Center City, she won a citywide oration contest that led her to meet Councilmembers Augusta Clarke and Marian Tasco, trailblazing Black female politicians who took Parker under their wings. Parker graduated from Lincoln University and worked as a teacher for one year in New Jersey before joining Tascos office, where she eventually became the top staffer. She won a special election for state representative in 2005 and served 10 years in Harrisburg, including a stint as chair of the Philadelphia election. She was convicted of driving under the influence after being pulled over and arrested in Germantown in 2011. Parker replaced Tasco on Council in 2016, and was elected majority leader in 2020. She represented the Northwest Philadelphia-based 9th District. She has a 10-year-old son, Langston, and she co-parents with her ex-husband Ben Mullins, an official with the operating engineers union. If she wins in November, Parker will become the first female mayor of Philadelphia. Who is David Oh? Oh, 63, is a former prosecutor and veteran who grew up in Southwest Philadelphia, where he still lives, to a Korean American family. His father was a pastor. Oh has had an unusual political career, getting elected to Council three times despite having few fans in either the GOP or Democratic machines. He held one of two at-large seats that are effectively set aside for members of minority parties or independents and have traditionally been held by Republicans. He succeeded in large part by championing the interests of disparate communities across the city, rather than just the Republican strongholds in Northeast and South Philadelphia. He has appealed to veterans and immigrant communities and has enjoyed some support from labor. That unusual coalition allowed him to survive the 2019 election, when the progressive Working Families Party attempted to win both minority party Council seats but were unable to unseat Oh. During his 2011 campaign and previous unsuccessful runs for Council, Oh exaggerated his military service in the Maryland National Guard by implying that he had been a member of the Army Special Forces, or Green Berets, when he had not. He later apologized. In 2018, Oh was investigated by the Department of Human Services for suspected child abuse after accidentally breaking his sons collarbone while practicing martial arts. Investigators cleared Oh, but he was nonetheless outraged by the investigation and held hearings chastising the agency. He graduated from Dickinson University and from Rutgers Universitys law school. He lives with his wife, Heesun Oh, on the same block where he grew up. They have four children. If he pulls off an upset in the general election, Oh would become the first Asian American mayor of Philadelphia. How did they become the nominees? Oh and Parker had very different paths to winning their parties respective nominations for this years mayoral election. Oh waited until February to resign from Council and enter the mayors race, and he won the GOP primary unopposed in May. Parker resigned in September 2021 and emerged in a crowded Democratic field that at one point appeared to have as many as eight serious candidates. She ended up pulling away from the field to finish with about 33% of the vote, nearly 10 percentage points better than second-place finisher Rebecca Rhynhart, a former city controller. With a tough-on-crime message and a compelling personal story, Parker won the endorsements of scores of Democratic Party ward leaders and elected officials, and she dominated her competitors in Black and brown neighborhoods. What will the campaign be like? The general election campaign will ramp up after Labor Day, but it appears that the two candidates will be largely talking past each other this fall. As political underdogs often do, Oh has called for a series of debates between the two nominees. Sparring on stage with Parker would give Oh more exposure and give him the opportunity to try to force Parker into a verbal misstep. READ MORE: Heres what Cherelle Parker has been up to since winning the Democratic nomination for mayor Parker, however, has not committed to a debate, and in July she said the focus of her campaign will instead be on earning the support of the voters of the city. Parker, whose signature community policing plan calls for hiring hundreds of more cops, is viewed as a political moderate. That will make the road to victory even more difficult for Oh, who may have been able to win over centrist Democrats if a more progressive nominee emerged from the primary. How do I vote? Philadelphians can vote in the mayors race and other municipal elections in person on Nov. 7 or by mail in the weeks leading up to Election Day. City residents can register to vote, check the status of their registrations, or apply for a mail ballot via the Philadelphia City Commissioners website. The deadline to register in time for this years general election is Oct. 23. Lisa Espinosa, a Philadelphia mother, is one of four featured mothers in a new docuseries on Hulu called "Mother Undercover." Read more There is a moment in a new docuseries about mothers who risked their lives to get justice for their children when Lisa Espinosa looks into the camera and says to her sons killer: You messed with the wrong mother. Her declaration, part of the ABC News Studio series Mother Undercover, captures the Philadelphia mothers dogged determination to hold her sons murderer accountable. On April 10, 2016, after a fight outside a nightclub at B Street and Allegheny Avenue in Kensington, 26-year-old Raymond Pantoja was fatally shot. In a city where hundreds of people are killed each year, but only a fraction of murder cases are solved, Espinosa was intent on making sure her youngest sons death didnt become another statistic. Advertisement So when leads dried up and she stopped hearing from detectives, she became her own investigator putting herself on a dangerous path that led to a violent drug dealer. Espinosa mined social media for clues she shared with police. She took to the streets to stake out witnesses. When she got too close, she and her family were threatened. But Espinosa was undeterred. At one point, she even held an event for families of murder victims across the street from where she knew her sons killer hung out. My obsession was bigger than my fear, she said when we talked this week. While many viewers have just been introduced to Espinosa, and three other mothers who went to great lengths for their children, her story should be a familiar one to readers of this column. For years, I documented Espinosas search for justice as she became one of the citys most vocal advocates for victims of gun violence. (Full disclosure: I also make a brief appearance in the docuseries.) Even while trying to solve her sons murder, Espinosa guided other families looking for answers. She also organized a protest outside of Philadelphia Police Department headquarters to highlight the citys staggering number of unsolved murders. Three years after her sons death, Espinosa finally faced his killer, who pleaded guilty and was sentenced to 24 to 28 years in prison. The bullet that killed her son, she said in court that emotional day, also shattered the worlds of everyone who loved him including his only daughter. Afterward, family and friends told her she should go home and rest. All of her hard work, her courage had paid off. But just hours later, Espinosa stood before hundreds of people impacted by gun violence who had gathered at the Art Museum steps. Through tears, she said that no one should find comfort in a city where so many are living in anguish. In many ways, Espinosas story is not unlike the stories of other families. But hers offers a blueprint for those hoping to keep the deaths of their loved ones from being eclipsed by the constant bloodshed in our city. She hopes she can offer some inspiration. More so, she hopes that her work is a reminder that it is crucial to hold accountable every part of a system that fails families of homicide victims, and the institutional barriers that compound their grief. That stretches from the struggle to reach detectives to navigating a judicial system that often fails to put victims at the center of its efforts. The institutions that should put victims first are broken, she said. There are so many barriers that only add to our pain. By her count, she went to court more than 50 times as her sons case slowly wound its way through the legal system. She all but begged some media outlets to share her story. She lost count of the multiple assistant district attorneys assigned to her case. After the show premiered last month on Hulu, Espinosa was overwhelmed by people reaching out from all over the country. Many wanted her to know that her story touched them, but even more asked for guidance. A mother in Kentucky. Another in Florida. Still another in Texas all with their own stories of loss, all with the same plea: Can you help? Espinosa is now a fellow for the national organization Everytown for Gun Safety and a volunteer for the nonprofits grassroots movement Moms Demand Action. (Shes hoping to become a senior fellow, which would allow her to mentor other survivors, so if youve been inspired by her story, text HONOR/Lisa Espinosa to 64433.) And lately, shes begun to think more seriously about turning the lessons she learned into a book. Lesson No. 1: Far too many families in Philadelphia are forced to put their grief on hold while desperately seeking justice that most never get. Rep. Marjorie Taylor Greene (R., Ga.) and former President Donald Trump at the Bedminster Invitational LIV Golf tournament in Bedminster, N.J., in July 2022. Read more Im a liberal Democrat, so I try to watch Fox News every night. Yes, you read that right. If I watch CNN or MSNBC, I will simply see my worldview confirmed. But when I change the channel to Fox, I actually learn some new things. For example, did you know that the FBI is a rogue agency bent on depriving Americans of their liberties? That the CIA leaked misinformation about Donald Trump? And that our support for Ukraine like so many American overseas adventures is propping up an undemocratic state and lining the pockets of the military-industrial complex? For the record, I dont believe any of that. But its almost exactly the same thing I heard from my fellow liberals when I went to graduate school in the late 1980s. Advertisement READ MORE: Want to save our democracy? Talk and listen to someone you dont agree with. | Jonathan Zimmerman I was a former Peace Corps volunteer, which made me automatically suspect to many of my peers. Every correct-thinking lefty knew that the Peace Corps was a front for the CIA, which visited all kinds of harm across the globe during the Cold War. There is no evidence none that the CIA infiltrated the Peace Corps, which has barred former CIA agents from serving as volunteers. But the students werent wrong about the ugly history of the CIA. It helped topple leaders in Iran and Guatemala, installing U.S.-friendly despots in their place. It tried to assassinate Cuban dictator Fidel Castro and even attempted to drug him with something similar to LSD. More recently, after the attacks of Sept. 11, 2001, it tortured detainees at secret CIA-operated black sites around the world. And the FBI? It illegally wiretapped Martin Luther King Jr. and other civil rights leaders, including Ralph Abernathy and Jesse Jackson. It sent a cassette tape of the sounds of King having sex with several women to his office in Atlanta, accompanied by a threatening note saying, There is but one way out for you. Everything thats old is new again. The FBI also infiltrated Students for a Democratic Society and other groups protesting Americas war in Vietnam. At the 1968 Democratic National Convention in Chicago, where an estimated 1,000 FBI and Secret Service agents were on duty, some of them grew out their hair to mix with the hippy crowd. That defied an order by the straight-laced FBI director J. Edgar Hoover, who said agents should keep their hair short even when undercover. Does that mean one in six of the protesters at the 1968 convention was an FBI agent, as Rep. Marjorie Taylor Greene (R., Ga.) recently claimed? Probably not. But the fact that she invoked the iconic left-wing Chicago protests tells you how much the political tides around the FBI have turned. Law-and-order Republicans used to support the FBI, including its hard stance against anti-war protesters. No longer. Earlier this year, a poll found that just 17% of Republicans had a positive view of the FBI, while 56% have a negative one. Thats because of the multiple investigations of Donald Trump and the Jan. 6, 2021, insurrection at the U.S. Capitol, which Rep. Greene and others have falsely attributed to FBI infiltrators. But we should be able to refute Greenes lies while still acknowledging the historical truths about the agency, which continues to flout the law. As a court found last year, the FBI violated its own policies by using a vast trove of foreign intelligence information to search for data about Jan. 6 protesters and participants in the 2020 demonstrations following the murder of George Floyd. Again, that doesnt mean the FBI incited these protests. It simply means that we need to be vigilant about monitoring it. Ditto for American policy in Ukraine. On Fox, I watched the since-deposed Tucker Carlson claim that Ukraine is not a democracy, but is instead a client state of the Biden administration. He also charged that lawmakers like Sen. Richard Blumenthal (D., Conn.) wanted to arm Ukraine because they received donations from military contractors like Raytheon. Thats a near-perfect echo of Russian state-run media, which has highlighted Carlsons falsehoods in its propaganda. (After Fox fired Carlson, in fact, a Russian TV network offered him a job.) But what Carlson says sounds awfully familiar to a child of the 1960s like me: America has surely propped up dictators in the past and awarded too much power to the military-industrial complex, a term coined by a Republican president and former Army general (Dwight D. Eisenhower) as he departed office in 1961. So, as they say, everything thats old is new again. But now, the mistrust of government surveillance is coming from the right, not the left. We need to be watchful about American aid to Ukraine, to ensure we dont repeat the worst chapters of our history. For me, that means watching Fox News. Sometimes, Fox simply lies. But it can also keep us honest and, I hope, humble by reminding us how much former hippies like me once had in common with it. Jonathan Zimmerman teaches education and history at the University of Pennsylvania. He is the author of Whose America?: Culture Wars in the Public Schools, which was released last year in a revised 20th-century edition by University of Chicago Press. Dylan Coveys Phillies tenure did not get off to the best start. He allowed five earned runs in a two-thirds of an inning in a May 28 game against Atlanta. He posted a 5.68 ERA in the month of June. But over the months of July and August, Covey has seemed to hit a stride. Hitters were batting .338 against him in May and June. Over July and August, they are batting .245. Covey has posted 2.08 ERA over that span. Its not perfect. Hes striking out fewer batters over the last two months than he did in the first two, and has seen his walk rate creep up. But he feels as if his arsenal is as complete as it has ever been, thanks to an atypical journey and some help from the Phillies pitching staff. READ MORE: Inside the swing adjustment that accelerated Johan Rojas path to the majors with the Phillies Covey has played in five big league organizations. From 2021-22, he played in Taiwan. But along each stop he has learned more about himself as a pitcher. Advertisement He looks at his sinker as an example. He used to throw it all the time, earlier in his career, especially to lefties. Hitters were expecting it. The Chicago White Sox, who claimed him off waivers from the Oakland As in 2016, told him that it wasnt a good pitch and to stop throwing it. They asked Covey to replace his sinker with his four-seam fastball. He changed his arm slot so he was reaching up higher. It didnt feel natural, and it caused him to lose some of his more effective pitches, like his splitter and his change-up. His time in Taiwan, in that sense, was a godsend. Covey used it to reset and shape his arsenal to be the best it could be. Back in 2017, 2018, 2019, I think my repertoire was totally different, he said. I think when I went to Asia the last couple of years, I was able to work on things and fine-tune them in a competitive environment that wasnt like the big leagues. So, its not like as much pressure, but still able to be in that competitive environment and really fine-tune some things. Be able to pitch in those environments and not have the stress of, OK, if I mess this pitch up, I might be sent down. Covey moved his arm slot back down. He got a feel back for his sinker again. When the Dodgers signed him to a minor league contract in January, he added a cutter. When the Phillies claimed him off waivers from the Dodgers in May, they told him to keep it. And now, at age 32, after stumbling in the big leagues and minor leagues since 2013, Covey feels as complete a pitcher as he ever has been and the numbers over the last two months back that up. There is still room for improvement. Covey is reincorporating his splitter to get more swing-and-miss and plans to start using it in games soon. Earlier in my career, that was the pitch where I was like, if you need a swing-and-miss, thats what youre going to get, Covey said. But overall, the Phillies feel that Covey is progressing in the right direction. He thinks he relied too much on his sinker earlier in his career, I threw it all the time. Too much. So hitters were kind of just keying in on it. Even if I was ahead in the count, I was going to throw it at some point, with two strikes. I became too predictable, too reliant on it. And now the fact that Im able to throw all of my pitches for strikes, behind in the count, ahead in the count, whatever, it keeps the hitters off balance a little more. His stuff is pretty good and hes throwing strikes, said manager Rob Thomson. Thats the main thing. And now theyre working on the secondary pitches and theyve come along fine. Every time hes come out for the most part, even the other day when he gave up a run, its been three singles that just went through the infield. So hes getting a lot of soft contact, which is good. Extra bases Jose Alvarado (left elbow inflammation) will pitch an inning on Tuesday night at triple-A Lehigh Valley and an inning on Friday. Brandon Marsh (left knee contusion) was running the bases at Lehigh Valley on Tuesday. Its possible he plays in a game at triple A on a rehab assignment soon. There is still no timetable for his return. David McCormick and wife Dina Powell McCormick outside their polling place in Pittsburgh on May 17, 2022. Read more David McCormicks ties to Pennsylvania are again in the spotlight as he prepares for a widely expected second run for U.S. Senate, in 2024. The former hedge fund manager moved from Connecticut to Pennsylvania to run in the 2022 Republican primary, which he narrowly lost to celebrity doctor Mehmet Oz. But he still spends a good deal of time in Connecticut, the Associated Press reported this week, prompting attacks from Democrats eager to repeat an offensive that worked well against Oz last year and ardent defenses from Republicans, who are encouraging him to run. McCormick, 56, faced some scrutiny over moving here to run in the last Senate election, which had several transplants. Oz had moved from New Jersey to run, and Carla Sands, former ambassador to Denmark, was from California. McCormick arguably had the most direct claim to Pennsylvania of the three, having lived here for the first 30 years of his life. But now, as McCormick prepares a likely second run, with a currently clear GOP primary field, questions over his ties to Pennsylvania could create campaign hurdles similar to those of Oz, who struggled to bat back attacks from Democrats that he was a wealthy, out-of-touch outsider who didnt understand Pennsylvanians. McCormick has residences in Connecticut and Pennsylvania Its clear that McCormick spends time in both Pennsylvania and Connecticut, where his daughters attend high school. The Associated Press reported this week the balance seems to fall far more heavily on Connecticuts Gold Coast, an affluent stretch 50 miles from New York that is home to many wealthy Manhattanites. McCormick rents a $16 million mansion in Westport, Conn., overlooking Long Island Sound. The six-bedroom, nine-bathroom, two-acre estate has a 1,500-bottle wine cellar, an outdoor fireplace, and a hot tub overlooking the waterfront. Advertisement In January 2022, McCormick sold his $6.5 million home in Fairfield, Conn., and bought a $2.8 million home in Pittsburghs Shadyside neighborhood ahead of the GOP primary that spring. McCormick didnt get a homestead tax exemption on the Pittsburgh home, though, a break typically used for a persons primary place of residence, the AP noted. He voted at his Pittsburgh precinct in both the 2022 primary and general elections, according to the Pennsylvania voter roll. McCormicks Connecticut address has appeared on documentation as his home address, including on paperwork related to the sale of his $13 million condo on Manhattans Upper East Side. McCormick has also done several Zoom interviews from the house. He has been around Pennsylvania, too. McCormick attended frequent GOP events in Pennsylvania in the last year, promoting his book and gearing up for his campaign. The son of two Pennsylvania public school teachers, David McCormick lives in the commonwealth and has spent the majority of his life in Pa., coming from humble roots, graduating from public schools, and later raising his young family and creating jobs in Western Pennsylvania, his spokesperson Elizabeth Gregory said in a statement. And to smear him as a private citizen because he also spends time where his daughters go to school now is disgraceful. He grew up in Western Pennsylvania McCormick was born in Washington, Pa., and grew up in the Pittsburgh area, including on his familys Christmas tree farm in Bloomsburg. His father is the past president of Bloomsburg University and was also a chancellor within the Pennsylvania State System of Higher Education. In 1987, McCormick graduated from West Point. He was part of the first wave of U.S. troops sent into Iraq during the first Gulf War, where he led an engineering company of 130 soldiers clearing minefields. McCormick left the service in 1992 after five years and enrolled in a doctoral program at Princeton. He started his career in finance in Pittsburgh in 1996 as a consultant at McKinsey & Co. From 2005 to 2009, he served in President George W. Bushs administration. In 2009, he started working at the investment management firm Bridgewater Associates, where hed stay until retiring as CEO in 2022. McCormick talks about his upbringing in Pennsylvania frequently and aired campaign ads in 2022 highlighting his Keystone State roots. He also launched a super PAC last year to help GOP candidates in Pennsylvania. His other real estate holdings McCormick and his wife had $24 million to $120 million worth of various city homes, farmland, and rental properties as of last years statement of financial interest. He and Oz poured significant personal wealth into their campaigns last year, and the two candidates riches defined the Republican primary. If either had been elected, he would have been the wealthiest person ever to represent Pennsylvania in the Senate. In addition to the Pittsburgh home, public property records show that McCormick and his wife purchased a Dallas condo in 2021 for $4.1 million. They described it as an investment property on the statement of financial interest, along with a Colorado ranch worth $5 million to $25 million. McCormick also owns his family farm in Bloomsburg, where he grew up, valued now at $1 million to $5 million. Public records show he has significantly expanded it over the years by buying up neighboring land. McCormicks 2022 campaign said he has owned the farm for 10 years. What are the residency requirements for running for Senate? There are only three constitutional requirements for serving in the Senate: to be at least 30 years old, a U.S. citizen for at least nine years, and to inhabit the state youll represent at the time youre elected. McCormick or anyone else could theoretically run a campaign from Hawaii, and wait until just before Election Day to move to Pennsylvania and legally be eligible. Theres no precise definition of what makes someone an inhabitant and no minimum time period attached to that designation. The Constitutions framers, in fact, explicitly debated a time requirement and rejected the idea, according to the Congressional Research Service, the nonpartisan research body of Congress. There is a general (legal) presumption within the American democratic tradition that the whole of the adult citizenry are eligible to serve in political office, and anyone challenging such presumption of eligibility has the burden of proof, CRS wrote in a 2015 report. How might all this affect McCormicks chances? Its unclear. McCormick still hasnt officially declared his candidacy for the office yet, so its possible hell spend a lot more time in the state once the campaign is underway. And voters arent particularly tuned into the Senate race right now. The amount of time McCormick spends in Pennsylvania is unlikely to be a factor in the GOP primary unless other serious candidates get into the race. But Democrats are already seizing on an opening to attack McCormick over his dual-state residency and revive an offensive that worked well against Oz last year in parochial Pennsylvania. Oz struggled to quiet an onslaught of attacks from Democratic candidate John Fetterman about being from New Jersey. Fetterman, now senator, on Monday retweeted a link to an article about McCormicks residency alongside a viral video that hed recaptioned: That m*****f***** is not a Pa. resident. Oz had baggage McCormick wont such as 20 years of hosting a daytime talk show with sometimes questionable medical advice and Hollywood connections that contributed to a negative impression among some voters that he was an opportunistic outsider. Allegheny County GOP chair Sam DeMarco called any question of McCormicks ties to Pennsylvania ridiculous. Dave is a Pennsylvanian who is laser-focused on helping Republicans win in Pennsylvania, and anyone who doesnt see that hasnt been paying attention, he said. Hes raising money for candidates, speaking at events to generate enthusiasm, and having one-on-one conversations with Republicans all across the commonwealth about how to win this November. An earlier version of this article misstated the number of years McCormick has lived outside of Pennsylvania. Pennsylvania has the largest full-time legislature in the country. Read more HARRISBURG Pennsylvanias lawmakers left the state Capitol last month without finishing their main job: completing a balanced budget. They ultimately approved the general spending plan but have yet to complete the legislation needed for some funding increases or new initiatives. For now, lawmakers continue to enjoy their more than 10-week summer vacation and arent scheduled to return to the state Capitol until September. Theyll take another long recess in the winter. While the state Capitol building is empty of legislators, The Inquirer analyzed the many perks of being a legislator in Pennsylvania, how it compares with other states and why changes are hard to come by. Starting salary: $100K All 253 members of the Pennsylvania General Assembly have a starting salary of more than $100,000 this year. Its the first time legislators pay has topped six figures. Members of leadership make more than $150,000. The starting salary for lawmakers is nearly double that of the average $54,241 private-sector salary for Pennsylvanians. Pennsylvania has the third-highest paid state legislature, behind California and New York. In 2022, Californias lawmakers had a $119,702 starting salary, and New York had a $110,000 starting salary, according to the National Conference of State Legislatures. Both states have higher costs of living. The rest of the year, lawmakers are expected to be working from their district offices and offering constituent services. However, no one is keeping track. (Their offices are staffed and open year-round to help constituents.) Pennsylvania legislators are allowed to moonlight and have other jobs in addition to their employment as a lawmaker. Many legislators who are lawyers maintain partner or associate status at law firms around the state, such as Rudolph Clarke in Montgomery County. Advertisement In addition, theyre allowed to accept an unlimited amount of gifts from nonprofits, lobbyists, businesses, and others. However, theyre supposed to report gifts worth more than $250 or hospitality of more than $650 as part of their annual statements of interest. Good-government advocates such as Rabbi Michael Pollack from MarchOnHarrisburg have been pushing for years to ban gifts to lawmakers, and hope they are able to pass it this year. We hear all the time from lawmakers, Gifts dont affect the way I vote, nobody could ever influence me, Pollack said. Its fascinating that the first human beings in history who have no capacity for corruption all work together in the same building. The nations largest full-time legislature Pennsylvania has the largest full-time legislature in the country, with 203 state representatives and 50 senators. Illinois, a state similar in population with a full-time legislature, has 118 state representatives and 59 senators. New Hampshire has the largest state legislature in the country with 424 total members. But the salary is only $100, plus as much as a $23,000 stipend. Harrisburg needs an enema, and we need to reset, said Eric Epstein, the director of government accountability group Rock the Capital. Its very difficult to pass laws for an average citizen when youre living a gilded lifestyle. Full-time means meeting in Harrisburg only a few times a month Pennsylvanias lawmakers come to Harrisburg only a few times a month to actually vote on bills. The state House is scheduled to meet for only 45 days total this year, and the state Senate is scheduled to meet for 52, meaning that neither will be in Harrisburg for two months out of the year. Thats much fewer days of work than most full-time workers, who are on the job 20 to 23 days a month, or 240 days a year. However, they sometimes will meet on non-session days with their legislative committees, and members may tour the state during recesses to collect information about issues. Pennsylvania is considered one of 10 states with a full-time legislature. I wish they would function as a full-time legislature, Pollack said. There is no shortage of problems that have been backed up and backed up, and we fail session after session to address them. States with part-time legislatures have limits on how long they can meet each year. Most meet only for the first few months of each year. Nearby part-time legislatures have session limits: New Jersey is limited to 40 sessions a year, and Maryland meets for only 90 days. Per diems In addition to their salary, lawmakers are eligible to be reimbursed for as much as $181 a day every time they go to Harrisburg. This per diem which means by the day is supposed to go toward food and lodging; some drive hundreds of miles and from hours away to come to the state Capitol. It does not include mileage, for which they are also eligible for reimbursement. Most states offer per diems for lawmakers, depending on how close they live to the state Capitol. Alaska offers the highest per diem, $307 per day. More lawmakers means more direct representation In theory, having more lawmakers means citizens have more of a voice in Harrisburg. Each state representative represents about 60,000 people. Add in the Senate, and every 51,000 Pennsylvania residents is represented by a legislator in Harrisburg. This is much more than in California, where each of the 80 state representatives represents nearly 500,000 people. Or Illinois, where each of its 118 state representatives represents 102,000 people. Some states have larger legislatures, or have a smaller number of residents per lawmaker. Challenges to change For any of this to change, lawmakers would need to pass the reforms themselves. Both Pollack and Epstein have been trying to alter the culture in Harrisburg for years. Theres no incentive for the legislature to change the way it operates, Epstein said. For those who get elected and try to revamp things: Youre not going to ascend the legislative ladder by taking the ethical or reform track. Youll immediately get derailed, Epstein added. Cutting-edge coverage Thousands of brokers across Australia gave their professional views to determine the 2023 Insurance Business 5-Star Cyber winners the best cyber insurance providers in the country. Meena Wahi, company director at Cyber Data-Risk Managers, underlines how important this sector has become due to the fragmented nature of IT supply chains, making cyber providers vital to their clients. Lets say businesses are not implementing proper security controls and they have an incident, she says. If the cyber insurance industry can get a better view on what cyber security your business is implementing, there would be more confidence around claims and there would be more guarantee that the claims would be paid. Even though cyber insurance is really tech-heavy, the human element is still so incredibly important to help people put their minds at ease when they really need it the most Jenny Whitby ATC Insurance Solutions Despite an increase in the severity of ransomware claims the market is stabilizing, resulting in greater competition as insurers now have greater confidence in the profitability of the class and have seen an improvement in the general cyber security hygiene of insureds following the hard market cycle. As such and with rates having steadied in the last six months, brokers appear to be more confident in the stability of the market and as such are having more success with first time buyers, according to Philippa Davis, international cyber team leader at 5-Star winner CFC Underwriting. Despite recognising cyber risk as a significant exposure to their business continuity and high-profile events such as the Medibank and Optus breaches occurring penetration rates in Australia are still incredibly low: around 15%20% for SMEs, says Davis. Benchmark that against the fact that 98% of Australias 2.6 million businesses are SMEs, and it shows how much more opportunity there still is for brokers. Competitive differentiators and metrics CFC Underwriting targets everyone from micro-SMEs to large corporations. The firms flagship policy, Cyber Private Enterprise (CPE), protects against threats of the digital age, providing coverage for incident response costs, cybercrime, system damage, business interruption, network security, privacy liability, media liability, and technology errors and omissions. Some of the key features of CPE include: building a $650 million cyber portfolio comprising over 80,000 policyholders worldwide being the largest standalone cyber insurer in Australia with more than 8,000 business clients offering the first fully integrated start-to-finish in-house claims solution in Australia winning Cyber Insurer of the Year at Zywaves 2023 Cyber Risk Awards Fellow winner ATC Insurance Solutions favours a different strategy as its products are geared towards the SME market. The company has focused on facilitating the process with an online portal that generates quotes quickly. We have really strong products including all the buzzwords that you hear at the moment contingent business interruption, crypto jacking all that good stuff is wrapped up in there, suited to smaller clients for competitive rates, says national cyber manager Jenny Whitby. ATC also offers free firewalls and antivirus software to all cyber insurance clients. Its business grade, so its not just the stuff you can download yourself from the internet, Whitby adds. Sami Sulaiman, managing director of fellow winner Carrolls Insurance, stresses how his firm provides value for money. We provide a best-in-class cyber product, which has been designed to give customers really good coverage for a fair premium. As our policy is transacted online through our portal, it is easy for brokers to get quotes and we dont ask for proposal forms, he says. Our claims service has been tried and tested and the incident response is key to our product proposition. Sulaiman is confident of growth for Carrolls and the other leaders in the sector. He adds, The cyber insurance market is still very much in its infancy and businesses are still not totally on board with buying the cover, most likely because they still dont believe they have much cyber risk. This will change in the future as we see more and more cyber awareness in the media and businesses face real-life claims. [Through notifying customers], our cyber threat analysis team has supported them in preventing over 12,000 attacks since their inception Philippa Davis CFC Underwriting Pillars of 5-Star cyber insurance The 5-Star Cyber survey provides insight into brokers thoughts on what is most important to them and ultimately to their clients. The results are shown below. Davis offers her analysis of the key differentiating factors according to the nations brokers. Coverage: The product ultimately encompasses more than just what is written in the policy wording experience, claims and incident response, and pro-active risk management services all form an essential part of the overall cyber proposition. Claims payment/process: These can be incredibly stressful scenarios for any policyholder, and you want to make sure that the claims-handling process is as smooth as possible. Underwriting expertise: As with claims handling, underwriting experience and expertise in a young market like cyber is invaluable. Breach response: Incident response is an absolutely crucial element to any cyber policy. If your business suffers a cyber attack and you cant access your systems or data, who are you going to call to help you get back up and running? Access to risk mitigation and risk evaluation partners: Weve started to see cyber insurance transition from being a purely reactive product and service to one that is proactively looking to help prevent claims from happening. We provide a best-in-class cyber product which has been designed to give customers really good coverage for a fair premium Sami Sulaiman Carrolls Insurance Whitby emphasises how ATC stands out with its breach response. Even though cyber insurance is really tech-heavy, the human element is still so incredibly important to help people put their minds at ease when they really need it the most, she explains. When a building is burning down, its very obvious whats happening, and we know what we need to do to fix that, and we know that we can rebuild the roof. Whereas when it comes to a cyber incident, people often dont have a clue whats going on and they feel helpless from that perspective. She also offers an insight into how ATC helps clients overcome resistance to the product. Clients are still quite reluctant to purchase cyber insurance, so its really important for us as underwriters to help our broker partners train and talk to them about how to best explain the policies and the product to their clients, she says. This is backed up by industry expert Mahi, who highlights the broker education issue as a key component for any 5-Star Cyber provider to offer. Brokers do not understand cyber insurance, she says. So, they are not able to explain the proper coverage and theres a gap in the market. And, perhaps, the added expense is acting as a detriment. Some clients are recognising their exposures and knowing that they need to buy this now fairly expensive product, but others are still like, well, no, says Whitby. 5-Star winners embrace emerging technologies Recently bogged down with filling out huge application forms during the hard market, brokers are interested in leveraging advanced technologies to smooth out the underwriting process, according to Davis. The firm rolled out a Connect platform to brokers that only needs a clients website to gather structured data and generate infinite variations of bindable quotes. Other areas of focus include claims handling and risk management. CFCs tools can scan portfolios for vulnerabilities and create claims intelligence to spot potential risks. Away from purely insurance-based concerns, the rise of AI and its potential for enabling highly sophisticated scams in the digital sphere will certainly help to focus minds around the threat of cybercrime and help to highlight the value of cyber insurance all the more, adds Davis. Meanwhile, Whitby says ATC is concerned with the Internet of Things essentially consumer and professional products such as toasters, refrigerators and tools connected to the Internet. Other concerns include zero-day exploits, computer hacks and especially risks associated with software patch delays. Most likely, the use of AI and the ongoing digitisation of products are the major trends, says Carrolls Sulaiman. It is important for brokers and markets to stay one step ahead of what is going on to make the most of any opportunities. Meanwhile, what lies ahead in the near future? I think well see cyber insurance becoming compulsory, says Wahi. And well see cyber insurance will have connections with cyber security. We did an underwriting conference in Las Vegas once and I hadnt really travelled much at that stage, it was one of my first overseas business trips, said Hill. Ive always loved visiting Lloyds because it really does remind you of how old and how long insurance - and particularly Lloyds - has been around. The opportunity to unite our businesses in delivering the best client solutions and providing opportunities for our people to develop personally and professionally is what drives me and will guide how we position ourselves in the marketplace, said Brisbane based Leis. This post is part of a series sponsored by AgentSync. Montana, aka the Treasure State. Known for its breathtaking views, sub-zero winters, and an insurance claims process that rivals Florida in nuance? If youre an avid reader of our blog, you might be familiar with the ins and outs of Floridas insurance regulatory landscape. So, as an insurance nerd and Montana State University alum (Go Cats!), I was eager to jump into the eccentricities of Montanas claims process and see just how they stack up to those of the Sunshine State. To fully understand Montanas unique claims handling process, we must first discuss the court case from which it all stems. So bear with me as we navigate the legal waters of the 1997 case: Ridley v. Guaranty National Insurance Company. Ridley v. Guaranty National Company In 1995, Keith Ridley sustained injuries when the car he was riding in collided with another vehicle driven by Kenneth Roope. At the time of the collision, Roope had liability insurance with Guaranty National Insurance Company. A claims adjuster for Guaranty National determined that their insured (Roope) was 90 percent at fault for the accident, leading Rileys attorney to ask that Guaranty National pay his medical expenses in advance of a final settlement. The insurer denied paying in advance, stating the severity of Rileys claims werent clearly attributed to the accident and theyd only pay once they had reached a final settlement. The trial court agreed with Guaranty National but, upon appeal, the Montana Supreme Court disagreed based on the following two prohibited insurer practices laid out in the Unfair Trades Practices Act (UTPA): 33-18-201(6) neglect to attempt in good faith to effectuate prompt, fair, and equitable settlements of claims in which liability has become reasonably clear 33-18-201(13) failure to promptly settle claims, if liability has become reasonably clear, under one portion of the insurance policy coverage in order to influence settlements under other portions of the insurance policy coverage The Montana Supreme Court interpreted the language of the UTPA provisions as requiring an insurer to pay in advance of a final settlement when liability is reasonably clear and justified its decision by stating that medical expenses are often devastating for average-income families. The Ridley Advance Pay Rule The Montana Supreme Court ruling in Ridley led to Montana enacting an advance payment rule. This rule, unique to Montana, requires insurers to compensate anyone injured by their insured for any injuries in which liability is reasonably clear before settlement and regardless of comparative fault. What is comparative fault? Comparative fault is an individuals responsibility for an accident, relative to the fault of others who are involved. For example, if Bert and Ernie are involved in a car accident where Bert is found to be 70 percent at fault and Ernie is found to be 30 percent at fault, then Bert only has to pay for 70 percent of any damages Ernie incurs. Like the example, most states permit an insurer to pay only a percentage of any undisputed damages based on their insureds comparative fault, but in Montana carriers dont assess comparative fault until settlement. Following Ridley, the Montana Supreme Court expanded the scope of advance payments beyond medical expenses to include other damages like those incurred by the plaintiff in DuBray v. Farmers Insurance. Dubray v. Farmers Insurance Similar to Ridley, in DuBray v. Farmers Insurance, the plaintiff sought advance payment for medical expenses. However, DuBray also argued for advance payment for other damages including lost wages, mental distress, inconvenience, and punitive damages, among others. While the Montana Supreme Court agreed that the insurance payments should include lost wages, it declined expanding to include other general and punitive damages. Release ruling adds more variation to Montana claims process Another quirk stemming from the Ridley payments case is that Montana insurers must pay damages in advance without first obtaining a release for their insured. While most states require an insurer to secure a release to protect their insureds interests by barring the plaintiff from suing (typically in exchange for a settlement check), the Montana Supreme Court holds that refusing to pay mandatory minimum payments until an insured signs a release is an unfair trade practice. In the 2000 case Shilhanek v. D-2 Trucking, the Court expanded the limits of minimum advanced payment without a release to include the entire policy limit. Potential pitfalls for Montana insurers The courts ruling in Shilhanek puts Montana insurers in a unique position when it comes to their contractual obligations to their insureds particularly, their duty to defend. This refers to an insurers duty to provide legal defense to their insured in the case that a liability claim is brought against them. The inability to secure a release on behalf of the insured means an insurer may exhaust policy limits paying out damages in advance but still need to pay to defend an insured if liability is reasonably clear. Idiosyncrasies like the Ridley payments and release ruling mean carriers and claims handlers should be cautious when navigating Montanas claims process. Refusing or failing to operate in compliance with the states unique requirements can result in a bad-faith claim against an insurer. Insurers can lessen their chance of a bad faith claim by conducting valid, timely assessments of liability and damages and keeping a verifiable record of relevant information throughout the claims process. Montanas unique claims processing requirements speak to the complexity of state-by-state insurance regulation. While we cant help with claims processing directly, agencies, carriers, and MGAs/MGUs can streamline their management of state nuances in producer and adjuster licensing and compliance with AgentSync. Topics Claims Montana LINCOLN, Neb. (AP) Police are testing products seized from five Nebraska dispensaries to see if they contain illegally high levels of the compound THC, the Lincoln Journal Star reported Monday. Lincoln police received warrants after checks in January, February and March found higher-than-allowed levels of THC in products at five out of 10 local dispensaries, police spokeswoman Erika Thomas told the newspaper. Follow-up tests in June also found illegal levels of THC, Thomas said. The dispensaries are: The Cannabis Factory, Kind Life Dispensary and three 50 Shades of Green locations. THC is the main compound in marijuana that produces a high sensation. Medical and recreational marijuana is banned in Nebraska, but federal law allows lower levels of THC in hemp-derived products. Associated Press emails to The Cannabis Factory and Kind Life Dispensary were not immediately returned Monday. Lawyers for 50 Shades of Green said the dispensary is cooperating with police and has not been made aware of any particular product that is illegal or in violation of the Controlled Substances Act. To date, there have been no indications of any violations under the re-testing, 50 Shades attorneys said in a statement. Copyright 2023 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics Law Enforcement A federal workplace safety investigation into a Beford, Ohio foundry explosion that caused the death of a maintenance supervisor and injuries to 15 other employees found the operator, I. Schumann & Co. LLC, failed to protect workers from the hazard of steam explosions. Inspectors with the U.S. Department of Labors Occupational Safety and Health Administration learned the explosion happened while employees inspected a water leak on a furnace used to smelt solid metals. OSHA determined water leaked onto the molten metal inside the furnace, causing a steam explosion. Inspectors found the company did not make sure that required lockout/tag out procedures were followed during the inspection of the furnace. This terrible tragedy could have been avoided if the employer followed well-known machine safety standards that are meant to prevent this type of explosion, explained OSHA Area Director Howard Eberts in Cleveland, Ohio. Sadly, a worker lost his life and 15 others were hurt in an incident that was entirely preventable. Its exactly why employers need to follow required safety procedures and train their employees. OSHA cited the company for six serious violations and has proposed $62,500 in penalties. The foundry remains closed since the explosion. Based in Bedford since 1917, I. Schumann & Co. today recycles material into metal alloys, ingots and pellets. Source: OSHA Topics Workers' Compensation Ohio New You can now listen to Insurance Journal articles! Maryland-based commercial property/casualty insurer Harford Mutual Insurance Group announced that it has received final approval from the Maryland Insurance Administration of its merger with ClearPath Mutual Insurance Co., a monoline workers compensation carrier headquartered in Louisville, Kentucky. The merger, which was announced in March, became effective August 4, 2023. Under the terms of the merger agreement, ClearPath Mutual merged into Harford Mutual Insurance Group and converted to a stock insurer. Post-merger it will be renamed Clearpath Insurance Co. marketed as Clearpath Specialty. The newly formed Clearpath Specialty has re-domesticated to Maryland. All policyholders of ClearPath Mutual will obtain membership rights in Harford Mutual as policyholders of the newly formed Clearpath Specialty. This is an exciting step forward in Harford Mutuals nearly 181-year-old history, said Steve Linkous, president ad CEO of Harford Mutual. ClearPath Mutual, formerly KESA of Kentucky for 40 years, was formed in 2018 and currently provides monoline workers compensation coverage in Indiana, Kentucky, Georgia, Tennessee, and West Virginia. ClearPath Mutual writes more than $52 million in direct written premium across nearly 7,000 policies. ClearPath Mutuals current home office in Louisville will remain in place and serve as Harford Mutuals Midwest office. Harford Mutual said it will retain all ClearPath Mutual employees and plans to merge efficiencies. Jeff Borkowski, ClearPath Mutuals current president and CEO, will become a vice president of Harford Mutual and remain president of Clearpath Specialty with Steve Linkous becoming CEO. ClearPath Mutuals board of directors will remain intact for two years, with Linkous joining the board as a director. ClearPath Mutual board chair, Sean Garber, will remain on Clearpath Specialtys board as chair and will join Harford Mutuals board of directors. Harford Mutual Insurance Group, founded in 1842 in Harford County, Maryland, provides commercial property/casualty insurance to a regional market. Harford Mutual ended 2022 with $327 million in direct written premium sold through independent agents in 10 states and Washington, D.C. Topics Mergers & Acquisitions Workers' Compensation Talent Maryland Kentucky New You can now listen to Insurance Journal articles! Nebraska Republican Congressman Don Bacon said late on Monday the FBI had warned him that his emails were hacked by Chinese spies, with both personal and campaign messages compromised. Bacon was told that the Chinese Communist Party had access to his accounts for about a month ending on June 16, he said on social media platform X, formerly known as Twitter. The hack was the result of a vulnerability in Microsoft software, he said, an apparent reference to the hacking campaign that Microsoft disclosed last month, which reportedly resulted in the theft of hundreds of thousands of emails from senior U.S. officials, including U.S. Commerce Secretary Gina Raimondo and U.S. Ambassador to China Nicholas Burns. CNN previously reported that email accounts in the House of Representatives were targeted as part of the same campaign. There were other victims in this cyber operation, Bacon said on X. The Communist government in China are not our friends and are very active in conducting cyber espionage. The Chinese Embassy in Washington did not immediately respond to a message seeking comment. The FBI and Microsoft did not immediately reply. Bacon, who was elected to Congress in 2016, is a former Air Force brigadier general who currently serves on the House Armed Services Committee, which helps decide the U.S. militarys annual budget and spending plans. Topics Cyber USA China AUSTIN, Texas (AP) __ Texas is suing Shell and is seeking more than $1 million, alleging a fire at the oil giant`s Houston-area petrochemical plant damaged the environment. The May 5 fire at the plant in the suburb of Deer Park resulted in nine workers being treated at and released from a hospital. The lawsuit, filed Aug. 7 in Travis County by the Texas attorney general`s office, alleges air and water contamination and violations of state laws at the plant, including those of the Clean Air Act, the Solid Waste Disposal Act and the Water Code. A Shell spokesperson said the company is aware of the lawsuit but does not comment on pending litigation. The Deer Park chemicals facility remains in a recovery phase as we continue to investigate the cause of the fire and rebuild the damaged portion of the plant, Gunnell said. The lawsuit contends that heavy black smoke contaminated the environment and that millions of gallons of water used to cool the areas and extinguish the flames were later discharged unlawfully into a lake, a stormwater pond and a wastewater treatment facility, making its way into the Houston Ship Channel. This is a lawsuit to enforce Texas laws enacted to safeguard the states air resources, human health and the environment against pollution and to protect the quality of water in the state, it said. The fire started during routine maintenance work when oils and gases ignited, Harris County Sheriff Ed Gonzalez said at the time. Copyright 2023 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics Lawsuits Texas Pollution New You can now listen to Insurance Journal articles! BRANDON, Miss. (AP) Six white former Mississippi law officers pleaded guilty on Monday to state charges for torturing two Black men in a racist assault. All six had recently admitted their guilt in a connected federal civil rights case. In the gruesome crimes committed by men tasked with enforcing the law, federal prosecutors saw echoes of Mississippis dark history, including the 1964 killing of three civil rights workers after a deputy handed them off to the Ku Klux Klan. Locally, the sheriff whose deputies committed the crimes this year called it the worst case of police brutality he had ever seen. Prosecutors say some of the officers nicknamed themselves the Goon Squad because of their willingness to use excessive force and cover up attacks including the assault that ended with a deputy shooting one victim in the mouth. In January, the officers entered a house without a warrant and handcuffed and assaulted the two men with stun guns, a sex toy and other objects. The officers mocked them with racial slurs throughout a 90-minute torture session, then devised a cover-up that included planting drugs and a gun, leading to false charges that stood against the victims for months. Their conspiracy unraveled after one officer told the sheriff he had lied, leading to confessions from the others. The charges against the victims weren`t dropped until June after federal and state investigators got involved, according to their attorney. The men include five former Rankin County sheriffs deputies Brett McAlpin, Hunter Elward, Christian Dedmon, Jeffrey Middleton and Daniel Opdyke and a former police officer from the city of Richland, Joshua Hartfield, who was off duty during the assault. Elward pleaded guilty to aggravated assault for shooting his handgun in the victim`s mouth in what authorities called a mock execution, thinking the weapon would dry fire without a bullet in the chamber. They appeared Monday in jumpsuits with the names of the jails covered by tape. They agreed to sentences recommended by state prosecutors ranging from five to 30 years, although the judge isn`t bound by that. Time served for the state convictions will run concurrently with the potentially longer federal sentences they`ll receive in November. The victims Michael Corey Jenkins and Eddie Terrell Parker arrived together to Monday`s hearing and sat in the front row, just feet from their attackers families. They were embraced by Monica Lee, the mother of Damien Cameron, a Black man who died in Elward`s custody in 2021. I enjoyed the view of seeing the walk of shame. Head down, the disgust everybody felt for them and that they feel for themselves, Parker said after the officers were led away in shackles. I hope this is a lesson to everybody out there: Justice will be served. The charges followed an Associated Press investigation in March that linked some of the officers to at least four violent encounters since 2019 that left two Black men dead. In addition to Jenkins` lasting injuries, another Black man also accused them of shoving a gun inside his mouth. The Justice Department launched a civil rights probe in February. All six of the former officers pleaded guilty to state charges of obstruction of justice and conspiracy to hinder prosecution. Dedmon and Elward, who kicked in a door, also admitted to home invasion. After details of the case became public, some residents pointed to a police culture they said gives officers carte blanche to abuse their power. Rankin Countys majority-white suburbs have been a destination for white flight out of the capital, Jackson, which is home to one of the highest percentages of Black residents of any major U.S. city. The officers warned Jenkins and Parker to go back to Jackson or their side of the Pearl River, the federal charging documents say. Jenkins and Parker were targeted because a white neighbor complained that two Black men were staying at the home with a white woman, court documents show. Parker was a childhood friend of the homeowner, Kristi Walley, who was at the hospital at the time. Shes been paralyzed since she was 15, and Parker was helping care for her. Hes a blessing. Every time Ive needed him hes been here, Walley said in a February interview. There were times Ive been living here by myself and I didnt know what I was going to do. Parker and Jenkins have left Mississippi and arent sure they will ever return for a long stay. Jenkins still has difficulty speaking because of his injuries. The gunshot lacerated his tongue and broke his jaw before exiting his neck. He can only eat soft foods easily and has recurrent nightmares. As far as justice, I knew we were going to get it, Jenkins has said. But I thought it was maybe going to take longer. Other consequences remain to be determined. Lee claims Elward and a current deputy not linked to the Jenkins assault killed her son. A grand jury declined to indict Elward after he punched Cameron and shocked him with a stun gun, but a Rankin County judge ruled Wednesday that Lees claims of excessive force could move forward against him, and Lee said the FBI told her they`re reviewing the case. Separately, Carvis Johnson, the Black man who said another deputy pointed a gun into his mouth, filed a federal lawsuit from behind bars alleging that McAlpin beat him during an arrest and told him to stay out of Rankin County. Jenkins and Parker, meanwhile, are seeking $400 million in damages in their federal civil rights lawsuit against Rankin County. Rankin County Sheriff Bryan Bailey applauded the investigations that led to the guilty pleas. I believe todays guilty pleas show the community that our system of checks and balances is effective, Bailey said in a statement after the hearing. An unbiased and impartial investigation into these former officers uncovered their criminal actions. Bailey had acknowledged his lax body camera policy failed. After the officers pleaded guilty, he promised to change it. Malik Shabazz, one of Jenkins and Parkers` attorneys, said Bailey is directly responsible for the vicious acts of his subordinates. Court documents unsealed by federal prosecutors suggest only some members of the Goon Squad participated in the illegal raid. There are other Rankin County deputies known to the United States Attorney, the documents say. We would certainly hope that they continue to investigate the Goon Squad and other outstanding claims that may exist against these officers, as well as other officers, said Trent Walker, another attorney for Jenkins and Parker. Copyright 2023 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics Mississippi Numbers Hawaii Gov. Josh Green announced the confirmed death toll had risen from the destructive wildfires in the island-state to more than 100 in an late Tuesday, saying, We are heartsick that weve had such loss. The Associated Press is reporting the U.S. Department of Health and Human Services deployed a team of coroners, pathologists and technicians along with exam tables, X-ray units and other equipment to identify victims and process remains. CNN reported the death-toll stood at 106 by Wednesday afternoon. The scale of property loss also continues to change. Catastrophe modeler Karen Clark & Company on Tuesday issued new estimates showing the insured property losses from the Lahaina Fire in Hawaii to be around $3.2 billion. The Lahaina fire burned approximately 2,170 acres and devastated the town of Lahaina in Maui, HI, a KCC analysis states. Through an independent geospatial analysis of satellite and aerial imagery, KCC estimates that more than 2,200 structures fall within the fire perimeter, and over 3,000 total structures were impacted by the fire, including secondary impacts such as branding and smoke. AccuWeather on Monday increased its estimate of the total damage and economic loss from the devastating wildfires burning in Hawaii to $14 to $16 billion. That update followed AccuWeathers preliminary estimate last week of total damage and economic loss of $8-10 billion. Responses to the disaster have varied. Some groups say the disaster highlights the impacts of climate change and the need for greater wildfire resiliency measures. The SmarterSafer Coalition, which includes environmental groups, taxpayer advocates, insurance interests, housing organizations, and mitigation advocates, called for more investing in pre-disaster mitigation. The group issued a statement calling the Maui blaze a catastrophic reminder that the need to invest in pre-disaster mitigation is becoming increasingly urgent. The insufficiently activated emergency warning systems and changing climate which exacerbated this disaster show why it is essential to proactively engage in efforts to protect communities. The group is urging a redesign our infrastructure to account for wildfire risks by incorporating fire-resistant materials, defensible space requirements, and other resilience-enhancing measures, as well as for policymakers to address the underlying causes of the wildfires by investing in pre-disaster climate adaptation mitigation efforts to protect our environment as well as taxpayer dollars. Federal assistance has been pouring in, while nonprofits have begun bringing more help to fire victims as well. The nonprofit Money Management International, formerly Consumer Credit Counseling Service, put out a notice on Wednesday of the availability of its free financial recovery counseling and personalized assistance for disaster survivors through Project Porchlight. Project Porchlight is a one-year program available to anyone in the U.S. impacted by a natural disaster. It is designed to accelerate recovery by helping survivors understand their rights, responsibilities and options. The rising death toll from the wildfires makes them the deadliest in the U.S. since 1918, when 453 people were killed in Minnesota and Wisconsin by the Cloquet & Moose Lake Fires, according to the National Fire Protection Association. A group of scientists on Wednesday blamed untamed grassland for helping fuel the spread and intensity of last weeks deadly fires on the island of Maui. Sporadic warnings from officials are being blamed for the scale of losses of lives, while others have criticized Hawaiis emergency siren warning system for sitting silent during the deadly fires. Related: Topics Hawaii Vancouver, British Columbia - August 16, 2023 (Investorideas.com Newswire, Mining / Metals / Green Energy Stock news) Defense Metals Corp. ("Defense Metals" or the "Company") (TSX-V:DEFN / OTCQB:DFMTF/ 35D: FSE) is pleased to provide a progress update with respect to its 2023 site infrastructure geotechnical investigations. The work, with support of the Defense Metals' technical team and APEX Geoscience Ltd., is being completed by SRK Consulting (Canada) Inc. ("SRK") one of the principal consultants for the preliminary feasibility study ("PFS") regarding the Company's Wicheeda Rare Earth Element (REE) Project located near Prince George, Canada. Craig Taylor, CEO of Defense Metals, commented: "It is great to see our technical consulting teams making significant headway with the Wicheeda site investigations. Defence Metals has assembled a world class team of experts who have both the experience and technical skills to bring this project through pre-feasibility." The SRK geotechnical investigation includes the following scopes: Geotechnical engineering (waste rock, tailings, contact water pond, and site infrastructure geotechnical investigation), (waste rock, tailings, contact water pond, and site infrastructure geotechnical investigation), Tailings alternative assessment prior to advancing into PFS-level design of a preferred alternative, prior to advancing into PFS-level design of a preferred alternative, Geochemical characterization (to support mine planning/waste management and to develop preliminary water chemistry predictions for the main mine facilities). The work completed to date included field site inspections from SRK personnel: Principial Consultant Mining Rock Mechanics, Ed Saunders, P.Eng. (waste storage facility geotechnical); Principal Consultant Geochemistry, Kirsty Ketchum, Ph.D., P.Geo. (metal leaching and acid/alkaline rock drainage geochemical characterization); and Senior Consultant- Mining Rock Mechanics, Aton Bloem (open pit geotechnical). Site infrastructure geotechnical investigations planned include a series of excavated test pits, and sonic overburden drill holes designed to support the preliminary characterization of the shallow soil subsurface and bedrock foundations of potential future waste rock storage (WSF), mineralization stockpile, contact water pond (CWP), crusher, processing plant (INF), and tailings storage facility (TSF) locations. To date a total of 13 excavated tests pits and 4 sonic overburden geotechnical holes for a total of 93 metres have been completed to characterize surficial material conditions within the proposed WSF, CWP, and TSF areas (Images 1 and 2). Site geotechnical investigations encountered shallow overburden conditions (nil or <1 metre overburden depth), excavated test pit depths ranging from 1 to 5 metres; and sonic overburden drill holes in areas of thicker surficial material ranging from 7 metre to >36.6 metre depth to bedrock. SRK on site engineering consultants supervised the investigations and carried out soil geotechnical logging, direct testing, sampling, photography of recovered materials, standpipe installation, and coordination of laboratory testing. SRK will process the data collected from the sonic drilling and test pit investigations, and laboratory testing results will be incorporated into the QA/QC dataset. Image 1: SRK engineering personnel logging WSF sonic overburden material Image 2: Excavated test pit sampling underway In addition to infrastructure geotechnical investigations, SRK has commenced a tailings alternatives assessment prior to advancing into PFS-level design of a preferred alternative. This will include tailings characterization and development of design criteria, a siting evaluation, and an alternatives trade-off. As part of the geochemical characterization scopes SRK has completed a review and compilation of site geological and geochemical data and drill core logs that have been acquired since the 2021 preliminary economic assessment (PEA). These data will be used to develop a sampling plan of the existing drill core for geochemical characterization of the proposed mine facilities, and future set-up of on-site kinetic leach tests (barrel tests). Data will be interpreted to support mine planning/waste management and to develop preliminary water chemistry predictions for the main mine facilities (source terms). Source terms are an input to the site water and load balance model. Excavated test pit and sonic overburden drilling is currently paused and is expected to resume as part of the WSF, CWP, INF, and TSF (and TSF alternative) geotechnical investigations during September in conjunction with the planned drilling of an additional four (4) pit geotechnical core holes totalling 820 metres. Qualified Person The scientific and technical information contained in this news release as it relates to the Wicheeda REE Project has been reviewed and approved by Kristopher J. Raffle, P.Geo. (B.C.), Principal and Consultant of APEX Geoscience Ltd. of Edmonton, Alberta, who is a director of Defense Metals and a "Qualified Person" as defined in NI 43-101. About the Wicheeda REE Property Defense Metals 100% owned, 6,759-hectare (~16,702-acre) Wicheeda Project is located approximately 80 km northeast of the city of Prince George, British Columbia; population 77,000. The Wicheeda REE Project is readily accessible by all-weather gravel roads and is near infrastructure, including hydro power transmission lines and gas pipelines. The nearby Canadian National Railway and major highways allow easy access to the port facilities at Prince Rupert, the closest major North American port to Asia. The 2021 Wicheeda REE Project Preliminary Economic Assessment technical report outlined a robust after-tax net present value (NPV@8%) of $517 million and an 18% IRR[1]. This PEA contemplated an open pit mining operation with a 1.75:1 (waste:mill feed) strip ratio providing a 1.8 Mtpa ("million tonnes per year") mill throughput producing an average of 25,423 tonnes REO annually over a 16 year mine life. A Phase 1 initial pit strip ratio of 0.63:1 (waste:mill feed) would yield rapid access to higher grade surface mineralization in year 1 and payback of $440 million initial capital within 5 years. About Defense Metals Corp. Defense Metals Corp. is a mineral exploration and development company focused on the development of its 100% owned Wicheeda Rare Earth Element Deposit located near Prince George, British Columbia, Canada. Defense Metals Corp. trades on the TSX Venture Exchange under the symbol "DEFN", in the United States, trading symbol "DFMTF" on the OTCQB and in Germany on the Frankfurt Exchange under "35D". Defense Metals is a proud member of Discovery Group. For more information please visit: http://www.discoverygroup.ca/ For further information, please contact: Todd Hanas, Bluesky Corporate Communications Ltd. Vice President, Investor Relations Tel: (778) 994 8072 Email: todd@blueskycorp.ca Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this news release. Cautionary Statement Regarding "Forward-Looking" Information This news release contains "forwardlooking information or statements" within the meaning of applicable securities laws, which may include, without limitation, statements relating to advancing the Wicheeda REE Project, completion of additional geotechnical work including pit geotechnical core holes and the expected timelines, the expected completion of the PFS, the technical, financial and business prospects of the Company, its project and other matters. All statements in this news release, other than statements of historical facts, that address events or developments that the Company expects to occur, are forward-looking statements. Although the Company believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in the forward-looking statements. Such statements and information are based on numerous assumptions regarding present and future business strategies and the environment in which the Company will operate in the future, including the price of rare earth elements, the anticipated costs and expenditures, accuracy of assay results, performance of available laboratory and other related services, future operating costs, interpretation of geological, engineering and metallurgical data, the ability to achieve its goals, that general business and economic conditions will not change in a material adverse manner, that financing will be available if and when needed and on reasonable terms. Such forward-looking information reflects the Company's views with respect to future events and is subject to risks, uncertainties and assumptions, including the risks and uncertainties relating to the interpretation of exploration, engineering and metallurgical results, risks related to the inherent uncertainty of exploration, metallurgy and development and cost estimates, the potential for unexpected costs and expenses and those other risks filed under the Company's profile on SEDAR at www.sedarplus.ca. While such estimates and assumptions are considered reasonable by the management of the Company, they are inherently subject to significant business, economic, competitive and regulatory uncertainties and risks. Factors that could cause actual results to differ materially from those in forward looking statements include, but are not limited to, continued availability of capital and financing and general economic, market or business conditions, adverse weather and climate conditions, failure to maintain or obtain all necessary government permits, approvals and authorizations, failure to maintain community acceptance (including First Nations), risks relating to unanticipated operational difficulties (including failure of equipment or processes to operate in accordance with specifications or expectations, cost escalation, unavailability of personnel, materials and equipment, government action or delays in the receipt of government approvals, industrial disturbances or other job action, and unanticipated events related to health, safety and environmental matters), risks relating to inaccurate geological, metallurgical and engineering assumptions, decrease in the price of rare earth elements, the impact of Covid-19 or other viruses and diseases on the Company's ability to operate, an inability to predict and counteract the effects of COVID-19 and other viruses and diseases on the business of the Company, the price of commodities, capital market conditions, restriction on labour and international travel and supply chains, loss of key employees, consultants, or directors, increase in costs, delayed results, litigation, and failure of counterparties to perform their contractual obligations. The Company does not undertake to update forwardlooking statements or forwardlooking information, except as required by law. Disclaimer/Disclosure: Investorideas.com is a digital publisher of third party sourced news, articles and equity research as well as creates original content, including video, interviews and articles. Original content created by investorideas is protected by copyright laws other than syndication rights. Our site does not make recommendations for purchases or sale of stocks, services or products. Nothing on our sites should be construed as an offer or solicitation to buy or sell products or securities. All investment involves risk and possible loss of investment. This site is currently compensated for news publication and distribution, social media and marketing, content creation and more. Contact each company directly regarding content and press release questions. Disclosure this DEFN news is a paid news release on investorideas.com - learn more about costs and services https://www.investorideas.com/News-Upload/ More disclaimer info: https://www.investorideas.com/About/Disclaimer.asp Please read Investorideas.com privacy policy: https://www.investorideas.com/About/Private_Policy.asp [1] Independent Preliminary Economic Assessment for the Wicheeda Rare Earth Element Project, British Columbia, Canada, dated January 6, 2022, with an effective date of November 7, 2021, and prepared by SRK Consulting (Canada) Inc. is filed under Defense Metals Corp.'s Issuer Profile on SEDAR (www.sedarplus.ca). About Investorideas.com https://www.investorideas.com/About/ Sign up for free stock news alerts at Investorideas.com https://www.investorideas.com/Resources/Newsletter.asp Vancouver, British Columbia - August 16, 2023 (Newsfile Corp.) (Investorideas.com Newswire) Argo Living Soils Corp. (CSE: ARGO) ("Argo") is pleased to announce the Company has negotiated a non-binding Joint Venture with Pacific Composting Inc. (Pacific Composting). The Joint Venture will see a collaboration between Argo and Pacific Composting, integrating ARGO's worm casting operations into Pacific Composting's existing operation, creating specialty products such as worm castings that can be further used by Pacific Composting in their product lines. Additionally, the Joint Venture will see Argo relocate its existing worm casting, composting operations, including state-of-the-art bioreactors currently located on Galiano Island in BC, to Pacific Composting's existing operations in Duncan, BC. The Joint Venture establishes several fundamental advantages including increasing operational efficiencies and a sharing of expertise and proprietary information. The objective being to establish an industry leader marketing organic soil, initially to the BC market, with plans to expand across Canada and Internationally. The Companies will combine their respective expertise with Ms. Andrea Blum overseeing operations; Argo's Director, Ken Bowman, assisting marketing, operations and technical support; and Argo's Director, Robert Intile, focusing on market support. Argo's Interim CEO, Mr. Peter Hoyle, states, "This is a very exciting step, which will improve the commercial viability of Argo's business. As a leading soil composting operation, we are excited to champion the importance and timeliness of composting and organic soils in shaping a healthier planet for current and future generations. In an era where sustainability is a critical necessity, composting and organic soils stand as fundamental pieces. This composting operation remains dedicated to harnessing the transformative power of composting and organic soils to create a greener, more vibrant planet for all." About Pacific Composting Inc. Pacific Composting with operations in Duncan, British Columbia was established in November 2021 by Andrea Blum, a trained Vermiculturist specializing in vermicomposting. Pacific Composting produces and markets a variety of composts, composting worms, systems and supplies. About Argo Living Soils Corp. The Company specializes in producing and developing organic products, including soil amendments, living soils, biofertilizers, vermicompost and compost tea kits formulated specifically for high-value crops. The Company's vision and overall business plan are to create an established brand of organic and/or environmentally friendly products. The Company was founded in 2018, and its original production facilities were located on Galiano Island, British Columbia. For further information please contact: Peter Hoyle Interim Chief Executive Officer Argo Living Soils Corp. Email: peter.hoyle@shaw.ca The Canadian Securities Exchange has not reviewed this press release and does not accept responsibility for the adequacy or accuracy of this news release. Certain information contained herein constitutes "forward-looking information" under Canadian securities legislation. Forward-looking information includes, but is not limited to, the Company creating a brand of organic and/or environmentally friendly products. Generally, forward-looking information can be identified by the use of forward-looking terminology such as "will" or variations of such words and phrases or statements that certain actions, events or results "will" occur. Forward-looking statements are based on the opinions and estimates of management as of the date such statements are made and they are from those expressed or implied by such forward-looking statements or forward-looking information subject to known and unknown risks, uncertainties and other factors that may cause the actual results to be materially different, including receipt of all necessary regulatory approvals. Although management of the Company have attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking statements or forward-looking information, there may be other factors that cause results not to be as anticipated, estimated or intended. There can be no assurance that such statements will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking statements and forward-looking information. The Company will not update any forward-looking statements or forward-looking information that are incorporated by reference herein, except as required by applicable securities laws. More Info: This news is published on the Investorideas.com Newswire - a global digital news source for investors and business leaders Disclaimer/Disclosure: Investorideas.com is a digital publisher of third party sourced news, articles and equity research as well as creates original content, including video, interviews and articles. Original content created by investorideas is protected by copyright laws other than syndication rights. Our site does not make recommendations for purchases or sale of stocks, services or products. Nothing on our sites should be construed as an offer or solicitation to buy or sell products or securities. All investing involves risk and possible losses. This site is currently compensated for news publication and distribution, social media and marketing, content creation and more. Disclosure is posted for each compensated news release, content published /created if required but otherwise the news was not compensated for and was published for the sole interest of our readers and followers. Contact management and IR of each company directly regarding specific questions. More disclaimer info: https://www.investorideas.com/About/Disclaimer.asp Learn more about publishing your news release and our other news services on the Investorideas.com newswire https://www.investorideas.com/News-Upload/ Global investors must adhere to regulations of each country. Please read Investorideas.com privacy policy: https://www.investorideas.com/About/Private_Policy.asp Get Great Trading Ideas and News Alerts Guest posts and sponsored content - Got $100? That's all it takes to get an article published on Investor Ideas - Learn More Pre-tax profits at the 280m Center Parcs holiday resort this year more than doubled to 19.8m on the back of average weekly revenues of 1.68m. New accounts filed by Center Parcs Ireland Ltd show that the Co Longford resort achieved the 144pc increase in pre-tax profits as revenues surged by 51 per cent or 29.6m from 57.8m to 87.4m in the 12 months to the end of April 20th this year. The 87.4m revenues also equates to average daily revenues of 239,453 for the 12 months. The resort achieved the record revenues as occupancy rates increased to 97.9pc - up from 73.2pc in fiscal 2022. The directors report discloses that the average daily lodge rent achieved was 281.28 compared to 254.74 in the prior year. The firms Earnings Before Interest Depreciation Tax and Amortisation (EBIDTA) last year increased by 62pc from 21.5m to 34.8m. The company recorded a post-tax profit of 17.8m after paying corporation tax of 2m. The firm paid out dividends of 13.8m last year. In May of this year, Canadian owners of the Center Parc resorts in Ireland and the UK, private equity group, Brookfield announced that they were up for sale and is seeking between 4bn and 5bn. The directors refer to the planned sale in their report and state that they have satisfied themselves that any such transition would have no impact on the going concern position of the company. The Co Longford resort first opened to the public in July 2019 and the year under review is the first time that the resorts annual performance has not been affected by covid-19 restrictions. Center Parcs currently has a planning application before An Bord Pleanala for a major expansion within the existing 160-hectare site that includes 198 new lodges. Longford County Council granted planning permission for the proposal in September of last year and the application is before the appeals board due to third-party appeals. Numbers employed at the Longford Village resort this year increased by 154 from 1,079 to 1,233 as staff costs increased by 32pc from 18.8m to 24.9m. The operating profit of 27m this year takes account of non-cash depreciation costs of 7.8m. The business recorded the pre-tax profit of 19.8m after interest payments of 7.2m. The firm put a book value of 258m on its fixed assets at the end of April last. The company had shareholder funds of 112m at the end of April 2023. The firms cash funds increased from 35.6m to 50.9m last year. The accounts disclose in a post balance sheet event that the company last month re-financed its existing 165.3m loan and a new facility of 265m was entered into of which 165.3m was used to settle the existing loan facility on July 26th 2023. The Canadian headquartered group also operates five UK Center Parc villages. Almost half of all farmers were successful or partially successful in appealing decisions by the Department of Agriculture over their eligibility for a range of EU payments last year. New figures published by the Agriculture Appeals Office show that 44% of farmers had a positive outcome to their cases during 2022 with their appeal either being upheld, partially allowed or the Department of Agriculture revising its decision in their favour. A further 40% of appeals were rejected while 16% of cases were either withdrawn, declared invalid or submitted after the standard three-month deadline. The overall number of appeals submitted by farmers last year was down 22% on 2021 figures an annual reduction of 174 to 635. At the same time, however, the number of cases completed by the AAO, which is based in Portlaoise, Co Laois, rose by 22% up 173 to 930. The highest number of appeals last year came from farmers in Galway (69), followed by Mayo (66), Cork (60) and Kerry (43). The highest number of cases related to both the Beef Exceptional Aid Measure (BEAM) and Basic Payment Scheme which each attracted 122 individual appeals. The BEAM provides temporary financial aid to beef farmers up to a maximum of 10,000 per herd, while the Basic Payment Scheme is the main income support for farmers which is based on the size of eligible land used for farming. Appeals by farmers were either fully or partially upheld in 60% of cases involving the Basic Payment Scheme last year, according to the AAO. In one case, a farmer successfully appealed the departments decision that farmland which had been burnt outside the permitted time periods was ineligible for the Basic Payment Scheme. The farmer claimed a fire had been started by third parties which spread to his farmland and which was confirmed by gardai, the councils chief fire officer and Coillte. The AAO ruled that EU rules allowed exceptional circumstances and force majeure to be applied in such cases. The Director of Agriculture Appeals, Lynda ORegan, said 153 cases were revised by the Department of Agriculture in favour of the appellant after the issue was raised by the AAO. Ms ORegan said the reason for such revisions was generally due to the submission of additional information that was not previously provided to the department. Over half of them related to two schemes the Beef Data Genomics Programme and the Areas of Natural Constraint scheme. The AAOs latest annual report shows that it took an average of 28 days to receive required statements and documents from the Department of Agriculture last year down from 30 days in 2021. However, the figure was as high as 67 days for appeals relating to the Areas of Natural Constraint scheme. The AAO said it took an average of 120 days from receipt of the departments statement and documents to issuing its rulings last year. Ms ORegan said significant progress was made last year in putting measures in place to implement the recommendations of a 2018 report which reviewed the operation of the AAO. They included the promised establishment of an independent review panel to conduct reviews of AAO decisions on the basis of an error in fact or in law. Other measures already in place include bespoke legal training and a code of practice for appeals officers. The AAO said there was a need for the terms and conditions of various schemes to be made clear and unambiguous by the Department of Agriculture with clear definitions and eligibility requirements. It recommended the department to consider issuing bulletins to farmers involved in multiannual schemes to notify them of what they needed to achieve in the year ahead. The AAO said it was also important for farmers to familiarise themselves with the terms and conditions of various schemes before submitting their claims. In cases where farmers were uncertain, the AAO said they should consider engaging a professional advisor. The AAO highlighted a number of recurring compliance issues with farmers, including the late tagging and registration of animals and failing to ensure animal movement compliance returns were made. Separately, the AAO also operates the Forestry Appeals Committee, which oversees appeals against decisions by the Department of Agriculture on applications for forestry licences. It received a total of 201 new appeals last year against 128 licence decisions. In addition, the AAO issued rulings on 66 appeals concerning 57 forestry licences. When Collie Ennis was growing up, he dreamed that one day hed own a pet shop. It even had a name. Hed call it Snappy Happy Pets. Now in his early forties, he may not have a pet shop, but a lot of the things he wanted out of Snappy Happy Pets have come to pass: he gets to handle, keep and observe all sorts of weird and wonderful creatures and he gets to tell other people about how cool they are, too. He even has a menagerie of unusual creatures at his own home, including a tarantula and a boa constrictor. He has also been converting the land around his new home Ennis moved to Wicklow in 2020 with his partner and two children after 16 years in a council house in Tallaght into a habitat for the creatures he loves most, amphibians like frogs and newts. Ive put in 12 ponds of varying sizes so it would be very amphibian friendly, Ennis says. I basically turned it into a swamp. There are frogs and newts everywhere, so Im in my element. Its the dream. Im very, very grateful every day. While many working in the field of zoology study cute, furred mammals with endearing traits, Ennis has devoted his lifes work to less-well-loved members of the animal kingdom: reptiles, amphibians and invertebrates. Collie Ennis holding a Scarites beetle, a species native to the Mediterranean region. Picture: Collie Ennis Dubliner Ennis, co-founder of the Herpetological Society of Ireland, Zoology research associate at Trinity College and co-host of The Critter Shed Podcast, often jokes about his Peter Pan complex, about the fact that he just carried a love of all things creepy crawly over from a childhood of fishing for tadpoles and collecting snails in buckets. But theres a serious element to this observation too. US writer, philosopher and herbalist Stephen Harrod Buhner had a theory that the only thing you had to do to save the planet was to ensure that biophilia the love of all living things was fostered and encouraged in all children, and that the only thing you had to do to encourage biophilia was to let children get on with exploring nature, with as little adult interference as possible. A generation of people with a deep-rooted love and respect for all life forms would not make decisions to harm the environment, Buhner reasoned: bankers, politicians and CEOs of companies would make decisions based on love of the natural world. Collie Ennis' picture of a Chromatopelma cyaneopubescens after a fresh molt Ennis is very much in agreement when it comes to the potentially profound impacts of fostering childrens natural curiosity and love of all that wriggles, hops and crawls. When you talk to the zoologists in Trinity, its all the same story: its parents that encouraged them, let them climb trees and catch beetles and bring in the tadpoles, he says. That develops into a lifelong passion. If you have a healthy interest as a kid, of course it affects your thinking and your outlook on the world. The fact that that biophilia is lying dormant in most adults is evident, Ennis says, in the responses to his science communication work: he generates big responses on his social media channels and with The Critter Shed podcast, which he co-hosts with radio producer Colette Kinsella. When I put up a video of a centipede or a dragonfly larva or something, loads of people seem to get a kick out of it, he says. I think its that child in all of us. It really blows me away. He also believes that positive messages are a great antidote to the aura of climate anxiety-related nihilism that is becoming pervasive in society. My granny used to say, you catch more flies with honey than with vinegar, he says. I think its wrong to always be giving out and preaching to people about the environment. I think its much better to show them what they can do, what an amazing difference they can make. So I think putting up little videos, doing a podcast about stuff you can do is a very effective method of conservation work. But you have to help people get over the idea that theres nothing they can do. The Critter Shed, which has run to 57 episodes so far and has the second part of season six due out soon, is both the perfect vehicle for Ennis approach but also proof that it works: he finds himself inundated with pictures of ponds people have dug, requests for advice on rewilding. At Cork Podcast Festival, Ennis will be bringing some specimens along with him to his family-friendly lunchtime event. And the joy of seeing that spark of biophilia light up in children is something that, to him, will never get old. I love it, he says. You can see a little spark there, this sudden interest in the back of the eyes. Ive been doing this for a long time and now one of the things that happens is that years later, I come across them when they are students in Trinity College. And thats just amazing. The Critter Shed Podcast will be wriggling around Cork Arts Theatre on Sunday, August 27th at 1pm. Tickets available at www.corkpodcastfestival.ie Six other highlights of Cork Podcast Fest The Murder Of Michael Collins, by Paddy Cullivan Historical Entertainer Paddy Cullivan has spent years researching the incredible story of the death of Irelands first Commander-in-Chief, and hes not prepared to let sleeping dogs lie: this is his attempt at unearthing the truth about what actually happened the day of Collins shooting in Beal na mBlath in West Cork. When: Sunday, August 27th at 8pm Where: Cork Arts Theatre The Creep Dive Hosts Sophie White, Jen ODwyer and Cassie Delaney trawl through news archives to unearth bizarre, macabre and often under-reported stories and then follow them up, trying to get to the bottom of some deeply creepy scenarios. When: Saturday, August 26th at 8pm Where: Dance Cork Firkin Crane, Shandon Left On Read The two hosts, Julie Haynes and Brenda Dennehy, may be leading very different lives Haynes is a single mother in Cork, while Dennehy, formerly of 96fm, is living in London and pursuing a career in television but both have a wealth of experience to share in the realm of online dating. When: Friday, August 25th at 8pm Where: Cork Opera House Three By The Lee This new comedy podcast, launched in December 2022, is hosted by comedians Sinead Quinlan, Chris Kent and Cornelius OSullivan. The trio mine a variety of subjects in search of that comedy gold. When: Sunday, August 27th at 2.30pm Where: The Roundy Bar Off The Ball Sports talk radio show and podcast Off The Ball bring their expert analysis and entertaining back and forth to Cork Opera House. Join the Off The Ball journalists and a special panel of guests including the legendary Jimmy Barry Murphy. When: Sunday, August 27th at 8pm Where: Cork Opera House Langer and the Gowl The format of Langer and The Gowl is simple: Corkonian friends John James Twohig and Lauren Cahalane banter about a free-flowing variety of topics and the result is a surreal and unfiltered glimpse into the minds and lives of the hosts. When: Saturday, August 26th at 6.30pm Where: The Roundy Bar After 47 years of constant production and eight generations, Ford recently discontinued its Fiesta. It brought an end to what has been one of the most popular cars ever in Ireland. While Ford is switching its attention to EVs, with the Fiestas factory in Cologne, Germany, now going to be used to produce the electric Explorer SUV, what about if youre not ready to move on from a Fiesta-size car? Thankfully, there are still plenty of smaller cars available that will meet your needs. Here are the best new alternatives to the Ford Fiesta. Opel Corsa The Opel Corsa continues to be one of the UKs most popular new cars. The Fiestas main rival was always the Opel Corsa, with these superminis constantly battling for top spot in the sales charts. Admittedly, the Fiesta normally came out on top, but now thats no more, the Corsa is most certainly worth a look. This latest model brings a much more modern look inside and out than its predecessors, while theres also the option of the Corsa Electric, boasting a competitive range of up to 400 kilometres. Opel will soon be introducing an updated version, bringing a striking redesign and new touchscreen. Peugeot 208 The Peugeot 208 is one of the more upmarket small cars around. If youre looking for a slightly more upmarket small car, the latest Peugeot 208 is an ideal choice. Its not the roomiest supermini, but boasts a high-quality interior, especially in the case of top-spec models that get a big touchscreen and fancy digital instrument cluster. In this respect, it feels a step above the Fiesta. The 208 is now one of the most popular cars across Europe, and with its striking design and option of the electric e-208, its easy to see why. Ford Puma Ford is hoping loyal Fiesta buyers flock to the firms Puma crossover instead. The Puma is the car that Ford wants its existing Fiesta owners to move into when the time comes to change car, and its currently one of the most popular cars in Ireland this year. Its worth noting the Puma is based on the Fiestas underpinnings, using the same platform and excellent mild-hybrid engines, and is nearly just as good to drive too. Its a bit larger in size, and gets more rugged looks thanks to its SUV-like profile, but remains relatively compact and is still a great alternative. Volkswagen Polo The Volkswagen Polo is one of the best all-round small cars on sale. If you want a small car that can do it all, its hard to beat the Volkswagen Polo. This is another long-running supermini that just keeps getting better with age. In fact, the latest Polo is one of the roomiest small cars on sale, while brings levels of comfort and refinement youd only typically get from larger cars. If you want a sportier Polo, perhaps as an alternative to the Ford Fiesta ST, Volkswagen offers a Polo GTI hot hatch. Mini Minis Hatch is cool to look at and great fun to drive. If you want a fun and characterful small car, the iconic Mini Hatch is undoubtedly the best this class has to offer. Its interior feels especially premium, too, and though the Mini isnt exactly spacious, its ideal if you dont want something compact and easy to drive and park. Theres a huge range of models to choose from as well, including entry-level Cooper versions through to the 228bhp John Cooper Works derivative. Theres also an Electric model. Mini has also committed to the supermini segment, with a next-generation car due on sale in 2024. Toyota Yaris The Toyota Yaris is an especially efficient small car. The Toyota Yaris has been another popular small car over the years, and is especially well-known for its reliability. If you get it serviced with Toyota every year, the warranty can also last up to an impressive 10 years. But back to the Yaris itself, which these days is only sold with an efficient hybrid powertrain. Ideal for urban use, Toyota claims almost 3.36l/100 km, meaning theres lots of scope to reduce your fuel bills. Dacia Sandero The Dacia Sandero remains one of the most affordable new cars. Dacias Sandero has become synonymous with value for money, and if thats a priority when it comes to changing your car, its impossible to beat. In fact, with a starting price of 16,190, it significantly undercuts that of the outgoing Fiesta. Theres more to the Sandero than just its price, too, as its decent to drive and is cheap to run thanks to its small and efficient petrol engines. With a big boot and plenty of rear seat space, it makes for an attractive small family car. Ford Fiesta Though Ford might have ended production of its Fiesta, there are plenty of new examples available, though these will soon sell out. Yes, lets throw a wildcard into the ring. Alternatives to a Ford Fiesta? Well, how about a Ford Fiesta. Yes, though Ford might have stopped making its supermini in early July, its worth remembering that there will still be a good supply available. With its fun driving experience, good equipment levels and smart looks, theres still a lot going for this plucky Ford if youre not ready or willing to shop for something else. The Government has raised concerns with the EU over what it sees as a number of problematic approaches involved in a new directive on the protection of the rights of crime victims. "Significant" compensation costs as well as concerns around the introduction of the EU helpline number are among the reasons raised by the Government in a proposal to the European Parliament published in July. The Department of Justice notes: "We appreciate the rationale behind the proposals to improve victims ability to rely on their rights and in principle, in line with work underway domestically, support measures that assist victims of crime. "However, our initial view is that some of the proposed approaches are problematic for us." As part of the directive, the European Commission is proposing a change to ensure that victims have more "effective access" to court-ordered compensation doing so by guaranteeing victims receipt of that compensation immediately after a court judgement. This compensation would come from the State. The department notes: "While it would be near impossible to quantify at this point, this measure has the potential to have significant costs for the State as it is intended that the State would pay all court-ordered compensation upfront and look to subsequently recoup it from the offender. "The administrative costs of the proposed changes would also be significant." Another issue for the Government is a plan from the EU to request that states, including Ireland, establish "easily accessible, user-friendly, free of charge and confidential victims helplines". Though not against the plan itself, the Government is taking issue with wording which says that states "shall ensure the provision of helplines referred to in paragraph 1 through a telephone helpline connected to the EU harmonised number 116 006 and through other information and communication technologies, including websites". The Government says that the wording is ambiguous adding that if the first port of call is the EU number of 116 006, it will be a "red line issue" for Ireland. It says it had consulted with numerous non-government organisations which provide victim support in this country. Those NGOs have said that having a single helpline would be a "significant barrier to victims coming forward for help". "The attrition rate rises significantly if victims have to recount their history more than once to an advisor. "Our approach instead is to have a website (www.victimscharter.ie) which a victim can consult and which contains details of all the available helplines and services. "The negotiations on this proposal were to prove more challenging, the text as presented here by the Commission would remain a red line issue. States are set to enter into negotiations with the EU over the directive at the beginning of September under the Spanish presidency of the EU, which began last month. However, in the proposal, the Government anticipates that those talks will likely extend until the Belgian presidency begins in January. The Department of Justice has the primary responsiibility for the issue, though a spokesperson noted that some engagement may be necessary with the Departments of Children, Equality, Disability, Integration and Youth; and Tourism, Culture, Arts, Gaeltacht, Sport and Media. An international student who realised he had been conned out of thousands when he awoke to find 12 people moving into his apartment one morning was among the many students targeted by scam landlords last year. College students are being warned to stay alert to a wave of rental scams ahead of the annual rush for accommodation in the coming weeks for the new academic year. As thousands of students begin searching for rental properties, national housing charity Threshold has joined forces with the Irish Council for International Students (ICOS) and the Union of Students Ireland (USI) to raise awareness of rental scams. With hundreds of students targeted by false landlords each year, one student who fell victim to a complex scam last year was Levi Amarilo. After arriving in March from Brazil, he spotted an advert on Facebook for a studio in Rathmines, posted by a fellow Brazilian. He moved into this with his partner and became friendly with the man he had paid 2,400 for a deposit and one month's rent to. When he told him his brother was also coming to Ireland from Brazil, this man suggested he move to a larger property on the River Liffey for 3,000 a month. After a viewing, he and his partner spent all of their money on the 6,000 required for the deposit and months rent. Two days after they moved in, he awoke late one morning to find 12 people from countries including Chile, Mexico, Spain, and Brazil in the front room, after the landlord had given them all keys to move in on the same day and subsequently fled. Despite the Gardais involvement, there were no refunds as the scammer had escaped out of the jurisdiction. "To avoid rental scams, it is key that renters take precautions, such as requesting a written agreement of rental terms and conditions and using a secure payment method and not cash in hand after the contract has been signed and witnessed in the presence of the other party," said Threshold chief executive John-Mark McCafferty. File photo: Mark Stedman Advice According to Threshold, Levis situation is not uncommon, with many other prospective renters facing similar experiences each year. False websites are reportedly becoming an increasingly common platform for scams, as well as websites that appear as replicas of real letting platforms such as Daft.ie and Airbnb. On Wednesday, it launched a new campaign highlighting the dos and donts for students and providing them with contact information for Threshold, ICOS, and USI. "To avoid rental scams, it is key that renters take precautions, such as requesting a written agreement of rental terms and conditions and using a secure payment method and not cash in hand after the contract has been signed and witnessed in the presence of the other party," said Threshold chief executive John-Mark McCafferty. We strongly encourage any student or renter who is unsure if they are being scammed to contact our advisors for free advice and support. Nearly one in seven international students reported they had been a victim of an accommodation scam while in Ireland, according to ICOS executive director Laura Harmon. These students are often more vulnerable as English is not their first language and they usually dont have relations or friends they can stay with in the short term while looking for a place to live." USI vice president for campaigns Zaid Al-Barghouthi said: "We hope this campaign can serve as a reference for students as they are on the hunt for a place to live. Also, if you recognise a scam, or have been a victim of one, its important to report it to the Gardai. The Department of Justice wasnt contacted in advance of gardai being deployed to block access to Bank of Ireland ATMs around the country, an official has said. Questions have been raised as to why members of An Garda Siochana appeared at cash machines following a technical issue with the bank which saw queues forming at some ATMs around the country last night. Its understood Justice Minister Helen McEntee was not informed in advance that gardai would be deployed to some of the banks. A spokesperson for the Department of Justice said: The Garda Commissioner is responsible for operational policing matters under the Garda Siochana Act 2005 (as amended), which includes the deployment of Garda resources/personnel. Neither the Minister, nor the Department have a role in these independent functions. The Department is advised that Gardai were deployed on a case-by-case basis depending on the public safety and public order assessment of local Garda management. In some cases, gardai were directed to go to areas where lines had formed. Bank of Ireland has said the issue which disrupted its online banking portal 365 online and well as its mobile banking app has been resolved. Finance minister wants 'full account' of ATM fiasco Finance Minister Michael McGrath has asked the Central Bank of Ireland to establish a "full account of what happened, why it happened and what will be done to avoid a repeat" of chaotic scenes involving Bank of Ireland ATMs on Tuesday evening. A technical glitch internally in Bank of Ireland allowed thousands of people to withdraw money they did not have in their accounts on Tuesday, leading to queues at ATMs around Ireland. Large queues formed at ATMs around the country as customers of the bank rushed to exploit a computer glitch which had reportedly allowed them to withdraw up to 1,000 even if they didn't have that amount in their account. Gardai were involved at one stage, with pictures and videos showing officers blocking access to ATMs around the country. In a statement, Mr McGrath said: "My officials have been in contact with the Central Bank of Ireland and Bank of Ireland today. "Given our growing dependence on technology for the delivery of financial services, I have asked my officials to engage with the Central Bank on its assessment of the robustness of this Bank of Ireland incident, and more broadly the robustness of the technology systems used by regulated, customer-facing financial service providers here in Ireland, and whether any further steps are required to reduce the risk of outages that impact on customers." From my point of view as Minister for Finance, financial service providers have to do whatever is required to ensure continuity of service for their customers. This is vital for the normal functioning of our society and our economy. "Disruption to banking services can have a significant effect on peoples personal lives and on the running of businesses. Customers rightly have an expectation of a high quality of service and to be able to have uninterrupted access to services. On Wednesday morning, BOI confirmed the issue had been resolved. Large queues formed at ATMs around the country as customers of the bank rushed to exploit a computer glitch. Picture: Gareth Chaney/ Collins Photos A spokesperson said: "Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. "We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. "We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us." An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. It said it was also aware of issues relating to banking services on Tuesday. On a case by case basis, local decisions were made depending on the public safety and public order presented to members of An Garda Siochana. Bank of Ireland has warned customers that money withdrawn from their accounts while its online and app services were down will still be debited from their accounts. In a statement, a Bank of Ireland spokesman said: We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn. Minister for Finance Michael McGrath entering Government buildings. Picture: Sam Boal A spokesperson for the Central Bank of Ireland said: "We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers, and that it is doing all it can to ensure customers expectation of a high quality, uninterrupted service is met". An Garda Siochana also said it would remind people of their personal responsibility in carrying out their personal banking. Many questioned their usage at the ATMs and the speed at which it occurred. Sadly the full ten million Garda Overtime budget for Dublin has been spent guarding Bank Of Ireland ATMS Ken Lydo (@betdaqsports) August 16, 2023 There is currently no new evidence about the Omagh bomb atrocity which would warrant holding a public inquiry in Ireland, a minister has said. However, Peter Burke, Minister for European Affairs and Defence, stressed that the Irish government would cooperate fully with the UK government in an attempt to ensure there are no unanswered questions left about the 1998 bombing. Police officers and firefighters inspecting the damage caused by a bomb explosion in Market Street, Omagh. Twenty-nine people, including a woman pregnant with twins, were killed when a massive car bomb exploded in the Co Tyrone town, the worst loss of life in a single incident in Northern Ireland's troubled past. It came just months after the historic Good Friday Agreement. No one has ever been criminally convicted of the attack. In 2021, a High Court judge recommended the UK government carries out an investigation into the Omagh bombing, and urged the Irish government to do likewise, after finding "plausible arguments" that there had been a "real prospect" of preventing the atrocity. Earlier this year, Northern Ireland Secretary Chris Heaton-Harris announced that an independent statutory inquiry will be carried out. Families of some victims of the bombing have urged the Irish government to do the same. Tribute to Esther Gibson who died in the Omagh bombing at the site of the bombing to mark the 25th anniversary of the Real IRA atrocity. Mr Burke, who attended a memorial service in the town at the weekend, told the BBC: "We have had a number of previous investigations in this jurisdiction in relation to the events surrounding the Omagh bombing. "Also we have had the Nally inquiry which was very detailed. "The Nally inquiry found no significant new evidence which warranted the establishment of a public inquiry. "That is our position at the moment. We have met with a number of survivors and victims, we are also awaiting the final publication of the terms of reference for the inquiry in the UK. "We will be giving full cooperation with that inquiry. The Irish government is very anxious to ensure that when that inquiry has concluded there are no unanswered questions." He added: "There have been a number of significant efforts and trials where we have tried to get convictions and hold to account those who perpetrated this heinous crime. "The Irish government wants to work hand in glove with the UK government in relation to this issue and we will be cooperating fully with this inquiry." On Tuesday, a number of families who lost loved ones in the 1998 attack, gathered and laid flowers at the spot where the bomb exploded. Speaking afterward, Kevin Skelton, who lost his wife Philomena in the bombing, called on the Irish government to "step up to the plate" over Omagh. Speaking about the UK inquiry, he said: "Unless the southern government is involved, it's a waste of time. "If they do get involved, whether it bears fruit or not I don't know." He said the bomb was driven to Omagh from the Republic of Ireland. Ryan Tubridy has welcomed the findings of the Grant Thornton report into RTE's payments and repeated his offer to publish the details of any future RTE contract he receives. The report, published on Wednesday, has suggested it is a very plausible explanation that fees paid by RTE to its star presenter were underdeclared by 120,000 from 2017-2019 to keep the payments under the 500,000 mark. The report concerns alleged discrepancies regarding Mr Tubridys pay between the years 2017 and 2019. Mr Tubridys earnings were stated by RTE as 491,667 for 2017, 495,000 in 2018 and 495,000 in 2019 when his fees were actually 511,667, 545,000 and 545,000 respectively. The RTE board said in a statement the report made clear that neither Mr Tubridy nor NK Management had any involvement in the adjustments for the period 2017-2019. In a statement on Wednesday afternoon, Mr Tubridy said he welcomed the findings of the report. I also welcome the finding that I did not claim 120,000 in fees which was due to me in 2020 and that I did not agree with how RTE proposed to account for this decision. "It is also clear that my actual income from RTE in 2020 and 2021 matches what was originally published as my earnings for those years and RTE has not yet published its top 10 earner details for 2022." Mr Tubridy added he was "committed to re-establishing" confidence and trust with both his colleagues and his listeners. He acknowledged the "huge support" he had received from people in recent weeks, claiming the "many cards and letters, greetings on the street and words of support from people I bumped in to" had meant an awful lot. In late June, when RTE first made the public aware of issues regarding Mr Tubridys pay between 2017 and 2022, a statement from its board said along with being paid 225,000 more than was publicly known from 2020 to 2022, the presenters pay had also been understated for the years 2017-2019 by 120,000. Tubridy's return to air Meanwhile, RTE Board chair Siun Ni Raghallaigh has said that any decision about a return to air of Mr Tubridy was a matter for the director general Kevin Bakhurst. The very lengthy and technical report by Grant Thornton pointed to governance failures within RTE along with deficiencies in internal management controls and failure, she told RTE radios News at One. Ms Ni Raghallaigh said she hoped to see a gradual change in culture, openness and transparency in RTE. When asked if the report vindicated Mr Tubridy and could lead to his return to the airwaves, she said that was a matter for the director general. At the end of the day, that's ultimately his decision. On the issue of the licence fee and the sharp fall in income, Ms Ni Raghallaigh called for the recommendations of the Future Media Commission to be implemented. The licence fee model was a broken model, she added. As a democracy, we value public service media and the importance of having a stable public service media. And the sooner we get back to that discussion, I think the better. RTE Trade Union Group 'gravely disturbed by report The RTE logo outside its premises in Donnybrook in Dublin. File Picture: PA This afternoon, the RTE Trade Union Group (TUG) said it was "gravely disturbed" by the contents of the second Grant Thornton Review. In a statement, TUG Secretary Cearbhall O Siochain said the report gives rise to "a number of questions about corporate governance failures, the role of the auditor, Deloitte and critically, for the TUG, the manner in which RTE engaged with its own workers, its trade unions and with the board." Mr O'Siochain said that trust is "the cornerstone of good governance" and something that is "at the heart of the relationship between management and unions." "We hope that the reviews on corporate governance and HR established by the government will provide the framework for dealing with the fundamental issues in the report," he added. "The trust that was shattered will not be easy to rebuild and trade unions will judge RTE by the actions and attitude of the management and Board of RTE from today." A well-known racehorse trainer has claimed before the High Court that his yard has been damaged, the water and electricity at his stables cut off, one of his horses let loose and injured following a row with the landlords over disputed rent arrears. Trainer Brendan Duke claims he has been told to vacate Fenway House, Pollardstown, The Curragh, Co Kildare, where he and his young family reside and where he trains horses for the joint owners Peter Keatley and Teresa Kearney. He claims the recent interference with the property, including the cutting-off of the utilities and a horse being injured after being let loose, is an attempt to remove him and his family from Fenway House "through intimidation". He also claims the defendants appear to have engaged the services of a debt collection company called Seoige & O'Faolain. He claims in recent days a number of men dressed in "similar uniforms" served him a notice purporting to terminate his tenancy, and "gave me 14 days to leave" the property. He claims he is not able to tolerate these disruptions and intimidation. Rent arrears While the new owners have claimed he owes about 90,000 in rent arrears and no right to be on the property, Mr Duke says he has a valid tenancy in respect of the property where he has lived and worked for many years. Mr Duke also says he has no problems paying rent to the owners due since April, when they acquired the property. On Wednesday, Mr Justice Mark Sanfey granted Mr Duke a temporary, ex-parte, injunction against Mr Keatley and Ms Kearney, with an address at The Croft Inn, Suncroft Co Kildare, and Seoige O'Faolain & Company Ltd, with a registered address at Sir John Rogerson's Quay, Dublin. The injunction restrains the defendants, their agents or any party acting in concert with them from encroaching or interfering with the property. The court also granted Mr Duke's lawyers permission to serve short notice of his application for further orders against the defendants. These include orders compelling the owners to reconnect the water and electricity supply to the stables, and to reinstate paddock fences, stable doors and gates that have allegedly been removed. He also granted the defendants liberty to apply to the court, on notice to Mr Duke, in the event they wish to vary or challenge the injunction. In a sworn statement to the court, Mr Duke said in 2017 he had been in dispute with the former owner of the property following a demand for a rent increase. That matter went before the Residential Tenancies Board, which hears and determines disputes between landlords and tenants. The RTB refused to hear the matter as it did not have the jurisdiction to hear the dispute. The former owner then sought an order from the Circuit Court to evict Mr Duke from the property. The court did not make that order but said Mr Duke had to pay 42,000 in rent arrears to the former owner. Mr Duke says he paid those monies. In 2019, financial fund Everyday Finance, which had acquired loans connected to the property, appointed receivers Mark Degnan and Ken Fennell over Fenway House, who are also defendants in Mr Duke's proceedings. He claims no demand for rent was made by the receivers and says this was done to ensure a rent default. Property sold The property was sold last April to Mr Keatley and Ms Kearney. Since then, Mr Duke claims the owners, particularly Mr Keatley, have taken the law into their own hands. Mr Duke claims the water and electricity to his stables have been disconnected, fences and gates in the paddocks have been removed, rubble and heaps of stones have been left blocking entrances and gateways. He also claims a stable door in his yard was left open, which resulted in a valuable horse running loose and getting injured. When considering the application, Mr Justice Sanfey remarked he was "intrigued" by separate letters purportedly sent on behalf of the owners. The judge noted a letter on August 8 last from Mr Keatley's solicitor said the plaintiff owed 92,000 in rent arrears over a period of 46 months. Mr Duke was issued with a 28-day warning notice to pay the owners the arrears, and that the RTB have been advised of the situation. Three days later, the judge noted Mr Duke received a notice to vacate the property from Ms Kearney, by her agent Sean O'Faolain, stating the plaintiff had no lease from the owners and had no tenancy rights. That notice, which also said rental arrears of 88,000 were owed, gave Mr Duke 14 days to leave the property. The notice was also served on the gardai, the judge noted. The judge said one letter seemed to acknowledge that Mr Duke had some sort of lease agreement, while the other said he had no tenancy rights at all. Mr Duke rejects all of those claims. The judge, after granting the temporary injunction, adjourned the matter to a date next week. An Argentinian couple living in Slovenia, a Mexican-Greek photographer who ran a yarn shop in Athens and now three Bulgarians arrested in Britain. Over the past year, police and security services across the globe have accused numerous people living apparently innocuous lives with being Russian intelligence agents or operatives. Many others have been accused of passing information to Russia, including a security guard at the British embassy in Berlin, sentenced to 13 years in prison, and more than a dozen people arrested in Poland accused of carrying out various tasks for Russian intelligence. Much about the three Bulgarians, said to be among five people detained in February, remains unclear. They have been charged but their trial is not until January, they have yet to enter pleas, and the British authorities have made no details public about the allegations. But elsewhere one thing is clear: since Vladimir Putin launched his full-scale invasion of Ukraine last February, Moscow has had to resort to riskier and less conventional methods of spying, mainly because so many of the spies it had placed under diplomatic cover in Europe have been expelled. Traditionally, all three of Russias main security services the domestic FSB, the SVR foreign intelligence service and the GRU military intelligence have posted their operatives abroad under diplomatic cover. They have also used operatives posing as Russian businesspeople, tourists or journalists. The war has made all of that much harder. The Center for Strategic and International Studies estimated that more than 450 diplomats were expelled from Russian embassies in the first three months of the war, most of them from Europe. The time after the war, with all the expulsions, was a fateful time for the Russian intelligence system and they have tried to replace it with different things, one European intelligence official told the Guardian in spring. Many avenues that Russia previously used for its aggressive espionage operations have been shut down. When Sergei Skripal was poisoned with novichok in 2018, the poisoners were GRU agents who used Russian passports issued under false identities to obtain British visas. The investigative outfit Bellingcat traced their passport numbers to a particular passport office in Russia, allowing the identification of many other GRU operatives who used passports with similar serial numbers and blowing the cover of numerous Russian agents. On top of that, since the war it is much harder for any Russian citizens to obtain visas for travel to Britain or the Schengen zone, meaning people like the Skripal attackers would now struggle to obtain visas even if their links to the GRU were not detected. All of this has meant Russia has turned to activating sleeper cells or passing on more active espionage work to unofficial agents and operatives. These may be third-country nationals, or they may be illegals Russian operatives posing as third-country nationals, who spend years painstakingly building up their cover. Illegals, a holdover from a Soviet-era programme, traditionally do little active espionage work, allowing them to blend into societies for longer-term missions. However, in the past year, at least seven alleged illegals have been unmasked in the west in Norway, Brazil, the Netherlands, Slovenia and Greece. Some managed to escape and are presumed to be back in Russia; others are still under arrest in the west. The three suspected spies in Britain were arrested in February, two months after Maria Meyer and Ludwig Gisch were arrested in Ljubljana, Slovenia. Authorities there believe the pair are Russians who were posing as Argentinians were in fact career SVR officers. Meyer ran an art gallery in Ljubljana and used her cover job for frequent travel, including to Britain. It is not known whether she carried out espionage tasks in Britain, and there is no public evidence linking her or other Russian operatives to the three Bulgarians charged. These are the people involved in the high-profile election investigation that could have far-reaching implications for Trump, who may well face jail time if convicted, and his chances of winning the Republican nomination in 2024. Fani Willis Fulton county district attorney Fani Willis, a famously tough prosecutor against gangs and organized crime, is overseeing the election investigation, which she launched in 2021, just weeks after being sworn in. A career Atlanta-area criminal prosecutor, Willis has been known to aggressively use Rico, an anti-racketeering law that is stronger in Georgia than under federal statute. Trump and his lawyers have sought to disqualify Willis from carrying out the investigation, filing motions to do so in March and July. Trump branded Willis a young, ambitious, Radical Left Democrat Prosecutor in a Truth Social post last year. Willis, a Democrat, is the first Black woman to serve as Fulton county DA. Fulton County District Attorney Fani Willis poses for a portrait, April 19, 2023, in Atlanta. Robert McBurney The Fulton county superior court judge Robert McBurney was selected to supervise the special grand jury that put together recommendations for Williss investigation into Trumps behavior surrounding election results. McBurney released a partial version of the panels final report in February, keeping the majority of its findings under seal. Trumps lawyers targeted McBurney, a former prosecutor, for approving Williss special grand jury request, asking that he disqualify her from the case. The grand juries Willis requested a special grand jury, assembled last May to aid her investigation into Trump and his allies meddling with election results. After eight months and 75 witness interviews, the jurors compiled a report with recommendations for the case. The panel was dissolved in January. Afterward, the foreperson, Emily Kohrs, hinted they recommended more than a dozen indictments , drawing backlash for her media blitz. McBurney has empaneled two regular grand juries and one is likely to consider charges against Trump and his allies. Donald Trump Of course, at the center of the criminal investigation is Donald Trump. On 2 January 2021, Trump phoned the Georgia secretary of state, Brad Raffensperger, pressuring him to find 11,780 votes the number of ballots needed to overturn Bidens victory in Fulton county. News reports of that hour-long phone call kicked off Williss investigation. He also directed Mike Pence, then the vice-president, to reject the electoral vote in Georgia and other states revealed to be involved in what is now known as the fake electors scheme. Trump is facing several other charges in different courts, including mishandling of classified documents, his role in the January 6 Capitol insurrection and hush money payments to an adult film actor. Rudy Giuliani Giuliani, a former Trump campaign attorney and New York mayor, repeatedly spewed false claims of election fraud in the months following Bidens 2020 victory. That December, he met with Georgia lawmakers and spewed baseless claims of election fraud such as a conspiracy by voting machine manufacturers to flip votes from Trump to Biden. The Department of Justice and the House January 6 committee have also investigated Giuliani for his role in orchestrating the false electors scheme , where Trump allies in multiple states produced fake certificates saying he won the election. A watchdog group found Giuliani to be a central figure. A disciplinary panel has said Giuliani should be disbarred. Former New York Mayor Rudy Giuliani, a lawyer for President Donald Trump, speaks during a news conference at the Republican National Committee headquarters, Nov. 19, 2020, in Washington. Mark Meadows Serving as Donald Trumps chief of staff during the 2020 election and its aftermath, Meadows was at the center of hundreds of messages about how to keep Trump in power, according to texts he turned over to the House January 6 select committee. Meadows was also on the infamous phone call Trump placed to Raffensperger demanding he find 11,780 votes. A judge ordered Meadows to testify in the Georgia election investigation though Meadows had repeatedly tried to avoid doing so. White House chief of staff Mark Meadows speaks on a phone on the South Lawn of the White House in Washington, Friday, Oct. 30, 2020, before President Donald Trump's departure on Marine One. Jenna Ellis Ellis, a Trump campaign attorney and former Colorado prosecutor, spread multiple statements claiming voter fraud during the 2020 election and sent at least two memos advising Mike Pence to reject Bidens victory in Georgia and other states. She was ordered to appear before the special grand jury in 2022. Earlier this year, the Colorado supreme court censured Ellis for making false statements and she acknowledged making misrepresentations as part of the agreement. Kenneth Chesebro Also known as co-conspirator 5 in special counsel Jack Smiths federal election fraud inquiry, Chesebro has been revealed to be one of the main architects of the fake electors scheme which he described as a bold, controversial plan. The New York Times obtained a copy of a memo from Chesebro to a Wisconsin attorney laying out a three-pronged plan to overturn election results in six states, including Georgia, and keep Trump in power. Willis subpoenaed Chesebro to appear before the special grand jury but the New York-based attorney moved to quash it. Sidney Powell An attorney associated with Trumps campaign after the 2020 election, Powell, who filed a lawsuit against Brian Kemp, the governor of Georgia, alleging voter fraud, is thought to be co-conspirator 3 in the federal investigation by Jack Smith. Along with Rudy Giuliani, Powell appeared regularly on conservative news networks where she spewed baseless claims of election fraud, including foreign rigging of voting machines and was one of the most prominent names in the defamation case brought upon Fox News by Dominion Voting Systems, whose individual case against Powell is still pending. Jeffrey Clark A former justice department attorney, Clark has been identified as co- conspirator 4 in the federal January 6 investigation. Clark allegedly tried to coerce justice department officials to sign a letter to officials in several states. He drafted a letter to Georgia officials in late December 2020 falsely claiming the justice department had identified significant concerns that may have impacted election results in multiple states, including Georgia but it remained unsent. He also reportedly plotted with Trump to oust the acting attorney general, but failed. John Eastman Thought to be one of the main architects of Trumps strategy to overturn the 2020 election, Eastman identified as co-conspirator 2 in the federal January 6 inquiry drafted a six-step plan that directed Mike Pence to reject Bidens victory. Chapman School of Law professor John Eastman testifies on Capitol Hill in Washington, March 16, 2017. Lin Wood The former Georgia defamation attorney Lin Wood pushed conspiracy theories of interference in the 2020 election and filed lawsuits alleging voter fraud. He retired his Georgia law license before it could get revoked. Ray Stallings Smith III An Atlanta-area lawyer who represented Lin Wood in his lawsuit against Brad Raffensperger, Smiths legal team previously indicated he may be a target in the Georgia election inquiry. Following the November 2020 election, Smith sent a letter to Raffensperger and submitted several affidavits. Geoff Duncan The former lieutenant governor of Georgia, who was in office during and after the 2020 election, has been one of the most outspoken Republican critics of Trump and his efforts to overturn the results. He was called to testify before a grand jury as Willis neared her indictment. Trump appeared to warn Duncan against testifying, calling him a nasty disaster for those looking into the election fraud that took place in Georgia in a post on Truth Social. Burt Jones Georgias current lieutenant governor, Burt Jones, was one of the 16 fake electors in Georgia who signed the unofficial election certificate handing the win to Trump. Jones, a Republican, was a member of the Georgia senate in 2020 and was endorsed by Trump in his campaign for lieutenant governor. Judge McBurney disqualified Willis from investigating Jones because she held a fundraiser for his Democratic opponent, Charlie Bailey, and donated to Baileys campaign. Brad Raffensperger Georgias Republican secretary of state since 2019, Brad Raffensperger shut down continued attempts by Trump and his allies to overturn Georgias election results. Days ahead of the January 6 Capitol attack, the Washington Post published a recording of the hour-long phone call between Trump and Raffensperger, who rejected Trumps request to challenge Bidens victory and told Trump he was relying on debunked conspiracy theories. He defeated his Trump-backed challenger in the 2022 Republican primary, winning re-election. Robert Sinners A Trump campaign staffer in 2020, Sinners wrote to the 16 Republican electors in Georgia asking them to maintain complete secrecy as they arrived to cast votes for Trump despite Bidens victory. Frances Watson A week before he called Raffensperger, Trump phoned Frances Watson, then the chief investigator for the Georgia secretary of state, alleging widespread fraud amid a state audit of absentee ballots. Fake electors: David Shafer Chairperson of Georgias Republican party since 2019, Shafer was one of the fake electors who falsely claimed Trump won in Georgia. Judge McBurney ruled last year that Shafer played an outsized role in organizing the signatures and thus could not be treated the same as the other electors indicted in the case. Cathy Latham Latham, a former Coffee county, Georgia GOP chairwoman, was also one of the Georgia electors who cast ballots falsely claiming Trump won the 2020 election. She has tried repeatedly to stop the investigation. Legal filings show that a forensics team aligned with Trump gained unauthorized access to voting machines in Coffee county. Willis, the DA, informed all 11 individuals they may be indicted. Robert Cheeley Cheeley, a Georgia lawyer, was a lead attorney on one pro-Trump Georgia lawsuit demanding access to ballots in a Fulton county warehouse and has represented one of the states 16 fake electors, Cathy Latham. He also attended a Georgia state senate hearing in late December 2020, along with Rudy Giuliani, where he alleged voter fraud and presented video clips of poll workers, claiming they were double-counting votes. Mike Roman A former Trump campaign staffer, Roman was involved in the plot to deliver lists of fake electors to Mike Pence on 6 January 2021 in a bid to stop Congress from certifying Bidens victory. A former White House aide, he served as Trumps director of election day operations and attempted to convince state legislators to unlawfully appoint alternate electors, according to the indictment. Shawn Still Still, now a Republican Georgia state senator, is one of three named fake electors along with David Shafer and Cathy Latham in the sprawling indictment. He served as the finance chair for the Georgia Republican party in 2020. Stephen Lee A longtime Georgia police chaplain, Lee traveled to the home of a Georgia election worker, Ruby Freeman who was targeted by conspiracy theorists after Trumps campaign falsely claimed participated in election fraud identifying himself as a pastor trying to help. Harrison Floyd Floyd, who was associated with the group Black Voices for Trump, coordinating with Stephen Lee and Trevian Kutti, attempted to coerce false testimony from the Georgia election worker Ruby Freeman. Trevian Kutti A Chicago-based publicist, Kutti threatened a Georgia election worker, Ruby Freeman, with jail if she did not say she participated in election fraud. Kutti worked in coordination with Stephen Lee and Harrison Floyd. Scott Hall A Georgia bail bondsman and Trump supporter, Hall illegally sought access to voting machines in Coffee county, Georgia, to search for evidence they were rigged. Misty Hampton The former elections supervisor for Coffee county, Georgia, Hampton was present when a Trump-aligned group sought to illegally access voting machines in search of fraud and directed much of the groups search . Read More Explained: What are the investigations Donald Trump is now facing ~ The Guardian Taking regular Vitamin D supplements may reduce cancer deaths in the population by 15%, according to scientists. Data gathered from the UK Biobank, an online database of medical and lifestyle records of around 500,000 Britons, indicates vitamin D deficiency is linked to an increased cancer mortality risk particularly in relation to bowel, stomach, prostate, and lung cancers. The researchers said their work, published in Elseviers European Journal of Cancer, adds to evidence that vitamin D may have a protective effect against cancer. While the findings do not explain why this happens, the team said one possibility is that vitamin D supplements may induce anti-inflammatory, antioxidant, and DNA damage repair mechanisms, which can thwart mutations that allows tumours to grow. Study author Ben Schottker, an epidemiologist at the German Cancer Research Centre, said: Our findings identified a statistically significant relationship between vitamin D deficiency and increased mortality among several cancers. These results can be explained by other studies, which found mechanisms by which vitamin D inhibits cancer growth and metastasis. The NHS advice is that adults and children over four take a daily supplement containing 10 micrograms of vitamin D throughout the year. According to the Department of Health and Social Care, around one in six adults and almost 20% of children in the UK have vitamin D levels lower than government recommendations. Older people, the housebound and people from black and South Asian communities are more likely to have lower levels of vitamin D. Our findings identified a statistically significant relationship between vitamin D deficiency and increased mortality among several cancers For the study, the researchers looked at data from more than 400,000 people aged 4069. In-depth medical information was gathered through blood, urine and saliva samples. A short interview and a questionnaire as used to assess vitamin D consumption as well as lifestyle factors such as alcohol consumption, smoking. Follow-up data on health outcomes were gathered through NHS links as well as care data, cancer screening data, and disease-specific registers. Over a period of nearly 13 years, close to 13,000 people died of cancer. Results showed a majority of the study population had either vitamin D deficiency (21.1%) or insufficiency (34.4%) used to describe low levels of vitamin D. Of the people involved in the study, only 4.1% regularly took a vitamin D supplement and 20.3% regularly took a multivitamin. Results showed that vitamin D supplement users had 15% lower total cancer mortality and 25% lower lung cancer mortality compared to those who did not take the supplement. The also found researchers found that those with vitamin D deficiency had 42% increased mortality for stomach, 27% for bowel, 24% for lung, and 36% for prostate cancers. Meanwhile those with vitamin D insufficiency were found to have 14% increased bowel cancer mortality and 19% increased lung cancer mortality. The scientists said that the potential to reduce cancer mortality by vitamin D supplementation in populations with low levels should be further explored in new research. Commenting on the study, Dr Jenna Macciochi, senior lecturer in immunology at the University of Sussex, said: This study adds to the growing body of evidence on vitamin D and cancer. Vitamin D plays multiple key roles in immune health and the immune system is part of the bodys cancer defence system. With cancer rates rising and presenting a serious public health issue, its useful to have further insight into the role of vitamin D in the prevention of cancer. But Dr Macciochi also cautioned that the Biobank data might not be diverse and representative of the whole of the UK population. Alex Ruani, doctoral researcher at University College London and chief science educator at The Health Sciences Academy who was not involved in the study, said that the exact dosage taken by participants was not ascertained and the risk reduction was not the same for all cancers. She said: This research doesnt imply that taking vitamin D3 supplements will for sure lower your risk of death from cancer. Supplementation may help with consistent vitamin D levels, whereas production from sunlight can be variable and dependent on weather, time of the day, exposure duration, being outdoors or indoors, protective UV wear or sunblock, and many other factors. She added: Common food sources of vitamin D3 include full-fat dairy, egg yolks, and fish. Although toxicity is rare, there is an upper tolerable limit set in the UK, where vitamin D3 supplementation shouldnt exceed 100 micrograms a day. North Korea said that a US soldier who bolted into the country across the heavily armed Korean border last month did so after being disillusioned at the inequality of American society. Private 2nd Class Travis King entered North Korea while on a tour of a Korean border village, becoming the first American detained in the North in nearly five years. British actor Brian Blessed has said wants to become the oldest man in space as he refuses to let his age become a factor. The 86-year-old, who trained to become an astronaut with Nasa, said my biggest love in life is space during an interview on BBCs Newsnight with presenter Kirsty Wark. He said: Ive done bloody everything. Ive been to the North Pole, Ive been to the Lost World. Ive been to these places, for Christs sake, and I want to get up. I will not make a pact with old age. I will NOT make a pact with old age! Brian Blessed says he will go to space - his biggest love in lifehttps://t.co/P0zxS1DNGF pic.twitter.com/KoUGV83aZf BBC Newsnight (@BBCNewsnight) August 15, 2023 Blessed said all the different galaxies, planets and star systems are wonderful but added that scientists are just beginning to understand them. Speaking about training to become an astronaut, he said: I did training in Moscow, at Red Star with (mountaineer) Catherine Destivelle of France and other people and certain Nasa cosmonauts. I did my full training there and then I did training on Reunion Island in the Pacific with Nasa, I completed my training and Im a fully trained cosmonaut, astronaut. My biggest love in life is space. We got to get out there. Asked if he is going to space, Blessed said: Yes! The veteran stage and screen actor said the world needs more people like Professor Brian Cox bloody marvellous scientist, he said. WATCH: As England's Lionesses prepare for their World Cup semi final clash against Australia, actor Brian Blessed delivers a rousing - and LOUD - rendition of Three Lions to stir the squad into action#Newsnight #ItsComingHome #England | https://t.co/P0zxS1DNGF pic.twitter.com/bbNE4Njk35 BBC Newsnight (@BBCNewsnight) August 15, 2023 Blessed, known for his Shakespearean roles and turn as Prince Vultan in Flash Gordon, also gave a rousing rendition of Three Lions ahead of England playing in the semi-final of the Womens World Cup against Australia. He said: Its coming home, its coming home, its coming, footballs coming home. Everyone seems to know the score, theyve seen it all before. They just know, theyre so sure, that Englands going to throw it away, going to blow it away. But I know they can play, because I remember three lions on a shirt still grieving, 30 years of hurt never stopped me dreaming. Its coming home, its coming home, its coming, footballs coming home. Its coming home, its coming home, its coming home, footballs coming home, come on! US police examining a stolen car for evidence have made a surprising find a kitten. The grey and white male cat was perched under a seat in the stolen vehicle, which collided with a police car during a chase in Connecticut on Thursday, the Meriden Police Department said. Officers in Meriden were pursuing the car on suspicion that it had been used in armed robberies in several nearby communities hours earlier. After the crash, six suspects fled, but were soon arrested. We are hopeful someone recognises our adorable feline friend and can help us reunite him with his owner, the department said. Officer are asking for anyone with information to contact Meriden police or animal control. Nigeriens are preparing for war against regional countries threatening to invade, three weeks after mutinous soldiers ousted the nations democratically-elected leader. Residents in the capital, Niamey, are calling for the mass recruitment of volunteers to assist the army in the face of a growing threat by the West African regional bloc, Ecowas, which says it will use military force if the junta does not reinstate the deposed President Mohamed Bazoum. Ecowas has activated a stand-by force to restore order in Niger after the junta ignored a deadline to reinstate and release Mr Bazoum. The initiative, spearhead by a group of locals in Niamey, aims to recruit tens of thousands of volunteers from across the country to register for the Volunteers for the Defence of Niger, to fight, assist with medical care, and provide technical and engineering logistics among other functions, in case the junta needs help, according to Amsarou Bako, one of the founders. Its an eventuality. We need to be ready whenever it happens, he said. The French military retains a presence in the Sahel region (AP) The recruitment drive will launch on Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin two countries which have said they would participate in any military intervention. Anyone over 18 can register and the list will be given to the junta to call upon people if needed, said Mr Bako. The junta is not involved, but is aware of the initiative, he said. Regional tensions are deepening as the stand-off between Niger and Ecowas shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Last week the junta said it was open to dialogue with Ecowas after rebuffing the blocs multiple efforts at talks, but shortly afterwards charged Mr Bazoum with high treason and recalled its ambassador from neighbouring Ivory Coast. Ecowas defence chiefs are expected to meet this week, for the first time since the bloc announced the deployment of the stand-by force. It is unclear when or if the force will invade, but it would probably include several thousand troops and would have devastating consequences, say conflict experts. Mucahid Durmaz, a senior analyst at Verisk Maplecroft, a global risk intelligence company, said: A military intervention with no end in sight risks triggering a regional war, with catastrophic consequences for the vast Sahel that is already plagued by insecurity, displacement and poverty. Niger was seen as one of the last democratic countries in the Sahel region south of the Sahara Desert, and a partner for Western nations in the effort to beat back growing jihadi violence linked to al-Qaida and the so-called Islamic State group (IS). The democratically-elected president Mohamed Bazoum was deposed by the junta (AP) France, the former colonial ruler, and the United States have approximately 2,500 military personnel in the region which train Nigers military and, in the case of France, conduct joint operations. Coups in the region have been rampant and the one in Niger is seen by the international community as one too many. But analysts say the longer this drags on, the probability of an intervention fades as the junta cements its grip on power, likely forcing the international community to accept the status quo. A diplomatic solution is likely, with the main question being how much military pressure is applied to make it happen, a Western official said. On Tuesday, US secretary of state Antony Blinken said there was still space for diplomacy to return the country to constitutional rule and said the US supported Ecowass dialogue efforts, including its contingency plans. The new US ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to an American official. Soldiers who ousted President Mohamed Bazoum addresses supporters of the ruling junta in Niamey (AP) The United States has not had an ambassador in the country for nearly two years. Some Sahel experts say this has left Washington with less access to key players and information. While regional and western countries scramble for how to respond, many Nigeriens are convinced they will soon be invaded. The details of Nigers volunteer force are still vague, but similar initiatives in neighbouring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organizing Nigerien volunteers, said Nigers situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers The difference with us is our people will fight against an intrusion, he said. In June, the United States leveled sanctions against two junta-controlled banks Myanma Foreign Trade Bank and Myanma Investment and Commercial Bank which conduct international transactions and supply US dollars to Myanmars regime. Earlier this month the US deadline passed to wind down transactions with the two banks. Singapores United Overseas Bank (UOB) announced that it will shut all of Myanmar junta-linked Myanmar Airways International bank accounts by August 15. The bank said it will restrict all transactions with Myanmar, stopping funds being moved only between UOB accounts. Economist U Sein Htay has recently talked to The Irrawaddy about how the sanctions on the two banks will affect the regime. U Sein Htay is a graduate of the Yangon University of Economics and was a member of the economic committee of the National League for Democracy government. He now lives in the US. How will the sanctions impact the military regime? They will bring more serious damage to the regime and slow its transactions. There are international banks that deal with those two banks. I dont know exactly which banks they deal with. But I guess they are linked with banks in Singapore, Thailand and China. The US has warned that it will take action against anyone that deals with the two banks. The US may freeze money or adopt other action against any firm dealing with the banks. The sanctions will impact those two banks but also anyone dealing with them. So you can imagine the impacts of the sanctions. UOB recently said it will restrict all payments with accounts in Myanmar. Do you think banks in other countries will follow suit? A bank that deals with these two banks has started to feel the impact. Like UOB, other banks that deal with the two sanctioned banks should have started to suffer. There wont be much impact on banks in Myanmar. The regime may shift accounts to domestic banks owned by military-linked cronies and continue to make transactions with foreign banks and potentially bypass sanctions. This is an old method that dates back to [former military dictator] Than Shwe. These sanctions are, however, more severe and the regime might be getting desperate now. Will the sanctions restrict the regimes access to the foreign currency used to buy arms, jet fuel and imports for weapon production? This is the main reason sanctions were imposed. The sanctions are not intended to harm Myanmars people. There would have been no sanctions if the foreign currency had been used to build roads, bridges, hospitals and schools and improve public services. Min Aung Hlaing has killed civilians since he seized power in the 2021 coup. The killing of resistance fighters is understandable as there is ongoing fighting. But the regime has carried out indiscriminate attacks on civilians, torched villages and dropped bombs from aircraft. Myanmar cannot produce jet fuel and imports it with foreign currency. If its access to foreign currency can be cut, airstrikes will drop. And it also has to import materials to produce weapons. This also needs foreign currency. Oil and gas is the major source of income for the regime. The sanctions are intended to cut profits from the state-owned oil company, Myanma Oil and Gas Enterprise (MOGE). How are the sanctions related to Burma Act? The Burma Act is the part of National Defense Authorization Act. The US Treasury Department imposes sanctions as a tool for the act. The two banks were sanctioned as a consequence. The Burma Act was made possible by expats from Myanmar in the US. They called for action against Myanmars military, prompting US lawmakers to pass the Burma Act. You said the regime might use domestic banks to make international transactions. Will that work? People in Myanmar can report to us if there is evidence of that. We are aware of some transactions made through banks owned by cronies to banks in Thailand. According to my experience, the regime will mainly use Chinese banks for its international transactions. The regime has been forced to sell bonds to cronies to cover a shortfall in the budget. Can this move help it in the long run? It wont. Thats why they have been forced to issue the 20,000 kyat note. It is testimony to the fact that the regime is facing a financial crisis. The consecration of the Buddha statue and the birthday of the white elephant calf is just used as an excuse for the note. The regime is facing a foreign currency crisis. Not only MOGE but trade has also been affected by sanctions. YANGONRescuers have recovered 25 bodies while 14 people remain missing after a landslide at an unregulated jade mine in a remote region of Myanmar, emergency workers said Tuesday. Myanmar has been hit with torrential rain and floods in recent days and the landslide occurred Sunday outside northern Kachins Hpakant Township. Jade mining in Myanmar is a lucrative business but the unregulated industry is plagued with frequent worker deaths including more than 170 fatalities in the same area following a 2020 landslide. We found 25 dead bodies in total today, a rescue worker told AFP on Tuesday, adding that 14 people were still missing and the recovery effort would continue Wednesday. Rescuers had to dig through mud to find bodies, while others were floating in water. A massive pile of earth about 150-180 meters high left behind by mining excavations was loosened by the intense rainfall and collapsed, according to rescuers. The mines operations had been suspended during the rainy season, but those caught in the landslide were believed to be locals hoping to find something valuable in the mud. The jade industry relies on low-paid migrant workers to scrape out a gem highly coveted in neighboring China. Jade and other abundant natural resources in Myanmars northincluding timber, gold and amberhave helped finance both sides of a decades-long civil war between ethnic Kachin insurgents and the military. While environmentalist and rights groups have long called for reforms, a 2021 military coup effectively ended hopes for better standards in the industry, according to international watchdogs. A nine-year-old child was killed and five villagers wounded when junta troops protecting a Chinese-owned mine unleashed artillery barrages in Salingyi Township, Sagaing Region on Monday, according to residents. A regime column comprising over 100 soldiers raided and shelled villages along the Pathein-Monywa road while protecting a convoy that was transporting chemicals for the mine. Around 7,000 residents from five villages were forced to flee the attacks by junta troops, who also detained seven residents. The troops were stationed in Salingyis Don Taw village, from where they shelled surrounding villages. One shell hit a house in Pay Kone village in neighboring Yinmabin Township where around 10 civilians were seeking refuge, said the head of the Yinmabin-Salingyi multi-village strike steering committee, Ko Lwan Thu. Kyaw Thiha, 9, a resident of Pay Kone village was killed on the spot and three women and two men were wounded by the blast. Kyaw Thihas father was also injured but his condition is not critical. My two relatives who were seeking refuge in the house were injured. All those injured are being treated in hospital, Ko Lwan Thu told the Irrawaddy. Residents from the five villages have sought refuge at relatives houses in other villages. Salingyis three copper mines Letpadaung, Sabetaung and Kyesintaung are owned and operated by Chinese company Wanbao and its two subsidiaries, Myanmar Wanbao Mining Copper Ltd and Myanmar Yang Tse Copper Ltd, in partnership with the military-owned Myanma Economic Holdings Ltd. Even before the 2021 coup, the mines had long been a source of public fury for destroying the environment and seizing peoples land. A total of 17 local strike bodies in Monywa, Salingyi and Yinmarbin townships have called on Chinese mining firms Wanbao and Yang Tse to halt their collaboration with the Myanmar junta, which is committing atrocities and destroying civilian life and property across the region. Sagaing People Support Network, a regional aid group, said that around 20 residents have been killed by junta troops from mining companies during raids. Ko Lwan Thu said the number of residents killed since the coup may be higher. On Tuesday, the bodies of three residents were found under the North Yamar bridge in Yinmabin Township, he said. Two of the victims were identified as men in their 40s from Lae Ngauk village who were arrested by regime troops this month. The identity of the other person has yet to be confirmed, Ko Lwan Thu told the Irrawaddy. A jailed doctor was killed and another political prisoner critically injured when a Myanmar junta convoy reportedly hit two mines during a transfer from Monywa Prison on Tuesday. More than 100 political prisoners were being taken from Monywa to Myingyan and Obo prisons in five trucks on Tuesday when their trucks hit a mine on the Mandalay-Monywa highway. The ethnic Kachin, Dr Zaw Htwal Aung, was killed. Another political prisoner, Ko Arkar Nyein Chan, from Budalin who was chained to the doctors leg, is in a critical condition, according to a member of the Monywa People Strike Committee, citing family members. We are sad about the loss. No matter which group did, it shouldnt have happened. The junta unjustly detained the political prisoners so it is responsible, said the member. He said the truck driver was killed. Dr Zaw Htwal Aungs family was trying to retrieve his body, the member added. Dr Zaw Htwal Aung participated in the civil disobedience movement following the 2021 coup and was arrested in September 2021 for treating wounded anti-regime protesters and displaced civilians in Sagaing Region. The Political Prisoner Network in Myanmar said two landmines hit three trucks. Some reports said three political prisoners and a driver were killed and more than 12 were injured. The network said it was trying to confirm the reports. The Irrawaddy could not independently verify the reports. No group has claimed responsibility for the attack. Monywa Prison is notorious for its ill-treatment of political prisoners who were arrested following the coup and it often transfers prisoners to far away prisons. YANGONMyanmar authorities have arrested nearly 150 Rohingya suspected of trying to flee the country, an official told AFP on Tuesday. The mainly Muslim Rohingya are seen in Myanmar as interlopers from Bangladesh. They are denied citizenship and require permission to travel. The military launched a crackdown on Rohingya in 2017, and thousands now risk their lives each year making perilous journeys from camps in Bangladesh and Myanmar to reach Muslim-majority Malaysia and Indonesia. The 127 Rohingya men and 18 women were arrested on Friday near the village of Waekhami in southern Mon State. They have been detained since then and under investigation according to the immigration law, Aung Myat Kyaw Sein, spokesperson for the Mon State Administration Council, told AFP. Myanmar is facing genocide accusations at the United Nations top court following the 2017 crackdown, which sent hundreds of thousands fleeing to Bangladesh. Last week, a boat carrying around 50 Rohingya broke up in heavy seas off the Myanmar coast. Rescuers have recovered 17 bodies, but the rest are still missing. Bangladesh and Myanmar have discussed efforts to begin repatriating Rohingya refugees, though a senior US rights envoy said last month that conditions are not safe for their return. Myanmar has been in chaos since Daw Aung San Suu Kyis civilian government was toppled in a military coup in February 2021, ending its brief period of democracy. At least 11 Myanmar junta troops were killed and two arrested in the last three days as Peoples Defense Force groups (PDFs) and ethnic armed organizations (EAOs) continued to attack regime targets across the country. In one case, five ethnic Chin resistance fighters were killed during a raid on a military headquarters in Chin. Incidents were reported in Karen and Chin states and Sagaing, Magwe and Tanintharyi regions. The Irrawaddy has collected the following reports of significant attacks from the PDFs and EAOs. Some military casualties could not be independently verified. Heavy clash erupts in Karen A heavy clash broke out in Myawaddy Township, Karen State on Tuesday when junta forces attempted to take back a strategic base on Lat Khat Taung mountain held by PDFs and Karen rebel groups. The junta used aircraft, tanks and artillery units, according to the resistance group Cobra Column. Casualties on both sides were unknown. Resistance groups led by the Karen National Liberation Army (KNLA), the armed wing of the countrys oldest ethnic rebel group, the Karen National Union (KNU), attacked and seized the junta base on the mountain on July 21. Since then, at least 10 clashes have broken out in the area as junta troops try to retake the base, leaving 17 soldiers dead, said the KNUs Dooplaya District. Military headquarters raided in Chin Ethnic revolutionary group the Chin National Front (CNF) said its armed wing the Chin National Army (CNA) and other Chin Defense Forces jointly raided the headquarters of military Infantry Battalion 269 based in Thantlang town, Chin State on Monday. During the raid, the combined groups seized a junta security outpost as well as two soldiers and six weapons. Five resistance members were killed. After the clash, the junta bombed its own resistance-held outpost and also bombed two villages outside the town in retaliation, destroying houses and injuring civilians, said the CNF. Junta troops killed in resistance ambush in Tanintharyi Resistance groups engage in a clash with regime forces in Ya Ngal Village in Thayet Chaung Township on Monday. / Dawei Information Center Five regime soldiers were killed and two others injured in Thayet Chaung Township, Tanintharyi Region on Monday when local resistance groups attacked regime forces in two vehicles from two locations as they entered Ya Ngal Village, said Dawei Information Center, a local revolutionary media outlet. Regime forces killed in resistance drone strikes in Sagaing At least three junta troops were killed and many others injured in Myinmu Township, Sagaing Region as resistance groups conducted drone strikes on regime targets on Monday and Tuesday, according to Ghost Team Ranger (Myinmu), which coordinated the attacks. The resistance group said it and two other rebel groups dropped bombs on a military unit near Wan Pyae Village while it was traveling after killing four civilians and torching houses in Ywar Thar Lay Village. Three soldiers were killed in the drone strikes. The resistance groups continued to conduct drone strikes against a police station in Taw Chaung-U Village in the township, dropping 11 bombs. The regime forces responded with both heavy explosives and firearms. Some junta troops are believed to have been injured in the drone attacks, the resistance group said. On Tuesday, the same resistance groups used drones to drop eight bombs on regime patrols deployed on the Monywa-Mandalay highway to protect a military convoy. Injured soldiers were taken away in vehicles. Military convoys bombed in Sagaing A blast occurs as resistance groups trigger a mine to ambush a military convoy in Myinmu Township on Monday. / Moe Nyo Revolution Black Eagle Defense Force (Myinmu) said it and another resistance group used land mines to ambush a military convoy of 16 vehicles in four locations in Myinmu Township, Sagaing Region on Monday while it was traveling from Sagaing to Monywa. The next day, the resistance groups used land mines to ambush another military convoy of five logistics vehicles. In the series of attacks, five regime vehicles were damaged. The convoys also faced mine ambushes by other resistance groups. Regime bases bombed in Sagaing Salingyi Special Task Force (SSTF) said it and other resistance groups used makeshift remote-controlled airplanes to bomb police stations and junta bases in Monywa Township, Sagaing Region on Monday and Tuesday. Military casualties were unknown. Military flotilla attacked in Sagaing Combined resistance forces ambush a military flotilla in Salingyi Township on Tuesday. / Hero Fighter (Salingyi) Hero Fighter (Salingyi) said it and many other resistance groups jointly ambushed a military flotilla of four barges and a gunboat on the Chindwin River in Salingyi Township, Sagaing Region on Tuesday. The flotilla was transporting around 100 junta troops as well as rations and ammunition from Magwe Regions Yesagyo Township to Sagaings Monywa Township. Some regime forces are thought to have been injured or killed in the clash, the resistance group said. Pro-junta militias bombed in Sagaing Resistance forces conduct drone strikes on regime forces torching houses in a village in Myinmu Township in July. / Unicorn Guerrilla Force The resistance group Unicorn Guerrilla Force said it used a drone to drop seven bombs on pro-junta Pyu Saw Htee militias and their bases in the pro-regime village of Mar Lal Taw in Sagaing Township, Sagaing Region on Tuesday. Militia casualties were unknown. The aerial video shows a drone dropping bombs on militia targets. Military unit ambushed in Magwe Resistance fighters of Yesagyo PDF ambush regime forces in Yesagyo Township on Monday. / Yesagyo PDF Three junta soldiers were killed and some injured in Yesagyo Township, Magwe Region on Monday when two resistance groups ambushed an advance team of eight soldiers from a military unit between two villages, said Yesagyo Township PDF, which coordinated the attack. On Sunday, Yesagyo PDF triggered a cluster of 12 land mines to ambush 30 regime forces that arbitrarily killed a detained villager in the township. Seven junta forces suffered serious injuries. Today Some clouds in the morning will give way to mainly sunny skies for the afternoon. High near 90F. Winds ENE at 5 to 10 mph. Tonight A mostly clear sky. Low 71F. Winds W at 5 to 10 mph. Tomorrow Sunny. High 98F. Winds NNW at 5 to 10 mph. Reddit Email 34 Shares Anthony Michael Kreis, Georgia State University | An Atlanta, Georgia, grand jury indicted former President Donald Trump on Aug. 14, 2023, charging him with racketeering and 12 other felonies related to his alleged attempts to overturn his 2020 election defeat in the state. Eighteen of Trumps allies and associates, including former Trump attorney Rudolph Giuliani and former White House chief of staff Mark Meadows, were also indicted for racketeering and other felony charges for their alleged involvement in the scheme. This marks Trumps fourth indictment in five months and the second to come from his efforts to undo the election results that awarded the presidency to Joe Biden. Fani Willis, the district attorney of Fulton County, Georgia, started investigating Trumps involvement in this alleged scheme, as well as that of Trumps colleagues, in February 2021. In January 2021, one month before the investigation started, Trump placed a phone call to Georgia Secretary of State Brad Raffensperger and pressed him to find enough votes to overturn Bidens win. The Conversation U.S. spoke with Anthony Michael Kreis, a scholar of Georgias election laws, to understand the significance of the charges laid out in the 98-page indictment. Here are five key points to understand about the precise nature of the charges and why racketeering is at the center of them. 1. Racketeering is different from conspiracy charges With a Racketeer Influenced and Corrupt Organizations, or RICO, charge, Willis presents a narrative that there were a large number of people involved in this case, but that they didnt necessarily sit down at some point and over cocktails and say, We are going to engage in this criminal act, which would be a traditional conspiracy case. She is painting this picture of people winking and nodding and working toward this end goal of overthrowing the election, but without some kind of expressed agreement. The Georgia RICO law allows her to rope in a lot of people who allegedly were involved with this kind of approach. To be able to bring conspiracy charges, she would have to have an expressed agreement and a concrete act in furtherance of that conspiracy. And here there really wasnt quite a plan it is essentially a loose organization of people who are all up to no good. 2. Georgia and Willis have used racketeering charges before Traditionally in Georgia, RICO has been used to prosecute people engaged in very violent kinds of activity for street gangs and the Mafia, in particular. It has also been used in other contexts. Bezalel Smotrichs settlement plan is to annex the West Bank, increase settlements and bring an end to the Palestinian Authority. He works silently, away from the cameras and the Knesset podium. He is a professional politician who knows how to swim against the tide. Smotrich, who heads the Religious Zionist Party, was born in 1980 in an illegal settlement in the occupied Syrian Golan. His father is Haim Yeruham, the former rabbi of the Kiryat Arba yeshiva, which was one of the strongholds of the terrorist Kach movement. Smotrich grew up in the illegal Beit El settlement, and went to study at the Mercaz HaRav Yeshiva, from which leaders of the settler right graduated. It is considered the greenhouse of extremism from which a large number of students who turned into senior officers in the occupation army graduated. There are those who also became senior officers in the Israeli intelligence services, and well-known journalists in major media outlets. Israels Yedioth Ahronoth newspaper asked him about his plan regarding the Gaza Strip, and he said: I will re-occupy Gaza, disarm all the armed forces there, and I will open its doors to mass immigration. This can definitely be a regional action with other countries and could work with Europe. The defiant right The views adopted by the extreme right is to undermine the Palestinian Authority, while the Knesset plans to discuss several steps to prevent the collapse of the PA. One of the steps being discussed is establishing an industrial zone near Hebron, as well as a financial rescue plan to reduce the chances of the PA collapsing by granting it soft loans, scheduling Palestinian debts, offering fuel exemptions and setting a date for transferring Palestinian tax revenues. They also discuss increasing working hours at Al-Karama (Allenby) crossing and returning VIP visitors permits to PA officials. However, the response to these steps came from the Religious Zionism Party, as Smotrich said he would not agree to concessions for the PA and that no money would be transferred to it. Israeli National Security Minister Itamar Ben-Gvir said that he will stand against the shameful decision to grant the PA privileges. Such obstacles prevent achieving political movement in terms of negotiations at the present time and will even accelerate the occupation of the West Bank and its annexation, and this is what looms on the horizon. Contrary to the turbulent environment inhabited by the poles of extremism in Israel, which is not monitored globally, there is a clear indication that the extreme and abnormal behaviour, which goes against world order, is not being revealed. The world is avoiding addressing it and therefore, no attention is paid to it and no punishments brought against it. Despite the secrecy of the Religious Zionism Partys plans, the party that makes up Netanyahus government today, some details have been leaked. Yedioth Ahronoth sometimes discloses something in this regard, as Netnayahus government is fleeing forward as much as possible, and is not looking back. Despite what is happening inside the occupying country, such as the continuation of demonstrations condemning the coalition government, soldiers deserting service, and the brain drain, Netanyahu still does not see this as a problem worthy of being addressed. Reddit 2 Email 144 Shares Ann Arbor (Informed Comment) Donald John Trumps indictment in federal court for sedition and in state court for racketeering are both legal means of sanctioning him for trying illegally to overturn the results of the 2020 election. Although he is the first president to be criminally charged for such a crime (or at all), he is not the first world leader to be taken to court for trying to overthrow the government. We can leave aside those presidents tried for crimes against humanity and massacres, such as Saddam Hussein of Iraq (executed in 2006) or Slobodan Milosevic of Serbia. Trump rode roughshod over peoples civil rights, including in Portland, Or. and Lafayette Park, but those are not among the charges against him. He stands accused of sedition and conspiracy to overturn an election. There also isnt a good parallel to South Korea, which has routinely tried and imprisoned former presidents on embezzlement and corruption charges. Although there are questions about whether the Trumps illicitly used the White House to enrich themselves further, DJT is not being tried on those grounds. Article continues after bonus IC video CBS: Trump must surrender by Aug. 25 to face Georgia election interference charges The closes parallel is former Brazilian president Jair Bolsonaro, who faces as many as 16 trials, one of which began in June. He is charged with spreading misinformation about the election in the months leading up to it. If found guilty he could be barred from politics for 8 years. In 2019, former Pakistani president Pervez Musharraf was convicted of treason because he had suspended the countrys constitution in 2007. Musharraf made a military coup against elected Prime Minister Nawaz Sharif in 1999 and held a phony referendum, whereby he because president. This is not so hard since in a referendum you have no opponent and the only candidate people can vote for is you. Musharraf was not the first military dictator to make himself president of Pakistan, in fact he was the fourth, after Generals Ayyub Khan, Yahya Khan, and Zia ul-Haq. Musharraf, however, went further than ruling according to provisions in the constitution for a national emergency. In 2007 he dismissed the Supreme Court and replaced it with one to his liking, and in November of that year he actually set aside the constitution, restoring it a month later, in December. Pakistan was in so much turmoil that Musharraf couldnt control the situation, so he agreed to the holding of new elections in 2008, won by Asaf Ali Zardari after his wife, Benazir Bhutto, was assassinated. Musharraf went into exile. The legal establishment, however, still minded his attempt to tinker with it and in 2013 they began proceedings against him for treason in connection with his suspension of the constitution. In 2019 the trial in absentia wrapped up with Musharraf being sentenced to death. The pawerful Pakistani officer corps had lobbied against one of their own being treated like this, but to no avail. Musharraf was sentenced to death in absentia, given that he lived in Dubai then. He died on Feb. 5, 2023. Since US prosecutors have not considered Trumps crimes to constitute a form of treason, he does not face the death penalty, though he is 77, so he could easily die in jail if he is convicted and imprisoned. In Georgia, he cant get less than five years if he is convicted of the racketeering and other charges, because of mandatory sentencing guidelines. He also cannot be paroled or pardoned before spending 5 years in prison. VANCOUVER, BC, Aug. 16, 2023 /CNW/ - Nevada Sunrise Metals Corporation ("Nevada Sunrise" or the "Company") (TSXV: NEV) (OTC: NVSGF) is pleased to announce a non-brokered private placement (the "Offering") for gross proceeds of up to $1,600,000 consisting of 20,000,000 units (the "Units") at a price of $0.08 per Unit, each Unit consisting of one common share of the Company and one common share purchase warrant (a "Warrant"). Each Warrant will entitle the holder to purchase one common share at a price of $0.12 for a period expiring 2 years from the closing date of the Offering. Proceeds of the Offering will be used for: Completion of a National Instrument 43-101-compliant mineral resource estimate for the Gemini Lithium Project located in Esmeralda County, Nevada ("Gemini"); ("Gemini"); Completion of a preliminary economic assessment (or "PEA") in conjunction with the release of a maiden mineral resource estimate for Gemini; Exploration work on the Company's mineral properties; Other lithium property investigations, and general working capital. Finder's fees may be payable to parties at arm's length to Nevada Sunrise that have introduced the Company to certain subscribers participating in the Offering. The Offering is subject to acceptance of the TSX Venture Exchange. About Nevada Sunrise Nevada Sunrise is a junior mineral exploration company with a strong technical team based in Vancouver, BC, Canada, that holds interests in lithium, gold, and copper exploration projects located in the State of Nevada, USA. Nevada Sunrise owns 100% interests in the Gemini, Jackson Wash and Badlands lithium projects, with no applicable royalties, all of which are located in the Lida Valley basin in Esmeralda County, NV, located just east of the Clayton Valley basin, which hosts the only producing lithium mine in the United States at Silver Peak, NV. The Company owns Nevada water right Permit 86863, also located in the Lida Valley basin, near Lida, NV. The Company's key gold asset is a 20.01% interest in a joint venture at the Kinsley Mountain Gold Project near Wendover, NV with CopAur Minerals Inc. Kinsley Mountain is a Carlin-style gold project hosting a National Instrument 43-101 compliant gold resource consisting of 418,000 indicated ounces of gold grading 2.63 g/t Au (4.95 million tonnes), and 117,000 inferred ounces of gold averaging 1.51 g/t Au (2.44 million tonnes), at cut-off grades ranging from 0.2 to 2.0 g/t Au 1. The Company has elected not to contribute to the 2023 exploration program at Kinsley Mountain and expects to incur dilution of its participating interest in the joint venture to an approximate 19.0% interest. 1 Technical Report on the Kinsley Project, Elko County, Nevada, U.S.A., dated June 21, 2021 with an effective date of May 5, 2021 and prepared by Michael M. Gustin, Ph.D., and Gary L. Simmons, MMSA and filed under New Placer Dome Gold Corp.'s Issuer Profile on SEDAR (www.sedar.com). Nevada Sunrise has the right to earn a 100% interest in the Coronado VMS Project, located approximately 48 kilometers (30 miles) southeast of Winnemucca, NV. FORWARD LOOKING STATEMENTS This release may contain forwardlooking statements. Forward looking statements are statements that are not historical facts and are generally, but not always, identified by the words "expects", "plans", "anticipates", "believes", "intends", "estimates", "projects", "potential" and similar expressions, or that events or conditions "will", "would", "may", "could" or "should" occur and include disclosure of anticipated exploration activities. Although the Company believes the expectations expressed in such forwardlooking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in forward looking statements. Forwardlooking statements are based on the beliefs, estimates and opinions of the Company's management on the date such statements were made. The Company expressly disclaims any intention or obligation to update or revise any forwardlooking statements whether as a result of new information, future events or otherwise. Such factors include, among others, risks related to future plans for the calculation of a mineral resource estimate and a PEA at the Gemini Lithium Project; reliance on technical information provided by third parties on any of our exploration properties; changes in mineral project parameters as plans continue to be refined; current economic conditions; future prices of commodities; possible variations in grade or metallurgical recovery rates; failure of equipment or processes to operate as anticipated; the failure of contracted parties to perform; labor disputes and other risks of the mining industry; delays due to pandemic; delays in obtaining governmental approvals, financing or in the completion of exploration, as well as those factors discussed in the section entitled "Risk Factors" in the Company's Management Discussion and Analysis for the Six Months ending March 31, 2023, which is available under Company's SEDAR profile at www.sedar.com. Although Nevada Sunrise has attempted to identify important factors that could cause actual actions, events or results to differ materially from those described in forward-looking information, there may be other factors that cause actions, events or results not to be as anticipated, estimated or intended. There can be no assurance that such information will prove to be accurate as actual results and future events could differ materially from those anticipated in such statements. Nevada Sunrise disclaims any intention or obligation to update or revise any forward-looking information, whether as a result of new information, future events or otherwise. Accordingly, readers should not place undue reliance on forward-looking information. Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - Thor Explorations Ltd (TSXV: THX) (AIM: THX), "Thor" or the "Company", is a West African-focussed minerals exploration and mining Company, that currently operates the Segilola Gold Mine in Nigeria and is advancing the Douta Gold Project in Senegal towards development. As part of its strategy of identifying high-value mineral resource opportunities, Thor, through its fully-owned subsidiary Newstar Minerals Ltd ("Newstar"), has acquired significant exploration tenure in south-west Nigeria that covers both known lithium-bearing pegmatite deposits and a large unexplored prospective pegmatite-rich belt. An initial drilling program is being undertaken on one of the Company's prospects located in the West Oyo Project Area to confirm and delineate lithium-bearing mineralisation, such as spodumene and lepidolite, at depth. The Company is pleased to announce the first set of results from this initial exploratory phase. Key Highlights Significant intersections include: Drillhole NRC010: 11metres ("m") at 2.61% Li 2 O from 15m Drillhole NRC018: 9m at 2.42% Li 2 O from 35m Drillhole NRC006: 11m at 1.53% Li 2 O from 14m, including 9m at 1.70% Li 2 O from 15m Spodumene confirmed as the main lithium-bearing mineral together with minor lepidolite Mineralisation is exposed at surface and is shallow dipping. Segun Lawson, President & CEO, stated: "We are extremely encouraged by the first set of results from our initial drilling campaign which was designed to confirm the development of lithium-bearing minerals within pegmatite bodies that occur within our permit areas. This is the first area of our portfolio we have drilled and we are looking forward to both continuing the drill program on this prospect and extending the drilling over the other lithium-bearing pegmatites within our portfolio in Oyo State. Our objective is to expand our exploration activities and drilling program to the other pegmatites within our permits and commence exploration activities over the larger extent of all our permits areas in Nigeria. With the forecasted lithium market deficit expected to grow out by 2040, with an untapped lithium potential in West Africa, we believe it is a great opportunity to help diversify our existing gold production. In addition, we have continued to add further permits containing lithium-bearing pegmatites to our portfolio. And look forward to updating the market with drill results and the progress of our exploration over the coming weeks and through to the end of the year." Introduction Thor has secured over 600 square kilometres (km2) of granted tenure in Nigeria that form Oyo State, Kwara State and Ekiti State Lithium Project Areas. The Oyo State Project Area encompasses what Thor considers to be Nigeria's most significant lithium pegmatite occurrence which is currently being exploited by small-scale artisanal mining of lithium-bearing minerals. The Oyo State Lithium Project comprises approximately 38km2 of exploration tenure that is located towards the westernmost border of Nigeria and within 200 kilometres of the commercial capital of Lagos. The project area is unique in the Nigerian context as it is mostly located in a relatively sparsely populated region of the country but situated within close proximity to large population centres and advanced infrastructure such as roads, railways and ports. Target Area 1 Reconnaissance rock chip sampling carried out in 2022 in the western parts of Oyo State returned Li 2 O analyses of between 1.34% and 9.31%. Thor has secured tenure over this area in a number of joint venture agreements together with wholly owned exploration permits. A program of reverse circulation (RC) targeted an identified pegmatite trend that is developed within a northerly trending mafic sequence that is surrounded by granitoid-gneiss terrain. The significant results from this program are listed in Table 1 and located in Figure 1. Hole ID x y Depth Dip Azimuth From (m) To (m) Interval (m) Grade (%Li2O) True Width (m) NRC006 494432 899276 110 -60 110 14 25 11 1.53 10.5 includes 15 24 9 1.70 8.6 NRC009 494530 899242 50 -60 110 14 15 1 0.42 1.0 NRC010 494515 899248 60 -60 290 15 26 11 2.61 10.5 NRC018 494450 899300 46 -90 0 26 29 3 1.66 2.9 includes 27 29 2 2.11 1.9 35 44 9 2.42 8.6 NRC019 494445 899365 52 -90 0 33 35 2 1.27 1.9 includes 33 34 1 2.08 1.0 NRC020 494396 899351 50 -90 0 6 10 4 0.43 3.8 NRC024 494500 899300 50 -90 0 35 39 4 1.03 3.8 includes 35 36 1 2.50 1.0 Table 1: Significant Drillhole Intercepts (0.4%Li 2 O cut-off grade,minimum 1m thickness, up to 2m internal dilution) Sample analyses were carried out by SGS Randfontein (GE_ICP90A50 and GE_FUZ90A50). Cross check analyses were carried out by MSA Laboratories, Vancouver (PER-700). The drilled pegmatite averages 20m in thickness and dips at 5 degrees towards the northwest (Figures 1 and 2). A continuous sheet of coarse spodumene mineralisation of up to 11m thick is developed towards the upper contact of the pegmatite and mafic country rocks. Ongoing exploration is underway to locate additional pegmatites in the immediate vicinity of this target and further to the south where extensive exposures of pegmatites have been mapped in Target Area 2 (Figure 3). Figure 1: Drilling and Sample Location Map Figure 2: Drilling Cross-Section Figure 3: Exploration Target Map Thor/Newstar has also secured additional tenure over this area in a number of joint venture agreements together with wholly owned exploration permits (Figure 3). Further to the west additional exploration tenure has been obtained through the granting of a large exploration permit (EL42245) to the northeast together securing additional ground under an agreement with SCT Mining & Exploration Ltd (Figure 4). Figure 4: Drilling and Sample Location Map Qualified Person The above information has been prepared under the supervision of Alfred Gillman (Fellow AusIMM, CP), who is designated as a "qualified person" under National Instrument 43-101 and the AIM Rules and has reviewed and approves the content of this news release. He has also reviewed QA/QC, sampling, analytical and test data underlying the information. About Thor Thor Explorations Ltd. is a Canadian mineral exploration company engaged in the acquisition, exploration and development of mineral properties located in Nigeria, Senegal and Burkina Faso. Thor holds a 100% interest in the Segilola Gold Project located in Osun State of Nigeria and a 70% interest in the Douta Gold Project located in south-eastern Senegal. Thor also holds a 49% interest in the Bongui and Legue gold permits located in Hounde greenstone belt, south west Burkina Faso. Thor trades on the TSX Venture Exchange under the symbol "THX". THOR EXPLORATIONS LTD. Segun Lawson President & CEO For further information please contact: Thor Explorations Ltd Tel: 778-373-0102 Fax: 604-434-1487 Email: This email address is being protected from spambots. You need JavaScript enabled to view it. Canaccord Genuity (Nominated Adviser & Broker) Henry Fitzgerald-O'Connor / James Asensio / Thomas Diehl Tel: +44 (0) 20 7523 8000 Hannam & Partners (Broker) Andrew Chubb / Matt Hasson / Jay Ashfield / Franck Nganou Tel: +44 (0) 20 7907 8500 Fig House Communications (Investor Relations) Tel: +1 416 822 6483 Email: This email address is being protected from spambots. You need JavaScript enabled to view it. Ibu Lawson (Investor Relations) Tel : +44 7909 825 446 Email : This email address is being protected from spambots. You need JavaScript enabled to view it. BlytheRay (Financial PR) Tim Blythe / Megan Ray / Said Izagaren Tel: +44 207 138 3203 Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. This press release does not constitute an offer to purchase securities. The securities to be offered in the offering have not been and will not be registered under the United States Securities Act of 1933, as amended, or any state securities laws and may not be offered or sold in the United States or to, or for the benefit or account of, a U.S. person, except pursuant to an available exemption from such registration requirements. Cautionary Note Regarding Forward-Looking Statements Except for the statements of historical fact contained herein, the information presented constitutes "forward looking statements" within the meaning of certain securities laws, and is subject to important risks, uncertainties and assumptions. Such forward-looking statements, including but not limited to the completion of the acquisition of the Segilola Gold Project and the use of the proceeds of the private placement. The words "may", "could", "should", "would", "suspect", "outlook", "believe", "anticipate", "estimate", "expect", "intend", "plan", "target" and similar words and expressions are used to identify forward-looking information. The forward-looking information in this news release describes the Company's expectations as of the date of this news release and accordingly, is subject to change after such date. Readers should not place undue importance on forward-looking information and should not rely upon this information as of any other date. While the Company may elect to, it does not undertake to update this information at any particular time. VANCOUVER, BC, Aug. 16, 2023 /CNW/ - Vizsla Silver Corp. (TSXV: VZLA) (NYSE: VZLA) (Frankfurt: 0G3) ("Vizsla" or the "Company") announces positive preliminary metallurgical test results for the Copala Resource Area ("Copala") at its 100%-owned flagship Panuco silver-gold project ("Panuco" or the "Project") located in Mexico. "Based on representative samples from Copala, the largest known structure in the Panuco district, we are pleased to report very high silver and gold recoveries," commented Michael Konnert, President & CEO of Vizsla Silver. "This is a significant de-risking milestone for the Project and provides positive implications for the ongoing development of the Panuco district. Additionally, these results complement high silver and gold recoveries from the Napoleon and Tajitos resource areas using comparable grinding with both flotation and leaching methods independently. We intend to confirm through the next phase of metallurgical testing and additional flowsheet optimization that the mineralized material from all three primary zones, which make up the bulk of the current project resource base, is amenable to processing via the same flowsheet utilizing the same extraction circuits by testing the combination of flotation and cyanide leaching at both Napoleon and Tajitos." Testing Procedure The test program was designed and supervised by Ausenco Engineering Canada Inc. and completed by ALS Canada Ltd. out of Kamloops, BC. The testing procedure consisted of drill core being first crushed to -6 mesh and prepared into master composite test charges. A series of flotation tests were then conducted on the samples at primary grind sizes of between P80 70 to 150m. Simple bulk flotation conditions were applied which included 18 g/t of PAX over 8 minutes of flotation time at natural pH. Precious metal recoveries to the concentrate ranged between 76 to 82% for gold and 78 to 85% for silver. Flotation concentrate and tails were both subsequently leached using sodium cyanide to maximize gold and silver extraction. Flotation concentrates were subject following varying levels of regrinding prior to bottle roll leach tests using a sodium cyanide concentration of 3000 ppm. Pre-aeration was applied by bottle rolling the prepared feed slurries with ambient air prior to adding cyanide. Flotation tails were subject to bottle roll leach tests using a sodium cyanide concentration of 1000 ppm. Total circuit sodium cyanide consumptions averaged 1.3 kg/tonne for tests that included regrinding. Gold and silver extractions from the flotation concentrates averaged 96.4 and 98.1%, respectively, for tests that employed regrinding compared with 93.6 and 92.7% without regrinding. Gold extractions appeared to be complete after 24 hours, while silver benefitted from extending the leach to 48 hours. Gold extractions from the rougher tails ranged between 77 and 82%, while silver extractions ranged between 48 and 67% after 48 hours of leaching. The higher extractions were achieved at the finer primary grind sizes. A summary of master composite test results is presented in Table 1. Sample Ag (g/t) Au (g/t) Test PG m RoCon mass% RoCon RG m Net Extraction % - Gold Residue Au g/t Net Extraction % - Silver Residue Ag g/t CN Cons kg/t Leach hrs RoCon RoTails Total RoCon RoTails Total Master Composite 352 2.44 T13 100 3.7 54 76.0 12.9 88.9 0.28 74.9 12.6 87.4 43 0.59 72 / 72 T14 100 3.1 18 73.6 18.7 92.3 0.18 78.2 10.8 89.0 37 0.93 72 / 72 T22 100 6.1 19 73.4 18.2 91.5 0.19 78.2 10.9 89.1 40 1.34 48 / 48 T25 150 5.3 23 73.3 19.1 92.4 0.18 75.8 10.8 86.5 49 1.08 48 / 48 T28 100 8.6 51 76.9 14.9 91.8 0.20 73.4 11.4 84.7 56 0.85 72 / 72 T55 70 6.5 15 78.5 14.0 92.5 0.17 84.1 9.7 93.7 21 1.80 48 / 48 T60* 100 5.6 14 74.9 18.8 93.7 0.17 76.3 14.2 90.6 32 1.33 24 / 72 * T60 Rougher Con leach residue was added to the Rougher Tail leach Table 1: Flotation plus Cyanide Leach results on Master Composite. A test was conducted in which the concentrate residue was added to the rougher tail leach, 24 hours into the process, for an additional 48 hours of leaching of this product. This arrangement is represented in Figure 1. In this test (T60), 93.7% and 90.6% of the gold and silver, respectively, were extracted to the combined leach solutions as indicated in Table 2. Test T55 used a finer primary grind of 70m P80 returned very good total recoveries of 93.0% and 93.8% for gold and silver, respectively. This test used was performed over a lower leach residence time of 48 hours for both the rougher tails and concentrate. This result indicates that finer primary grinding may be justified. Repeat testing and grinding circuit cost analysis needs to be completed to confirm. Process Steps Stage Mass Recovery (%) Recovery (%) Ag Au Flotation Concentrate Flotation + regrind + Cyanide Leach 7.0 76.3 74.9 Rougher Tails Cyanide Leach 93.0 14.2 18.8 Flotation Plus Cyanide leach Total 100 90.6 93.7 Table 2: Summary of results from optimized test work. Comminution: The Copala composite material is considered to be hard, returning a bond ball mill work index (BBWi) of 18.6 kWh/tonne, which is higher in comparison with Napoleon deposit (16.8 kWh/tonne). Future Work Programs Based on these positive results from Flotation plus Cyanide Leaching for the Copala deposit, further metallurgical testwork is being planned for the Napoleon and Tajitos deposits to further confirm that they are amenable to the same treatment. In addition, further test work of material from the Napoleon, Tajitos and Copala deposits is planned to better understand and optimise grind size, cyanide consumption, leach kinetics, residence times and recoveries. Napoleon and Tajitos previous Metallurgical Testwork Preliminary silver and gold recoveries for the Napoleon and Tajitos resource areas were originally disclosed by Vizsla on February 17, 2022 and March 10, 2023, and are provided in Tables 3 and 4. The float and leach test work that was carried out on the Copala samples has not been specifically evaluated on Napoleon material however, some of the Tajitos samples were tested using a similar arrangement, albeit not with the same application of regrinding or additional leach residence times. Process Option Stage Mass Recovery (%) Global Recovery (%) Product Grade (% or g/t) Ag Au Pb Zn Ag Au Pb Zn Whole Ore Leach Direct Cyanidation Leach 100 87 93 - - - - - - Bulk Sulfide Flotation* Rougher Conc. 17.0 93 90 94 94 666 16 6.8 5.8 1 Stage Cleaner Conc 7.1 89 88 87 90 1,524 36 15.1 13.2 2 Stage Cleaner Conc 5.6 87 86 82 87 1888 45 18.1 16.2 Sequential Flotation* Lead Rougher Conc 6.0 79 80 93 24 1,804 40 18.3 4.4 Zinc Rougher Conc 6.2 9 8 3 72 194 4 0.5 12.8 Gravity Concentration Knelson Concentrate 3.6 29 40 28 12 1,087 31 8.9 3.5 Tabled Knelson Conc 0.6 12 26 13 2 2,670 122 24.8 2.9 Table 3: Napoleon Deposit. Summary of results from optimized test work. *Open circuit tests. Composite Flowsheet Displayed Values Extraction (%) Reagent Cons kg/tonne feed Au Ag NaCN Lime Diorite MC Separate Cyanidation of Reground Flotation Concentrate and Rougher Tails Combined CN Extractions 90.3 89.7 1.0 1.0 Salable Concentrate / Cyanidation on Tails Float Con Estimated Tail CN Extraction Combined Float/CN 63.7 30.6* 87.3* 69.7 19.7* 89.4* 0.4-0.6* 0.4-0.6* 0.8* 0.8* Andesite MC Separate Cyanidation of Reground Flotation Concentrate and Rougher Tails Combined CN Extractions 94.2 87.3 1.0 1.4 Salable Concentrate / Cyanidation on Tails Float Con Estimated Tail CN Extraction Combined Float/CN 60.0 34.1* 94.1* 72.5 17.3* 89.8* 0.5* 0.4-0.6* 1.2* 0.8* Andesite Low MnOX MC Separate Cyanidation of Flotation Concentrate (No RG) and Rougher Tails Combined CN Extractions 90.1 80.8 0.9 0.8 *Estimated values. Testing will be required to confirm. Table 4: Tajitos Deposit. Potential flowsheet comparison. Engagement of Rose & Co. Vizsla would also like to announce that, subject to regulatory approval, it has retained Rose & Company Holdings, LLC ("Rose & Co.") to provide certain investor relation services to the Company in compliance with the policies and guidelines of the TSX Venture Exchange and other applicable legislation. Rose & Co is a New York-based advisory firm that provides their clients with high quality investor access and outreach services. Under the agreement, the Company will pay Rose & Co. $15,000 per month during the term. The term of the engagement is for a period of one year, and Vizsla has a one-time right to terminate the agreement after three (3) months. Rose & Co. will not receive any shares or options from the Company as compensation for services it will render. Rose & Co is at arm's length to the Company, and neither holds any securities of the Company nor has any interest, direct or indirect, in the Company. About Rose & Company Rose & Co. is a strategic advisory firm dedicated to helping clients successfully navigate the global capital markets. Rose & Co. works with its clients to evaluate, define and execute strategies to enhance their presence in increasingly globalized and complex capital markets. For more information, please visit www.roseandco.com. About the Panuco project The newly consolidated Panuco silver-gold project is an emerging high-grade discovery located in southern Sinaloa, Mexico, near the city of Mazatlan. The 7,189.5-hectare, past producing district benefits from over 86 kilometres of total vein extent, 35 kilometres of underground mines, roads, power, and permits. The district contains intermediate to low sulfidation epithermal silver and gold deposits related to siliceous volcanism and crustal extension in the Oligocene and Miocene. Host rocks are mainly continental volcanic rocks correlated to the Tarahumara Formation. The Panuco Project hosts an estimated in-situ indicated mineral resource of 104.8 Moz AgEq and an in-situ inferred resource of 114.1 Moz AgEq. An updated NI 43-101 technical report titled "Technical Report on the Mineral Resource Estimate Update for the Panuco Ag-Au-Pb-Zn Project, Sinaloa State, Mexico" was filed on SEDAR on March 10, 2023, with an effective date of January 19, 2023 was prepared by Allan Armitage, Ph. D., P. Geo., Ben Eggers, MAIG, P.Geo. and Yann Camus, P.Eng. of SGS Geological Services. About Vizsla Silver Vizsla Silver is a Canadian mineral exploration and development company headquartered in Vancouver, BC, focused on advancing its flagship, 100%-owned Panuco silver-gold project located in Sinaloa, Mexico. To date, Vizsla Silver has completed over 250,000 metres of drilling at Panuco leading to the discovery of several new high-grade veins. For 2023, Vizsla Silver has budgeted +90,000 metres of resource/discovery-based drilling designed to upgrade and expand the mineral resource, as well as test other high priority targets across the district. About Ausenco Ausenco is a global company redefining what's possible. Their team is based across 26 offices in 14 countries, with projects in over 80 locations worldwide. Combining their deep technical expertise with a 30-year track record, they provide innovative, value-add consulting and engineering studies and project delivery, asset operations and maintenance solutions to the mining & metals, oil & gas, and industrial sectors. Quality Assurance / Quality Control Drill core and rock samples were shipped to ALS Limited in Zacatecas, Zacatecas, Mexico and in North Vancouver, Canada for sample preparation and for analysis at the ALS laboratory in North Vancouver. The ALS Zacatecas and North Vancouver facilities are ISO 9001 and ISO/IEC 17025 certified. Silver and base metals were analyzed using a four-acid digestion with an ICP finish and gold was assayed by 30-gram fire assay with atomic absorption ("AA") spectroscopy finish. Over limit analyses for silver, lead and zinc were re-assayed using an ore-grade four-acid digestion with AA finish. Control samples comprising certified reference samples, duplicates and blank samples were systematically inserted into the sample stream and analyzed as part of the Company's quality assurance / quality control protocol. Qualified Person The Company's disclosure of metallurgical information in this press release has been collated and reviewed by Peter Mehrfert, P. Eng, of Ausenco. All scientific and technical information in this press release has been reviewed and approved by Martin Dupuis, P.Geo., COO for Vizsla. Messrs. Mehrfert and Dupuis are Qualified Persons as defined under the terms of National Instrument 43-101. Information Concerning Estimates of Mineral Resources The scientific and technical information in this news release was prepared in accordance with NI 43-101 which differs significantly from the requirements of the U.S. Securities and Exchange Commission (the "SEC"). The terms "measured mineral resource", "indicated mineral resource" and "inferred mineral resource" used herein are in reference to the mining terms defined in the Canadian Institute of Mining, Metallurgy and Petroleum Standards (the "CIM Definition Standards"), which definitions have been adopted by NI 43-101. Accordingly, information contained herein providing descriptions of our mineral deposits in accordance with NI 43-101 may not be comparable to similar information made public by other U.S. companies subject to the United States federal securities laws and the rules and regulations thereunder. You are cautioned not to assume that any part or all of mineral resources will ever be converted into reserves. Pursuant to CIM Definition Standards, "inferred mineral resources" are that part of a mineral resource for which quantity and grade or quality are estimated on the basis of limited geological evidence and sampling. Such geological evidence is sufficient to imply but not verify geological and grade or quality continuity. An inferred mineral resource has a lower level of confidence than that applying to an indicated mineral resource and must not be converted to a mineral reserve. However, it is reasonably expected that the majority of inferred mineral resources could be upgraded to indicated mineral resources with continued exploration. Under Canadian rules, estimates of inferred mineral resources may not form the basis of feasibility or pre-feasibility studies, except in rare cases. Investors are cautioned not to assume that all or any part of an inferred mineral resource is economically or legally mineable. Disclosure of "contained ounces" in a resource is permitted disclosure under Canadian regulations; however, the SEC normally only permits issuers to report mineralization that does not constitute "reserves" by SEC standards as in place tonnage and grade without reference to unit measures. Canadian standards, including the CIM Definition Standards and NI 43-101, differ significantly from standards in the SEC Industry Guide 7. Effective February 25, 2019, the SEC adopted new mining disclosure rules under subpart 1300 of Regulation S-K of the United States Securities Act of 1933, as amended (the "SEC Modernization Rules"), with compliance required for the first fiscal year beginning on or after January 1, 2021. The SEC Modernization Rules replace the historical property disclosure requirements included in SEC Industry Guide 7. As a result of the adoption of the SEC Modernization Rules, the SEC now recognizes estimates of "measured mineral resources", "indicated mineral resources" and "inferred mineral resources". Information regarding mineral resources contained or referenced herein may not be comparable to similar information made public by companies that report according to U.S. standards. While the SEC Modernization Rules are purported to be "substantially similar" to the CIM Definition Standards, readers are cautioned that there are differences between the SEC Modernization Rules and the CIM Definitions Standards. Accordingly, there is no assurance any mineral resources that the Company may report as "measured mineral resources", "indicated mineral resources" and "inferred mineral resources" under NI 43-101 would be the same had the Company prepared the resource estimates under the standards adopted under the SEC Modernization Rules. Website: www.vizslasilvercorp.ca Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. SPECIAL NOTE REGARDING FORWARD LOOKING STATEMENTS This news release includes certain "ForwardLooking Statements" within the meaning of the United States Private Securities Litigation Reform Act of 1995 and "forwardlooking information" under applicable Canadian securities laws. When used in this news release, the words "anticipate", "believe", "estimate", "expect", "target", "plan", "forecast", "may", "would", "could", "schedule" and similar words or expressions, identify forwardlooking statements or information. These forwardlooking statements or information relate to, among other things: the exploration, development, and production at Panuco, including plans for resource/discovery-based drilling designed to upgrade and expand the mineral resource. Forwardlooking statements and forwardlooking information relating to any future mineral production, liquidity, enhanced value and capital markets profile of Vizsla Silver, future growth potential for Vizsla Silver and its business, and future exploration plans are based on management's reasonable assumptions, estimates, expectations, analyses and opinions, which are based on management's experience and perception of trends, current conditions and expected developments, and other factors that management believes are relevant and reasonable in the circumstances, but which may prove to be incorrect. Assumptions have been made regarding, among other things, the price of silver, gold, and other metals; no escalation in the severity of public health crises; costs of exploration and development; the estimated costs of development of exploration projects; Vizsla Silver's ability to operate in a safe and effective manner and its ability to obtain financing on reasonable terms. These statements reflect Vizsla Silver's respective current views with respect to future events and are necessarily based upon a number of other assumptions and estimates that, while considered reasonable by management, are inherently subject to significant business, economic, competitive, political and social uncertainties and contingencies. Many factors, both known and unknown, could cause actual results, performance, or achievements to be materially different from the results, performance or achievements that are or may be expressed or implied by such forwardlooking statements or forward-looking information and Vizsla Silver has made assumptions and estimates based on or related to many of these factors. Such factors include, without limitation: the Company's dependence on one mineral project; precious metals price volatility; risks associated with the conduct of the Company's mining activities in Mexico; regulatory, consent or permitting delays; risks relating to reliance on the Company's management team and outside contractors; risks regarding mineral resources and reserves; the Company's inability to obtain insurance to cover all risks, on a commercially reasonable basis or at all; currency fluctuations; risks regarding the failure to generate sufficient cash flow from operations; risks relating to project financing and equity issuances; risks and unknowns inherent in all mining projects, including the inaccuracy of reserves and resources, metallurgical recoveries and capital and operating costs of such projects; contests over title to properties, particularly title to undeveloped properties; laws and regulations governing the environment, health and safety; the ability of the communities in which the Company operates to manage and cope with the implications of public health crises; the economic and financial implications of public health crises to the Company; operating or technical difficulties in connection with mining or development activities; employee relations, labour unrest or unavailability; the Company's interactions with surrounding communities and artisanal miners; the Company's ability to successfully integrate acquired assets; the speculative nature of exploration and development, including the risks of diminishing quantities or grades of reserves; stock market volatility; conflicts of interest among certain directors and officers; lack of liquidity for shareholders of the Company; litigation risk; the ongoing military conflict in Ukraine; general economic factors; and the factors identified under the caption "Risk Factors" in Vizsla Silver's management discussion and analysis and other public disclosure documents. Readers are cautioned against attributing undue certainty to forwardlooking statements or forward-looking information. Although Vizsla has attempted to identify important factors that could cause actual results to differ materially, there may be other factors that cause results not to be anticipated, estimated, or intended. Vizsla does not intend, and does not assume any obligation, to update these forwardlooking statements or forward-looking information to reflect changes in assumptions or changes in circumstances or any other events affecting such statements or information, other than as required by applicable law. Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - Tincorp Metals Inc. (TSXV: TIN) (OTCQX: TINFF) ("Tincorp" or the "Company") is pleased to announce the first drill hole assay results for the Porvenir tin-zinc-silver (Sn-Zn-Ag) Project (the "Project"), Oruro, Bolivia. Tincorp is in the process of completing seven drill holes totaling 2,500 m for its 2023 drill program at the Project. Hole DPOV0001 intercepted 284 g/t silver equivalent (AgEq) (0.60% Sn, 1.11% Zn, 6 g/t Ag, and 0.07% Cu) over 120.35 m including 1022 g/t AgEq (2.25% Sn, 3.35% Zn, 21 g/t Ag, and 0.20% Cu) over 21.62 m. The principal objective of this first phase of Tincorp's drilling was to test the depth and lateral extension of the NNW-trending Condor Nasa structure. Condor Nasa is evident in Figure 1 by the alignment of historic workings and labeled as NWV1. In addition to encountering mineralization in NWV1, drilling also intersected several other veins, in the hanging wall and footwall of NWV1, interpreted to be NS-trending structures (NSV, NSV1, NSV2). Hole DPOV0001 is a 25 m down-dip step-out of historic Dowa Mining drill hole PV-41 (0.66% Sn, 1.44% Zn, and 6 g/t Ag or 322.8 g/t AgEq over 60.2 m). In addition to the main NWV1, there are multiple north-south veins (NSV1 and NSV 2) (Table 1), which will open up more drilling targets, therefore, more potential for the Project. The highest interval of 1022 g/t AgEq (2.25% Sn, 3.35% Zn, 21 g/t Ag, and 0.20% Cu) over 21.62 m is related to the new north-south extending vein, NSV2, which corresponds to extensive historical surface diggings (see Figures 1 & 2). Figure 3 illustrates a high-grade section of DPOV0001. Table 1. Composite assay results for hole DPOV0001 Hole ID From m To m Length m Sn % Ag g/t Pb % Zn % Cu % AgEq g/t Vein DPOV0001 88.35 101.30 12.95 0.08 4 0.02 0.69 0.02 62 NSV 237.05 239.55 2.50 0.37 22 0.01 4.89 0.18 366 NSV 258.60 378.95 120.35 0.60 6 0.00 1.11 0.07 284 incl. 281.18 288.25 7.07 0.96 5 0.01 1.97 0.07 451 NWV1 incl. 306.67 324.90 18.23 0.37 9 0.00 1.34 0.08 209 NSV2 incl. 347.00 368.62 21.62 2.25 21 0.00 3.35 0.20 1022 NSV1 Notes: Drill intercepts are core lengths, and grades are length-weighted. The true width of mineralization is unknown at this time. Analyses were from half-core samples, cut by diamond saw and collected from the entire hole. Silver equivalent (AgEq g/t) is shown for illustrative purposes only to express the combined value of tin, zinc and silver as a grade of silver. AgEq is calculated using US$0.74 per gram of silver, US$2755 per tonne of zinc, US$8816 per tonne of copper and US$28000 per tonne of tin. Metal recoveries are not yet known. The silver equivalent formula used was: AgEq g/t =Ag grade + ((Pb%*2094/100)+(Zn%*2575/100)+(Cu%*8816/100)+(Sn%*28000/100))/0.74 Mineralization in DPOV0001 occurs as sulfide and gangue minerals in veins, veinlets and breccias. The sulfide minerals recognized to date are dominantly pyrite, pyrrhotite, and sphalerite with lesser amounts of galena, chalcopyrite, and arsenopyrite. Sericite, siderite, and quartz are the predominant gangue minerals, though they form a minor portion of the mineralized zone. The mineralization is hosted within a chlorite-sericite altered shale and argillite sequence of the Silurian-aged Llallagua Formation. Figure 1. Surface plan map of Condor Nasa structures Figure 2. Cross section of drill hole DPOV0001 (Looking SSE) Figure 3. High-grade mineralization zone in hole DPOV0001, from 354.56 to 361.93 m. Mr. Gordon Neal, CEO of Tincorp, said: "We are excited with these exceptional assay results from our inaugural drill hole, DPOV0001. Our drilling has uncovered new mineralized NS zones adjacent to our main NW, Condor Nasa vein. We believe these initial results, from the first of our seven planned holes, suggest potential for new mineralization proximal to the historic Condor Nasa structure." Quality Assurance and Quality Control (QAQC) Tincorp maintains tight sample security and quality assurance and quality control for all aspects of its exploration program. All Porvenir core samples were logged, photographed, and split on-site by staff geologists and were shipped in securely sealed bags by Company staff in the Company's vehicles directly from the field to ALS Bolivia Ltda., in Oruro, Bolivia, for preparation, and ALS Peru laboratory in Lima, Peru for geochemical analysis. ALS Global is an ISO 17025 accredited laboratory independent from New Pacific. All samples are first analyzed by a multi-element ICP package (ALS code ME-MS41) with ore grade specified limits for silver, lead, and zinc, further analyzed using ALS code OG46. The tin grades were analyzed by fusion XRF (ALS code ME-XRF15b). Company protocols included the addition of QAQC samples, consisting of Certified Reference Materials (CRMs), blanks and duplicates, inserted in the sample stream and a rate of 15 QAQC samples per 100 half-core samples (15%). Qualified Person The scientific and technical information contained in this news release has been reviewed and approved by Donald J. Birak, independent consultant geologist who is a Qualified Person for the purposes of National Instrument 43-101 - Standards of Disclosure for Mineral Resources ("NI 43-101"). The qualified person visited the Porvenir property on July 31, 2023, August 1, 2, and 3, 2023, to inspect core drilling sites, operations, sample handling, and QAQC protocols and believes them to be of industry standards. The qualified person is not aware of any significant risks and uncertainties that could be expected to affect the reliability or confidence in the information presented herein. About Tincorp Tincorp Metals Inc. is a mineral exploration company focusing on tin projects in Bolivia and a gold project near Whitehorse, Yukon, Canada. The Company has signed agreements to acquire up to a 100% interest in the Porvenir Project and SF Tin Project, which are 70 km southeast of Oruro, Bolivia. The Company's 100% owned Skukum Gold Project is approximately 84 km south of Whitehorse by road. An independent mineral resource estimate update in respect of the Skukum Gold Project was completed in October 2022. On Behalf of Tincorp Metals Inc. signed "Gordon Neal" Gordon Neal, CEO & Director For further information, please contact: Investor Relations, Tincorp Metals Inc. Phone: +1 (604)-336-5919 Email: This email address is being protected from spambots. You need JavaScript enabled to view it. www.tincorp.com Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this news release. Cautionary Note Regarding Forward-Looking Statements This news release contains forward-looking statements and forward-looking information (collective, "forward looking statements") within the meaning of applicable Canadian and U.S. securities legislation. All statements, other than statements of historical fact included in this release, including, without limitation, statements regarding the terms of the agreements to acquire up to a 100% interest in the Porvenir Project and SF Tin Project and the approval of the TSX Venture Exchange are forward-looking statements. Estimates of mineral reserves and mineral resources are also forward-looking information because they incorporate estimates of future developments including future mineral prices, costs and expenses and the amount of minerals that will be encountered if a property is developed. Forward-looking statements are often, but not always, identified by words or phrases such as "expects", "is expected", "anticipates", "believes", "plans", "projects", "estimates", "assumes", "intends", "strategies", "targets", "goals", "forecasts", "objectives", "budgets", "schedules", "potential" or variations thereof or stating that certain actions, events or results "may", "could", "would", "might" or "will" be taken, occur or be achieved, or the negative of any of these terms and similar expressions. Forward-looking statements are based on the opinions, assumptions, factors and estimates of management considered reasonable at the date the statements are made. The opinions, assumptions, factors and estimates which may prove to be incorrect, include, but are not limited to: whether the Company will acquire up to a 100% interest of the Porvenir Project; market fundamentals will result in sustained precious metals demand and prices; that there are no significant disruptions affecting operations, including labour disruptions, supply disruptions, power disruptions, security disruptions, damage to or loss of equipment, whether due to flooding, political changes, title issues, intervention by local landowners, environmental concerns, pandemics (including COVID-19) or otherwise; that the Company will be able to obtain and maintain governmental approvals, permits and licenses in connection with its current and planned operations, development and exploration activities, including at the Skukum Gold Project; that the Company will be able to meet its current and future obligations; that the Company will be able to comply with environmental, health and safety laws; and the assumptions underlying mineral resource estimates and the realization of such estimates. Forward-looking statements involve known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to differ materially from any future results, performance or achievements expressed or implied by the forward-looking information. Such risks and other factors include, among others: the risk that the Company will not acquire up to a 100% interest of the Porvenir Project; social and economic impacts of COVID-19; actual exploration results; changes in project parameters as plans continue to be refined; results of future Mineral Resource estimates; future metal prices; availability of capital and financing on acceptable terms; general economic, market or business conditions; uninsured risks; regulatory changes; defects in title; availability of personnel, materials and equipment on a timely basis; accidents or equipment breakdowns; delays in receiving government approvals; unanticipated environmental impacts on operations and costs to remedy same; and other exploration or other risks detailed herein and from time to time in the filings made by the Company with securities regulators. Although the Company has attempted to identify important factors that could cause actual actions, events or results to differ from those described in forward-looking statements, there may be other factors that cause such actions, events or results to differ materially from those anticipated. There can be no assurance that forward-looking statements will prove to be accurate and accordingly readers are cautioned not to place undue reliance on forward-looking statements. Readers are cautioned not to place undue reliance on forward-looking statements. The Company undertakes no obligation to update any of the forward-looking statements in this news release or incorporated by reference herein, except as otherwise required by law. Additional information in relation to the Company, including the Company's most recent annual information form, can be obtained under the Company's profile on SEDAR+ at www.sedarplus.ca and on the Company's website at www.tincorp.com. CAUTIONARY NOTE TO US INVESTORS The technical and scientific information contained herein has been prepared in accordance with NI 43-101, which differs from the standards adopted by the U.S. Securities and Exchange Commission (the "SEC"). Accordingly, the technical and scientific information contained herein, including any estimates of mineral reserves and mineral resources, may not be comparable to similar information disclosed by U.S. companies subject to the disclosure requirements of the SEC. TORONTO, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Labrador Gold Corp. (TSX.V:LAB | OTCQX:NKOSF | FNR: 2N6) (LabGold or the Company) is pleased to announce results from recent prospecting along the highly prospective Appleton Fault Zone at its 100% owned Kingsway Project. Recent prospecting between Big Vein and Golden Glove near the southern property boundary has located a new gold showing, the Knobby occurrence. Grab samples from quartz vein outcrops returned gold values from below detection (<5ppb) to 30.58 g/t including samples grading 0.4g/t, 2.7g/t and 29.19 g/t Au. Three parallel veins were observed and have been traced along an east-west strike for approximately 200 metres. Stibnite mineralization was observed associated with the quartz veining. This is the first indication of gold mineralization along the Appleton Fault Zone between Big Vein and Golden Glove, an area that has seen little work to date. Todays results of high-grade, surface gold mineralization in quartz vein outcrops between Big Vein and Golden Glove is very encouraging for the prospectivity of this 3-kilometre section of the Appleton Fault Zone, said Roger Moss, President and CEO of Labrador Gold Corp. This is an area that we have prioritized for drilling in the latter part of this year once we complete an ongoing ground magnetic/VLF survey and receive the necessary permits. We are excited by the discovery of the Knobby occurrence which is reminiscent of our initial discovery of Big Vein by prospecting almost three years ago. Figure 1. Location of Knobby occurrence between Big Vein and Golden Glove. Figure 2. Geochemical anomalies between Big Vein and Golden Glove. Figure 3. Photos of Knobby Vein outcrop. Prospecting is ongoing in the area of the Knobby occurrence and Groundtruth Exploration is currently completing a ground Mag/VLF survey extending from the southern property boundary to Big Vein. LabGold has submitted an application to drill up to 95 drill holes along this portion of the Appleton Fault Zone. Upcoming Webinar The Company is also pleased to announce that LabGold CEO, Roger Moss, will be presenting an exploration update on the Kingsway Project in a live webinar taking place on Wednesday, August 23rd at 12:00pm PT / 3:00pm ET. To register for the event please click the link below. Registration Link: https://event.webinarjam.com/register/229/p512nhoy QA/QC All rock samples are grab samples, which are selective samples and not necessarily representative of mineralization found on the property. Samples are securely stored prior to shipping to Eastern Analytical Laboratory in Springdale, Newfoundland for assay. Eastern Analytical is an ISO/IEC17025 accredited laboratory. Samples are routinely analyzed for gold by standard 30g fire assay with atomic absorption finish as well as by ICP-OES for an additional 34 elements. Samples containing visible gold are assayed by metallic screen/fire assay, as are any samples with fire assay results greater than 1g/t Au. The company submits blanks and certified reference standards at a rate of approximately 5% of the total samples in each batch. Qualified Person Roger Moss, PhD., P.Geo., President and CEO of LabGold, a Qualified Person in accordance with Canadian regulatory requirements as set out in NI 43-101, has read and approved the scientific and technical information that forms the basis for the disclosure contained in this release. The Company gratefully acknowledges the Newfoundland and Labrador Ministry of Natural Resources Junior Exploration Assistance (JEA) Program for its financial support for exploration of the Kingsway property. About Labrador Gold Labrador Gold is a Canadian based mineral exploration company focused on the acquisition and exploration of prospective gold projects in Eastern Canada. Labrador Golds flagship property is the 100% owned Kingsway project in the Gander area of Newfoundland. The three licenses comprising the Kingsway project cover approximately 12km of the Appleton Fault Zone which is associated with numerous gold occurrences in the region. Infrastructure in the area is excellent located just 18km from the town of Gander with road access to the project, nearby electricity and abundant local water. LabGold is drilling a projected 100,000 metres targeting high-grade epizonal gold mineralization along the Appleton Fault Zone with encouraging results. The Company has approximately $12 million in working capital and is well funded to carry out the planned program. The Hopedale property covers much of the Florence Lake greenstone belt that stretches over 60 km. The belt is typical of greenstone belts around the world but has been underexplored by comparison. Work to date by Labrador Gold show gold anomalies in rocks, soils and lake sediments over a 3 kilometre section of the northern portion of the Florence Lake greenstone belt in the vicinity of the known Thurber Dog gold showing where grab samples assayed up to 7.8g/t gold. In addition, anomalous gold in soil and lake sediment samples occur over approximately 40 km along the southern section of the greenstone belt. Labrador Gold now controls approximately 40km strike length of the Florence Lake Greenstone Belt. The Company has 170,009,979 common shares issued and outstanding and trades on the TSX Venture Exchange under the symbol LAB. For more information please contact: Roger Moss, President and CEO Tel: 416-704-8291 Or visit our website at: www.labradorgold.com Twitter: @LabGoldCorp Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Forward-Looking Statements: This news release contains forward-looking statements that involve risks and uncertainties, which may cause actual results to differ materially from the statements made. When used in this document, the words may, would, could, will, intend, plan, anticipate, believe, estimate, expect and similar expressions are intended to identify forward-looking statements. Such statements reflect our current views with respect to future events and are subject to risks and uncertainties. Many factors could cause our actual results to differ materially from the statements made, including those factors discussed in filings made by us with the Canadian securities regulatory authorities. Should one or more of these risks and uncertainties, such as actual results of current exploration programs, the general risks associated with the mining industry, the price of gold and other metals, currency and interest rate fluctuations, increased competition and general economic and market factors, occur or should assumptions underlying the forward looking statements prove incorrect, actual results may vary materially from those described herein as intended, planned, anticipated, or expected. We do not intend and do not assume any obligation to update these forward-looking statements, except as required by law. Shareholders are cautioned not to put undue reliance on such forward-looking statements. VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Newcore Gold Ltd. ("Newcore" or the "Company") (TSX-V: NCAU, OTCQX: NCAUF) is pleased to announce an update on exploration and development activities underway at the Companys 100% owned Enchi Gold Project ("Enchi" or the "Project") in Ghana. Diamond Drilling ("DD") at the Nyam Gold Deposit ("Nyam"), targeting high-grade mineralization in the upper portions of the sulphide mineralization, intersected 3.28 grams per tonne gold ("g/t Au") over 11.0 metres ("m"), within a broader zone of 1.58 g/t Au over 26.3 m. Nyam is one of the currently identified deposits at Enchi where drilling continues to highlight the potential for longer-term resource growth from delineating high-grade underground resources in the sulphide mineralization. In addition to drilling, results of metallurgical testing on sulphide mineralization from Nyam confirmed excellent gold recoveries averaging 91.7%. Additional exploration work underway at Enchi includes trenching on several kilometre-scale gold anomalies which continues to advance early-stage targets towards the drill testing stage, and further metallurgical testwork of both the oxide and sulphide mineralization. Highlights from Diamond Drilling and Metallurgical Testwork at Nyam Drilling targeting high-grade mineralization in the upper portions of the sulphides continues to highlight the potential for resource growth at Enchi. Hole NBDD063 intersected 1.58 g/t Au over 26.3 metres, including 3.28 g/t Au over 11.0 m and 6.57 g/t Au over 4.0 m; and Hole NBDD064 intersected 1.16 g/t Au over 36.4 m, including 2.03 g/t Au over 17.4 m. Drilling followed-up on two northerly plunging high-grade zones identified at Nyam. Five holes tested the down dip and lateral extensions to high-grade gold mineralization previously identified at Nyam in two shoots (central and southern); and To date, the Nyam deposit has only been tested to a maximum vertical depth of 350 metres and remains open for further expansion to depth. Results of metallurgical testing on sulphide material from the Nyam Gold Deposit confirms excellent recoveries from cyanidation averaging 91.7%. 14 composite samples were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). Greg Smith, VP Exploration of Newcore stated, "This latest drilling at our Enchi Gold Project continues to prove out our geological model that includes resource growth from the higher-grade mineralization at depth. Drilling followed-up on two previously identified high-grade shoots at Nyam, which remain open to depth and along strike, and highlight the resource growth potential of the high-grade mineralization in the sulphides. We continue to advance our understanding of the significant size and scale potential at Enchi, which is located on a prolific gold belt in southwest Ghana that hosts a number of multi-million-ounce gold mines." Luke Alexander, President and CEO of Newcore stated, "We continue to advance and de-risk the development of our Enchi Gold Project in Ghana. Recently completed metallurgical testwork on sulphide mineralization at Nyam has confirmed strong recoveries averaging 91.7%, continuing to highlight the longer-term potential for resource growth from higher-grade sulphide mineralization at depth. We look forward to continuing to advance the development of Enchi with additional metallurgical testwork as we prioritize targets for our next phase of drilling to commence later this year." This news release reports results for 5 DD holes totalling 2,155 m (NBDD060 to NBDD064) targeting the Nyam Gold Deposit. All holes intersected gold mineralization. Select assay results from the 5 holes of the drill program reported in this release are below: Table 1 - Enchi Gold Project Drill Highlights Hole ID Zone/Deposit From (m) To (m) Length (m) Au (g/t) NBDD060 Nyam 359.2 368.6 9.4 1.30 including 359.2 361.0 1.8 2.28 NBDD063 Nyam 482.5 509.3 26.3 1.58 including 489.0 500.0 11.0 3.28 and incl. 496.0 500.0 4.0 6.57 NBDD064 Nyam 217.2 253.6 36.4 1.16 including 219.2 236.6 17.4 2.03 Notes: 1. See detailed table for complete results; 2. Intervals reported are hole lengths with true width estimated to be 75 - 85%; and 3. Length-weighted averages from uncut assays. A plan map showing the drill hole locations can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-enchi-nyam-plan-map.pdf A long section of the Nyam Gold Deposit can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-longsection-nyam.pdf A cross section showing drill results and highlights for hole NBDD063 can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-crosssection-nbdd063.pdf A complete list of the drill results in this release, including hole details, can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-enchi-2023-drill-results.pdf Drilling at Nyam Diamond drilling at Nyam consisted of five holes (2,155 metres) following-up on high-grade intercepts intersected in the upper portions of the sulphide mineralization. A series of holes tested the down dip and lateral extensions to the high-grade gold mineralization. Holes NBDD060 and NBDD061 tested the southern high-grade shoot. Holes NBDD062, NBDD063 and NBDD064 tested extensions to the central high-grade shoot located 200 to 500 metres to the north. To date, the deposit has only been tested to a maximum vertical depth of 350 metres and remains open to depth and along strike. Hole NBDD063, which tested the central high-grade shoot, stepped out 75 m down dip from previously drilled hole NBDD052 which intersected 3.21 g/t Au over 15.0 m from 321.0 m. NBDD063 intersected 1.58 g/t Au over 26.3 m from 482.5 m, including 3.28 g/t Au over 11.0 m from 489.0 m. Hole NBDD064 is a lateral step out extending the high-grade zone intersected in previously drilled hole NBRC045 (1.57 g/t Au over 40.0 m from 179.0 m), intersecting 1.16 g/t Au over 36.4 m from 217.2 m, including 2.03 g/t Au over 17.4 m from 219.2 m. Hole NBDD060 is a down dip step out on the southern high-grade shoot and was drilled 90 m down dip of previously drilled hole NBDD048 which intersected 4.51 g/t Au over 13.0 m from 236.0 m. NBDD060 intersected 0.70 g/t Au over 19.7 m from 358.3 m, including 1.30 g/t Au over 9.4 m from 359.2 m. Metallurgical Testwork on Sulphide Mineralization from Nyam A series of 14 composite samples of sulphide material drilled at the Nyam Gold Deposit were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). This testwork was completed at Intertek Labs in Tarkwa, Ghana, located approximately four hours by paved road from the Enchi Gold Project. A graph showing the metallurgical testwork results can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-met-graph.pdf The 14 samples included gold mineralized material from each of the five diamond drill holes completed at Nyam, with gold contents ranging between 0.62 and 9.34 g/t Au and averaging 2.08 g/t Au. There is no relationship between recovery and gold grade. The head grade for each composite was determined with two 50-gram fire assays, with all samples analysed by 48 hour optimized leach bottle roll and assays completed on solutions and tails. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a target grind size of 85% less than 45m. Some composites were conditioned with 50 g/t lead nitrate and/or 20 ppm dissolved oxygen for two hours. After which the pH was conditioned with lime to a pH of 10.5 and sodium cyanide concentration adjusted to 1000 ppm with the addition of 1 g of sodium cyanide. The samples were rolled for 48 hours and 10 mls of solutions picked at 4, 8, 24 and 32 hours to check residual cyanide and pH and adjust accordingly to original levels. The 14 composite sample are from a larger set of 27 composite samples created from 73 original coarse reject samples which include samples from each of the five diamond drill holes recently completed on the Nyam Gold Deposit. The samples are a representative set of gold mineralized material with a total weight of 225 kg. The gold content for the composites ranged between 0.56 g/t Au and 11.14 g/t Au with an average of 2.10 g/t Au. Results of the initial bottle rolls on the larger set of 27 composite samples confirmed the amenability to direct cyanidation with an average recovery of 79% in a range between 63% and 90%. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a coarser target grind of 85% less than 75m. The Kinetic Bottle Roll test included 30 mls solutions picked at 2, 4, 8, 12, 16, 20, 24, 32 and 48 hours. The solutions were analyzed for Au, cyanide and pH. Levels of cyanide concentration and pH were maintained throughout the test by being readjusted to their originals if below, after every pick and 30 mls of tap water was added after every pick to maintain the density throughout the test. The initial average recovery at the coarser grind for the samples selected for optimization testing was 81.4% increasing by +10.3% to 91.7% through the variable application of additional grinding, inclusion of lead nitrate, and oxygen. The 27 samples contain essentially no silver with only two samples reporting above the detection limit of 0.5 g/t Ag with 0.6 and 1.0 g/t Ag and showing no correlation with the gold grades. All samples reported low values for lead, zinc, and copper averaging 35, 66, 30 ppm respectively and mildly elevated arsenic averaging 233 ppm with no relationship with gold grade. Five samples were subjected to diagnostic leach and showed consistent results with total additive recoveries averaging 94.9%. The total gold that was leached by direct cyanidation with and without carbon averaged 71.0% and 69.8% respectively for the samples corresponding to a very low preg rob index of 1.2% with one outlier. Treatment via mild oxidative pre-leach averaged an additional 4.6%, with sulphuric acid treatment adding an average of a further 2.7%, and an additional 7.1% of the gold became soluble after pre-treatment with HNO3, and an average of a further 3.5% of the gold was extracted via complete oxidation by roasting. Another five representative samples (different samples than were tested by diagnostic leach) were selected for bond index determination. Samples were sent from Intertek to Jet-Com Engineering in Tarkwa, Ghana and included a range of recoveries and gold grades. The five as-received samples were crushed to 100% passing 3.35 mm and from this a 700 cm3 volume was measured and weighed to be used as feed for the bond mill. The grindability of the samples ranged from 1.78 g/rev to 2.04 g/rev with bond work indices between 9.54 KWh/t and 10.50 KWh/t indicative of low-medium hardness. Additional Metallurgical Testwork Underway Newcore continues to de-risk the Project with additional metallurgical testwork designed to optimize and improve the understanding of processing options available for Enchi. This additional metallurgical testwork for oxide and transition mineralization includes larger sized samples for column testing and a bulk-sized, bench-scale test with a pilot heap testing 15 tonne samples from the two largest deposits at Enchi, Boin and Sewum ("Pilot Tests"). Material for this testwork was sourced from trenches recently completed at Boin and Sewum, both of which encountered wide mineralized intervals. Table 2 - Enchi Gold Project Trenching Results Highlights Hole ID Deposit From (m) To (m) Length (m) Au (g/t) KBTR_MET_001 Boin 1.0 45.0 44.0 1.43 and 5.0 34.0 29.0 1.97 SWTR_MET_001 Sewum 15.0 107.0 92.0 1.18 including 27.0 40.0 13.0 2.70 including 58.0 81.0 23.0 1.78 Notes: 1. Intervals reported are trench lengths with true width estimated to be 75 - 85%; and 2. Length-weighted averages from uncut assays. Five 60 kg composite samples were collected and delivered to the independent commercial Intertek Laboratory in Tarkwa, Ghana four hours by road from the Project. The metallurgical work underway is comprised of bottle rolls as well as column tests following-up on recent positive recoveries from a series of column tests on oxide and transitional material from the Sewum and Boin Gold Deposits. The recent tests continued to have excellent recoveries with an average gold recovery of 92.4% and showed low reagent consumptions (see news release dated October 12, 2022). The additional tests will focus on further defining the optimal reagent level with a goal of lowering potential processing costs while maintaining high recoveries. The five 60 kg composites have been created from representative material sourced to reflect a range of gold grades with individual composites averaging 0.57 to 1.79 g/t Au. The Pilot Tests are being designed and overseen by the technical personnel from the University of Mines and Technology ("UMaT") located in Tarkwa, Ghana. The bulk-scale testing will be completed on 15 tonne composite samples from oxide material identified and sampled in the trenches completed for the 60 kg composites. The Pilot Tests will be completed on site at UMaT. The tests will use the optimized reagent levels as determined by the results of the additional, on-going column testwork at UMaT and Intertek. Enchi Gold Project Mineral Resource Estimate The Enchi Gold Project hosts an Indicated Mineral Resource of 41.7 million tonnes grading 0.55 g/t Au containing 743,500 ounces gold and an Inferred Mineral Resource of 46.6 million tonnes grading 0.65 g/t Au containing 972,000 ounces (see Newcore news release dated March 7, 2023). Mineral resource estimation practices are in accordance with CIM Estimation of Mineral Resource and Mineral Reserve Best Practice Guidelines (November 29, 2019) and follow CIM Definition Standards for Mineral Resources and Mineral Reserves (May 10, 2014), that are incorporated by reference into National Instrument 43-101 ("NI 43-101"). The Mineral Resource Estimate was prepared by independent qualified person Todd McCracken, P. Geo. of BBA E&C Inc. The technical report, titled "Mineral Resource Estimate for the Enchi Gold Project" has an effective date of January 25, 2023, and is available under the Companys profile on SEDAR at www.sedar.com. Nyam Gold Zone Nyam is one of the five deposits which comprise the Mineral Resource Estimate at Enchi (Indicated Mineral Resource of 7.8 million tonnes grading 0.65 g/t Au containing 162,000 ounces and Inferred Mineral Resource of 2.7 million tonnes grading 1.21 g/t Au containing 104,700 ounces). Nyam is located 15 kilometres east of the town of Enchi, with nearby roads and power and further access provided by a series of drill roads. An airborne geophysical anomaly coincident with the Nyam Gold Deposit shows a complex series of linear high conductivity trends, reflective of the multiple sub-parallel gold-bearing structures. To date, approximately 30% of the gold-in-soil anomaly is untested by drilling. Drill Hole Locations Table 3 - Enchi Gold Project Drill Hole Location Details Hole ID UTM East UTM North Elevation Azimuth Dip Length (m) NBDD060 530429 637176 99 300 -55 425.2 NBDD061 530496 637216 121 300 -50 469.8 NBDD062 530653 637504 120 300 -53 449.4 NBDD063 530818 637672 159 300 -62 532.5 NBDD064 530550 637597 124 300 -56 278.1 Table 4 - Enchi Gold Project Trench Location Details Hole ID UTM East UTM North Elevation Length (m) SWTR_MET_001 521264 627822 131 107.0 KBTR_MET_001 518939 633495 141 61.3 Newcore Gold Best Practice Newcore is committed to best practice standards for all exploration, sampling and drilling activities. Drilling was completed by an independent drilling firm using industry standard RC and Diamond Drill equipment. Analytical quality assurance and quality control procedures include the systematic insertion of blanks, standards and duplicates into the sample strings. Samples are placed in sealed bags and shipped directly to Intertek Labs located in Tarkwa, Ghana for 50 gram gold fire assay. Qualified Person Mr. Gregory Smith, P. Geo, Vice President of Exploration at Newcore, is a Qualified Person as defined by NI 43-101, and has reviewed and approved the technical data and information contained in this news release. Mr. Smith has verified the technical and scientific data disclosed herein and has conducted appropriate verification on the underlying data including confirmation of the drillhole data files against the original drillhole logs and assay certificates. About Newcore Gold Ltd. Newcore Gold is advancing its Enchi Gold Project located in Ghana, Africas largest gold producer(1). The Project currently hosts an Indicated Mineral Resource of 743,500 ounces of gold at 0.55 g/t and an Inferred Mineral Resource of 972,000 ounces of gold at 0.65 g/t(2). Newcore Gold offers investors a unique combination of top-tier leadership, who are aligned with shareholders through their 20% equity ownership, and prime district scale exploration opportunities. Enchis 216 km2 land package covers 40 kilometres of Ghanas prolific Bibiani Shear Zone, a gold belt which hosts several 5 million-ounce gold deposits, including the Chirano mine 50 kilometers to the north. Newcores vision is to build a responsive, creative and powerful gold enterprise that maximizes returns for shareholders. On Behalf of the Board of Directors of Newcore Gold Ltd. Luke Alexander President, CEO & Director For further information, please contact: Mal Karwowska | Vice President, Corporate Development and Investor Relations +1 604 484 4399 This email address is being protected from spambots. You need JavaScript enabled to view it. www.newcoregold.com (1) Source: Production volumes for 2022 as sourced from the World Gold Council (2) Notes for Mineral Resource Estimate: 1. Canadian Institute of Mining Metallurgy and Petroleum ("CIM") definition standards were followed for the resource estimate. 2. The 2023 resource models used ordinary kriging (OK) grade estimation within a three-dimensional block model with mineralized zones defined by wireframed solids and constrained by pits shell for Sewum, Boin and Nyam. Kwakyekrom and Tokosea used Inverse Distance squared (ID2). 3. Open pit cut-off grades varied from 0.14 g/t to 0.25 g/t Au based on mining and processing costs as well as the recoveries in different weathered material. 4. Heap leach cut-off grade varied from 0.14 g/t to 0.19 g/t in the pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs. 5. CIL cut off grade varied from 0.25 g/t to 0.27 g/t in a pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs. 6. A US$1,650/ounce gold price was used to determine the cut-off grade. 7. Metallurgical recoveries have been applied to five individual deposits and in each case three material types (oxide, transition, and fresh rock). 8. A density of 2.19 g/cm3 for oxide, 2.45 g/cm3 for transition, and 2.72 g/cm3 for fresh rock was applied. 9. Optimization pit slope angles varied based on the rock types. 10. Reasonable mining shapes constrain the mineral resource in close proximity to the pit shell. 11. Mineral Resources that are not mineral reserves do not have economic viability. Numbers may not add due to rounding. 12. The resource estimate was prepared by Todd McCracken, P. Geo, of BBA E&C Inc. in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects. Todd McCracken is an independent qualified person ("QP") as defined by National Instrument 43-101. A full technical report, prepared in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects and is available under Newcores SEDAR profile at www.sedar.com. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note Regarding Forward-Looking Statements This news release includes statements that contain "forward-looking information" within the meaning of the applicable Canadian securities legislation ("forward-looking statements"). All statements, other than statements of historical fact, are forward-looking statements and are based on expectations, estimates and projections as at the date of this news release. Any statement that involves discussion with respect to predictions, expectations, beliefs, plans, projections, objectives, assumptions, future events or performance (often, but not always using phrases such as "plans", "expects", "is expected", "budget", "scheduled", "estimates", "forecasts", "intends", "anticipates", or "believes" or variations (including negative variations) of such words and phrases, or state that certain actions, events or results "may", "could", "would", "might" or "will" be taken, occur or be achieved) are not statements of historical fact and may be forward-looking statements. In this news release, forward-looking statements relate, among other things, to: statements about the estimation of mineral resources; results of metallurgical testwork, results of drilling, magnitude or quality of mineral deposits; anticipated advancement of mineral properties or programs; and future exploration prospects. These forward-looking statements, and any assumptions upon which they are based, are made in good faith and reflect our current judgment regarding the direction of our business. The assumptions underlying the forward-looking statements are based on information currently available to Newcore. Although the forward-looking statements contained in this news release are based upon what management of Newcore believes, or believed at the time, to be reasonable assumptions, Newcore cannot assure its shareholders that actual results will be consistent with such forward-looking statements, as there may be other factors that cause results not to be as anticipated, estimated or intended. Forward-looking information also involves known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to be materially different from any future results, performance or achievements expressed or implied by the forward-looking information. Such factors include, among others: risks related to the speculative nature of the Companys business; the Companys formative stage of development; the Companys financial position; possible variations in mineralization, grade or recovery rates; actual results of current exploration activities; fluctuations in general macroeconomic conditions; fluctuations in securities markets; fluctuations in spot and forward prices of gold and other commodities; fluctuations in currency markets (such as the Canadian dollar to United States dollar exchange rate); change in national and local government, legislation, taxation, controls, regulations and political or economic developments; risks and hazards associated with the business of mineral exploration, development and mining (including environmental hazards, unusual or unexpected geological formations); the presence of laws and regulations that may impose restrictions on mining; employee relations; relationships with and claims by local communities; the speculative nature of mineral exploration and development (including the risks of obtaining necessary licenses, permits and approvals from government authorities); and title to properties. Forward-looking statements contained herein are made as of the date of this news release and the Company disclaims any obligation to update any forward-looking statements, whether as a result of new information, future events or results, except as may be required by applicable securities laws. There can be no assurance that forward-looking information will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking information. A Swiss court last year ordered an international arrest warrant for the uncle of Syria President Bashar al-Assad for war crimes allegedly committed in the 1980s, according to the ruling only published Wednesday. The decision was published a year after Switzerland's Federal Criminal Court ordered the Federal Department of Justice and Police (FDJP) to issue the warrant. The office of the Swiss attorney general had asked that the ruling be kept secret for fear that Rifaat al-Assad might take measures to dodge arrest, the Keystone-ATS news agency reported. The attorney-general's office had already in 2021 requested the issuance of an international arrest warrant for the 85-year-old, but the justice ministry had balked, arguing Switzerland did not have jurisdiction to pursue him. At the time, it pointed out that he was neither a Swiss citizen, nor residing in the country, and that no Swiss citizens were among the victims of the 1982 massacre in the Syrian city of Hama, which the accusations centre around. But the court did not share that interpretation, highlighting that Rifaat al-Assad had been staying at a Geneva hotel when Swiss prosecutors first launched their investigation into him in 2013. - 'Executions, enforced disappearances, rape' - That presence was enough, according to the court, to give Switzerland jurisdiction to pursue him over alleged war crimes, including the issuance of an international arrest warrant. The warrant is meanwhile likely to go unheeded: The younger brother of former Syrian president Hafez al-Assad returned to Syria in 2021, after 37 years in exile. Rifaat al-Assad, who is separately implicated in French and Spanish corruption cases, was forced into exile in 1984 after a failed attempt to overthrow his brother. The complaint against Rifaat al-Assad was first filed a decade ago by TRIAL International, a rights group that works with victims and pushes Switzerland to prosecute alleged international criminals. TRIAL said that much of the evidence it had compiled against him relates to his role in suppressing the 1982 Hama rebellion, where thousands of people were estimated to have been killed. He was at the time in command of the Syrian Defense Forces, which is accused of "executions, enforced disappearances, rape and torture on an unimaginable scale", according to TRIAL, citing estimates that as many as 40,000 people were killed in the span of three weeks. The organisation called in a statement Wednesday on Swiss authorities to "swiftly indict and bring to trial the man nicknamed the 'Butcher of Hama'." "It is to be welcomed that the prosecuting authorities have finally decided to request Mr al-Assad's extradition, although it is regrettable that we had to wait until his return to Syria before demanding he appear before the Swiss courts," Benoit Meystre, TRIAL's legal advisor, said in the statement. Workers began digging in central France on Wednesday for the remains of dozens of German soldiers said to have been executed by Resistance fighters during World War II. Local authorities said in July that a potential "mass grave" had been found near Meymac in the Correze department, after a 98-year-old former Resistance fighter went public with claims of the killings. If successful, the excavation would "allow the bodies of these German soldiers, forgotten for 80 years, to be exhumed", Meymac mayor Philippe Brugere told AFP. That way they could be "returned to Germany, and perhaps above all to their families", he added. Former fighter Edmond Reveil has in recent years revealed how a group of 46 German soldiers and a Frenchwoman suspected of collaborating with the occupying forces were executed on June 12, 1944. He was a member of the Communist-aligned local partisan group that carried out the killings on a wooded hill near Meymac. Reveil told AFP that the Resistance had to kill the Germans as their small group, only around 30 strong, had no way of keeping them prisoner. "If we had let the Germans go, they would have destroyed Meymac," he said. The town could have become "a second Oradour", Reveil added -- referring to a town just over 100 kilometres (60 miles) away that was wiped out by the SS the same month. He had previously told the local newspaper La Vie Correzienne: "We felt ashamed, but did we have a choice?" References by Reveil and others to the executions as a "war crime" have sparked intense debate in France. "Freeing (the Germans) would have meant this group of Resistance fighters signing their own death warrant," Bernard Delaunay, president of the Correze Friends of the Resistance, told France Inter radio on Wednesday. France's National Office for Former Fighters and War Victims (ONACVG) is leading the dig, in cooperation with Germany's VDK war graves authority. The excavations are set to last until August 27. A previous dig was carried out in secret in the 1960s, with 11 bodies retrieved. United Nations and Western Security Council representatives sounded alarms over renewed risks of conflict in Yemen on Wednesday, after nearly a year and a half of relative calm in the warring country. UN special envoy for Yemen Hans Grundberg warned of "public threats to return to war" in the Middle Eastern nation, without offering any specific examples, while the United States and United Kingdom warned of escalating attacks and threats from Houthi rebels. The poorest country on the Arabian peninsula, Yemen's economy has collapsed in the eight years of war between Iran-backed Houthis and the Saudi coalition-backed government. The conflict has left hundreds of thousands of people dead and millions displaced, with the UN having accused all parties -- including in Saudi Arabia -- of committing war crimes. But the violence has largely subsided since an April 2022 UN-negotiated truce, which was set to expire last October but has more or less held. "I call on the parties to refrain from escalatory rhetoric and to continue to use and build on dialogue channels established under the truce through the Military Coordination Committee to de-escalate incidents," Grundberg told the 15-member UN Security Council and government officials from Yemen and Saudi Arabia. "This rhetoric is not conducive to maintaining a fruitful mediation environment," he said. British Ambassador to the UN Barbara Woodward was even more forceful, saying "we urge the Houthis to stop these attacks," referring to "attacks and continued threats to prevent oil exports from Government controlled areas (which) are severely impacting Yemen's economy." United States Ambassador Linda Thomas-Greenfield said that "the United States welcomes Saudi Arabia's recent announcement of 1.2 billion dollars in budget support" for Yemen's internationally recognized government. "But more attention and financial support are needed to address Yemen's economic and humanitarian crisis," she said. She also said Washington "strongly" condemns "senseless" maritime attacks by Houthis "on maritime shipping (which) are also exacerbating the humanitarian crisis." "Only a durable and inclusive political resolution between the parties can ease the suffering of the Yemeni people," she said. Jang Nara is coming back to the small screen with another spell-binding series. She is also expected to reunite with her former on screen partner, Son Ho Jun! Jang Nara To Reunite With 'Go Back Couple' Co-Star Son Ho Jun in 'My Happy End' Jang Nara will meet the viewers this coming October as she headlines the makjang drama "My Happy End." Adding excitement to her return is that the actress is confirmed to reunite with her "Go Back Couple" co-star Son Ho Jun in this project. Earlier in January, it was announced that Jang Nara and Son Ho Jun were offered to join forces in this series. Finally, on August 13, the stars surprised many as the production dropped "My Happy End's" first-ever teaser trailer, giving viewers a sneak peek at what to anticipate in their second-drama team-up. "My Happy End" tells the story of a woman, Seo Jae Won, who is obsessed with and chases after success as she had an unfortunate childhood, trying to escape from her bad habits and obsessions. What We Know So Far About Jang Nara's 'My Happy End' Jang Nara is Seo Jae Won, the CEO of a home furniture brand, and works tirelessly to fulfill her endless desire. Opposite her is Son Ho Jun, who will portray Seo Jae Won's husband, who gets involved in his wife's intense desire. The drama is helmed by "Pinocchio" and "Doctor John" director, Jo Sio Won. "My Happy End" is scheduled to premiere sometime in October on TV Chosun. Jang Nara and Son Ho Jun boasted great chemistry as a married couple in "Go Back Couple" in 2017 and received love from the audience. They also bagged the Best Couple Award at the 2017 KBS Drama Awards. Now that they are reuniting for the first time after six years, anticipation is increasing for both lead stars. Where Are Jang Nara & Son Ho Jun? Jang Nara made her drama return in the first half of 2023 through the family-themed series "Family: The Unbreakable Bond." She also reunited with former on-screen love team, Jang Hyuk. Son Ho Jun, on the other hand, made his comeback in the second sequel of the disaster drama "The First Responders." However, his return to the series was cut short after his character was killed off. He also starred in TVING's "Work Later, Drink Now," alongside Jung Eunji, Lee Sun Bin, and Han Sun Hwa. What do you anticipate from Jang Nara's upcoming drama? Share your thoughts/replies in the comments! For more K-Drama, K-Movie, and celebrity news and updates, keep your tabs open here at KDramaStars. KDramaStars owns this article. Shai Collins wrote this. Go Yoon Jung shares adorable behind-the-scenes photos during the filming of the hit K-drama "Moving." The Disney+ series continues to gain attention after giving viewers 7 episodes, featuring the main characters and their interesting stories. In the 20-episode K-drama, Go Yoon Jung takes on the role of high school student Jang Hee Soo and the new girl in Jeongwon High School, where she meets Kim Bong Seok (Lee Jung Ha). As "Moving" captured the hearts of viewers, fans are looking forward to seeing more of Jang Hee Soo and Kim Bong Seok's chemistry in the succeeding episodes. On the other hand, Go Yoon Jung teased fans with a series of never-before-seen photos on the set of "Moving." Go Yoon Jung Boasts Youthful Beauty in Latest Instagram Post On Go Yoon Jung's Instagram, the actress showcased her youthful beauty as she portrayed a high school student. Flaunting her no-makeup look, she convincingly nailed the character, who is 10 to 15 years younger than she actually is, as the 27-year-old actress plays as a senior high school student. "Jang Hee-soo's Day 2," she wrote as Go Yoon Jung shared silly photos on the set with Lee Jung Ha and Kim Do Hoon. Facebook Error In the new K-drama, Jang Hee Soo possesses extraordinary abilities, just like her father, Jang Joo Won (Ryu Seung Ryong). Both of them have regenerating healing powers, making their bodies recover from any incident. Jang Hee Soo knew about her superpowers after her mom died in a car accident. She was left unharmed, but it took the life of her loved one. Due to this, she was raised by her father alone. They would often transfer to a different location because of her father's work. This is also the reason Hee Soo doesn't have friends - not until she meets Kim Bong Seok. 'Moving' Ranks First in 5 Countries A week after it premiered, "Moving" continued to mark achievements, with the most recent being its status on the Disney+ platform. As noted by Flix Ptarol, a streaming aggregator service, the sci-fi action K-drama holds first place in TV rankings in 5 countries. Besides South Korea, "Moving" ranked first in Taiwan, Singapore, Japan, and Hong Kong since it was officially released on Disney+. This only shows that the webtoon-based drama will dominate more countries in no time as it releases its succeeding episodes. 'Moving' Episodes 8 & 9 Release Date After a week of waiting, finally, Disney+ is set to release the succeeding episodes of Han Hyo Joo, Jo In Sung's new drama. "Moving" episodes 8 and 9 will simultaneously be released today, August 16, via Disney+ and Hulu. For more K-Drama, K-Movie, and celebrity news, keep your tabs open here at KDramaStars. KDramaStars owns this article Written by Geca Wills Ahead of the premiere of his new drama "A Time Called You," Ahn Hyo Seop is creating a buzz online as viewers shared their mixed reactions about him being cast in the series. 'A Time Called You' Teaser Ignites Excitement to Fans & Viewers Many are looking forward to the new Netflix drama "A Time Called You," which is the Korean remake of the popular Taiwanese series "Someday or One Day." However, before its scheduled release, it has already sparked mixed reactions from the viewers. To recall, on August 11, Netflix surprised fans and viewers by dropping the first teaser trailer of "A Time Called You" along with its poster, which ignited excitement among the crowd. The teaser featured scenes that have a striking resemblance to the beautiful moments from the original Taiwanese series, including a cassette tape and school uniforms worn by the characters who are having a spontaneous adventure on motorcycles. Through these moments, it evoked a strong sense of sentimental longing. Prominent stars Jeon Yeo Bin, Ahn Hyo Seop, and Kang Hoon are expected to headline the drama. Apart from the Korean remake, the audience is anticipating seeing these brilliant stars in one frame and seeing what kind of chemistry they can show in the drama. Ahn Hyo Seop Receives Mixed Reactions From Viewers Upon the Teaser Release However, after the teaser was released, some Taiwanese viewers were disappointed in the casting of Ahn Hyo Seop as the lead character Nam Si Hyun. According to a source on August 14, some local netizens voiced their observations after watching the "A Time Called You" teaser. This landed the main protagonist to be criticized for being cast in the adaptation. The commenters have been comparing Ahn Hyo Seop and the original actor He Huang Han. It has been said that the Taiwanese actor stands at a height of 178cm and weighs 69kg. In contrast, the Korean star is relatively taller at 188cm with a slender build. Ahn Hyo Seop's close-up shots seemed to be an issue to some and even led to unintended misconceptions. Meanwhile, contrary to the released observations, viewers were quick to dismiss the notion that the Korean heartthrob had gained weight. "Ahn Hyo Seop gained weight? Really?" "He is taller, and it's natural for him to have a higher weight." "Ahn Hyo Seop and He Huang Han have similar charming smiles." "The Korean male lead's casting fits perfectly." Furthermore, international viewers will finally meet the cast of "A Time Called You" beginning September 8 on Netflix. IN CASE YOU MISSED IT: Jeon Yeo Bin Shows Affection to Ahn Hyo Seop in 'A Time Called You' Still What can you say about the news? Share your thoughts/replies in the comments! For more K-Drama, K-Movie, and celebrity news and updates, keep your tabs open here at Kdramastars. Kdramastars owns this article. Shai Collins wrote this. By NTV Kenya A petitioner has called on Members of Parliament to ban the use of popular social media application, TikTok saying it is a contributor to erosion of cultural and religious morals in Kenya. The Executive Officer of Bridget Connect Consultancy Bob Ndolo in a petition to the National Assembly on Tuesday, called on MPs to move with speed and ban the use of TikTok in the country as it is exposing young people to explicit sexual content. The petitioner decries that while the use of the application has gained popularity among the youth in the country, the content being shared on the platform is inappropriate thus promoting violence, explicit sexual content, hate speech, vulgar language, and offensive behaviours which is a serious threat to cultural and religious values While commenting on the petition, Majority Leader Kimani Ichungwa said Parliament cannot preside over the banning of the app since it has created employment to the majority of young people. Mr Ichungwa said while the petitioner has valid concerns, his approach for total ban is akin to fighting technology. The petitioner should come to seek on how to regulate the usage of the app, age group and content uploaded for a certain age to watch, outright banning would be killing careers of many young people who are earning a living through it, Mr Ichungwa said. Nominated MP Irene Mayaka said the banning the usage of the app in Kenya would not solve the problems raised by the petitioner saying with applications such as the Virtual Private Network (VPN), one can still watch the contents in apps available in other countries. She urged parents should to monitor what their children consume in social media. The petition will be considered by the Public Petitions Committee which will give its verdict after 60 days. Prime Minister Robinah Nabbanja says President Museveni has directed her to ensure that the government practices frugality to bridge the gaps created by the World Banks suspension of financial support to Uganda. Recently, Ugandans were shocked after receiving the news of World Banks announcement pausing the approval of new loans to Uganda following governments decision to pass the Anti-Homosexuality Bill 2023. According to the Bank, the law is against its values. Now speaking to the media at her office on Wednesday, Nabbanja noted that after receiving the presidents directive, Cabinet has started discussions on how to reduce government expenditures in order to save funds for national development. She added that negations are ongoing between the government of Uganda and the World Bank to harmonize on the same. Meanwhile, Nabbanja revealed that government is also considering other avenues such as getting new donors. On Tuesday, Deputy Speaker of Parliament, Mr. Thomas Tayebwa urged legislators to remain calm after the World Bank shocker. When we were making that decision we knew it would have reparations and we are strong about the decision we made as a house. The moment you show any signs of weakness and panic, it means we dont know what we are doing. We knew threats would come, so, please let us be calm, Tayebwa told legislators. The Uganda Peoples Congress (UPC) party has advised parents to help their children utilize the forthcoming holidays productively. While addressing journalists at the party headquarters in Kampala, Sharon Arach the UPC party spokesperson said parents should reflect on what happened during COVID-19 when many children mostly girls got pregnant and boys joined drug gangs which stopped them from going back to schools, something that was blamed on parents over negligence. Arach added that during holidays, parents should take children to their respective palaces of work to train them in business, than leaving them to watch films. KFM understands that some students have already started breaking off for the second-term holidays. By Denis Edema Jinja Chief Magistrates Court on Tuesday remanded a 39-year-old man to Kirinya Prisons for allegedly masquerading as a State House official operating in Jinja Jinja district. Mr Herman Nuwagaba alias Abdul Ssempijja appeared before Ms Phiona Sheila Angura, who remanded him until August 21 when he is expected to reappear before the same court. The accused is alleged to have visited Jinja Central Police Station on August 11 and introduced himself before Detective Inspector of Police (D/IP) Mr Bogere Michael as a State House official. It is alleged that the accused person went ahead to instruct IP Bogere to submit case file vide CRB 145/2023 of Bugembe Police Station to him and the detective got suspicion of the accused person, a court document reads in part. When Police verified the identity of the accused with State House officials, through Deputy Press Secretary to the President Mr Farouk Kirunda, it emerged that Mr. Nuwagaba has never been an employee of State House. Investigations reveal that Mr Nuwagaba has also been masquerading as a Police and Uganda Peoples Defence Forces (UPDF) officer, judicial officer, and an official from the Directorate of Public Prosecutions (DPP). The Kiira Region Police Spokesperson, Mr James Mubi, cautioned the public to stop dealing with people of dubious character, especially in matters relating to land. Police cases should be handed to the right offices rather than dealing with impersonators, he advised. Abbott Kilkennys site director Saman Kamali has taken part in the Croke Park Abseil Challenge to raise funds for the Jack and Jill Children's Foundation. Taking the plunge, Saman Kamali alongside Margaret Morrissey, Abbott Irelands Country Human Resources Director took part in the annual event on August 10. In total, the participation of the two Abbott senior leaders raised 2,000 for the childrens charity with all funds going to provide support for children with neurodevelopmental delay in Ireland. Abbott in Kilkenny is currently looking for candidates who embody these goals and mission following their recent sod turning event for the upcoming Abbott Kilkenny manufacturing facility which took place in April of this year with Minister Simon Harris. Abbotts continued support of The Jack and Jill Childrens Foundation forms part of the companys mission, to help people live a better life now and in the future. Abbott actively encourages its employees to contribute to charitable causes to make a meaningful difference in the lives of others. Through ongoing charity partnerships and employee participation, the company is committed to improving access to quality healthcare, enhancing health outcomes, and supporting the communities in which they operate. A Taking part in the Croke Park Abseil Challenge was equal parts exhilarating and rewarding," said Saman Kamali. "Fortunately, I felt prepared for that exhilarating feeling after watching Kilkenny fight for the Leinster Final earlier this summer in what I would say was as much a rollercoaster of emotions as jumping off a building! Being able to help The Jack & Jill Children's Foundation, a charity close to my heart, is something I am very grateful that I had the opportunity to do. Abbotts dedication to supporting charity and employees who wish to raise money for charities, is something that makes me proud to come to work every day. I am very thankful that I have had the chance to support such a great cause in such an exciting manner. To find out more about the roles Abbott are hiring for, you can visit: https://www.ie.abbott/careers.html Get all the essential market news and expert opinions in one place with our daily newsletter. Receive a comprehensive recap of the day's top stories directly to your inbox. Sign up here! (Kitco News) The Bitcoin market is looking at two key events that could push prices to $150,000 by the middle of next year, according to Mark Yusko, CEO, Founder, and Chief Investment Officer of Morgan Creek Capital Management. Approval of a spot Bitcoin ETF followed by Bitcoin halving next year will trigger a new rally, taking the fair value of Bitcoin significantly higher, Yusko told Michelle Makori, Lead Anchor and Editor-in-Chief at Kitco News. I believe Bitcoins fair value today is $55,000, Yusko said. But the fair value doubles after a spot Bitcoin ETF is approved and Bitcoin goes through its fourth halving due to happen on April 16 an event that will reduce block rewards for miners from 6.25 bitcoin to 3.125 bitcoin, per block. I have a logic for where I think the price is going to go and why I think it's going to go there. I certainly think that the spot ETF is going to impact this. But the primary reason for the movement in the price is the halving cycle one of the most genius ideas, Yusko said. By the middle of summer next year, $100,000 will be fair value. But we'll have speculation so let's say we blow through that $100k, and we're at $150k. That seems reasonable to me. Watch the video above to find out how Yusko determines fair value and his thoughts on market reaction to the halving. Yusko also offered a possible timeframe around when a spot Bitcoin ETF could get approved by the U.S. Securities and Exchange Commission (SEC). The BlackRock spot Bitcoin ETF will likely be the first to get the SEC's approval, he added. "Why should BlackRock get it? Because it's who you know," Yusko explained. For market reaction and potential risks of manipulation associated with a Bitcoin ETF (spot and futures), watch the video above. Mark Yusko on upcoming SBF trial: 'I expect to be disappointed' When talking about what's next for Sam Bankman-Fried (SBF), Yusko outlined a number of outcomes. SBF is awaiting a trial set to start on October 2 after being charged by the U.S. government last year with orchestrating one of the biggest financial frauds in history. He has pleaded not guilty to seven fraud charges for his role in FTX's collapse. The trial's outcome can vary from receiving a life sentence to getting many of the charges dismissed, Yusko told Kitco News. "My guess is there'll be many technicalities that come up in this trial," said Yusko. "And many things will be thrown out because of technicalities. The lawyers are in charge instead of jurisprudence. And I expect to be disappointed." To find out more about what could happen to SBF and why, watch the video above. Yukso has previously told Kitco News that the collapse of FTX suggested that its founder, Sam Bankman-Fried, was likely a "pawn" and "useful idiot" in a very large and elaborate system "that was designed for money laundering." Yusko speculated that the FTX collapse was engineered as an elegant take down of a threat referring to how the crypto, De-fi, Bitcoin and Blockchain are threat to traditional finance, fiat currencies and central bankers. For details on Yuskos theory watch his previous interview here. Last week, U.S. District Court Judge Lewis Kaplan revoked SBF's bail, ordering him to be detained while awaiting trial. This came after the prosecution team accused the former FTX CEO of witness tampering. SBF was said to have leaked private diary entries of the former head of Alameda Research and his former girlfriend, Caroline Ellison, to The New York Times. "There is probable cause to believe that the defendant has attempted to tamper with witnesses at least twice," Kaplan said. Prior to this, SBF has been under house arrest at his parents' home in Palo Alto, California, after posting $250 million bail. Ellison has already pleaded guilty to fraud charges herself and is expected to testify against Bankman-Fried in court. According to the prosecutors, the leaked diary was an attempt to "intimidate and corruptly persuade Ellison with respect to her upcoming trial testimony, as well as an effort to influence or prevent the testimony of other potential trial witnesses by creating the specter that their most intimate business is at risk of being reported in the press." SBF's lawyers have appealed the judge's decision to revoke bail. So far, there have been a lot of irregularities in this trial, Yusko pointed out. Mark Yusko - SBF will most likely vanish "The fact that SBF got to hang out in Palo Alto instead of jail seems odd. The fact that he's been able to surf the internet and even quote-unquote manipulate some prices," he said. "I'm pretty cynical on this. I don't think he's going to get in very much trouble." There is also another scenario. "He's going to get a life sentence, and he's going to go to jail, and then he's going to vanish. I guess that's one thing that does happen in this world. People go to jail, and then they vanish," Yusko noted. To learn more about the connections SBF had to leaders within the U.S. government and more mysteries surrounding the trial, watch the video above. (Kitco News) - Gold and silver prices are not straying too far from unchanged levels in quieter early U.S. trading Wednesday. Both markets hit five-month lows Tuesday and are in a pause mode so far today. The summertime doldrums find precious metals traders seeking out fresh fundamental news to drive prices. December gold was last down $0.50 at $1,934.60 and September silver was up $0.059 at $22.72. (Note: For exclusive market forecasts and intermarket insights, sign up to my new weekly Markets Front Burner newsletter, at https://www.kitco.com/services/markets-front-burner.html ) Asian and European stock markets were mixed to weaker in overnight trading. U.S. stock indexes are pointed to mixed openings when the New York day session begins. Trader and investor attitudes remain more risk averse at mid-week. Focus remains on Chinas economy. In China, the new property prices decline accelerated in July, highlighting ongoing challenges in one of the top sectors of the worlds second-largest economy. Also, Zhongrong International Trust has at least 30 products that are now overdue and Zhongrong also halted redemptions on some short-term instruments, according to Bloomberg. Reports said the company doesnt have an immediate plan to cover the payments since its short-term liquidity has suddenly dried up. Zhongrong is among the biggest firms in China. A Wall Street Journal story today has a headline: China struggles to halt economic slide. In other overnight news, the Euro zone second-quarter GDP came in at up 0.3% from the first quarter and up 0.6%, year-on-year. That was in line with market expectations. The key outside markets today see the U.S. dollar index weaker. Nymex crude oil prices are slightly down and trading around $80.75 a barrel. Worries about demand, amid the dour economic news coming out of China this week, has hit the crude oil market. Meantime, the benchmark 10-year U.S. Treasury note yield is presently fetching around 4.2%. U.S. economic data due for release Wednesday includes the weekly MBA mortgage applications survey, new residential construction, industrial production and capacity utilization, the FOMC minutes and the weekly DOE liquid energy stocks report. Technically, the gold futures bears have the firm overall near-term technical advantage. Prices are in a three-week-old downtrend on the daily bar chart. Bulls next upside price objective is to produce a close in December futures above solid resistance at $1,980.00. Bears' next near-term downside price objective is pushing futures prices below solid technical support at $1,900.00. First resistance is seen at Tuesdays high of $1,944.30 and then at $1,950.00. First support is seen at this weeks low of $1,927.50 and then at $1,915.00. Wyckoff's Market Rating: 3.5. The silver bears have the overall near-term technical advantage. Prices are trending lower on the daily bar chart. Silver bulls' next upside price objective is closing September futures prices above solid technical resistance at $24.00. The next downside price objective for the bears is closing prices below solid support at $20.00. First resistance is seen at the overnight high of $22.855 and then at $23.00. Next support is seen at the overnight low of $22.55 and then at this weeks low of $22.265. Wyckoff's Market Rating: 3.5. Get all the essential market news and expert opinions in one place with our daily newsletter. Receive a comprehensive recap of the day's top stories directly to your inbox. Sign up here! (Kitco News) - Copper producer Ivanhoe Mines announced today that Kamoa Copper has signed a memorandum of understanding (MOU) with Lobito Atlantic International, for the transportation of Kamoa-Kakula's copper concentrate by rail to the Atlantic Ocean port of Lobito in Angola. In a press release, the company said that up to 10,000 tonnes of copper concentrate to be exported via Angolan Lobito ocean port in Q4 2023, adding that MOU is first commercial agreement under new concession for exporting metals from the DRC using the Lobito Atlantic Rail Corridor. The company also noted that the distance from Kamoa-Kakula to Lobito Port is just over half that compared with Durban, South Africa, with the rail corridor passing through Ivanhoe's Western Foreland licences and within five kilometres of Kamoa-Kakula. Importantly, Ivanhoe pointed out that the Lobito Corridor will reduce pressure on the country's other logistics corridors. This is expected to not only reduce the cost of exporting from, but also reduce the cost of importing into the DRC Copperbelt. Thereby, accelerating DRC's, social and economic development, as well as the industrialization of the wider region, the company said. Ivanhoe Mines (TSX: IVN) (OTCQX: IVPAF) is a Canadian mining company focused on advancing its three principal projects in Southern Africa; the expansion of the Kamoa-Kakula copper complex in the DRC; the construction of the tier-one Platreef palladium-rhodium-platinum-nickel-copper-gold project in South Africa; and the restart of the historic Kipushi zinc-copper-germanium-silver mine, also in the DRC. Get all the essential market news and expert opinions in one place with our daily newsletter. Receive a comprehensive recap of the day's top stories directly to your inbox. Sign up here! Image courtesy of Amgueddfa Cymru - Museum Wales (Kitco News) - Three British metal detectorists are celebrating the find of a lifetime after unearthing a hoard of 2,000-year-old coins in North-Western Wales, which could provide new clues about commercial activity in the region during the Iron Age. The treasure hunters found a total of 15 ancient gold coins known as staters in a field on the Isle of Anglesey between July 2021 and March 2022. These are the first Iron Age gold coins to have been discovered in Wales, said the Amgueddfa Cymru Museum Wales in a press release. The museum said that the coins were struck between 60 BC and 20 BC at three different mints across what is now Lincolnshire. The research said they believe the coins belonged to the Corieltavi tribe, who inhabited the area during the late Iron Age. The museum said the design of each of the coins is very stylized, derived from Macedonian gold coins of Phillip II, which show the bust of Apollo on the obverse (heads side) and a two-horsed chariot and charioteer on the reverse (tails side). Roberts was the first to discover what has now become a treasure trove, unearthing two coins. "Having been searching for history for over 14 years, finding a gold stater was always number one on my wish list. Having never expected to actually find one, let alone in Anglesey, can you imagine my shock, delight and surprise as I called out to my friend Peter, having dug up a beautiful full gold stater in mint condition?! That one coin alone would have made my year, but I went on to find another on my next signal, and then Peter found a total of three," Robert said in the museum press release. Watson found the other ten coins after searching the field for several weeks. "I'm relatively new to metal detecting and was encouraged to give it a go by my dad during lockdown. I'd been over this field a few times and not found much of interest and then one evening literally struck gold! I rushed home to show my wife and we were both in awe of this coin, which was like nothing else I had found, immaculately preserved with such unusual stylized images. Enthused, I decided to upgrade my metal detector, which was money well spent, as I proceeded to find another nine coins in the same area in the following weeks," he said in the press release. The finds were reported to the Portable Antiquities Scheme, and a team from the Gwynedd Archaeological Trust visited the field to look for initial clues as to why the coins were buried there. "This hoard is a fantastic example of the rich archaeological landscape that exists in North-West Wales," said Sean Derby, Historic Environment Record Archaeologist and PAS Cymru Finds Recording Officer at Gwynedd Archaeological Trust. "While the immediate vicinity of the find did not yield any clues as to the find's origin, the findspot lies in an area of known prehistoric and early Roman activity and helps increase our understanding of this region." Speculating on the hoard, the museum said that they suspect the coins were not used in daily commerce but were used for high-value purchases or as gifts to secure alliances or loyalties. They could have also been used as offerings to the gods. "This hoard may therefore have been buried for one or multiple reasons," the museum said. "Pagan priests known as druids feature in Roman sources referring to Anglesey, and archaeological finds, such as the votive deposit at Llyn Cerrig Bach, indicate that the island was an important religious centre during the 1st centuries BC and AD. The apparent holy nature of the island is likely to have played a role in the deposition." Weather Alert ...EXCESSIVE HEAT WARNING REMAINS IN EFFECT UNTIL 10 PM CDT THURSDAY... * WHAT...Dangerously hot conditions with heat index values up to 117. * WHERE...Portions of south central, southwest, and west central Illinois. Portions of central, east central, northeast, and southeast Missouri. * WHEN...Until 10 PM CDT Thursday. * IMPACTS...Heat related illnesses increase significantly during extreme heat and high humidity events. PRECAUTIONARY/PREPAREDNESS ACTIONS... Drink plenty of fluids, stay in an air-conditioned room, stay out of the sun, and check up on relatives and neighbors. Young children and pets should never be left unattended in vehicles under any circumstances. Take extra precautions if you work or spend time outside. When possible reschedule strenuous activities to early morning or evening. Know the signs and symptoms of heat exhaustion and heat stroke. Wear lightweight and loose fitting clothing when possible. To reduce risk during outdoor work, the Occupational Safety and Health Administration recommends scheduling frequent rest breaks in shaded or air conditioned environments. Anyone overcome by heat should be moved to a cool and shaded location. Heat stroke is an emergency! Call 9 1 1. && Weather Alert ...EXCESSIVE HEAT WARNING REMAINS IN EFFECT UNTIL 10 PM CDT THURSDAY... * WHAT...Dangerously hot conditions with heat index values of 105 to 115 degrees. * WHERE...Portions of south central, southwest, and west central Illinois. Portions of central, east central, northeast, and southeast Missouri. * WHEN...Until 10 PM CDT Thursday. The heat may last into Friday for some areas. * IMPACTS...Heat related illnesses increase significantly during extreme heat and high humidity events. PRECAUTIONARY/PREPAREDNESS ACTIONS... Drink plenty of fluids, stay in an air-conditioned room, stay out of the sun, and check up on relatives and neighbors. Young children and pets should never be left unattended in vehicles under any circumstances. Take extra precautions if you work or spend time outside. When possible reschedule strenuous activities to early morning or evening. Know the signs and symptoms of heat exhaustion and heat stroke. Wear lightweight and loose fitting clothing when possible. To reduce risk during outdoor work, the Occupational Safety and Health Administration recommends scheduling frequent rest breaks in shaded or air conditioned environments. Anyone overcome by heat should be moved to a cool and shaded location. Heat stroke is an emergency! Call 9 1 1. && Bridgepoint Group plc (LON:BPT Get Free Report)s share price traded up 0.8% on Tuesday . The company traded as high as GBX 188 ($2.38) and last traded at GBX 183.90 ($2.33). 443,786 shares were traded during mid-day trading, a decline of 42% from the average session volume of 764,892 shares. The stock had previously closed at GBX 182.40 ($2.31). Wall Street Analyst Weigh In Separately, Citigroup reiterated a buy rating on shares of Bridgepoint Group in a research report on Thursday, May 25th. Get Bridgepoint Group alerts: Read Our Latest Report on BPT Bridgepoint Group Stock Up 0.8 % Bridgepoint Group Increases Dividend The firm has a market cap of 1.49 billion, a PE ratio of 1,149.38, a PEG ratio of 0.61 and a beta of 1.47. The businesss 50 day moving average price is GBX 199.21 and its 200 day moving average price is GBX 220.24. The company has a debt-to-equity ratio of 11.40, a current ratio of 6.26 and a quick ratio of 3.60. The business also recently announced a dividend, which will be paid on Monday, September 25th. Stockholders of record on Thursday, August 17th will be paid a dividend of GBX 4.40 ($0.06) per share. The ex-dividend date is Thursday, August 17th. This represents a dividend yield of 2.08%. This is a boost from Bridgepoint Groups previous dividend of $4.00. Bridgepoint Groups payout ratio is currently 5,625.00%. About Bridgepoint Group (Get Free Report) Bridgepoint Group plc operates as a private equity and credit fund manager in Europe, the United States, and China. The company invests in the middle market private assets. It specialises and invests in private equity and credit internationally across advanced industrials, technology, business and financial services, and healthcare sectors. Further Reading Receive News & Ratings for Bridgepoint Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bridgepoint Group and related companies with MarketBeat.com's FREE daily email newsletter. CAE Inc. (NYSE:CAE Free Report) (TSE:CAE) Desjardins lifted their Q4 2024 earnings per share estimates for CAE in a note issued to investors on Thursday, August 10th. Desjardins analyst B. Poirier now anticipates that the aerospace company will post earnings per share of $0.30 for the quarter, up from their prior estimate of $0.28. The consensus estimate for CAEs current full-year earnings is $0.88 per share. Get CAE alerts: CAE (NYSE:CAE Get Free Report) (TSE:CAE) last issued its earnings results on Wednesday, May 31st. The aerospace company reported $0.26 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $0.25 by $0.01. The firm had revenue of $1.26 billion for the quarter, compared to analysts expectations of $881.21 million. CAE had a return on equity of 7.48% and a net margin of 6.60%. The companys revenue was up 31.6% compared to the same quarter last year. During the same quarter last year, the business earned $0.23 earnings per share. Other equities research analysts have also issued research reports about the company. Bank of America raised their price target on CAE from $33.00 to $37.00 and gave the stock a neutral rating in a research report on Tuesday. StockNews.com began coverage on CAE in a research report on Thursday, May 18th. They issued a buy rating on the stock. The Goldman Sachs Group lifted their target price on CAE from $27.00 to $30.00 and gave the company a buy rating in a research report on Thursday, August 10th. Finally, TD Securities lifted their target price on CAE from $37.00 to $39.00 and gave the company a buy rating in a research report on Thursday, August 10th. One investment analyst has rated the stock with a hold rating and four have assigned a buy rating to the companys stock. Based on data from MarketBeat, the company presently has an average rating of Moderate Buy and a consensus target price of $35.50. Get Our Latest Stock Report on CAE CAE Trading Down 1.7 % NYSE CAE opened at $23.18 on Monday. The firm has a market cap of $7.37 billion, a P/E ratio of 34.60, a P/E/G ratio of 1.96 and a beta of 1.69. The company has a debt-to-equity ratio of 0.68, a current ratio of 1.03 and a quick ratio of 0.73. The stocks 50-day moving average is $22.01 and its 200-day moving average is $22.22. CAE has a one year low of $15.23 and a one year high of $24.32. Institutional Inflows and Outflows Several institutional investors have recently made changes to their positions in CAE. Mackenzie Financial Corp boosted its stake in shares of CAE by 29.9% during the 2nd quarter. Mackenzie Financial Corp now owns 31,960,441 shares of the aerospace companys stock worth $715,244,000 after acquiring an additional 7,363,625 shares during the period. Bank of Montreal Can bought a new position in shares of CAE during the 2nd quarter worth approximately $128,206,000. Norges Bank bought a new position in shares of CAE during the 4th quarter worth approximately $60,416,000. Wasatch Advisors Inc. bought a new position in shares of CAE during the 1st quarter worth approximately $58,679,000. Finally, Clearbridge Investments LLC boosted its stake in shares of CAE by 62.5% during the 2nd quarter. Clearbridge Investments LLC now owns 4,178,997 shares of the aerospace companys stock worth $93,526,000 after acquiring an additional 1,607,888 shares during the period. Institutional investors and hedge funds own 60.54% of the companys stock. CAE Company Profile (Get Free Report) CAE Inc, together with its subsidiaries, provides simulation training and critical operations support solutions in Canada, the United States, the United Kingdom, Europe, Asia, Oceania and Africa, and Rest of Americas. It operates through three segments: Civil Aviation, Defense and Security, and Healthcare. Featured Stories Receive News & Ratings for CAE Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CAE and related companies with MarketBeat.com's FREE daily email newsletter. Chow Tai Fook Jewellery Group Limited (OTCMKTS:CJEWY Get Free Report) shares reached a new 52-week low on Wednesday . The stock traded as low as $15.01 and last traded at $15.01, with a volume of 129 shares changing hands. The stock had previously closed at $16.62. Chow Tai Fook Jewellery Group Trading Down 9.7 % The company has a current ratio of 1.51, a quick ratio of 0.35 and a debt-to-equity ratio of 0.04. The companys fifty day simple moving average is $17.49 and its 200 day simple moving average is $18.80. Get Chow Tai Fook Jewellery Group alerts: Chow Tai Fook Jewellery Group Increases Dividend The firm also recently disclosed a dividend, which was paid on Monday, August 14th. Shareholders of record on Thursday, July 13th were issued a dividend of $0.9189 per share. The ex-dividend date was Wednesday, July 12th. This is an increase from Chow Tai Fook Jewellery Groups previous dividend of $0.23. About Chow Tai Fook Jewellery Group Chow Tai Fook Jewellery Group Limited, an investment holding company, manufactures and sells jewelry products in Mainland China, Hong Kong, Macau, Taiwan, Cambodia, Canada, Korea, Japan, Malaysia, the Philippines, Singapore, Thailand, the United States, and Vietnam. The company offers gem-set, platinum and k-gold jewelry, and gold jewelry and products under the CHOW TAI FOOK, HEARTS ON FIRE, ENZO, MONOLOGUE, and SOINLOVE brands. Further Reading Receive News & Ratings for Chow Tai Fook Jewellery Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Chow Tai Fook Jewellery Group and related companies with MarketBeat.com's FREE daily email newsletter. Arcelik Anonim Sirketi (OTCMKTS:ACKAY Get Free Report) and Breville Group (OTCMKTS:BVILF Get Free Report) are both consumer cyclical companies, but which is the better investment? We will contrast the two businesses based on the strength of their dividends, profitability, institutional ownership, earnings, valuation, risk and analyst recommendations. Dividends Arcelik Anonim Sirketi pays an annual dividend of $1.74 per share and has a dividend yield of 6.0%. Breville Group pays an annual dividend of C$0.26 per share and has a dividend yield of 2.0%. Arcelik Anonim Sirketi pays out 39.0% of its earnings in the form of a dividend. Breville Group pays out 69.4% of its earnings in the form of a dividend. Both companies have healthy payout ratios and should be able to cover their dividend payments with earnings for the next several years. Arcelik Anonim Sirketi is clearly the better dividend stock, given its higher yield and lower payout ratio. Get Arcelik Anonim Sirketi alerts: Earnings and Valuation This table compares Arcelik Anonim Sirketi and Breville Groups top-line revenue, earnings per share and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Arcelik Anonim Sirketi N/A N/A N/A $4.47 6.49 Breville Group N/A N/A N/A C$0.37 34.82 Profitability Arcelik Anonim Sirketi is trading at a lower price-to-earnings ratio than Breville Group, indicating that it is currently the more affordable of the two stocks. This table compares Arcelik Anonim Sirketi and Breville Groups net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Arcelik Anonim Sirketi N/A N/A N/A Breville Group N/A N/A N/A Analyst Ratings This is a summary of recent ratings for Arcelik Anonim Sirketi and Breville Group, as provided by MarketBeat.com. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Arcelik Anonim Sirketi 0 0 1 0 3.00 Breville Group 0 2 0 0 2.00 Breville Group has a consensus price target of C$23.10, indicating a potential upside of 77.01%. Given Breville Groups higher probable upside, analysts clearly believe Breville Group is more favorable than Arcelik Anonim Sirketi. Insider & Institutional Ownership 0.1% of Arcelik Anonim Sirketi shares are held by institutional investors. Comparatively, 25.0% of Breville Group shares are held by institutional investors. Strong institutional ownership is an indication that endowments, large money managers and hedge funds believe a stock will outperform the market over the long term. Summary Arcelik Anonim Sirketi beats Breville Group on 5 of the 8 factors compared between the two stocks. About Arcelik Anonim Sirketi (Get Free Report) Arcelik Anonim Sirketi, together with its subsidiaries, produces, markets, sells, services, imports, and exports consumer durable goods and electronics in Turkey and internationally. It operates through White Goods, Consumer Electronics, and Other segments. It offers top and front door washing machines, horizontal and vertical deep freezers, split air conditioners, microwave ovens, water dispensers, cooking appliances, washing machines, dishwashers, coolers, gas and/or electric cookers, refrigerators, coffee machines, laundry and washer-dryers, hoods, and built-in cooking products. The company also provides televisions, computers, cash registers, and other electronic devices. It offers its products under the Arcelik, Beko, Grundig, Defy, Arctic, Dawlance, Elektrabregenz, Blomberg, VoltasBeko, Leisure, Altus, and Flavel brand names. The company was incorporated in 1955 and is headquartered in Istanbul, Turkey. About Breville Group (Get Free Report) Breville Group Limited designs, develops, markets, and distributes small electrical kitchen appliances in the Americas, Europe, the Middle East, Africa, and the Asia Pacific. The company offers kitchen appliances, including slow cookers, kettles, and fry pans; and living room, laundry, and bedroom products, such as irons, vacuums, heaters, electric blankets, and fans. Breville Group Limited markets and sells its products under the Breville, Baratza, Kambrook, and Sage brands, as well as under third party brands, such as Nespresso and Polyscience. The company was formerly known as Housewares International Limited and changed its name to Breville Group Limited in November 2008. Breville Group Limited was founded in 1957 and is headquartered in Alexandria, Australia. Receive News & Ratings for Arcelik Anonim Sirketi Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Arcelik Anonim Sirketi and related companies with MarketBeat.com's FREE daily email newsletter. Keeley Teton Advisors LLC decreased its holdings in shares of Highwoods Properties, Inc. (NYSE:HIW Free Report) by 2.3% during the first quarter, according to the company in its most recent disclosure with the SEC. The fund owned 35,278 shares of the real estate investment trusts stock after selling 838 shares during the quarter. Keeley Teton Advisors LLCs holdings in Highwoods Properties were worth $818,000 at the end of the most recent reporting period. A number of other hedge funds have also recently modified their holdings of HIW. Principal Financial Group Inc. grew its stake in Highwoods Properties by 0.9% in the first quarter. Principal Financial Group Inc. now owns 416,199 shares of the real estate investment trusts stock worth $9,652,000 after purchasing an additional 3,823 shares in the last quarter. US Bancorp DE raised its stake in shares of Highwoods Properties by 12.9% during the 1st quarter. US Bancorp DE now owns 8,445 shares of the real estate investment trusts stock valued at $196,000 after buying an additional 968 shares during the last quarter. Wolverine Asset Management LLC raised its stake in shares of Highwoods Properties by 8.6% during the 1st quarter. Wolverine Asset Management LLC now owns 8,383 shares of the real estate investment trusts stock valued at $194,000 after buying an additional 663 shares during the last quarter. New York Life Investment Management LLC acquired a new stake in shares of Highwoods Properties during the 1st quarter valued at about $494,000. Finally, Federated Hermes Inc. grew its position in shares of Highwoods Properties by 6.5% during the 1st quarter. Federated Hermes Inc. now owns 22,153 shares of the real estate investment trusts stock valued at $514,000 after acquiring an additional 1,360 shares during the period. Institutional investors own 97.72% of the companys stock. Get Highwoods Properties alerts: Highwoods Properties Trading Down 2.9 % NYSE HIW opened at $23.25 on Wednesday. The stock has a market capitalization of $2.46 billion, a P/E ratio of 16.15 and a beta of 1.17. Highwoods Properties, Inc. has a 52-week low of $19.45 and a 52-week high of $35.47. The firm has a 50-day moving average price of $24.13 and a 200 day moving average price of $24.04. The company has a quick ratio of 1.20, a current ratio of 1.20 and a debt-to-equity ratio of 1.31. Highwoods Properties Announces Dividend Analysts Set New Price Targets The company also recently declared a quarterly dividend, which will be paid on Tuesday, September 12th. Investors of record on Monday, August 21st will be issued a dividend of $0.50 per share. The ex-dividend date of this dividend is Friday, August 18th. This represents a $2.00 annualized dividend and a yield of 8.60%. Highwoods Propertiess dividend payout ratio is currently 138.89%. Several research firms recently issued reports on HIW. Morgan Stanley reiterated an equal weight rating and issued a $22.00 price objective on shares of Highwoods Properties in a report on Wednesday, July 19th. Citigroup boosted their target price on Highwoods Properties from $22.00 to $25.00 and gave the company a neutral rating in a research report on Tuesday, August 1st. StockNews.com initiated coverage on shares of Highwoods Properties in a research note on Thursday, May 18th. They issued a hold rating for the company. SpectralCast reiterated a maintains rating on shares of Highwoods Properties in a research note on Tuesday, May 30th. Finally, 888 restated a maintains rating on shares of Highwoods Properties in a research note on Tuesday, May 30th. Six analysts have rated the stock with a hold rating and two have issued a buy rating to the company. According to data from MarketBeat.com, the company has an average rating of Hold and a consensus price target of $28.14. Get Our Latest Analysis on Highwoods Properties About Highwoods Properties (Free Report) Highwoods Properties, Inc, headquartered in Raleigh, is a publicly-traded (NYSE:HIW) real estate investment trust (REIT) and a member of the S&P MidCap 400 Index. The Company is a fully-integrated office REIT that owns, develops, acquires, leases and manages properties primarily in the best business districts (BBDs) of Atlanta, Charlotte, Dallas, Nashville, Orlando, Raleigh, Richmond and Tampa. See Also Want to see what other hedge funds are holding HIW? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Highwoods Properties, Inc. (NYSE:HIW Free Report). Receive News & Ratings for Highwoods Properties Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Highwoods Properties and related companies with MarketBeat.com's FREE daily email newsletter. Murray Income Trust PLC (LON:MUT Get Free Report) announced a dividend on Wednesday, August 2nd, Upcoming.Co.Uk reports. Shareholders of record on Thursday, August 17th will be given a dividend of GBX 12.75 ($0.16) per share on Thursday, September 14th. This represents a yield of 1.48%. The ex-dividend date of this dividend is Thursday, August 17th. This is a positive change from Murray Income Trusts previous dividend of $8.25. The official announcement can be accessed at this link. Murray Income Trust Stock Down 0.1 % Shares of MUT stock opened at GBX 825.50 ($10.47) on Wednesday. Murray Income Trust has a one year low of GBX 715 ($9.07) and a one year high of GBX 890 ($11.29). The company has a debt-to-equity ratio of 11.47, a current ratio of 3.76 and a quick ratio of 3.64. The company has a market capitalization of 920.85 million, a price-to-earnings ratio of -1,251.52 and a beta of 0.78. The companys fifty day moving average price is GBX 841.71 and its 200-day moving average price is GBX 854.36. Get Murray Income Trust alerts: Murray Income Trust Company Profile (Get Free Report) See Also Murray Income Trust PLC is a closed ended equity mutual fund launched and managed by Aberdeen Fund Managers Limited. It is co-managed by Aberdeen Asset Managers Limited. The fund invests in the public equity markets of the United Kingdom. It seeks to invest in stocks of companies operating across diversified sectors. Receive News & Ratings for Murray Income Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Murray Income Trust and related companies with MarketBeat.com's FREE daily email newsletter. Jag Capital Management LLC boosted its holdings in Philip Morris International Inc. (NYSE:PM Free Report) by 33.1% during the first quarter, according to the company in its most recent filing with the Securities and Exchange Commission. The fund owned 5,400 shares of the companys stock after acquiring an additional 1,342 shares during the period. Jag Capital Management LLCs holdings in Philip Morris International were worth $525,000 as of its most recent filing with the Securities and Exchange Commission. Other institutional investors have also recently modified their holdings of the company. Riverpoint Wealth Management Holdings LLC acquired a new position in shares of Philip Morris International in the 4th quarter valued at about $25,000. Optiver Holding B.V. acquired a new position in Philip Morris International during the 4th quarter worth approximately $29,000. Stone House Investment Management LLC purchased a new position in Philip Morris International in the 1st quarter worth approximately $29,000. BOK Financial Private Wealth Inc. purchased a new position in Philip Morris International in the 1st quarter worth approximately $32,000. Finally, FSC Wealth Advisors LLC grew its position in Philip Morris International by 75.0% in the first quarter. FSC Wealth Advisors LLC now owns 350 shares of the companys stock valued at $34,000 after acquiring an additional 150 shares in the last quarter. 77.43% of the stock is currently owned by institutional investors and hedge funds. Get Philip Morris International alerts: Wall Street Analysts Forecast Growth A number of brokerages have weighed in on PM. StockNews.com started coverage on Philip Morris International in a research note on Thursday, May 18th. They set a hold rating for the company. Citigroup upgraded shares of Philip Morris International from a neutral rating to a buy rating and increased their price objective for the company from $109.00 to $117.00 in a research note on Tuesday, June 20th. Finally, 22nd Century Group restated a reiterates rating on shares of Philip Morris International in a research note on Tuesday, June 27th. One equities research analyst has rated the stock with a sell rating, two have given a hold rating and eight have given a buy rating to the companys stock. Based on data from MarketBeat.com, Philip Morris International has an average rating of Moderate Buy and a consensus price target of $111.40. Philip Morris International Stock Performance PM opened at $94.66 on Wednesday. The company has a market capitalization of $146.94 billion, a PE ratio of 18.30, a P/E/G ratio of 2.00 and a beta of 0.69. The stocks fifty day moving average is $96.83 and its 200-day moving average is $97.10. Philip Morris International Inc. has a one year low of $82.85 and a one year high of $105.62. Philip Morris International (NYSE:PM Get Free Report) last released its earnings results on Thursday, July 20th. The company reported $1.60 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $1.50 by $0.10. Philip Morris International had a negative return on equity of 127.84% and a net margin of 11.63%. The firm had revenue of $8.97 billion for the quarter, compared to analyst estimates of $8.76 billion. During the same period in the previous year, the company earned $1.48 earnings per share. Philip Morris Internationals revenue was up 14.5% compared to the same quarter last year. On average, equities research analysts forecast that Philip Morris International Inc. will post 6.21 EPS for the current fiscal year. Philip Morris International Announces Dividend The company also recently disclosed a quarterly dividend, which was paid on Tuesday, July 11th. Investors of record on Friday, June 23rd were paid a $1.27 dividend. This represents a $5.08 dividend on an annualized basis and a yield of 5.37%. The ex-dividend date was Thursday, June 22nd. Philip Morris Internationals dividend payout ratio is 98.26%. Philip Morris International Company Profile (Free Report) Philip Morris International Inc operates as a tobacco company working to delivers a smoke-free future and evolving portfolio for the long-term to include products outside of the tobacco and nicotine sector. The company's product portfolio primarily consists of cigarettes and smoke-free products, including heat-not-burn, vapor, and oral nicotine products primarily under the IQOS and ZYN brands; and consumer accessories, such as lighters and matches. Recommended Stories Receive News & Ratings for Philip Morris International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Philip Morris International and related companies with MarketBeat.com's FREE daily email newsletter. CEMEX, S.A.B. de C.V. (NYSE:CX Get Free Report) was the recipient of a significant decrease in short interest during the month of July. As of July 31st, there was short interest totalling 5,200,000 shares, a decrease of 10.7% from the July 15th total of 5,820,000 shares. Based on an average trading volume of 5,850,000 shares, the days-to-cover ratio is currently 0.9 days. Currently, 0.3% of the shares of the stock are sold short. Analyst Upgrades and Downgrades A number of analysts have issued reports on CX shares. Barclays lifted their price target on shares of CEMEX from $8.00 to $9.00 in a report on Friday, July 28th. JPMorgan Chase & Co. raised their price target on CEMEX from $8.00 to $9.00 and gave the company an overweight rating in a report on Friday, July 28th. StockNews.com raised CEMEX from a buy rating to a strong-buy rating in a report on Saturday, July 29th. Citigroup cut shares of CEMEX from a buy rating to a neutral rating in a research report on Friday, May 5th. Finally, Bank of America lowered shares of CEMEX from a buy rating to a neutral rating and increased their price objective for the company from $6.80 to $7.20 in a research report on Monday, May 15th. Five equities research analysts have rated the stock with a hold rating, two have issued a buy rating and one has issued a strong buy rating to the company. Based on data from MarketBeat, the stock presently has an average rating of Moderate Buy and an average target price of $7.87. Get CEMEX alerts: Get Our Latest Analysis on CX CEMEX Trading Up 1.6 % Institutional Trading of CEMEX Shares of NYSE:CX traded up $0.13 during trading hours on Wednesday, hitting $8.13. 4,863,874 shares of the companys stock traded hands, compared to its average volume of 6,379,396. The firm has a market capitalization of $11.78 billion, a P/E ratio of 13.48, a price-to-earnings-growth ratio of 0.63 and a beta of 1.45. The business has a fifty day moving average price of $7.29 and a 200-day moving average price of $6.23. CEMEX has a 1 year low of $3.20 and a 1 year high of $8.19. The company has a debt-to-equity ratio of 0.51, a current ratio of 0.89 and a quick ratio of 0.58. Hedge funds and other institutional investors have recently bought and sold shares of the business. Capital International Investors lifted its holdings in CEMEX by 76.6% during the 2nd quarter. Capital International Investors now owns 45,688,398 shares of the construction companys stock valued at $323,474,000 after buying an additional 19,823,297 shares in the last quarter. Principal Financial Group Inc. lifted its holdings in shares of CEMEX by 435.5% in the 2nd quarter. Principal Financial Group Inc. now owns 19,250,907 shares of the construction companys stock worth $136,296,000 after buying an additional 15,656,187 shares during the period. Perpetual Ltd purchased a new position in shares of CEMEX during the first quarter valued at $61,679,000. American Century Companies Inc. lifted its stake in CEMEX by 5,504.9% in the first quarter. American Century Companies Inc. now owns 8,262,416 shares of the construction companys stock worth $45,691,000 after acquiring an additional 8,115,001 shares during the period. Finally, Acadian Asset Management LLC grew its holdings in CEMEX by 470.1% during the 1st quarter. Acadian Asset Management LLC now owns 8,398,810 shares of the construction companys stock worth $46,432,000 after acquiring an additional 6,925,661 shares in the last quarter. Hedge funds and other institutional investors own 29.88% of the companys stock. About CEMEX (Get Free Report) CEMEX, SAB. de C.V., together with its subsidiaries, produces, markets, distributes, and sells cement, ready-mix concrete, aggregates, urbanization solutions, and other construction materials worldwide. The company also offers asphalt, concrete blocks, concrete block paving, rail sleepers, and flooring systems; architectural concrete products; and box culverts, bridges, drainage basins, barriers, and parking curbs. Read More Receive News & Ratings for CEMEX Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CEMEX and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com initiated coverage on shares of Greenhill & Co., Inc. (NYSE:GHL Free Report) in a report published on Sunday. The brokerage issued a hold rating on the financial services providers stock. Several other equities research analysts also recently weighed in on GHL. TheStreet downgraded shares of Greenhill & Co., Inc. from a c rating to a d+ rating in a research note on Wednesday, May 31st. Keefe, Bruyette & Woods raised shares of Greenhill & Co., Inc. from an underperform rating to a market perform rating in a research note on Monday, May 22nd. Finally, JMP Securities downgraded shares of Greenhill & Co., Inc. from an outperform rating to a market perform rating in a research note on Tuesday, May 23rd. One equities research analyst has rated the stock with a sell rating and three have assigned a hold rating to the companys stock. Based on data from MarketBeat, the stock currently has a consensus rating of Hold and a consensus target price of $11.83. Get Greenhill & Co. Inc. alerts: Get Our Latest Stock Analysis on GHL Greenhill & Co., Inc. Stock Performance Greenhill & Co., Inc. Dividend Announcement Shares of GHL opened at $14.74 on Friday. Greenhill & Co., Inc. has a twelve month low of $5.64 and a twelve month high of $14.97. The company has a market cap of $277.11 million, a P/E ratio of 26.32 and a beta of 1.12. The company has a current ratio of 2.24, a quick ratio of 2.24 and a debt-to-equity ratio of 4.64. The companys fifty day simple moving average is $14.68 and its 200-day simple moving average is $11.64. The firm also recently announced a quarterly dividend, which will be paid on Wednesday, September 27th. Shareholders of record on Wednesday, September 13th will be issued a dividend of $0.10 per share. This represents a $0.40 annualized dividend and a yield of 2.71%. The ex-dividend date is Tuesday, September 12th. Greenhill & Co., Inc.s payout ratio is 71.43%. Institutional Inflows and Outflows Hedge funds and other institutional investors have recently added to or reduced their stakes in the business. Fisher Asset Management LLC grew its holdings in Greenhill & Co., Inc. by 4.0% during the 4th quarter. Fisher Asset Management LLC now owns 460,587 shares of the financial services providers stock worth $4,721,000 after acquiring an additional 17,621 shares in the last quarter. GSA Capital Partners LLP grew its holdings in Greenhill & Co., Inc. by 5.1% during the 4th quarter. GSA Capital Partners LLP now owns 163,201 shares of the financial services providers stock worth $1,673,000 after acquiring an additional 7,924 shares in the last quarter. Kestra Advisory Services LLC grew its holdings in Greenhill & Co., Inc. by 37.7% during the 4th quarter. Kestra Advisory Services LLC now owns 16,752 shares of the financial services providers stock worth $172,000 after acquiring an additional 4,585 shares in the last quarter. EA Series Trust bought a new position in Greenhill & Co., Inc. during the 2nd quarter worth about $504,000. Finally, GAM Holding AG bought a new position in Greenhill & Co., Inc. during the 2nd quarter worth about $147,000. 63.50% of the stock is currently owned by institutional investors. About Greenhill & Co., Inc. (Get Free Report) Greenhill & Co, Inc, an independent investment bank, provides financial and strategic advisory services to corporations, partnerships, institutional investors, and governments worldwide. The company offers advisory services related to mergers and acquisitions, divestitures, restructurings, financings, private capital raising, and other similar transactions. Featured Articles Receive News & Ratings for Greenhill & Co. Inc. Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Greenhill & Co. Inc. and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Tanger Factory Outlet Centers, Inc. (NYSE:SKT Get Free Report) gapped down before the market opened on Wednesday . The stock had previously closed at $24.57, but opened at $23.74. Tanger Factory Outlet Centers shares last traded at $24.23, with a volume of 139,521 shares. Wall Street Analysts Forecast Growth SKT has been the topic of a number of research reports. Compass Point raised Tanger Factory Outlet Centers from a neutral rating to a buy rating and upped their price target for the stock from $21.00 to $26.00 in a research note on Monday, August 7th. 888 reaffirmed a maintains rating on shares of Tanger Factory Outlet Centers in a research note on Wednesday, May 31st. StockNews.com initiated coverage on Tanger Factory Outlet Centers in a research note on Thursday, May 18th. They issued a hold rating for the company. The Goldman Sachs Group downgraded Tanger Factory Outlet Centers from a buy rating to a neutral rating and set a $26.00 price objective for the company. in a research note on Wednesday. Finally, Evercore ISI increased their price objective on Tanger Factory Outlet Centers from $22.00 to $23.00 and gave the stock an in-line rating in a research note on Monday, August 7th. Three analysts have rated the stock with a hold rating and one has given a buy rating to the stock. According to MarketBeat, Tanger Factory Outlet Centers presently has an average rating of Hold and a consensus price target of $23.75. Get Tanger Factory Outlet Centers alerts: Read Our Latest Report on SKT Tanger Factory Outlet Centers Trading Down 2.2 % Tanger Factory Outlet Centers Announces Dividend The stock has a market capitalization of $2.53 billion, a PE ratio of 29.60, a P/E/G ratio of 6.71 and a beta of 1.82. The firm has a 50 day moving average price of $22.79 and a 200 day moving average price of $20.39. The company has a quick ratio of 2.49, a current ratio of 2.49 and a debt-to-equity ratio of 2.81. The firm also recently declared a quarterly dividend, which was paid on Tuesday, August 15th. Investors of record on Monday, July 31st were given a dividend of $0.245 per share. This represents a $0.98 dividend on an annualized basis and a dividend yield of 4.08%. The ex-dividend date of this dividend was Friday, July 28th. Tanger Factory Outlet Centerss payout ratio is 118.07%. Insider Activity at Tanger Factory Outlet Centers In related news, insider Steven B. Tanger sold 220,064 shares of the stock in a transaction that occurred on Monday, June 12th. The stock was sold at an average price of $21.67, for a total transaction of $4,768,786.88. Following the completion of the sale, the insider now directly owns 1,281,919 shares of the companys stock, valued at $27,779,184.73. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this hyperlink. In related news, Director Susan E. Skerritt sold 3,310 shares of the stock in a transaction that occurred on Friday, June 2nd. The stock was sold at an average price of $21.04, for a total transaction of $69,642.40. Following the completion of the sale, the director now directly owns 52,621 shares of the companys stock, valued at $1,107,145.84. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this hyperlink. Also, insider Steven B. Tanger sold 220,064 shares of the stock in a transaction that occurred on Monday, June 12th. The shares were sold at an average price of $21.67, for a total value of $4,768,786.88. Following the sale, the insider now directly owns 1,281,919 shares of the companys stock, valued at $27,779,184.73. The disclosure for this sale can be found here. 3.70% of the stock is currently owned by company insiders. Institutional Trading of Tanger Factory Outlet Centers A number of institutional investors and hedge funds have recently modified their holdings of the business. BlackRock Inc. grew its holdings in Tanger Factory Outlet Centers by 2.2% during the second quarter. BlackRock Inc. now owns 18,889,097 shares of the real estate investment trusts stock valued at $416,882,000 after purchasing an additional 399,522 shares during the period. Vanguard Group Inc. grew its holdings in Tanger Factory Outlet Centers by 0.3% during the third quarter. Vanguard Group Inc. now owns 16,577,247 shares of the real estate investment trusts stock valued at $226,777,000 after purchasing an additional 44,744 shares during the period. State Street Corp grew its holdings in Tanger Factory Outlet Centers by 1.3% during the second quarter. State Street Corp now owns 6,538,718 shares of the real estate investment trusts stock valued at $144,310,000 after purchasing an additional 82,202 shares during the period. Ameriprise Financial Inc. grew its holdings in Tanger Factory Outlet Centers by 10.6% during the first quarter. Ameriprise Financial Inc. now owns 2,744,425 shares of the real estate investment trusts stock valued at $53,417,000 after purchasing an additional 261,933 shares during the period. Finally, LSV Asset Management grew its holdings in Tanger Factory Outlet Centers by 4.1% during the second quarter. LSV Asset Management now owns 2,627,108 shares of the real estate investment trusts stock valued at $57,980,000 after purchasing an additional 104,611 shares during the period. 81.30% of the stock is owned by hedge funds and other institutional investors. Tanger Factory Outlet Centers Company Profile (Get Free Report) Tanger Factory Outlet Centers, Inc (NYSE: SKT) is a leading operator of upscale open-air outlet centers that owns (or has an ownership interest in) and/or manages a portfolio of 36 centers with an additional center currently under development. Tanger's operating properties are located in 20 states and in Canada, totaling approximately 13.9 million square feet, leased to over 2,700 stores operated by more than 600 different brand name companies. See Also Receive News & Ratings for Tanger Factory Outlet Centers Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Tanger Factory Outlet Centers and related companies with MarketBeat.com's FREE daily email newsletter. Rezayi Qudratullah and Asil Kohammad Arif pose outside Hanokers in downtown Seoul, July 30. Courtesy of Bereket Alemayehu By Bereket Alemayehu The Afghanistan community in South Korea has an estimated population of about 1,000, and among that number about 200 of them are believed to belong to the Hazara ethnic minority. Rezayi Qudratullah and Asil Kohammad Arif are refugee applicants of the Hazara ethnic group from Afghanistan who have lived in Korea for about five years. They have been raising their voices on behalf of the Hazara people, sharing information about their ethnic heritage and the genocidal crimes against their people of the past as well as the present at the hands of the Taliban government of Afghanistan in addition to their own story of living in exile far from their homeland. As the world's attention fluctuates between headlines of geopolitical rivalries and international conflicts, the media often neglects to portray the full picture of Afghanistan's complex reality. Korea's media landscape, for instance, often provides only a fragmented view of Afghanistan's turmoil, not to mention the over the resettlement of the so-called " " in Korea. Korea has recently become a sanctuary for many Hazara refugees who fled the horrors of their homeland. But the history of this ethnic minority is little-known to the public in Korea. According to Rezayi and Asil, the Hazaras are historically a big ethnic group in central Asia related to Mongolians and Turks, who now live in Afghanistan, Iran, Pakistan, Turkmenistan and Kazakhstan. The Hazaras have Asian features. Hazaras speak Hazarika which has words in common with Turkish, Uzbek and Mongolian. Millions of Hazara people in Afghanistan have been forced to leave their homeland. Based on the Minority Rights Group International website, the in Afghanistan and have long faced violence and discrimination. This is partly to do with religion: historically, the Shi'a minority, regardless of ethnicity, has faced long-term persecution from Afghanistan's majority Sunni population. Furthermore, Hazaras have also been targeted for violence by extremist groups, including the Taliban and the regional affiliate of the so-called Islamic State.? The systemic discrimination and targeted violence against the Hazaras, due mainly to their distinctive ethnic features and religious beliefs, remains largely untold and overshadowed by the media's limited attention. This tendency diminishes the broader narrative of the Afghan conflict and undermines the urgency of addressing the plight of marginalized communities. For Rezayi and Asil, the past two decades, from 2001 to 2021 during the U.S.-led military occupation, were a golden age for the Hazara people, who achieved progress in just 20 years which would normally take 100 years. The Hazaras were able to study in schools and universities, held significant positions in the Afghanistan government and army, participated in the Olympic Games and earned medals, became stars in music and dance competitions and gained global recognition for their discoveries and inventions. Against this backdrop, Rezayi and Asil carry with them a history shaped by resilience, survival, and a search for safety. They have been raising their voices to inform the Korean public about the profound atrocities this ethnic minority faces, along with its human cost, which includes a last year that targeted Hazara girls at an education center in Kabul. They are deeply concerned about the return of the Taliban to power in Afghanistan, who they believe pose a direct threat and danger to their people. "Koreans are well aware of refugees from Afghanistan whom the South Korean government brought to Korea through an evacuation operation two years ago," said Yonsei University cultural anthropology professor Kim Hyun-mee, one of the leading scholars on refugee issues in South Korea. "They worked at the South Korean Embassy and other Korean agencies, and that's why the Korean government granted them the status of 'special contributors.'" However, she added that most Koreans do not know about the plight of the Hazara ethnic minority, which accounts for 20 percent of Afghanistan's population, due to the long history of genocide and deportation. "I think it's a severe issue, especially in the sense that Hazara young women and girls, who are considered to be responsible for the reproduction of their ethnicity, are often the victims of suicide bombings," she said. "We need to look more dynamically at and address the power structures that result from the complex discrimination based on race, religion, ethnicity and gender that create refugees." Asil Kohammad Arif, Kim Hyun-mee and Rezayi Qudratullah pose at Hanokers in downtown Seoul, July 30. Courtesy of Bereket Alemayehu Asil said the Taliban is a terrorist group, so he is fortunate to be in Korea where he is content. "Korean society is pretty much interesting that I feel safe and secure in here, except being unrecognized as a refugee has many challenges, such as being unable to find job opportunities and health insurance," he said. With their spirits remaining unbroken, Hazara refugees in South Korea shed light on narratives of a journey marked by resilience, survival and a yearning for justice. They demand protection and meaningful actions from the global community for their people. Bereket Alemayehu is an Ethiopian photo artist, social activist and writer based in Seoul. He's also co-founder of , a refugee-led social initiative, and freelance contributor for Pressenza Press Agency. Visit for more information. The Cheonjabong landing ship is seen in this Aug. 16 photo provided by the Korean Navy. Yonhap The Korean Navy will participate in an annual multinational humanitarian assistance exercise in the Indo-Pacific later this month to sharpen combined operational capabilities, the armed service said Wednesday. It plans to send its Cheonjabong landing ship as well as 180 personnel, including Marines, to the Pacific Partnership exercise, which began on Aug. 9 and will run through Nov. 21. Its contingent is set to join parts of the exercise in the Philippines and Malaysia from Aug. 21-Sept. 16. Led by the U.S. Pacific Fleet, the exercise involves personnel from Korea, the United States, Australia, Britain, Japan and New Zealand. It was launched in 2004 as part of an effort to overcome the damage wrought by a tsunami that hit South and Southeast Asia. Since 2007, Korea has sent largely medical staff to the exercise. But its contingent for this year's edition includes a warship as well as engineering personnel and civilian experts in an effort to reinforce disaster response and humanitarian aid capabilities, the Navy said. "The exercise is a good opportunity to improve capabilities for humanitarian assistance and disaster responses in cooperation with multinational forces, and enhance military cooperation with the participating countries," a Navy official was quoted as saying in a press release. From Aug. 21-31, the Korean personnel will join drills in the vicinity of San Fernando in the Philippines, where it will provide aid in the construction of a local school and engage in drills on treating and transporting patients and responding to infectious animal diseases. In Malaysia from Sept. 5-16, the contingent will conduct drills on establishing a field hospital, responding to a disaster and treating combat casualties, and join a project to repair a local school, according to the Navy. (Yonhap) Thank you for reading! Please purchase a subscription to read our premium content. If you have a subscription, please log in or sign up for an account on our website to continue. Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 1069127083679197721414209125171011030176 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 57824078417795265642832335187512523416 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 10130028771634605651751489867461190441 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 36414629517565903277133397980031486012 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 86034242207075183783168801856198614021 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 3747151843566894815930614457671622789 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 120961463628926276565332608031577464071 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 445274664502676654612580890177580502319 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 1177148223915949695113725804243882333452 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 9410499954527289723786300776873751019 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 158055903463937263968181378176124701414 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 1825990300874686463114437535839761387074 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 35823741482709101277049457696372742990 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 1454241910683856314710462926694401673930 Validating your browser . . . Please turn JavaScript on and reload the page. Please enable cookies and reload the page. This may take up to 5 seconds Event ID: 48743533009219024742173556680468181058 On 15 August Guatemalas military veterans association (Avemilgua) endorsed presidential candidate(Unidad Nacional de la Esperanza, UNE) ahead of the 20 August presidential second-round run-off in which she will face anti-graft contenderof the left-of-centre Movimiento Semilla (Semilla). End of preview - This article contains approximately 402 words. Subscribers: Log in now to read the full article Not a Subscriber? Choose from one of the following options A sweltering and brutal heat wave is gripping Phoenix and other parts of Arizona, with a full-on drought also devastating the state. A widespread heatwave has spread throughout the Southwest, and it is reaching record highs. According to CNN, this is now the "driest start to summer" for Phoenix. This comes after the city did not have any measurable rainfall on Monday, a new record in what was supposed to be the start of the region's monsoon. This shows a much bigger problem in the Southwest, a mostly-desert region that relies on the monsoon, seasonal shifts in wind direction that transports moisture into the region for much of its rain. Around 40% to 75% of the city's annual rainfall comes from the monsoon. This lack of rainfall during a time when it was supposed to rain a lot is only enhancing the heat in Arizona, too. It was noted that parts of Phoenix did see some rain in July but did not fall at the city's official reporting station, meaning it was not counted in the city's records. Only 30 to 40% of the Phoenix metro area experienced that July rainfall, which was described as light. It only amounted to between 0.1 to 0.2 inches across the two days. Since then, the city's rainfall woes have only been growing. Phoenix Heat Is Withering Plants Around the City The punishing heat is not just affecting people but also plants and animals. The heatwave has taken its toll on the flora and fauna around the city, even though these plants and animals are supposed to be used to the usually hot conditions of Arizona. READ MORE: Texas Heat Waves Warning: Excessive Heat Coming Until End of June According to Fronteras Desk, the iconic saguaros of the Sonoran Desert are starting to fall over because of the heat. Meanwhile, trees around Phoenix are also showing that their leaves have been scorched. Succulents are also showing black burn marks, while bushes are shriveling up all over the Phoenix area. Melanie Tluczek, the director of science and education at the McDowell Sonoran Conservancy in Scottsdale, Arizona spoke to Fronteras and stated that there is evidence of stress in the desert area. However, the Saguaro cacti outside of the Phoenix heat dome do seem to be OK and are not falling over as they are in the city. Extreme Phoenix Heat Might Also Affect Newcomers Moving Into the City Phoenix is attracting many new residents, as seen by the 2020 US Census, with around 200,000 people moving into Maricopa County, where Phoenix is, and is also Arizona's most populous area. With this scorching Phoenix heat wave, however, many of these new residents might not be able to cope. Axios spoke with some of these new Arizona residents, with one new resident, Rosa A., who moved from California, admitting that the heat was more than she expected and did not appreciate how extreme it is. Meanwhile, Anna B., who moved from Maine, said she was shocked and described the experience as "walking into an oven ... and never getting out." READ NEXT: Paul Gosar Staffer Linked to Neo-Nazi Nick Fuentes, New Report Shows This article is owned by Latin Post. Written by: Rick Martin WATCH: Heat Diaries: What it's like living through Phoenix's historic heat wave - PBS NewsHour Hawaii Governor Josh Green issued a stern warning to investors and realtors who have approached residents with offers to purchase land ravaged by the recent devastating Maui wildfire. The governor's caution came amidst reports that some individuals have been contacting residents amid the crisis, seeking to acquire damaged properties, according to Newsweek. Governor Green emphasized his concern over these actions in a press release, stating that he has initiated discussions with the attorney general to explore the possibility of imposing a moratorium on the sales of properties that have suffered damage or destruction due to the wildfires. He underlined that the recovery process will be extensive, making it unrealistic for any growth or housing construction to occur in the immediate future. "Attempting to take advantage of our people by acquiring their land and subsequently building on it would be ill-informed," Green warned. The governor's remarks come in response to claims circulating on social media, with Maui residents reporting contact from realtors and investors expressing interest in buying their land as wildfires continue to blaze. The Kako'o Haleakala organization, a local advocacy group, took to Instagram to address this issue, urging affected residents to gather information about these individuals and their businesses for public awareness. The Maui wildfire, which ignited last week, has caused significant devastation, displacing over 4,000 individuals and resulting in a tragic death toll of at least 99. Authorities anticipate that the number of casualties will rise in the following days as cadaver dogs continue their search through the fire-ravaged areas. Residents of Maui faced a dire situation as the fires swiftly encroached upon their neighborhoods and towns. The speed of the fire's approach left people with minimal time to collect their belongings before evacuating for their safety. READ NEXT: Maui Wildfire Death Toll Nearing 100 Investors Targeting Lahaina The area of Lahaina, known for its scenic beauty, faces significant challenges as the wildfires have wreaked havoc on its homes and landscapes. The average home value in Lahaina stands at approximately $1 million, making it a less accessible market for the average person, The Independent noted. However, the influx of hotels, resorts, and condos has transformed it into an appealing and relatively affordable destination. Residents who have witnessed the Maui wildfire firsthand express fears that investors might capitalize on the tragedy to acquire land at reduced prices for the construction of high-end resorts. Richy Palalay, a resident who lost his workplace, neighborhood, and potentially his own home to the fires, voiced his apprehensions about developers seizing this moment for their gain. On the other hand, Archie Kalepa, a Lahaina resident, highlighted the need to balance the long-term recovery, rebuilding efforts, and retaining the local community's connection to their land. Moreover, John Dimuro, a long-time Maui resident, urged governmental intervention to safeguard the island's future. Dimuro asserted that a flat-out refusal of development permits could protect against opportunistic land grabs. FTC Warns of Scammers Targeting Wildfire Victims The Federal Trade Commission issued a cautionary alert, noting that victims of the Maui wildfire could fall prey to scammers who often exploit vulnerable individuals in the aftermath of disasters, per Gillett News. The FTC highlighted common scams, including imposter schemes where scammers pose as safety inspectors, government officials, or utility workers. Residents are advised to be vigilant against offers for immediate clean-up, requests for payment via wire transfers, gift cards, cryptocurrency, or cash, as well as any appeals for payment to supposedly facilitate eligibility for FEMA funds. Both the Pacific Disaster Center and the Federal Emergency Management Agency have estimated that the wildfires damaged or destroyed over 2,200 structures, primarily residential, on Maui. The rebuilding process is projected to exceed $5 billion, while around 4,500 residents require emergency shelter during the extensive recovery and reconstruction phase. As the county navigates the long road to recovery following the devastating Maui wildfire, the community remains watchful against exploitative practices and is dedicated to safeguarding its land and future. READ MORE: Woman's Body Found Inside Duffle Bag This article is owned by Latin Post. Written by: Bert Hoover WATCH: Hawaii Governor Warns Buying Land In Wake Of Maui Crisis 'Poorly Informed' - From Newsweek Christopher Clark, Hunter Biden's lead attorney, is stepping down from defending the presidential son, as seen on a court filing last Tuesday. Clark has defended high-profile clients before, such as Elon Musk and Mark Cuban. However, he is stepping down from the case as he may be called in to testify as a witness. Hunter Biden, son of President Joe Biden, was supposed to enter a plea deal in federal court last month, but a judge questioned the potential immunity it would grant. This led to the possibility that the Hunter Biden lawyer might be called in to testify. "It appears that the negotiation and drafting of the plea agreement and diversion agreement will be contested, and Mr. Clark is a percipient witness to those issues," said the presidential son's attorneys in a court filing. "It is inadvisable for Mr. Clark to continue as counsel in this case." The filing did guarantee that Hunter Biden would not have any legal hardship as he still has other counsel to represent him, including Abbe Lowell. Lowell recently stated that Donald Trump-appointed prosecutor, David Weiss, being appointed as a Special Counsel by Attorney General Merrick Garland on Friday would not mean any additional findings against his client as the case appears to go to a criminal trial, according to Politico. After Asking To Have a Special Counsel for Hunter Biden, Republicans Are Angry a Special Counsel Was Appointed Republicans have been asking that a special counsel be appointed to investigate Hunter Biden. However, now that Weiss, a Trump appointee, has been promoted to special counsel status by Merrick Garland, Republicans are now slamming the decision. Sen. Marsha Blackburn (R-Tenn.) scoffed at Weiss'spromotion, claiming that Garland "knows Weiss will protect Hunter." Yahoo! News pointed out that Blackburn was one of 31 Senate Republicans who asked specifically for Weiss to be appointed to oversee the probe. READ MORE: Will Joe Biden Pardon Hunter Biden if Convicted? White House Says 'No' Blackburn and the other Republican senators wanted Weiss because they said he will "provide additional assurances to the American people that the Hunter Biden investigation is free from political influence." This was because Weiss has been investigating Hunter Biden since the Trump administration. However, close Trump ally Sen. Lindsey Graham of South Carolina slammed Weiss' elevation, stating, "This is a political decision by the Biden administration to fix their political problem. I think they poured gasoline on a political fire." Special Counsel Investigating Hunter Biden Expected To Be Fair The announcement of Weiss' appointment as special counsel was made before the new special counsel's team announced that plea talks over tax and gun charges against Hunter Biden have already broken down, with the case likely heading to a criminal trial in either California or Washington DC. Garland stated in his decision to appoint Weiss that he believes the Trump-appointee "reinforces for the American people the Department's commitment to both independence and accountability in particularly sensitive matters." Before he stepped down as Hunter Biden's lawyer, Christopher Clark also reacted to the appointment, saying Weiss has diligently been investigating his client for five years and that he had proposed a resolution that they fully intend to pursue in court. "It is hard to see why he would have proposed such a resolution if there were other offenses he could have successfully prosecuted, and we are aware of none. We are confident when all of these maneuverings are at an end, my client will have resolution and will be moving on with his life successfully," Clark added. READ NEXT: Joe Biden Family Probe: House Republicans Find No Evidence of Wrongdoing This article is owned by Latin Post. Written by: Rick Martin WATCH: Rep. Goldman: 'Hunter Biden investigation is now very clearly a fishing expedition with no basis' - MSNBC During his inauguration ceremony, President Santiago Pena emphasized the unique relationship between Paraguay and Taiwan, referring to them as "not just allies, but also brothers," AP reports. The swearing-in took place at the government palace in Asuncion, attended by regional leaders and Taiwan's Vice President, William Lai. Paraguay stands out as the sole South American nation, among a diminishing group of 12 governments globally, to uphold diplomatic ties with Taiwan. This alignment became even more significant following the loss of another regional ally, Honduras, which severed diplomatic relations with Taiwan earlier this year. President Pena outlined his vision of forging alliances and cooperative agreements with a geostrategic approach, characterized by horizontal partnerships. He underscored the exemplary status of Paraguay's relationship with Taiwan, describing it as a demonstration of Paraguay's amicable and cooperative spirit toward nations with which it shares profound affinity. Vice President Lai's presence at the inauguration further reinforced Paraguay's unwavering commitment to its association with Taiwan. Despite Taiwan's self-governance, China has consistently refuted its sovereignty, claiming the island as its own territory. READ NEXT: Paraguay Hopes President Santiago Pena Could Reboot Economy Safeguarding Paraguay-Taiwan Ties Amidst International Dynamics President Santiago Pena's ascendancy maintains continuity in Paraguay's foreign policy. Despite China's pressures, Paraguay remains the only South American country to uphold formal diplomatic relations with Taiwan, per Al Jazeera. During the election campaign, some of Pena's rivals entertained the idea of severing ties with Taiwan in favor of establishing economic connections with China. In contrast, Pena staunchly embraced his pro-Taiwan stance, pledging to fortify existing relations. Taiwan's Vice President Lai's attendance at the inauguration symbolized Paraguay's resolute commitment to Taiwan, even in the face of potential diplomatic pressures. Taiwan's diplomatic recognition poses challenges due to China's stance on the "one China" principle. China's economic prowess and its policy of avoiding countries that acknowledge Taiwan as a separate entity leave Taiwan with a limited number of diplomatic allies, primarily smaller nations in Central America, the Caribbean, and the Pacific. Paraguay New President, Santiago Pena Santiago Pena, described by acquaintances as having "good ideas" and a contemporary mindset, has assumed the presidency, Reuters reports. Despite his relative lack of political experience, Pena's steady demeanor and mature approach have earned him respect. Pena's educational journey included studying economics in Asuncion and later pursuing public policy at Columbia University in the United States. He gained experience working at Paraguay's central bank and the IMF in Washington before returning to Asuncion to join the central bank board. In 2015, he assumed the position of finance minister. Pena's political journey accelerated when he was chosen by then-President Cartes as his intended successor in 2016. Although doubts initially emerged within the Colorado party, Pena eventually secured the nomination and emerged victorious in the subsequent election. "Santi," as he is commonly known, champions business-friendly policies focused on job creation, lower taxes, and attracting foreign investment. Despite pressures, he has upheld Paraguay's longstanding diplomatic ties with Taiwan, showcasing his commitment to steady, evolutionary change rather than revolutionary upheaval. "He is not a politician who wants a revolution, he wants evolution," said a businessman who requested anonymity. READ MORE: Lula's Government Explains 'Growth Acceleration Plan' This is owned by Latin Post. Written by: Bert Hoover WATCH: Sworn-in Paraguay president Santiago Pena greets Taiwan VP in Asuncion - From AFP News Agency A heartbreaking incident unfolded in Jacksonville, Florida, as a 6-year-old boy lost his life after being shot in the head by a 9-year-old child, per the New York Post. The older child gained access to a loaded firearm and discharged a single shot, resulting in the tragic death of the younger Florida boy. The incident occurred on Monday shortly before 3 p.m. in the 5500 block of Shady Pine Street South. Law enforcement responded to a call reporting a person shot, and it was soon revealed that one of the juveniles had managed to obtain a firearm and discharged it, hitting the victim. The 6-year-old was quickly rushed to the University of Florida Shands Hospital, but sadly, the gunshot wound proved fatal. Authorities are now grappling with the unsettling task of determining how the 9-year-old was able to access the loaded firearm. It remains uncertain whether the gun was secured under lock and key within the residence. READ NEXT: Florida: Teen, 12-Year-Old Boy Arrested After Fatally Shot 3 Other Teens Fatal Shooting Happened While Boys Are Under Adult Supervision At the time of the incident, the two children are at home under the supervision of an adult. Assistant Chief J.D. Stronko provided this information during a press briefing, according to CBS News. Law enforcement questioned the adult present in the house. While no charges have been filed as of now, authorities are seeking answers about the specifics of the tragic event. "We're trying to get some answers to the specifics regarding the incident," Stronko said. Florida has a child access prevention law, under which individuals who store a loaded firearm and are aware or should be aware that a minor could access it are required to secure the firearm in a locked container or with a trigger lock. Failure to do so is considered a misdemeanor. Death of 6-Year-Old Boy Marks 15th Child Shot in Florida This Year This devastating incident highlights a broader issue in the United States, where unintentional shootings involving children have taken a toll. There have been over 240 accidental shootings by children in the US this year alone, leading to 88 deaths and 160 injuries, according to data analyzed by the advocacy group Everytown for Gun Safety. Tragically, more than a dozen unintentional shootings involving children have occurred in Florida this year. Since the beginning of this year, a troubling total of 15 children have been victims of gunshots. Among these incidents, four tragic cases resulted in fatalities, while three, including the recent Monday incident, were classified as accidental, News4JAX reports. A significant number of these incidents occur within the home, often due to loaded and unlocked firearms. The importance of parents discussing firearm safety with children's friends' and relatives' homes is underscored, according to Nichole Michaels from the Nationwide Children's Hospital. By making these conversations a normal part of household safety discussions, tragedies can potentially be prevented. Data from Everytown for Gun Safety also indicates that unintentional shootings involving children tend to occur more frequently at home, with the highest average number of incidents happening during the summer months. A chilling statistic from the Giffords Law Center to Prevent Gun Violence reveals that around 4.6 million minors in the US live in homes with loaded, unlocked firearms. This sobering reality underlines the urgent need for increased awareness, education, and responsible firearm storage to protect the lives of children. READ MORE: Woman's Body Found Inside Duffle Bag This article is owned by Latin Post. Written by: Bert Hoover WATCH: 9-year-old child shoots one bullet, killing 6-year-old - From First Coast News A fixture of the last decade in the West Bethlehem food scene is no longer, and a new eatery is quickly moving in. TheMINT Gastropub is permanently closed, according to a notice posted on the restaurants Facebook. The original post was shared in the popular, private Facebook group Complainers of Bethlehem, and TheMints social media pages both Facebook and Instagram have since been deactivated. The West Broad Street restaurant opened in 2011 and became well-liked for dishes like the Bank Burger, a reference to the building formerly housing a bank, and a menu section dedicated to mac-and-cheese. The restaurant changed hands in 2022, as original owner Domenic Lombardo sold TheMINT to restaurateurs Juan Carlos and Cara Paredes, who own a handful of other Bethlehem restaurants, including Main Streets Tapas on Main, Urbano Mexican Kitchen & Bar and The Flying Egg, among others. The new owners renovated and remodeled the space later that year. We are sad and sorry to say weve ended The Mint Gastropub chapter, the post on the now-deactivated Facebook page said. While the Paredes closed the doors to TheMINT, theyll be reopening them as Retro Burger, a new concept opening in the coming weeks, according to the post, featuring burgers, beer, shakes and more. In addition to Retro Burger, another big change is potentially coming to the property, though its likely much further out than the coming weeks. On the Bethlehem City Planning Commissions agenda for a Thursday meeting is a plan that proposes a significant addition to 1223 W. Broad Street: a connected, three-floor structure featuring six apartments. Schematic designs, which are available on the citys website, show an addition of one floor of storage and parking spaces, and two floors of three apartments each two two-bed, one-bathroom units and one one-bed, one-bathroom unit per floor. Signage on a mock-up of the apartments reads apartMINTS, in a nod to the former eatery. The plans will be presented to the commission at a 5 p.m. meeting on Thursday. Our journalism needs your support. Please subscribe today to lehighvalleylive.com. Connor Lagore may be reached at clagore@njadvancemedia.com. A New Jersey man has been sentenced to 50 months in prison for his involvement in a burglary spree that targeted Asian American small business owners in New Jersey, Pennsylvania, and two nearby states, U.S. Attorney Philip R. Sellinger said. Two Lehigh Valley men have also been charged as co-conspirators for allegedly being part of the eight-man crew that carried out the spree. Randi Barr, 42, of Vauxhall, pleaded guilty in the case to conspiracy to commit interstate transportation of stolen property in December of last year. Barr and his co-conspirators burglarized the homes of the business owners between December 2016 and March 2019, officials said. The group stole money, jewelry and other valuable items from residences in New Jersey, New York, Pennsylvania and Delaware and transported the goods between states, authorities said. In one instance in 2019, $500,000 in cash was taken from a single home in Eatontown, officials said. One of the co-conspirators told detectives that the group decided to target Asian individuals because it was believed that the victims kept large sums of currency and jewelry in their residences, according to a criminal complaint. Seven others were charged in the case along with Barr in 2021 Rabine Armour, of Easton, Pennsylvania; Kevin Burton, of Newark; Kevin Jackson, of Rahway; Thomas Rodgers, of Newark; James Hurt, of Tobyhanna, Pennsylvania; Sherman Glasco of Bethlehem, Pennsylvania; and Terrance Black, of Irvington. All of the defendants were charged with a single count of conspiracy to commit interstate transportation of stolen property. The U.S. Attorneys Office did not provide an update on the other cases. As part of his sentencing, Barr has been ordered to pay $127,661.40 in restitution and forfeiture. Our journalism needs your support. Please subscribe today to NJ.com. Nicolas Fernandes may be reached at nfernandes@njadvancemedia.com. This years Musikfest saw an increase in both incidents involving a police response and arrests compared to last year, with the former jumping nearly 50%, according to a breakdown released this week by the Bethlehem Police Department. The department, however, said on its Facebook page that the incidents at the 11-day festival which ended this past weekend were largely minor infractions. In all, there were 132 instances of police response, up from 89 last year, according to police. That resulted in 29 arrests, up from 21 last year, police said. The arrests included 24 summary arrests, four misdemeanor arrests, and one felony arrest, police said. The largest number of incidents requiring police response was medical emergencies, 36 of which Bethlehem police responded to, in addition to Emergency Medical Services. The next highest incident type was for found property, with 20 counts (an increase of 15 from 2022). Other high counts of police response were for parking complaints or checking on a person or vehicle. Twelve of the reports were for abandoned or hung-up 911 calls. Police said nine of the arrests were public drunkenness (a decrease from 14 last year) and another nine for disorderly conduct (up from two last year). The majority of those arrested were from outside Bethlehem 22 non-residents compared to seven residents. And most, 15 total, were in the 18-30 range. There were also five people over the age of 60 arrested, which is five more than were arrested last year in that age group. The most crucial Musikfest-related arrest didnt come at Musikfest. Robert L. Bowen Jr. was arrested on Aug. 4, the first full day of the festival, after being accused of plotting to set off a bomb at the festival. He was charged with unlawful possession or manufacture of a weapon of mass destruction, among other charges, after Bethlehem police found bomb-making materials during a search of his home. Bowen was arrested at the beginning of the festival, and court records were unsealed on Monday after the festival concluded. ArtsQuest announced Tuesday this years Musikfest set an attendance record, welcoming 1.33 million festival-goers to the Bethlehem grounds. A 44-year-old Ohio man faces charges with one carrying a possible sentence of life imprisonment for allegedly sexually assaulting a child in Warren County, according to the county prosecutors office. Michael Eton, of Zanesville, Ohio, was arrested on allegations he sexually assaulting the child on two occasions between 2019 and 2021 in Franklin Township, Warren County Prosecutor James Pfeiffer announced in a news release Wednesday. Eaton and the alleged victim were familiar with each other, authorities said. Eaton is charged with aggravated sexual assault, sexual assault and endangering the welfare of a child. The aggravated charge is a first-degree offense that carries a maximum penalty of life imprisonment, with a minimum 25-year sentence in New Jersey State Prison, under New Jerseys Jessica Lunsford Act, the prosecutors office said. The sexual assault charge and endangerment count, upon conviction, each carry a prison term of five to 10 years. This assault charge also is subject to the states No Early Release Act, meaning 85% of a sentence must be served prior to release on parole. Eaton was being held in the Zanesville County Jail in Ohio, pending extradition to New Jersey, according to the prosecutors office. Pfeiffer credited contributions to the investigation to the Warren County Prosecutors Office Special Victims Unit, New Jersey State Police at Washington, New Jersey State Police Fugitive Unit, U.S. Marshals Service NY/NJ Regional Fugitive Task Force-Newark Office and U.S. Marshals Service Ohio Fugitive Apprehension Team. It was not immediately known whether Eaton has an attorney in the case. Our journalism needs your support. Please subscribe today to lehighvalleylive.com. Kurt Bresswein may be reached at kbresswein@lehighvalleylive.com. The work of Laois volunteers in treating injured British soldiers during World War I is the feature of a Heritage Week event in Laois. World War I Volunteer Aid Detachment (VADs) of Queens County, Portlaoise Library, is the name of the event by historian Regina Dunne. She has been researching the Volunteer Aid Detachments (VADs) of Laois for several years now and has gathered information on over 300 volunteers with addresses registered in County Laois. The Detachments were a voluntary units of civilians who provided nursing care for military personnel in the United Kingdom and various other countries in the British Empire. The most important periods of operation for these units were during World War I and World War II. Of the 74,000 VAD members in 1914, two-thirds were women and girls. This talk will focus on Ms Dunne's research to date, highlighting the women who worked on the front lines or military hospitals in France, England and Ireland. Some of these women were mentioned in dispatches and awarded medals but have been relatively forgotten about until now. Ms Dunne hopes her research will encourage relations and local history enthusiasts to further explore the role of these women in Laois society in the early twentieth century. The talk takes place on Wednesday, August 16 at 7pm in Portlaoise Library but booking is required. To reserve a place call 087 415 4769 or email wlawler@laoiscoco.ie The event is organised by Laois Libraries as part of Heritage Week which runs from August 12 to 20. Please allow ads as they help fund our trusted local news content. Kindly add us to your ad blocker whitelist. If you want further access to Ireland's best local journalism, consider contributing and/or subscribing to our free daily Newsletter . Support our mission and join our community now. Local Labour area representative Eoin Barry said that the housing crisis is having disastrous consequences in the lives of young people in Laois as new figures from 2022 show that more than two in three people in Ireland aged between 25 and 29 (68%) are still living at home with their parents. These Eurostat figures reveal the stark social consequences of the housing crisis, which represents a lived reality for too many of our young people in Laois," said Mr Barry. Unaffordable rents and skyrocketing house prices have meant that young people are living at home with parents for longer, putting off big life moments like living independently or moving in with friends or a partner. And this generational crisis is getting worse. The number of those living at home with their parents has doubled in a decade, and Ireland is way above the EU average across the EU, on average only 42% of those aged between 25 and 29 remain living in their parents home. There is a hidden cost to this. Young people are putting off making big life decisions and effectively failing to launch their adult lives, because they lack the social structures that the State should be providing, like access to a secure and affordable home, as well as access to other social supports like childcare and affordable healthcare. Having your own home, a place to call your own is a fundamental human right. It provides a sense of stability and independence which is being denied to this generation of young people. Despite record employment levels, too many young adults in Ireland today are barely getting by. They are working hard, paying taxes and contributing to society, yet for far too many, Ireland feels like no country for young people. In order to address this crisis, we need to see a structural revolution in housing. Government representatives have displayed dismissive attitudes in response to Labours constructive proposals to increase housing supply. Time for a change of approach," stated Mr Barry. There were 182 patients without a bed having been admitted to Naas General Hospital in July. This was less than the number recorded for July last year (257) but considerably in excess of the 100 patients admitted without a bed being immediately available in July 2021. Just a single patient had no bed at Tullamore Hospital compared with the July 20022 total of 72. At Portlaoise Hospital there were 93 patients without a a bed - up from 65 from the previous July. The Irish Nurses and Midwives Organisation, which monitors the overcrowding levels, noted some 7,832 patients, including 138 children, went without a bed in Irish hospitals this July. And this represents a 10% increase in the same time period in 2022. The INMO has warned that the HSE must view this as an indication of what is now inevitable this winter and must act accordingly. INMO General Secretary Phil Ni Sheaghdha said: The fact that we have seen over 7,832 patients on trolleys in July is a red flag warning for the autumn and winter ahead. The HSE must set out very clearly what measures it intends to take to reduce the levels of overcrowding in our hospitals in the coming months. Over 72,391 patients have been on trolleys so far this year, a ten per cent increase on the same period last year, this is a bleak sign heading into the winter months. It has been reported that the Cabinet has signed off on a year-round plan for the HSE, the INMO will be now seeking details of the staff support measures it contains as staff cannot be expected to just endure these conditions for another winter. Ms Ni Sheaghdha noted that HIQ inspection reports show that there is a pattern emerging across the vast majority of hospitals that unsafe levels of staffing is compromising both patient and staff safety. Read more Kildare news Safe staffing underpinned by legislation must go hand-in-hand with any plan produced to tackle year-round overcrowding. As the HSE and individual hospital groups prepare for winter, infection control measures must be assessed ahead of predictable winter infection surges. We have already seen hospitals such as University Hospital Kerry review their mask-wearing and visitor policies because of infection outbreaks in July. A dynamic infection control plan is needed across all hospital sites as airborne viruses will no doubt play a major factor in hospital overcrowding in the months ahead. Top 5 most overcrowded hospitals last month were University Hospital Limerick (1,824 patients); Sligo University Hospital (615); St Vincents University Hospital (592); Cork University Hospital (591) and University Hospital Galway (541). Local campaigners from Save Leitrim were joined by climate activists from across Ireland on Sunday (August 13) as they pulled up Sitka spruce saplings from Coillte peatland and demanded, Trees for climate, not for profit. Straw Boys in traditional costumes were among 150 people who took part in the "direct action" targeting industrial conifer plantations and calling for "radical change in Irelands forestry policy", a statement to the Leitrim Observer said. Straw boys are "mischievous disrupters who gatecrash weddings, their identities camouflaged by conical straw hats." The statement continued: "The group, aged 5 to 75, used the uprooted saplings to block drains in the publicly-owned plantation near Manorhamilton, thereby beginning restoration of the degraded peatland. They also planted native broadleaf trees on a nearby farm at the site of this years Climate Camp." The event took place on the final day of the Climate Camp, a five-day festival of resistance, at which hundreds of people gathered to learn from each others struggles and to demand climate solutions that prioritise communities, not shareholders. Save Leitrim, one of the groups that organised the Climate Camp, campaigns against the expansion of industrial conifer plantations by Coillte and by corporate and private investors that "are damaging communities, farming, the environment, water, soils and biodiversity." Brian Smyth of Save Leitrim said: The bog from which people pulled up the sitka saplings today would sequester and store more carbon than those sitka spruce trees ever would. Planting sitka spruce in peatland is a disaster for both climate and biodiversity - especially when the trees are clear-felled. We want climate action, we want tree-planting, but we urgently need a shift away from the focus on timber production towards native natural woodlands of broadleaf trees. Coillte has lost our trust. Weve been campaigning for years but the Government hasnt listened. Todays action is a call to further action. There must be community ownership and input to planning and managing these woodlands and they must be fairly spread across Ireland for a just transition. More than 230,000 hectares of peatland in the Republic of Ireland is planted with Sitka Spruce, the statement continued adding that "11 per cent of Ireland is covered by forest, compared to a European average of approximately 35 per cent. The vast majority (9% of the country) is under industrial conifer plantations. Less than 2% of Ireland is covered with native broadleaf trees." Sian Cowman of Sli Eile, the main organising group behind the Climate Camp, said: Direct action is an example of communities empowering themselves. Direct action is also necessary and urgent in a situation where governments, including in Ireland, are not taking the climate and biodiversity emergency seriously. The Climate Camp was an inspiring five days during which climate activists and community campaigners gathered to build a radical climate movement on the island of Ireland. We stand against destruction of community, the exploitation of land and the politics of hate. We say No to a ruthless capitalist model of never-ending growth and profit that is the main driving force behind the climate crisis. We stand for communities, not shareholders. Brian Smyth of Save Leitrim continued: We demand an end to planting and replanting on peatland and an end to clear-felling. We also demand reform of the 1988 Forestry Act and Coilltes mandate to include the removal of the sole profit motive and the introduction of a climate and biodiversity remit, with required community engagement. Earlier this year the Citizens Assembly on Biodiversity recommended a review of Coilltes role, over a conflict of interest between business aims and corporate responsibility, and called for the legislation underpinning Coillte to be reassessed to ensure biodiversity protection was at the core of its aims. The Climate Camp came to a close on Sunday afternoon with a ceremony at which participants planted oak and other native broadleaf trees on one of the farms that hosted the Camp in Pollboy, close to Manorhamilton. The Camp was organised by Sli Eile, an anti-capitalist climate action group, in collaboration with several local campaigns including Save Leitrim, which campaigns against the expansion of industrial conifer plantations, Treasure Leitrim, which campaigns against gold mining and Love Leitrim which continues to work on the threat of fracking, particularly in Northern Ireland. You can find out more about the Climate Camp at https://climatecampireland.ie. Over 5000 competitors from all over Ireland and overseas played, sung or danced for Comhaltas All Ireland titles at Fleadh Cheoil na hEireann 2023 - among the winners were ten Leitrim young talents. Seamus Flynn, from Drumshanbo, won the 3rd category for Uilleann Pipes, followed by Paddy Rooney, from Glenfarne, 2nd for Banjo award, Paddy Rooney, 2nd for Mandolin, Tiarnan O Ceilleachair, 1st for Miscellaneous (15-18), Joe Rooney,, 3rd for Ceili Drums, Catherine Cullen, 2nd for Lilting (15-18), aislean Nua - Anna's Team, 2nd for 8-Hand Ceili Dancing, Tom Cullen, 2st for Rince ar an Sean-Nos (Faoi 12), and Catherine Cullen, 3rd for Rince ar an Sean-Nos. From storytelling to concertina, sets to lilting, victors of regional competitions travelled far and wide to compete in one or more of the 230 competitions, which play a central role in the Fleadh and title holders are highly regarded in the traditional Irish music community. For the second consecutive year, the All Ireland competitions were held in the historic, cultural and very musical town of Mullingar. The town was home to the first ever All-Ireland Fleadh in 1951. It was hosted again in 1963, 2022 and 2023. Over the past nine days the streets have been overflowing with Irish music, dance and culture once more. Dr Labhras O'Murchu, Ardstiurthoir, Comhaltas Ceoltoiri Eireann said, We are delighted to return to Mullingar for the second year of the homecoming. Fleadh Cheoil na hEireann began here in 1951 and it is extraordinary to see how big and beloved it has become. 72 years ago it was a small event; this year 600,000 people came to celebrate Irish culture. He continued, I would like to congratulate all winners of the competitions. There was an incredible level of skill and talent from all contestants, as there is every year. Few people can say they are an all Ireland winner, so all should be very proud of their amazing achievements. Joe Connaire, Chairperson of the Fleadh Executive Committee said, It has been a pleasure to witness so many people enjoy traditional Irish music, song and dance in Mullingar once again. I am grateful that the towns beauty and character was the backdrop to the Fleadh and I hope all enjoyed our home as much as we do. It takes a lot of people to make such a wonderful event and create the beautiful moments we will remember from 2023. I would like to thank everyone who helped to make the past two years so successful, he said. The 51st Annual Scoil Eigse, the official summer school organised by Comhaltas Ceoltoiri Eireann, ran throughout the week with over 500 Irish and international students of all ages taking part in various music, singing, dancing and Irish language classes. Fleadh Cheoil na hEireann 2024 will take place in Wexford town on 4-11 August 2024. For all the results and further details on the Fleadh and competitions, visit www.fleadhcheoil.ie. In 2015, Ireland became the first country worldwide to legalise gay marriage by popular vote. Seven years later, this same land is ranked the worst country for transgender healthcare among the 27 EU member-states. TGEU's Trans Health Map research found that Ireland scored worse on waiting times, having longer delays than any other country, at between two and half years and ten years to see a specialist, which can be even more challenging for those seeking gender care in rural areas. Getting trans healthcare in Ireland is a nightmare LGBTQIA+ experience is singular for each person but with intersections between: coming to terms with your identity, coming out, transitioning or in accessing health and mental care can be enormously challenging. Getting trans healthcare in Ireland is a nightmare. It took years for me to get what I needed, even though I knew what I wanted. They [HSE] want you to take the most difficult route possible. Also, they make you wait for years. From when I came out, in 2013, to getting actual healthcare, it took until 2017, says Meryl McGowan, 30, originally and based in Drumshanbo, Co. Leitrim and a member of Leitrim Pride. Meryl started transitioning when she moved to Dublin, away from home. At the time, she said the process was 'embarrassing' and 'very invasive'. Gender transition is different for each person - "some people may change their names, pronouns, style of dress etc., to express their gender identity. Others may feel that a medical Transition (e.g. hormone, replacement therapy, surgery, etc.) is the right route for them", explains BeLonG To LGBT+ Youth Ireland. Pictured: Meryl McGowan According to the Health Service Executive (HSE), the gender dysphoria treatment in Ireland for adults includes changes in gender expression and role hormone treatment therapy; surgery to change primary and/or secondary sex characteristics; psychotherapy or counselling (individual, couple, family, or group) for purposes such as exploring gender identity, role, expression, and addressing the negative impact of gender dysphoria and stigma on mental health. However, the current model of gender-affirming healthcare in Ireland has been widely criticised by trans people, activists and organisations. "It is all very invasive, and I am not sure if it has gotten much better since I transitioned, seven years ago. Prescriptions were very hard to come by, and it still is very difficult getting in contact with the National Gender Clinic (NGS) in Dublin. GPs are advised all the time to never give us blood tests or hormone therapy without consulting NGS, even though that is against the World [Professional Association for Transgender] Health best practices, and it is discriminatory", shares Meryl. WPATH recommends that most countries follow the 'informed consent' model, which is advised of both benefits and risks of treatment options for gender dysphoria, followed by appropriate and continuity care. According to HSE, Ireland follows this model; however, there is only one specialised clinic for gender care in Ireland, based in Dublin - which directly affects people living in rural areas - with their preferred method that dictates how all trans people are provided or denied healthcare. It is all very invasive, and I am not sure if it has gotten much better since I transitioned, seven years ago. Prescriptions were very hard to come by, and it still is very difficult getting in contact with the National Gender Clinic (NGS) in Dublin. - Meryl McGowan The National Gender Service approach to gender care includes multidisciplinary assessments prior to any gender-affirming medical interventions (hormones), surgical interventions, or other care. Its first step is to be referred by a GP, followed by triage, waitlist, initial assessments, clinic appointments and, for some, surgical assessment. NGS's last update says they are currently seeing people referred in the first quarter of 2020 - to see a specialist could take up to ten years. Harper Rooney, 22, a Sligo Inclusive Pride member, said she attended several protests regarding better healthcare access for trans people in Ireland. "I started my process with the National Gender Service in February 2023, and I don't expect to hear back from them until I am 32, in ten years' time. "I am not going to be putting my life on hold because of the failures of our healthcare system. So, that's why I've had to take other measures to continue my transition", she said. Due to the long waiting times, like many other trans and non-binary people across the country, Harper self-medicates her hormones by buying them off-prescription through online pharmacies, as they simply cannot face the wait. Pictured: Harper Rooney Harper had to start her transition treatment at a private clinic in the UK, where she was able to get a gender dysphoria diagnosis, which only the appointment cost from her pocket about 140, plus 200-300 to the hormone therapy set up. "I didn't have that money to spare. So, instead, I had to start self-medicating", she said. Unlike friends who had problems with their GP, she said: "My GP has been helpful, at the very least, providing me blood tests on request every three months. I can get checked and make sure that my hormone levels are ok. But I know countless trans people struggling with their GPS not referring patients or providing them with blood tests. There are many people in the trans community in a much worse space than I am". NGS policy advises GPs against providing prescriptions without an assessment and says that blood tests 'can be done by your GP or at St Columcille's Hospital, then bring the results to the clinic, or email them'. However, Trans Harm Reduction says that it has become increasingly common for trans people to be refused access to blood tests by their GPs in many areas across the country. "The waiting list here is unreal. First, you need to commute to Dublin to get any actual care, and the waiting time can affect the mental health of lots of people. I've given up, and I did my transition on my own", shares Carolyn Ann Willis, a 73 years old transgender woman who migrated to Ireland over ten years ago and currently lives in Manorhamilton, Co. Leitrim. From Kentucky, United States of America, Carolyn did her final transition in 2016 and said: "I haven't looked back since". It was in Ireland that she began her transition process, which, in her case, also includes some surgeries. As many others paid for all the treatment herself and needed to resort to gender care services in Belgium - Carolyn estimates that all her costs are around 50,000. Waiting times just kills people In addition, trans people are disproportionately affected by poor mental health outcomes - Transgender Equality Network Ireland (TENI) Speaking from the Margins: Trans Mental Health and Wellbeing research, published in 2016, found high levels of stress (83%), depression (82%) and anxiety (73%) among trans people than who are not trans. With the social barriers plus the long waiting times to get an appointment in the NGS for, as she calls, 'life-saving treatment', it is unacceptable and causes a lot of damage to mental health. "Waiting times just kill people. But they seem to think we are depressed because we are trans, not because we are treated poorly. I couldn't get proper mental health support for a long time. In 2017, I was trying to see a psychiatrist regarding depression back in Sligo, and the first thing she said to me was, 'How much do you regret trying to be a woman?' At that point, I was like there was no hope, and I was completely on my own. "If the people you are supposed to go to for help make things worse, then you are better off without. If you're treated like an outsider and a problem, like you've committed a crime, even though you're innocent, everywhere you go, no matter who you talk to, you're going to feel bad", she added. LGBT Ireland report (2019) found that over 75% of trans people had considered taking their life compared to lesbian/gay females (56.4%) and gay males (52.4%), and over 48% had self-harmed. It also highlights that these long waiting times negatively impact the mental health of trans youth and can put them at higher risk of suicide ideation or self-harm. "I didn't really get much mental health support. Being honest, the internalised transphobia was a big struggle for me, and I had to overcome my mental health. There is a lot of misinformation about being trans out there. Before I came out as trans, I was hyper-fixating on my gender identity every single day. I was stressing about it constantly because it was causing me so much dysphoria that it caused a lot of pressure and misery in my life. Since coming out as transgender, I generally don't think about it anymore", said Harper. Transgender Identity Survey: A Measure of Internalised Transphobia explains this phenomenon that trans, nonbinary and gender nonconforming individuals experience after internalising society's normative gender expectations, which may cause them potential health effects, such as "higher rates of depression, anxiety, low self-esteem, delays in seeking healthcare because of shame or concerns about discrimination, lower quality of life, difficulties coming out as trans or seeking gender-affirming care". Pictured: Carolyn Ann Willians Growing up here was hopeless Stigma and discrimination, in addition to family support, have a significant impact on trans people's mental health, especially those living in rural areas where they feel there is little or no access to support or from experiencing a sense of community or acceptance. "Growing up here, it was hopeless. There was nothing, no one to talk to or get help from. No allies, no friends, no family", says Meryl about her experience growing up as queer in Drumshanbo. "When I was younger, it was brutal. I didn't feel like I could trust anybody, which is one of the problems growing up where you might have some kind of connection to people, but you always know it is conditional on how they expect you to present, not how you truly are. It is not everyone that is accepting, it is quite isolating", Meryl shared. Meryl moved from her hometown to Dublin for a couple of years and decided to come back and says now life there is not as bad as it was when she was growing up. "But there is no community really. Aside from one other person, I don't know anyone who is queer or openly queer. To feel integrated, you must commute to Dublin", she added. Harper grew up in a standard Roman Catholic family in the Sligo countryside - as a child, she said there was no mention of the LGBTQIA+ community. "None of my friends or my parents talked about it. So it was a completely alien world for me while I was growing up, and this caused a lot of confusion for me in terms of my gender identity and my sexual orientation. I was in autopilot mode for over six years of my life because of that. When I was 18, I started coming out more to people, but not to my immediate family, because I struggled with anxiety and depression". In terms of discrimination, Harper says the most common situation to happen is to "get dirty looking over the streets as if you If you are feeling vulnerable or different, it's hard to blend in a small community. So it must be easier in big cities to mix with the crowd and not be seen as different. - Bernie Linnane have two heads". As she is involved in community projects and a member of the Sligo Inclusive Pride, she says that people are generally quite accepting, even the older generations. Bernie Linnane, a member of the Leitrim community and Labour's new Local Area Representative for the Manorhamilton Electoral Area, said that with the marriage equality referendum, Ireland made huge steps in letting the LGBTQIA+ community know that they are accepted as a community in Ireland. "If you are feeling vulnerable or different, it's hard to blend in a small community. So it must be easier in big cities to mix with the crowd and not be seen as different. Whereas if you're in a small community, you may stand out a bit more. Because in small communities, everybody knows everybody else's business quite often. I just support anybody in being themselves and being their authentic self. That's why I believe they should have what they need regarding health care and support, " Bernie said. Living in Manorhamilton, Carolyn shared that, at first, coming out as trans was scary. "I did not know how people would take it. It is a small farming community, but they gave me the benefit of the doubt. So, I figured out what works, and my job is to be and become invisible. I have my local friends, and today I am just a normal person that happens to be transgender". A housing charity is warning students to be aware of rental scams as they seek accommodation for the upcoming September semester. Threshold has teamed up with the Irish Council for International Students (ICOS) and the Union of Students Ireland (USI) for a nationwide Scamwatch awareness campaign, which aims to arm students with information about rental scammers and their tactics. Using secure payment methods, requesting written agreements of tenure conditions, and viewing the property in person are all key recommendations. According to Threshold, each year hundreds of students are targeted by false "landlords" and scammers seeking to con them by offering accommodation that they either purport to be renting or dont exist. Last year, one such student who fell victim to a complex scam was Levi Amarilo. In a post online, Threshold explained: "Levi arrived in March from Brazil and began searching for accommodation ahead of commencing his English language studies here. "He spotted an ad on Facebook for a studio in Rathmines, which was posted by a fellow Brazilian. He moved into this with his partner and became friendly with the man he had paid 2,400 for a deposit and one months rent to. "He told him his brother was also coming to Ireland from Brazil and this man suggested he move to a larger property on the River Liffey for 3,000 a month. Levi viewed this and decided to move there, and he and his partner spent all of their money on the 6,000 required for the deposit and months rent. "Two days after they moved in, he awoke late one morning to find 12 people from countries including Chile, Mexico, Spain and Brazil in the front room, after the Brazilian "landlord" had given them all keys to "move in" on the same day, and subsequently fled to Sao Paulo. "Levi and the other "renters" realised they had been scammed out of a huge amount of money as the property was only vacant temporarily, but despite the Gardai's involvement, there was no refunds as the scammer had escaped out of the jurisdiction. "Only through the help of his Irish employer [Levi was working part-time in a hotel] who provided him with a short-term hotel room to stay in and a loan which he repaid, did he and his partner manage to successfully rent another studio, and remain in Ireland." False websites are reportedly an increasingly common platform for scams, as well as websites that appear as copies of genuine letting sites like Daft.ie and Airbnb. Fake accounts posing as landlords on social media such as Facebook are also common. CEO of Threshold, John-Mark McCafferty, stated, "Threshold is increasingly aware of a surge of rental scams, particularly ahead of the academic period, with students unfortunately being easy targets. In a highly competitive, low supply rental market, scams are on the rise. Its important that students and all renters nationwide are aware of such scams and take the appropriate actions to prevent themselves from falling into these traps. "To avoid rental scams, it is key that renters take precautions, such as requesting a written agreement of rental terms and conditions and using a secure payment method and not cash in hand after the contract has been signed and witnessed in the presence of the other party. Never rush headlong into anything scammers do apply pressure, which is one of the telltale signs. We strongly encourage any student or renter who is unsure if they are being scammed to contact our advisors for free advice and support." Executive Director of the Irish Council for International Students (ICOS), Laura Harmon, commented on the campaign launch of the campaign, stating, "We strongly support this campaign given the growing nature of fraudulent cases involving international students trying to source accommodation in the rental sector here. "A study that ICOS carried out last year involving almost 500 international students found that nearly one-in-seven (14%) said that they had been a victim of an accommodation scam while in Ireland, of whom a quarter were English language students. "These students are often more vulnerable as English is not their first language and they usually dont have relations or friends they can stay with in the short-term while looking for a place to live. We encourage all students to read and heed all the advice in this campaign, and to contact the various channels provided if they have concerns or queries." Vice President for Campaigns at the Union of Students in Ireland (USI), Zaid Al-Barghouthi, also commented, adding, "Students are already facing so many hurdles in relation to renting a place for college; be that the dearth of availability, the exorbitant cost, having to pay for and travel long distances to attend college etc. So, to see people taking advantage of desperation in some cases, is really disheartening. "The USI and member students unions in Third Level Institutions nationwide are on hand to help, and we urge all students to be vigilant and on their guard in relation to the various and ever more complex rental scams that are out there. We hope this campaign can serve as a reference for students as they are on the hunt for a place to live. "Also, if you recognise a scam, or have been a victim of one, its important to report it to the Gardai." Threshold has provided an extensive list of advice containing "Dos and Donts" for students to consider when arranging accommodation. This includes: Do Inspect the Property in Person. Whenever possible, visit the property and the landlord in person before making any payments. Avoid only relying on online photos or virtual tours. Inspect the Property in Person. Whenever possible, visit the property and the landlord in person before making any payments. Avoid only relying on online photos or virtual tours. Do Ask for a Contract by requesting a written contract (also known as a license or tenancy agreement) that clearly explains the terms and conditions of the rental agreement, including rent amount, deposit and any additional fees. Ask for a Contract by requesting a written contract (also known as a license or tenancy agreement) that clearly explains the terms and conditions of the rental agreement, including rent amount, deposit and any additional fees. Do Seek Advice and consult with student support services and unions, accommodation offices, student councils or housing experts like Threshold if you have doubts or concerns about a rental offer. The organisation also urges students and renters to take precautions and avoid doing the following: Dont Share Personal Information Online and be cautious about sharing personal information on public platforms, especially social media, where scammers often try to find potential victims. Share Personal Information Online and be cautious about sharing personal information on public platforms, especially social media, where scammers often try to find potential victims. Dont Ignore Red Flags. Pay attention to red flags (suspicious situations), like exceptionally low rent, a landlord living abroad, foreign bank account details or inconsistent information. Ignore Red Flags. Pay attention to red flags (suspicious situations), like exceptionally low rent, a landlord living abroad, foreign bank account details or inconsistent information. Dont Use Unsecured Payment Methods and refrain from using unsecured payment methods like wire transfers or cryptocurrency for rental transactions. Bank transfers are the preferred method to pay your deposit and rent, as they are more secure and leave a trace. Threshold, ICOS and the USI all strongly encourage any students to contact their services for support if they fear that they are being scammed for accommodation or have recently fallen into a scam. Thresholds helpline is open Monday to Friday, 9am- 9pm at 1800 454 454, with webchat at www.threshold.ie/get-help/ for any renter in need of advice or support. For information and advice for students moving to Ireland to study, visit www.internationalstudents.ie/info-and-advice, or contact the ICOS on +353 1 660 5233. For student support and advice, visit www.usi.ie,or contact the USI (01) 7099 300. During a demonstration calling for clean water, Montevideo, May 31, 2023. EITAN ABRAMOVICH / AFP At the end of April, Jose Miguel, a pensioner from Montevideo Uruguays capital made himself a coffee with tap water. He put it aside after the first sip, calling it undrinkable. "I ran out and bought mineral water, then a filter worth $350 [320]. Its an investment," said the resident, who added he was "angry" at the government. Many other Uruguayans have shunned running water for weeks as the country experiences its most serious water supply crisis ever. "Between 2020 and 2022, the same level of precipitation was recorded as in two normal years, i.e. 2,450 millimeters over three years in Montevideo and the surrounding area," said Raul Vinas, meteorologist and member of the environmental NGO Movimiento por un Uruguay Sustentable ("Movement for a Sustainable Uruguay" MOVUS). This is the most severe drought the country has ever experienced, due to the combined effect of the natural La Nina episode which in this part of the world results in reduced rainfall and climate disruption. Without rain, the springs supplying Montevideo and the surrounding region, home to around 60% of the population of this country of 3.4 million, are at an all-time low. To avoid running taps dry, the public water company Obras Sanitarias del Estado (OSE) came up with this solution in April: mix the little remaining reserve with water from the estuary, the Rio de La Plata, which is salty due to its proximity to the Atlantic Ocean. "We dont have the infrastructure needed to make salt water drinkable," said Daniel Greif, an engineer who was in charge of water management in the previous left-wing government between 2015 and 2020. Read more Article reserve a nos abonnes Groundbreaking plastic pollution treaty moves closer after Paris talks, despite fractured reactions 'Disgusting' On May 5, OSE officially approved increasing the limit of sodium and chloride in water to 440 milligrams per liter (compared to the original 200 mg/L) and 720 milligrams per liter (compared to 250 mg/L), respectively. The measure was approved by the center-right government led by Luis Lacalle Pou. A glass of Uruguayan tap water now has a pronounced salty taste often described as "disgusting." While the government assured residents that the water remained safe to drink, the health ministry advised pregnant women as well as people suffering from chronic kidney disease, high blood pressure, heart failure and cirrhosis not to drink tap water. Two days after the recommendations, in May, the minister for the environment, Robert Bouvier, cryptically said that water "is not potable [but it is] drinkable and consumable." On July 11, the health minister, Karina Rando, invoked the dictionary definition in messages published on the social network X (formerly Twitter): "Drinking water is 'fit for human consumption,' meaning that it does not cause damage to health." On the same day, she said in a parliamentary address that the water distributed remains "safe," and that she drinks the tap water herself. However, according to Edgardo Ortuno, director of OSE, "the water [is] clearly not drinkable" with its current levels of chloride and sodium. Ortuno had voted against changing the sodium and chloride standards. You have 57.11% of this article left to read. The rest is for subscribers only. A TV screen shows a file image of American soldier Travis King during a news program at the Seoul Railway Station in Seoul, South Korea, Wednesday, August 16, 2023. AHN YOUNG-JOON / AP Travis King defected to North Korea to escape "mistreatment and racial discrimination in the US Army", state media said Wednesday, August 15, Pyongyang's first official confirmation they are holding the American soldier. A private second class with a checkered disciplinary record, King was due to fly back to America in July but instead slipped out of the airport, joined a tourist trip to the DMZ (demilitarized zone) and ran across the border into the North. The United States has previously said that King crossed the border intentionally and without authorization, and Pyongyang said Wednesday that King "admitted that he illegally intruded", the Korean Central News Agency reported. "Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the US Army," KCNA added. Read more Article reserve a nos abonnes Travis King: What we know about the detention of the US soldier in North Korea King "came to be kept under control by soldiers of the Korean People's Army" after he crossed the border, KCNA said. "He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society," KCNA said, adding that a government investigation was still ongoing. The United Nations Command, which oversees the armistice that ended fighting in the Korean War, confirmed last month it had begun a conversation with the North over King. But US Secretary of State Antony Blinken said at the time that while contact had been made with the North Koreans, Washington still had no idea where King was or in what condition. Propaganda opportunity North Korea's first official comment on King is pure propaganda, Soo Kim, policy practice area lead at LMI Consulting and former CIA analyst, told AFP. "King's crossing into North Korea provided the Kim regime an opportunity in several ways, the first of which is, of course, the potential for negotiations with the US over King's release," she said, adding that Pyongyang were "tough negotiators", so it would not be easy for Washington to secure his release. Pyongyang has a long history of detaining Americans and using them as bargaining chips in bilateral negotiations. "It's also an opportunity for the regime propaganda to do its thing to spin the situation in such a way as to criticize the US and express Pyongyang's deep-rooted hostility towards Washington," she added. Just before they issued their comments on King, KCNA put out a statement criticizing discussion of Pyongyang's rights record at the United Nations, in which it described America as "the anti-people empire of evils, totally depraved due to all sorts of social evils". "Not content with conniving at and fostering racial discrimination, gun-related crimes, child maltreatment and forced labor rampant in its society, the US has imposed unethical human rights standards on other countries and fomented internal unrest and confusion," the statement reads. Vladimir Tikhonov, professor of Korean studies at the University of Oslo, told AFP that because King "is Black, I would imagine that he possesses certain propaganda value for North Koreans". "The white racism and mistreatment of Blacks is a point North Korean propaganda traditionally emphasizes, all the time since the Korean War, so King may be used to amplify this message," he said. King crossing the border comes as relations between the two Koreas are at one of their lowest points ever, with diplomacy stalled and North Korean leader Kim Jong Un calling for increased weapons development, including of tactical nuclear warheads. Read more Article reserve a nos abonnes In rare criticism, North Korea calls French military exercises 'irresponsible' Seoul, Tokyo and Washington are set to hold a trilateral summit at the US presidential retreat in Maryland on Friday, during which the leaders are expected to announce plans for expanding military cooperation against Pyongyang's nuclear threats. The summit "will set a new milestone in trilateral cooperation contributing to peace and prosperity on the Korean Peninsula and in the Indo-Pacific region," South Korea's President Yoon Suk Yeol said Tuesday. Diagram of Niwot underpass conceptual design. Paths are shown in solid brown. The path leading from the BRT station can be traced under the northbound lanes of CO 119 and on to the at-grade crossing of the railroad tracks at 2nd Avenue. The option for a direct route under the railroad can be seen as black lines leading to 1st Avenue. The Niwot Business Association (NBA) and Niwot Local Improvement District (LID) Advisory Committee have been hard at work to convince Boulder County and the Colorado Department of Transportation (CDOT) to add an underpass into Niwot as part of the Colorado Highway 119 Safety and Mobility Improvements Project and Bikeway Project. County funding for the underpass design has now been approved. Scott Firle, chairperson of the LID, said, "[The LID effort] started about two years ago when we had our strategic plan retreat." Praising the wide range of interests among the members of the LID, Firle said that they wanted the LID to "be more of an advocate for the town" with the County. "We wanted to make sure that Niwot is not left out of conversations," Firle said. The LID created a subcommittee under the leadership of vice chairperson Eric Bergeson. Bergeson, who is also the president of the NBA, described the response to the CO 119 project design for the intersection of CO 119 and Niwot Road. "Some community leaders in town started looking at it...A lot of concerns started being raised...in the backdrop of very serious accidents," Bergeson said. "Our conclusion was that [the design] is more dangerous than it is now." Bergeson said, "We realized how fast the CO 119 Bikeway Project was moving and that was the impetus that got us going with the intersection." The LID quickly switched from developing a strategic plan to focusing on safety at the intersection. The Board of County Commissioners (BOCC) invited ideas. "The County did a great job seeking feedback," Bergeson said. Similarly, Firle said, "The county did a great job of getting out in front of communities. This is how it should work." The LID decided to contract with a local architectural firm, Fletemeyer & Lee Associates, to develop conceptual designs for an underpass to safely take people between Niwot and the bikeway and the Bus Rapid Transit (BRT) station that is planned in the median as part of the overall CO 119 project. The firm developed two options for the underpass. Both would go under the northbound lanes of CO 119. One plan would also take the underpass under the railroad and the other would not. The design going under the railroad would emerge close to 1st Avenue near Whistle Stop Park, while the other option would lead to the at-grade railroad crossing at 2nd Avenue. The LID prefers the option that would go under the railroad tracks, but Firle said, "[It] would have required BNSF approval which would have extended the time to get something done in this phase." During their annual meeting with the BOCC, Bergeson presented the plan along with the statistics on the dangers of the intersection. Bergeson said about the meeting, "That was the key moment to express our concern directly with the commissioners and I think they heard us and we really appreciated it." The issue was also discussed at the 1041 location and extent review hearing, first before the planning commission, and later before the board of county commissioners. A couple of weeks ago the commissioners acted upon what they had heard. Stacey Proctor, Boulder County's project coordinator for the bikeway, said, "The Board of County Commissioners approved adding funding to our design consultant's contract to do preliminary design for the underpass. We are hoping to complete the preliminary design this year. After that, we would move forward with final design next year." Funding the design is a big step forward even though construction of the project is not yet fully funded. Proctor added, "We will be working with CDOT's contractor to identify potential cost-saving innovations for the CO 119 Safety and Mobility and Bikeway Projects. Any cost-savings will go back into the project and could potentially fund the Niwot underpass." WOMEN in custody in Limerick Prison will now get access to gynaecological and other health services. New specialist health services in the new womens unit in Limerick means a consultant obstetrician and gynaecologist will be available every two weeks for those in custody to avail of. The provision of such services will also provide an opportunity to promote womens health issues, provide education for women who may require such services, and support women in living healthier lives on leaving custody, according to an Irish Prison spokesperson. This [clinic] will provide the women in custody with an equivalent level of access to womens health services similar to those that are available in the community, a spokesperson said. The prevalence of detection of a requirement for further investigation and/or treatment amongst women in custody is approximately 1.5/2 times higher for women in custody than women in the general community population. One specialist womens health clinic will be facilitated every two weeks and referrals as clinically indicated will be made to secondary care on an as required basis, they concluded. The new clinic for womens health is part of the redevelopment of Limerick Prison, which has a new accommodation block for male prisoners and a new standalone female prison. The new female prison means there is capacity for an additional 22 inmates, while a total of 90 new spaces for men have been incorporated. During a previous visit to Limerick Prison, Justice Minister Helen McEntee said: It is important that our prisons cater for the specific needs of women. While bed capacity was 28 in the previous female wing in Limerick, it was regularly overcrowded, with 45 inmates being recorded on July 31, which is a 161% over-capacity. A further 26 were on temporary release. Dr Mary McCaffrey has been engaged to take on the role by the Irish Prison Service. Dr McCaffrey has been a consultant at Kerry General Hospital since 1998. Farming, a practice as ancient as civilization itself, is undergoing a transformative renaissance. This transformation is guided by the fusion of agriculture with technology, collectively referred to as AgriTech or AgTech. AgTech & AgriTech In essence, while there is a slight variation in the origin and usage of "AgTech" and "AgriTech," these terms fundamentally refer to the same concept: the marriage of traditional farming practices with innovative, cutting-edge technologies, paving the way for enhanced efficiency, sustainability, and increased overall output. Latest AgriTech Trends Making an Impact In the age of technological advancement, AgTech, or Agricultural Technology, is rapidly expanding with tools and solutions that hold immense promise for the future of agriculture. AgTech is a burgeoning sector that seamlessly integrates technology to amplify crop yield, enhance quality, accelerate growth, and optimize harvesting. The essence of AgTech lies in the synthesis of smart sensors, big data, user-friendly apps, and high-tech autonomous machines. Driving Forces in Modern AgriTech As the world stands on the precipice of a technological golden age, the field of agriculture is no exception. Smart sensors, big data analytics, user-centric apps, and autonomous machinery are laying the groundwork for a parallel agricultural revolution. At the forefront of this transformation is ICL Group, an Israel-based global specialty minerals company, and one of the largest fertilizer manufacturers in the world. Unifying their deep-rooted agricultural acumen with the latest technological marvels, ICL has curated a suite of AgTech solutions that echo the needs of our evolving ecosystem. Their offering spectrum ranges from: E-learning tools: Insights to bolster crop growth. Nutrient deficiency guides- A digital torchbearer for holistic crop health. Online fertilizer recommendation platforms: Customized crop nourishment advice. Knowledge platforms for agronomists: A hub for sustainable farming insights and knowledge exchange. Here's a closer look at some of their solutions: AngelaWeb 2.0: A precision tool for tailor-made fertilizer recommendations. Agmatix: An AI-driven data hub, turning agronomic data into models and actionable farming strategies. Growers: A platform weaving the fabric between farmers and their advisory ecosystem. Nutrient Deficiency Guide: A multilingual digital companion for proactive crop health management. PeKacid Advisor App: An essential tool to modulate water pH, optimizing foliar application efficacy. Polysulphate E-learning tool: A deep dive into the world's greenest fertilizer, Polysulphate. The Future of Agriculture: Top AgriTech Trends Let's dive into the most intriguing trends that are set to redefine the future of agriculture: 1. Precision Agriculture and IoT Precision agriculture is all about making accurate and controlled decisions for every square inch of a farm. With the Internet of Things (IoT) devices, farmers can gather data from soil sensors, weather stations, and drones, then process this data to optimize irrigation, fertilization, and pest control. The result? Enhanced crop yields, minimized use of water and chemicals, and reduced environmental impact. 2. Agricultural Drones Drones are no longer just tools for photography or delivery services. In the agricultural domain, drones help in monitoring vast expanses of land, analyzing the health of crops, and even assisting in planting. By providing bird's-eye views of farmlands, drones enable farmers to detect pest infestations, water stress, and other issues way before they escalate. 3. Vertical and Urban Farming As urban areas expand and arable land diminishes, vertical farming in controlled environments is becoming a solution to feed growing populations. By growing plants in stacked layers, often within buildings or containers, vertical farming can produce crops year-round, use 90% less water than traditional farming, and negate the need for pesticides or herbicides. 4. Artificial Intelligence and Machine Learning AI and ML algorithms can analyze vast amounts of data at a speed humans can't compete with. From predicting disease outbreaks to determining optimal planting periods, these technologies are empowering farmers to make more informed decisions, resulting in healthier crops and improved yields. 5. Gene Editing and CRISPR Biotechnology in agriculture has reached new heights with the use of CRISPR-Cas9 gene-editing tools. It allows for specific DNA sequences to be altered, paving the way for crops that are more nutritious, resistant to pests, and better suited for changing climate conditions. 6. Blockchain in the Supply Chain Consumers are increasingly interested in the origins of their food. Blockchain technology provides an incorruptible digital ledger that tracks produce from farm to table. This transparency not only fosters trust but also helps in quickly tracing sources in case of food contamination. 7. Robotics and Automation From milking cows to picking fruits, robots are making their presence felt in the agricultural realm. Automation is addressing labor shortages and ensuring tasks are done with precision and consistency, leading to a significant reduction in waste and increased operational efficiency. 8. AgriTech and Education As modern farming becomes more tech-centric, there's a growing emphasis on educating the next generation of farmers. Numerous institutions and online platforms are offering courses in AgriTech, ensuring that farmers of the future are well-equipped to harness the benefits of technology. In Conclusion The digital transformation of agriculture is an exciting journey, promising not only better yields but also sustainable and efficient farming practices. Embracing these AgriTech/ AgTech trends ensures that we remain at the forefront of innovation, ready to meet the challenges of feeding a growing global population while safeguarding our planet. The future of farming, driven by technology, holds immense potential. All we need to do is sow the seeds today. Disclaimer: This article doesn't have HT journalists involved. Hindustan Times does not endorse/subscribe to the content(s) of the article/advertisement and/or view(s) expressed herein. Hindustan Times shall not in any manner, be responsible and/or liable in any manner whatsoever for all that is stated in the article and/or also with regard to the view(s), opinion(s), announcement(s), declaration(s), affirmation(s) etc., stated/featured in the same. Reputable and cutting-edge hair transplant facilities are available in both Turkey and the rest of the world. The decision to receive a hair transplant in Turkey or another nation is influenced by a number of variables, including your personal preferences, financial situation, the standing of the clinics, and the surgical skill of the doctors. Turkish hair transplants: The hair transplant industry in Turkey is well-known, and the country has gained popularity as a destination for medical tourism, notably for hair transplants. The nation has a large selection of hair restoration clinics and skilled doctors who specialize in different hair restoration procedures like FUE (Follicular Unit Extraction) and FUT (Follicular Unit Transplantation). Compared to many Western nations, many Turkish clinics have a solid reputation for offering high-quality results at a reasonable price. Particularly in Istanbul, there is a concentration of facilities and doctors who perform hair transplants. Foreign countries with hair transplants: Additionally, several nations across the world have cutting-edge hair transplant clinics and qualified doctors who provide the most recent methods and innovations. Some nations have medical facilities that focus on particular procedures or serve a particular patient demographic. The decision to visit a clinic outside of Turkey may be impacted by aspects including ease of travel, comfort with the local healthcare system, and language barriers. Think about the following factors before making a choice: Quality and Expertise: Regardless of location, look into the standing of clinics and the qualifications of the medical staff. Ask about the methods and tools that will be utilized for your hair transplant to be sure they are cutting-edge and up-to-date. Read reviews and comments from genuine patients to get a sense of the caliber of the outcomes and the patient experiences. Cost and Budget: If you decide to travel overseas for the treatment, take into account the total cost of the trip. Consultation: If at all possible, speak with many hospitals or surgeons to discuss your objectives and available possibilities. Travel convenience, language challenges, and post-procedure follow-up appointments should all be taken into account. The choice of whether to have a hair transplant in Turkey or another nation should ultimately be based on careful study, individual tastes, and your unique demands. Regardless of where it is, picking a clinic with a proven track record of delivering effective and natural-looking results is advised. Who is the best hair transplant in Turkey? Turkey was renowned for having a large selection of hair transplant clinics and doctors. Numerous clinics in towns including Antalya, Ankara, and Istanbul were commended for their proficiency in the subject. I advise conducting extensive research in order to discover the best hair transplant facility or surgeon in Turkey. Look for hair transplant clinics that have glowing patient testimonies, qualified, experienced surgeons who are certified in hair transplant procedures, and facilities that abide by international medical standards and laws. In addition, a dermatologist or medical professional can provide advice based on your individual requirements and objectives. In order to make an informed decision, it is advised to look for the most recent and trustworthy sources of information because the situation might have altered since my previous update. Best hair transplant turkey clinic : Sapphire Hair Clinic Who is the best hair transplant in Turkey? Depending on a person's choices, needs, and the most recent information available, there may be more than one "best" choice. I advise doing careful study to select the best hair transplant center or surgeon in Turkey. Look for medical facilities that have received favorable patient feedback, have certified and experienced surgeons that specialize in hair transplantation techniques, and that abide by international medical standards and regulations. You might also think about asking dermatologists, medical professionals, or people who have had hair transplants in Turkey for recommendations. Additionally, hair loss and hair transplantation-specific online forums and platforms may offer insightful commentary from users with first-hand knowledge of different facilities and surgeons in Turkey. Who is the best hair transplant in turkey : Sapphire Hair Clinic Doctors How do i find the best hair transplant clinic in Turkey? Conducting extensive study and giving it significant thought are necessary to find the best hair transplant clinic in Turkey. The following instructions will show you how to locate a reliable clinic: Start your online research by looking up Turkish hair transplant clinics. Look for clinics with attractive websites that include thorough descriptions of their offerings, protocols, and qualified medical staff. Read Reviews and Testimonials: Research the clinics you're considering by reading reviews and testimonials from actual clients who have had hair transplants done there. Websites, forums, and social media platforms might offer insightful information about previous patients' experiences. Verify Credentials: Check the credentials of the medical staff, especially the surgeons. They must to have training in hair transplant procedures and certification. Look for affiliations with credible, healthcare-related organizations that focus on hair restoration. Examine Before-and-After Pictures: Reputable clinics frequently display before-and-after pictures of their patients. Examine these images to judge the photographers' skill and how authentic the outcomes are. Ask About Techniques: Enquire about the clinic's methods for hair transplantation. They must to be knowledgeable about the most recent developments in hair transplantation, such as FUT (Follicular Unit Transplantation) and FUE (Follicular Unit Extraction). Contact the clinics you're interested in learning more about. Take note of their responses to your questions. A favorable indicator is a professional and accommodating communication style. Schedule an in-person consultation with the clinic, or a virtual consultation if that's more convenient. This will provide you the opportunity to get to know the medical team, talk about your objectives, and judge their professionalism. Visit the Clinic in Person: If possible, visit the clinic in person and take a look around. A clean, well-maintained clinic is essential for a safe and effective process. Inquire about the team size because hair transplantation requires a team. Ask how many surgeons will be on the surgical team doing the surgery. A committed and knowledgeable workforce produces greater results. Verify the Clinic's Certification and Accreditation: Verify if the clinic is accredited by the appropriate Turkish health authorities and complies with global medical standards. This guarantees that the clinic adheres to ethical medical standards. Think about Location: Pick a clinic that is situated in a handy and secure area. Due to the availability of skilled surgeons and state-of-the-art facilities, major cities like Istanbul are popular for hair transplants. Cost and Packages: Although price shouldn't be the only determining factor, it is something to think about. Compare pricing at various clinics, but place more importance on quality and safety than on cost. Consult dermatologists, medical specialists, or friends who have undergone successful hair transplant operations if you want recommendations. Their suggestions may offer insightful information. Always keep in mind that selecting the ideal hair transplant clinic depends on your unique demands, tastes, and research. Before selecting a choice, take your time, ask questions, and learn as much as you can. View Full Image Sapphire Hair Clinic Who is the most advanced hair transplant in Turkey? I suggest taking the methods outlined above to locate the best cutting-edge hair transplant facility in Turkey: Find medical centers using cutting-edge methods and technology by searching online. Review and testimonials from clients who have had procedures at these clinics can be found here. Verify the medical staff's credentials, especially those of the surgeons. Ask about the precise methods and tools employed in hair transplantation. Contact the clinics and enquire about their practices, resources, and experience. You may learn more about which clinics are currently thought to be the most cutting-edge in hair transplantation in Turkey by doing extensive research and getting in touch with clinics directly. Top 30 Best Hair Transplant Clinics Istanbul, World 2023 - 2024 1. Sapphire Hair Clinic Thinning hair no longer has to be a psychological burden, according to Sapphire Hair Clinic. Our skilled surgeons can perform efficient operations to promote hair growth from head to toe. Istanbul offers an exceptional bargain for hair transplants because it is much less expensive than other nations or areas while yet producing the same outcomes. Whatsapp : +90 552 396 31 32 2. Buk Clinic We have been at your service, Buk Clinic family, in the Fulya/Istanbul region in order to achieve the success we have attained both domestically and overseas. At Buk Clinic, we're eager to welcome you and treat you like one of our family members while providing you with cutting-edge technology, knowledgeable staff, and hygienic services. 3. Dr. Scott Alexander, IAHRS Member For both men and women, Dr. Scott Alexander of Biltmore Surgical Hair Restoration provides microsurgical, highly sophisticated, aesthetic hair repairs. He does FUT and FUE surgery both manually and with the ARTAS robot. He approaches every surgical procedure as if it were being done in a hospital operating room thanks to his experience as a general surgeon, and his highly skilled staff is at the top of their game. He is one of a select group of restoration surgeons who remove donor tissue using sterile procedures including sterile drapes, gloves, and gowns. 4. Dr Munib Ahmad, IAHRS Member At his facility Fuegenix, Dr. Munib Ahmad is producing work that is quite impressive. With the greatest level of care and attention expected of an IAHRS acknowledged member, Dr. Ahmad consistently delivers consumers with exceptional results. 5. World of the Hair With the assistance of a hospital and doctor, this great hair transplant facility in Turkey executes hair, beard, and brow transplantations. Its knowledgeable staff also guarantees the continuation of its success with its cutting-edge applications. 6. Smile Hair Clinic The medical professionals at Smile Hair Clinic are skilled, knowledgeable, and have performed successful treatments. The distinguished clinic will alter your appearance while upholding the best standards for first-rate hair transplant procedures. 7. Cosmedica If you're looking for the best hair transplant in Turkey, go with Cosmedica. The hair transplant clinic promises fantastic, realistic-looking results. Its all-inclusive package includes a VIP transfer, treatment for hair loss, care items, medication, and plenty of lodging. The most popular procedure provided by English-speaking doctors is Micro Sapphire DHI. 8. Dr. Alan Bauman, IAHRS Member Dr. Bauman's internationally renowned Florida office, Bauman Medical, is where he accomplishes incredible feats. The clinic, which opened its doors in 1997, provides services ranging from artistic FUE hair transplant surgery for men and women to eyelash transplantation. It also provides a variety of specialized medical hair loss treatments, including the highly regarded compounded minoxidil Formula 82M, topical finasteride, lunchtime PRP with ECM, and PDOgro for hair regrowth, as well as an on-site trichology salon for the best possible scalp health. 9. Asmed Your questions about hair restoration and transplantation will be answered after a visit to Asmed. The clinic's staff of skilled and licensed medical professionals encourages patients to feel and look their best. The surgeons use cutting-edge FUE hair transplant techniques, and they examine your hair using tried-and-true methods. 10. Medart Hair Clinic Baldness and hair loss can be treated with professional hair transplantation. For top-notch results, Medart Hair Clinic makes use of extraordinary methods including DHI and FUE. The professionals you'll work with are patient and will more than live up to your expectations. 11. Dr. Christian Bisanga, IAHRS Member Dr. Bisanga completed his surgical and obstetrics doctorate in Belgium before obtaining his hair transplantation certification in the US. He co-founded the FUE hair transplant-specific BHR Clinic when he eventually made it back to Europe. The doctor has developed his own graft extraction procedures and protocols and is actively involved in industrial studies and research. Prior to BHR, he opened a different European clinic and trained aspiring physicians in the use of this technology. He is noted for his expertise in fixing some of the most challenging cases to the highest standards, making him one of the best hair transplant surgeons in the world. In addition, with 6,000 grafts, Dr. Bisanga achieved the greatest FUT grown-out outcome in Europe. 12. Dr. Scott Boden, IAHRS Member Dr. Boden, the only board-certified and fellowship-trained hair transplant surgeon in Connecticut, is well known for his rigorous attention to detail and expert aesthetic judgment. He is one of less than 150 surgeons globally to hold the title of Diplomat of the American Board of Hair Restoration Surgery. For both men and women experiencing hair loss, his practice, the Hair Restoration Center of Connecticut, offers surgical and non-surgical treatments. As well as hair transplant repairs, eyebrow hair restoration, transgender hair transplants, and beard hair transplants, FUE, FUT, and ARTAS are available. 13. Dr. Muttalip Keser The Dr. Muttalip Keser Clinic offers top-notch hair transplantation using unique methods. With years of experience and understanding in hair restoration, aesthetics, and surgery, Dr. Keser is a well-known and board-certified surgeon. 14. NimClinic Because of its JCI and A++ certification, NIMCLINIC has earned a reputation as your go-to clinic for high-quality care. It is also licensed to provide a variety of hair treatment options. Get a free quote right away. 15. Dr John Cole, IAHRS Member Dr. Cole is a surgeon with years of experience who has focused only on hair transplant surgery since 1990. He was one of the first 30 medical professionals to receive the American Board of Hair Restoration Surgery's Diplomat designation. His ForHair Hair Transplant Clinic specializes in FUE hair transplantation and offers other therapies such as scalp micropigmentation, body hair transplantation, stem cell treatments, and hair transplant repairs. 16. Dr. Rafael De Freitas Dr. De Freitas has been performing hair transplants for more than ten years and is a highly regarded surgeon in this profession. He continuously uses FUE (Follicular Unit Extraction) to conduct dense-packed ultra-refined follicular unit grafting at a reasonable cost. 17. Dr. Serkan Aygn Regain your hair's natural appearance and condition at the Dr. Serkan Aygin Clinic. The facility offers transportation services for hair that meet high international requirements. In addition to first-rate hair transplant operations, clients receive free counseling services. Following the procedure, you will receive a quality certificate and an initial quote that is within your price range. 18. Dr. Robert J. Dorin, IAHRS Member The Hair Loss Doctors (previously True & Dorin medicinal Group) was founded by Dr. Dorin, who is a specialist in the surgical and medicinal treatment of hair issues. He is regarded as one of the best hair transplant doctors in the world because of his commitment to his patients and sympathy for them. 19. Eugenix Hair Sciences, In the hair transplant field, Drs. Pradeep Sethi and Arika Bansal have quickly established themselves as household names. At their clinic, Eugenix Hair Sciences, they have devoted countless hours to educating patients and delivering outstanding outcomes. I had the pleasure of meeting Dr. Sethi in person in 2019 in Manchester at the FUE Europe Conference, where his commitment to assist patients educate themselves with accurate information was clear. Dr. Sethi has more than 10 years of experience in the field of hair transplantation. Dr. Bansal, who has been doing hair transplants since 2008 and specializes in female hair transplant surgeries, also has extensive experience in the field. They make a strong husband and wife team and are excellent together. 20. Dr. Bijan Feriduni The hair transplantation experience of Dr. Feriduni stretches back to 1995. He opened the Hair Clinic Dr. Feriduni in Belgium in 2000 and hired a small group of medical assistants. Maximum customer service, individualized care, and a high level of privacy are the clinic's top priorities. 21. Hair Of Istanbul With its emphasis on patient comfort and pleasure, this clinic has carved out a position for itself in the market. Hair of Istanbul offers top-notch FUE transplants with their experienced staff. 22. Estenove Hair Transplant Center With a focus on delivering the highest level of comfort and effectiveness, this clinic offers the Sapphire FUE and DHI methods. Estenove is distinguished by its creative application of technology and its individualized treatment programs. 23. Esteworld Clinic Esteworld offers opulent services in a hospital-like setting. They provide FUE and DHI procedures and are known for their exceptional post-operative care and commitment to their patients. 24. Asli Tarcan Clinic Under the name Asl Tarcan Clinic, Asl Tarcan and her team began doing business and offering services with the goal of raising people's standards of living. Asl Tarcan Clinic, which consistently achieves the highest levels with its effective work in the evolving and rising health sector, gained popularity in the national and worldwide press, particularly for its impressive works. Within the institution, successful projects have impacted every department, and by safeguarding institutional memory, the foundation for future accomplishments has been built. 25. Dr. Bruno Ferreira One of the industry's up-and-coming giants is Dr. Bruno Ferreira. Since he has only performed hair transplants for the past eight years, his motivation has been to guarantee that every transplant he completes looks entirely natural. 26. Harley Street Hair Clinic I recently underwent surgery with Dr. Albena Kovacheva at The Harley Street Hair Clinic, and I can't say enough good things about how well she and the rest of the staff treat their patients there. Senior surgeon Dr. Greg Vida is a member of this well-known surgical group, and in my opinionafter been present for several of his FUE procedures and witnessing patient outcomes firsthandhe is also among the world's most skilled, knowledgeable, and accomplished surgeons. He was recently questioned on ITV's 'This Morning' program about the number of repair work he is required to do to help address surgery on people who went to shady clinics. 27. Dr. Chiara Insalaco At the University of Rome La Sapienza, Dr. Chiara Insalaco majored in Plastic and Reconstructive Surgery. She trained under the esteemed Dr. John Cole. Dr. Insalaco has spent a significant amount of time with renowned surgeons in the US, Turkey, Belgium, and in her native Italy, where she currently runs her own clinic, the Insalaco Clinic, in an effort to equip herself with the most cutting-edge hair transplant techniques. 28. Transmed Transmed Clinic, which opened in 1994, provides FUE and FUT (Follicular Unit Transplantation) procedures. It is highly regarded in the field of hair restoration for its knowledge and dedication to continual research and development. 29. Suzermed Suzermed Clinic offers plastic surgery and medical services for hair transplants. Plastic surgeons, charge nurses, paramedics, hair transplant experts, technicians, interpreters, and other support service officers make up its staff of professionals. Acbadem Altunizade Hospital, Turkey's top general healthcare provider, collaborates with Suzermed. Our hospitals contain about 60 thousand square meters of enclosed space and are JCI accredited. 30. Acbadem Health Hair The main goal of this technique is to get a natural-looking result, thus the excised root is implanted at a suitable angle while taking into account the direction of hair growth. The surgeons of the Acibadem Hospital Group employ the percutaneous approach, which is highly demanded and they are well known owing to the results and degree of patient satisfaction, based on their many years of experience. Disclaimer: This article is a paid publication and does not have journalistic/editorial involvement of Hindustan Times. Hindustan Times does not endorse/subscribe to the content(s) of the article/advertisement and/or view(s) expressed herein. Hindustan Times shall not in any manner, be responsible and/or liable in any manner whatsoever for all that is stated in the article and/or also with regard to the view(s), opinion(s), announcement(s), declaration(s), affirmation(s) etc., stated/featured in the same.. As the grounded airline Go First is trying to restart its operation, it faces another challenge to retain its employees as many of the staffs are planning to resign as the salaries are not paid. According to a report by Moneycontrol, the employees have not received salaries for the last three months of May, June, July. The employees have started to look for jobs in other areas. According to senior executive of Go First, around 150 employees which includes 30 pilots and 50 cabin crew members as well are planning to resign in the next two weeks as reported by Moneycontrol. The cash-strapped airline has been struggling to raise funds since posting its biggest annual loss in fiscal 2022. Meanwhile, with a moratorium in force on financial obligations and transfer of assets of Go First in the wake of the insolvency resolution proceedings, the lessors are unable to deregister and take back the aircraft leased to the carrier. The lessors had earlier told the high court that denial of deregistration by the DGCA was illegitimate". The lessors who have approached the high court are: Accipiter Investments Aircraft 2 Limited, EOS Aviation 12 (Ireland) Limited, Pembroke Aircraft Leasing 11 Limited, SMBC Aviation Capital Limited, SFV Aircraft Holdings IRE 9 DAC Ltd, ACG Aircraft Leasing Ireland Ltd and DAE SY 22 13 Ireland Designated Activity Company. The NCLT had on May 10 allowed the voluntary insolvency resolution plea of Go First. On May 22, the NCLAT upheld the order of the Delhi-based principal bench of NCLT, which had admitted the plea of Go First to initiate voluntary insolvency resolution proceedings, and appointed the IRP to suspend the company's board. Several lessors then approached aviation regulator DGCA for deregistration and repossession of 45 planes they had leased to the carrier. Go First stopped flying on May 3 this year *With inputs from agencies A beleaguered Indian billionaire is redrawing the contours of his empire in a way that minimizes friction with his bigger rival. That may be a sensible strategy. Gautam Adani cant afford a costly distraction. While his core infrastructure business is booming, theres little reprieve from the governance issues that have dogged the Adani Group since New York-based Hindenburg Researchs allegations of stock-price manipulation and undisclosed related-party transactions earlier this year. Despite its strenuous denial of the short-sellers report, the conglomerates market value has sagged more than $100 billion since January. A fresh blow arrived Saturday as Deloitte Haskins & Sells LLP, the auditor of Adanis ports unit, abruptly resigned the same week June quarter results showed record revenue and operating profit. In its full-year audit of May 30, Deloitte had said that the legal opinion the group provided on the veracity of Hindenburgs allegations was inadequate and that an independent external examination was warranted. The accounting firms Aug. 8 quarterly review reiterated those concerns. The auditors exit is a timely reminder that while growth will suppress governance concerns, it wont make them go away. Indias market watchdog on Monday asked the Supreme Court in New Delhi for another 15 days to wind up its inquiry into the group. The Securities and Exchange Board of India is investigating possible violation of rules concerning minimum public shareholding, related-party transactions and manipulation of stock prices. To shore up investor confidence, the Adani family has raised billions of dollars. The group has also doubled down on expansion by acquiring a cement company and announcing a $3.7 billion capital-expenditure plan for the current fiscal year. But most importantly perhaps, Adani has given a signal to Ambani that hes no longer keen on direct confrontation. This time last year, it looked like the two tycoons were set to compete in everything from petrochemicals and renewable energy to telecom, media, consumer staples and finance. That threat is receding. Adanis consumer finance franchise, which he was preparing last year for a public float, is being sold to Bain Capital. This is an area where Ambani has recently made a splashy foray by spinning off Jio Financial Services Ltd. from his flagship Reliance Industries Ltd. and announcing an asset-management tie-up with BlackRock Inc. By stepping back from consumer finance, Adani might also be dialing down his super-app ambition, which was threatening to set up another potential conflict with Ambanis digital unit, Jio Platforms Ltd. Separately, Bloomberg News has reported that Adani is exploring a sale of his $2.6. billion shareholding in Adani Wilmar Ltd., which owns a top-selling cooking-oil brand. Any such move, which the group has refused to comment on, may serve a twin purpose. It would raise funds that could be put to better use in core infrastructure for instance, the fast-growing power transmission unit needs fresh equity. Besides, by exiting the consumer-oriented business, Adani would demonstrate a willingness to leave the field to his competitor. Ambani, who is also Indias largest retailer, is looking to expand aggressively into branded consumer goods. Finally, the strongest evidence that two of Asias richest men are headed for at least a detente came from the most recent post-earnings conference call of Adani Enterprises Ltd., the groups beachhead for getting into new areas. The chief financial officer gave a breakdown of this years capital expenditure plan: $1.7 billion into roads; $1.1 billion for airports; $300 million for green hydrogen; $200 million for data centers; $200 million to complete a new copper smelter, and just under $100 million for water. Missing from this list was the coal-to-plastics venture. At the peak of the post-Hindenburg meltdown in Adanis stock prices, the group had denied media speculation that it had put the factory, seen as a direct challenge to the Ambani groups legacy petrochemicals business, on hold. The group had said in March that it was hopeful of financial closure in the next six months, after which full-fledged procurement and construction would commence. Since then, the $4 billion project doesnt seem to have made much progress, though the group maintains that its still on. We are just working through on the various reports preparation, site work etc.," CFO Jugeshinder Singh said on the Aug. 3 conference call. He promised an update after this quarters results. As Ambani, 66, and Adani, 61, move into their non-overlapping orbits, clean energy may still be one area where both would have significant interests. But here, too, Adanis focus may be on using his planned 45 gigawatts of renewables power capacity by the end of the decade to produce low-cost green hydrogen for use in ammonia, urea and methanol and in steel plants. The company, which will soon start work on electrolyzer manufacturing to split water into hydrogen and oxygen, is prepared to go solo on the overall $50 billion green H2 investment after Frances TotalEnergies SE put its participation on hold following the Hindenburg allegations. Ambanis trajectory may be somewhat different. Reliances oil-to-chemicals unit is one of the worlds biggest consumers of dirty or gray hydrogen, extracted from petcoke, a heavy refinery residue. Ambanis biggest challenge will be to switch to a non-polluting feedstock without compromising on profitability. He will also look to decarbonize some of Indias auto-fuel demand. While that ties in with Reliances fuel-retailing joint venture with BP Plc, industry analysts say that it will take years for Indias long-haul trucks and inter-city buses to run on green H2. The risk of a head-on collision has lessened considerably from last year, when the younger entrepreneur had pulled ahead of his older rival on global wealth rankings. Adani is still Indias second-richest corporate czar, but his post-Hindenburg net worth of $62 billion is a third behind Ambanis. Thats just as well, at least for their investors. Given the ample leg room for Adani in infrastructure and for Ambani in Indias consumer economy, it may not be a bad thing for the billionaires to avoid stepping on each others toes. Andy Mukherjee is a Bloomberg Opinion columnist covering industrial companies and financial services in Asia. Previously, he worked for Reuters, the Straits Times and Bloomberg News. New Delhi: Pilot training institution, Redbird Aviation, is considering acquisitions of overseas assets, as a part of its expansion strategy to address increasing demand for pilots in India, and boost its fleet size. The companys objective is to mitigate the impact of supply chain challenges on availability of aircraft, senior executives of the company said. We acquired a flying school in Colombo, Sri Lanka, which has seven airplanes. That is the first operation abroad. We are looking at more such opportunities," Major (retired) Manjit Ranjan, the chairman of Redbirds parent, Tenon Group, said in an interview. Today, India requires 34,000 new pilots. There is also orders for over 900 aircraft . Sri Lankas asset was readily available. We needed them, and we are buying the planes as the companies are not being able to produce aircraft fast enough to supply to India. Similarly, there is a flying school in Male which we may buy," Ranjan added. While Redbird seeks to harness the capabilities of foreign flight schools to make the most of their fleets, the overarching goal is expanding its flight school network in India. At present, Redbird operates six air bases across Baramati, Seoni, Lilabari, Belagavi, Gulbarga, and the Colombo school. The firm is targeting to have 10 airbases by March 2024 , and increase its fleet size to 60 from the existing 40 aircraft. Recently, Redbird Aviation tied up with Singapore-based Aviation Safety and Training Pte. Ltd (AST), which offers type-rating training to aspiring pilots on A320, A320neo, and B737 new generation simulators. With this partnership, the firm will be able offer a full package to trainees for a complete commercial pilot licence in India, besides offering type-rating training in Singapore. Some airlines offering cadet training programmes send the trainee pilots to the US and the UK where the cost is $140,000 while this programme would cost about $70,000," a senior Redbird executive said. adding that the programme will be at least 30% cheaper than other similar offerings. The company aims to train around 500 pilots per year under the programme. Under the joint venture with AST group, the Redbird group is further keen on bringing aircraft simulators to India as part of a long-term plan so that there is sufficient capacity in India for pilots to undergo type-rating. The current arrangement where we will send pilots to Singapore for the type-rating will be more like a stop-gap arrangement until the time when AST can set up these simulators in India. Considering the growth anticipated in India, the country will need cockpit-ready pilots at a greater pace," the executive added. Aircraft manufacturer Boeing had estimated earlier this year that India will need about 31,000 pilots over the next 20 years. The American aircraft maker had further estimated that India will require approximately 2,210 new planes over the next two decades, of which 1,983 units or 90% of deliveries will be for single-aisle jets, while 227 units or 10% of new aircraft deliveries will be for wide-body aircraft. We have one B737 and two A320 simulators. We have more in the pipeline. We are hopeful that we will be able to connect expand this partnership," Joe Yin, sales and marketing manager, Aviation Safety and Training Pte Ltd told Mint. The National Company Law Tribunal (NCLT) is scheduled to address ICICI Bank's insolvency petition against Supertech Township Project Limited on August 16. This decision follows the deferral of the hearing that had been set for August 9. Supertech, previously in the spotlight due to a Supreme Court order mandating the demolition of twin towers in Noida, is facing ongoing legal challenges. The apex court's ruling in August 2022 cited apparent collusion between Noida officials and the company, leading to the demolition. This action prompted further legal ramifications, including the sanctioning of officials for violating relevant development and apartments acts. Also Read: Supertech Chairman RK Arora arrested in money laundering case The insolvency turmoil ensued in March 2022 when Union Bank of India's petition for unpaid dues was accepted by an NCLT bench. This move prompted the appointment of an interim resolution professional (IRP) to oversee Supertech, sidelining the existing board. The ruling from the NCLT is anticipated to have a substantial effect on around 25,000 homebuyers who have been patiently awaiting the handover of their residences within Supertech's various projects. Amid these legal battles, Supertech's future seemed uncertain until recently. In May 2023, the Supreme Court granted approval for the resolution plan proposed by Supertech Limited. The court upheld the National Company Law Appellate Tribunal's (NCLAT) decision from June 2022. This NCLAT ruling allowed Supertech to secure crucial funds on a priority basis and continue project completion. Under this legal umbrella, the ongoing projects under Supertech's purview have been granted the green light, allowing construction to proceed. The resolution professional, in conjunction with the former management, staff and workers, will supervise this effort, maintaining operational continuity. It's worth noting that Eco-village-II remains an exception to this arrangement, with distinct considerations. ABOUT THE AUTHOR Sounak Mukhopadhyay Sounak Mukhopadhyay, who also goes by the name Sounak Mukherjee, has been producing digital news since 2012. He's worked for the International Business Times, The Inquisitr, and Moneycontrol in the past. He's also contributed to Free Press Journal and TheRichest with feature articles. He covers news for a wide range of subjects including business, finance, economy, politics and social media. Before working with digital news publications, he worked as a freelance content writer. Read more from this author New Delhi: Luggage maker VIP Industries Ltd. has announced the appointment of Neetu Kashiramka as the companys managing director (MD) designate, effective August 15, following the resignation of Anindya Dutta. Kashiramka will continue as the chief financial officer (CFO), while additionally taking over the responsibility of a managing director designate till 13 November. She will take over as managing director and CFO of the company, effective 14 November, subject to shareholders approval, the company said in a statement. Dutta stepped down form his role of the managing director on 14 August, citing personal reasons. Kashiramka joined VIP Industries on 7 April 2020, and was appointed executive director and CFO with effect from 8 May, 2023. Kashiramka is a qualified chartered accountant having over 26 years of post-qualification experience. Before joining the company, she worked as CFO at Greaves Cotton Limited. Kashiramka started her career with Kewal Kiran & Company and thereafter moved to Jyothy Laboratories Limited. Dutta was appointed as managing director of the company in February 2021. VIP Industries Ltd. is among Asias largest luggage makers, headquartered in Mumbai. VIP Industries Ltd. has more than 10000 points of sale apart from a network of retailers in 45 countries. To date VIP Industries Ltd. has sold over 100 million pieces of luggage to travellers around the world. Pressure to create supply chains for electric-vehicle batteries that bypass China is prompting Western miners to do something they have long avoided: process their metals in Africa. China dominates both the production and processing of critical minerals such as cobalt and lithium that are key to the energy transition. That has led to growing concerns among Western governments, including in Washington, about their dependence on Beijing. Now, some Western companies and investors are starting to build processing plants in Africa so they can refine the raw materials they mine on the continent locally and export them directly to Europe and the U.S. The investments show how Western executives have become more willing to swallow the risks associated with many African countries, including poor infrastructure, limited skilled labor and, in some places, a reputation for government corruption. By building processing facilities, companies are also meeting demands from African governments that have long called for more local processing for metals and minerals extracted from their soil. Australian mining behemoth BHP Group has invested $100 million since 2022 in a nickel mine in Tanzania along with U.S.-based Lifezone Metals, with plans to build a processing plant to refine the metal in the country. The plant, which the company says will be the first of its kind in Africa, is expected to deliver battery-grade nickel to the U.S. and global market in 2026. The timing is great for us right now," said Chris Showalter, chief executive of Lifezone. It will be pretty sought after." Investments in processing facilities in Africa are likely to rise given the expected boom in demand for battery metals and Chinas current dominance of the industry, said Jacques Nel, head of Africa Macro at Oxford Economics Africa. Those dynamics indeed make this seem like the beginning of a trend," he said. Vision Blue Resources, a London-based $650 million fund, has invested in a new graphite mine in Madagascar and a related processing facility in nearby Mauritius, which it says will be the first of its kind outside of China. The company is also backing a cobalt refinery in Zambia that it says will be the worlds third largest and the biggest outside of China once it is completed around the end of next year. Andrew Trahar, Vision Blues co-founder, said he expects Western companies to pay a premium for materials that meet certain environmental and labor standards, are traceable and are produced outside of China. If we can deliver that kind of product to them in the Western world, we think Western customers will be particularly excited," he said. Vision Blue said its cobalt refinery in Zambia will process cobalt from mines vetted for their environmental and labor standards, so it can be exported directly to electric-car makers and other green-tech manufacturers in Europe and the U.S. Our aim is to give Western auto manufacturers an alternative to China," said Johnny Velloza, chief executive of Kobaloni Energy Holdings, which will run the plant in Zambia. Despite growing interest from investors, huge challenges remain for companies that want to do business in Africa. Countries such as the Democratic Republic of Congo, Guinea and Namibia have sought to carve out a bigger slice of mining companies revenue for themselves in a new wave of resource nationalism. Zimbabwe, for example, banned the export of raw lithium in December, effectively forcing foreign companies to process it there. And often, Chinese competitors still have the upper hand because they are more established on the ground given their bigger appetite for risk. Within Zimbabwe, theres a lot more Chinese capital around," said Sam Hosack, managing director for Prospect Resources, an Australian company focused on battery and electrification metals in sub-Saharan Africa. In April 2022, Prospect sold its 87% stake in the Arcadia hard-rock lithium mine in Zimbabwe to Chinas Zhejiang Huayou Cobalt for $378 million. Raising debt in particular for a Zimbabwean asset has been an extremely challenging process," Hosack said. Development finance institutions were concerned with policy instability in Zimbabwe and, when combined with a volatile lithium sector, it presents a risky investment environment, he said. Still, many Westerners say the opportunity now outweighs the risks. Doing business in Africa isnt quite as scary as a lot of people believe," said Chris Moorman, chief commercial officer at ReElement Technologies, a U.S.-based refiner of critical battery and rare-earth elements, that recently signed an offtake agreement to process lithium mined in South Africa. The company is building a processing facility in South Africa to refine the lithium to battery grademore than 99.9% purewhich is expected to be completed in 12 to 18 months. Moorman said the company is in talks with four Western automotive manufacturers that are interested in buying its African-sourced lithium. In the past, no one thought twice about sending tin concentrate to China to smelt," said Boris Kamstra, chief operating officer at Toronto-based Premium Nickel Resources, who previously ran a company that developed a tin mine in Congo. People are now starting to look for non-Chinese sources of battery metals." Indi's per capita income is expected to increase from 2 lakh ($2500) in FY23 to 14.9 lakh ($12,400) in FY47, according to the SBI's latest research report. Prime Minister Narendra Modi while addressing the country during the 77th Independence Day celebrations at the Red Fort said that India will be a developed country by 2047. "In 2047, when the country will be celebrating 100 years of Independence, India will be a developed country", the Prime Minister noted during his speech from the Red Fort. PM Modi said he is making this statement while looking at the capabilities of the country, its available resources, and most of all based on the power of youth. He added that the next five years are a golden opportunity for realizing the dream of becoming a developed nation by 2047. SBI Research said the Indian population is expected to increase to 1610 million in FY47 from 1400 million in FY23. As a result, the workforce with a taxable base is expected to increase to 565 million in FY47 from 313 million in FY23, increasing its share from 59.1% in FY23 to 78% in FY47 Income-tax filers are expected to increase from 70 million in FY23 to 482 million in FY47, increasing its share in the workforce with a taxable base from 22.4% in FY23 to 85.3% in FY47. Soumya Kanti Ghosh, the Group Chief Economic Advisor at State Bank of India (SBI), on Tuesday, reiterated that India is likely to become the third largest economy by 2027. Indian economy is currently ranked fifth and is behind the US, China, Japan, and Germany. The Prime Minister's speech today (at the Red Fort) underlines the rapid structural transformation the Indian economy has undergone in the last decade," SBIs Ghosh said as per public broadcaster DD News. The Reserve Bank of India (RBI), in its monthly bulletin, in July said India's real GDP will need to grow at 7.6% annually over the next 25 years to achieve the per capita income level to become a developed economy. India's per capita income is currently estimated at $2,500, while it must be more than $21,664 by 2047, as per World Bank standards, to be classified as a high-income country. Trade ministers from the European Union will meet Indian officials next week for talks on a free trade agreement (FTA). While the geo-political environment is favourable for the deal, dubbed as the mother of all FTAs that India is negotiating, it will be a difficult trick to pull off. Why is the free trade deal crucial for India? The EU is Indias second-largest export market after the US, but the share of the continent in Indias overall goods exports has been declining in the past two decades. This has happened largely on account of a slew of non-tariff barriers imposed by the 27-member bloc. A free trade pact would not only reduce duty on goods, but also act as a channel to bring down non-tariff barriers hurting Indian agri exports. The FTAs benefits, along with Indias production-linked incentive push, can boost textile, pharma and mechanical appliances exportsall significant imports by the union. What brought India and the EU to the negotiating table? In short, geopolitics. Two major factors are Brexit and worldwide sentiments against China, particularly around the countrys handling of the covid-19 pandemic. Both India and the EU consider China a non-transparent economy and are looking to cut Chinese imports which have surged after the pandemic outbreak. At the same time, exports from EU and India to China have remained stagnant. Moreover, India is pursuing closer integration with the western economies after quitting the China-dominated Regional Comprehensive Economic Partnership negotiations. View Full Image Graphic: Mint What is the role of Brexit in driving India-EU trade talks? The EU relied heavily on the UK for its exports to India until Brexitthe UK was EUs second-largest exporter to India. Brexit also eroded FDI inflow from India to the EU, which it is looking to compensate through an investment treaty with India. With the UK pursuing a separate trade deal, the EU may seek a higher level of commitment leveraging its market size. What is complicating these negotiations? A barrage of EU climate-related regulations, such as the Carbon Border Adjustment Mechanism, Deforestation Regulation and Corporate Sustainability Due Diligence Directive, will restrict trade further. While the carbon tax is expected to hit Indian exports worth over $8 billion, the deforestation regulations could impact exports worth $2 billion. In the absence of a functional WTO appellate tribunal, trade tensions are also expected to flare up as India is working on its own retaliatory carbon tax. Is lack of standards a roadblock for trade? Substantial differences exist in the standards followed by the EU and India, particularly in major trade items such as drugs, electrical equipment and motor vehicles. Ironing them out would be crucial. Various Indian standards on food and pharma are different from international standards. This poses a problem while signing an FTA with a developed bloc, which usually has stricter controls than internationally prevalent. Harmonizing compliance standards is crucial to boost exports. New Delhi: Television news viewership that hit a record following the pandemic outbreak and plunged thereafter as normalcy resumed has begun to pick up again, at a time critical state and general elections are approaching. At the beginning of the lockdown, the news category commanded nearly a fifth of overall TV viewership, thanks to lack of fresh content and viewers hunger for covid-related information, only to fall to nearly 4% as the economy opened up. However, its share has spurted further to 7.1%, latest data accessed by Mint showed. Television monitoring agency BARC did not respond to a query to confirm the figures. The news category is witnessing a resurgence, with an increase of almost 10% compared to the first quarter of the year. This can be attributed to significant news events and high-impact stories, including the political crisis in Maharashtra, Uniform Civil Code, Manipur violence and Gyanvapi issue," M.K. Anand, managing director and CEO of Times Network said. He added that the news category is poised for strong performance in the near to mid-term, and state and general elections will be the primary drivers for news viewership. The pandemic outbreak triggered widespread panic and confusion, driving people to seek information on the rapidly evolving scenario, and lifting news channels. Besides, the void created due to the absence of new content in GECs and sporting events redirected attention to news channels, further propelling the growth in viewership. Between Week 39 of 2020 and Week 10 of 2022, news channels experienced a data blackout. Once ratings were reinstated, the news genre gradually returned to its initial level of viewership," Anand said. Contrary to popular perception, it is heartening to see that linear television has rebounded and there is no looking back for it, said Avinash Kaul, chief executive officer, Network18 (Broadcast), and managing director, A+E networks, TV18. The network is expecting much traction around the elections. Compared to 3,500 hours of election-related content in 2019, were looking at 5,000 hours this time between August and May, not even considering live counting days. Plus, a plethora of ground events such as open mics, state-by-state townhalls and so on," Kaul said. Advertiser interest in the news genre has seen a resurgence too, with a 28% growth in the first quarter compared to the same period last year, on the back of reach and ratings, Kaul added. While the year should be good for the news genre and broadcasters are likely to get additional reach, there are challenges to the same, said Barun Das, managing director and CEO, TV9 Network. It is up to us to hold on to it so that it doesnt go back to that level of 5% or 4% as it was earlier. If we reach 7% to 9% at the peak of elections, we should try and settle down to may be 7% or 6%, which is higher than the previous phase," Das said. To be sure, while events like elections will make for a good time in the next one year or so, Partho Dasgupta, managing partner, Thoth Advisors and ex-CEO, BARC India anticipates a spike in digital viewership of news and news-related content that could affect linear TV viewership as well. Advertiser interest has been usual apart from a couple of CPG companies stating that they are withdrawing partly due to alleged toxicity in content. With consumer offtake increasing, advertising interest should also increase organically," Dasgupta said. Reliance Jio, Vodafone Idea , and Bharti Airtel, Indias top three telcos, are expected to add up to 25% more employees to their existing workforce in Financial Year (FY) 2024, The Economic Times reported. The demand for telecommunications jobs is seeing a rise due to 5G technology. Following a slowdown due to covid pandemic, the hiring activity of India's top three telecom companies picked up in FY23. Earlier in January 2023, Mint reported that telecommunication and allied sectors are set to witness 40-45% hiring growth over the next couple of years, with the last 3-6 months recording a 30-36% rise in job offerings. The demand is expected to be primarily led by emerging segments such as whitespace spectrum, 5G, virtual network operations, network security, IoT in big data, cybersecurity specialists, and cloud, recruiters said, the report further added. Reliance Jio Infocomm on Monday said it has rolled out 5G services in 26 gigahertz millimetre waves across India and has claimed a record top speed of up to 2 gigabits per second. "Jio customers now using 26 GHz mmWave-based business-connectivity across all 22 telecom circles," Reliance Jio said in a statement. The telecom major said it has completed its minimum roll-out obligations in each of the 22 telecom circles, across each of the spectrum bands, ahead of time under the terms of the spectrum allocated to it on August 17, 2022. Meanwhile, Telecom operator Bharti Airtel on Tuesday said claims worth 45,286.76 crore against the company and its subsidiaries are under litigation in various courts across the country. The pending litigations include a demand of 15,178 crore, the highest among all, for one-time spectrum charges that was raised by the Department of Telecommunications (DoT) in January 2013. Debt-ridden Vodafone Idea has received funding assurance of up to 2,000 crore from a promoter group entity, the company said in a regulatory filing on Monday. The company has reported gross debt of 2.11 lakh crore as on June 30, 2023. "The Company has received a communication from a promoter group entity confirming that in the event of any fund requirement for meeting its impending payment obligations by the Company, it shall provide direct or indirect financial support to the extent of 2,000 crore," Vodafone Idea said in the filing. Last year was tough for all investors, but ones that hang out on Reddit suffered more than most. The Roundhill Meme exchange-traded fund, which tracks meme stocks, fell from $70 a share to $25. Fellow travellers in the covid-19 bubble, including non-fungible tokens (which use blockchains to sell digital artefacts) and SPACs (blank-cheque initial public offerings), also collapsed, leaving apes (retail investors) with few options but to hodl (hold on for dear life) or cut their losses. Proclamations of the death of meme investing may, however, have been hasty. Meme stocks are now shooting past the rest of the market, which has itself surged. The meme index is up by nearly 60% this year, outperforming the s&p 500 by 40 or so percentage points. Returns on individual holdings are more bonkers still, even if some stocks have risen from a low base. Shares in SoFi, a fintech firm, have doubled; the market capitalisation of Palantir, a software-maker, has nearly tripled; stocks in Carvana, a car retailer, are up by 800%. Apes are going all in, some with their entire 401k retirement plans. There is no clearer evidence of a bull market. View Full Image (Graphic: The Economist) Some of the rallies, at a stretch, even make sense. Redditors view good news as a burst of rocket fuel for share prices. Carvana, which was teetering on the edge of bankruptcy, has averted a crisis by putting up more collateral in exchange for a debt cut. Palantir is riding the AI wave. A judge in Delaware recently rejected plans to further dilute shareholders in AMC, a cinema chain and one of the early meme stocks. Other rallies are a little more inexplicable. Soon-to-be worthless shares in Bed Bath & Beyond, a defunct retailer, have more than doubled in the past three months. Tupperware, a struggling home-goods firm, saw its shares jump from 60 cents to more than $4 in late July. Yellow, a bankrupt trucking company, has seen a similar rise in the past few weeks. Is this all down to meme investors? Apes did pivot to buying bankrupt companies after Bed Bath & Beyonds delisting, with some 25m shares changing hands on the average day in July. But they are not wholly to blame. Little to no chatter pops up on Reddit in relation to Tupperware or Yellow. Short-sellers may be the true culprits in these instances: they must buy shares sold short to close their positions. In recent days the bull market has cooled a little. Small shifts in major indices produce enormous swings in meme stocks. On August 7th Yellows shares dropped by a quarter; Bed Bath & Beyonds by 7%. Investors who bought earlier this year will still be sitting on big profits. Yet they will need to be careful. hodling could risk some legendary losses. For more expert analysis of the biggest stories in economics, finance and markets, sign up to Money Talks, our weekly subscriber-only newsletter. 2023, The Economist Newspaper Limited. All rights reserved. From The Economist, published under licence. The original content can be found on www.economist.com Haryana Government on Tuesday declared that both government and private schools within the state will remain closed on Wednesday, due to the observance of Independence Day festivities. This day off was extended to all schools, irrespective of their ownership, as a result of the Independence Day events that took place on Tuesday. The Haryana education department issued instructions to all district education officers and district elementary education officers across the state regarding this matter. On the subject cited above, on account of celebrations of Independence Day on 15.08.2023, all the Government and Private Schools of the State shall remain closed on 16.08.2023," the notification said. You are requested to ensure strict compliance with the orders," it added. India celebrated the completion of 76 years of Independence with Amrit Mahotsav this year on Tuesday. Also Read: Haryana Nuh Violence Highlights: 141 arrested, 55 FIRs registered The current year's Independence Day will mark the conclusion of the 'Azadi Ka Amrit Mahotsav' festivities. These celebrations were initiated by Prime Minister Modi on March 12, 2021, at Sabarmati Ashram in Ahmedabad, Gujarat. The upcoming Independence Day will also symbolize the beginning of the 'Amrit Kaal' era for the nation. Earlier, in the wake of violence in the state, as many as 141 people have been arrested and 55 FIRs registered in connection with the violence and rioting at Haryana's Nuh district on Monday, the police informed on Friday. The toll in the violence that broke out between two groups stood at 6, including 2 police homeguards, while another 88 were injured, police informed further. Also Read: Haryana violence: All educational institutions in Gurugram, Faridabad to remain closed today "In connection with the violence that took place during a religious procession, 55 FIRs have been registered so far and 141 people have been arrested. Another 88 people were injured in the clashes. The toll in the violence stands at 6," a police officer said on Friday. On Haryana violence, State Home Minister Anil Vij says, "We are scanning every social media...We have made a special committee which includes the members from the IT Cell. It will scan the entire social media scene. During the scan, if it is revealed that someone has put out inciteful posts then action will be taken." (With inputs from agencies) Atal Bihari Vajpayee death anniversary: Former Indian prime minister and one of the tallest leader of BJP Atal Bihari Vajpayee had once commented that RSS never demanded for a Hindu Raj, it spoke about a Hindu Rashtra. Then explaining the concept for Hindu Rashtra, he said, the idea of Rashtra is that India is not formed in 1947 but it was a very old civilisation and I would personally prefer calling it Bharatiya Rashtra, and not a Hindu Rashtra We never demanded Hindu Rashtra or theocracy," he said during an interview and further added, Politic in the name of religion has never happened in this country, and it will never happen in the future." Our opinion about the minorities is extremely clear. Minorities are citizens of India and they have equal rights and responsibilities in this country. This is a secular country and minorities should never live in fear." Vajpayee's visionary leadership and strategic acumen not only shaped the BJP's image during his prime ministerial tenure but also helped build the party's stocks from scratch. During the interview, Vajpayee discerned nationalism as equal rights for all, dismissing communalism based on religion or majority. Explaiing the difference between the two, Vajpayee said, If Hindus in this country think that they should have some special rights since they are the majority and the minorities should lesser rights, then that is communalism." Vajpayee underscores that constitutionally guaranteed equal rights diminish harm to minorities. He had said, If the constitution states that they have equal right, and if there is an independent judiciary and elected parliament to protect the constitution, the wrongdoings against the minorities decreases significantly" The fifth episode of Made in Heaven 2 , directed by Neeraj Ghaywan, has thrust the spotlight onto the delicate subject of casteism. In the episode, Radhika Apte portrays Pallavi Menke, a courageous Dalit author, unapologetically advocating for her community. Now, journalist and author Yashica Dutt, known for her insightful book "Coming Out as Dalit", has taken to Instagram to express her take on the episode. While she commended the series for its portrayal of a Dalit-Buddhist wedding, she voiced her disapproval for what she saw as a lack of recognition for her contributions to the episode's central ideas. The episode, titled The Heart Skipped a Beat, portrays the empowerment journey of a Dalit woman during her inter-caste wedding. Dutt acclaimed the episode's brilliance in showcasing the resilience of Dalit women but called for due credit where it is deserved. In her Instagram post, Dutt disclosed, "Seeing my likeness on screen without warning or permission was a roller-coaster starting from thrill and excitement to sadness and loss." Dutt's sentiments stem from her perception that certain elements of the episode mirrored her own experiences, yet her name remained absent. She expressed how disheartened she felt that her words and ideas were woven into the narrative without proper acknowledgment. Also Read: Amazon Prime Video to stream new series on AP Dhillon on 18 August Dutt, however, did not mince her words while praising the episode, calling it no less than a cinematic triumph". As per the author, it showcases what it truly looks like for a Dalit woman to take her power back in this casteist society". Support for Neeraj Ghaywan Dutt says that she continues to support director Neeraj Ghaywan, whether now with Made in Heaven or Geeli Pucchi before". Dalit directors like Neeraj Ghaywan have revolutionised our cinematic language by showcasing unapologetic Dalits in Bollywood, a tradition that has an even longer history in Southern cinema," she wrote. I immensely appreciate Neeraj Ghaywan's public Instagram where he acknowledges my work and contribution to the show. But it also came AFTER hundreds of viewers questioned about my missing credentials, not before," she wrote. She concluded her message with a call for acknowledgement from the show's creators, imploring Neeraj Ghaywan, Zoya Akhtar and Reema Kagti to acknowledge her intellectual contributions formally. Depp v. Heard offers an exploration of the widely discussed courtroom trial in 2022, involving Johnny Depp and Amber Heard. As you consider diving into this documentary, it's advisable to read the reviews beforehand. This will provide you with valuable insights, aiding your decision on whether or not to engage with the content. In May 2023, Channel 4 aired the three-part documentary, directed by Emmy and BAFTA nominee Emma Cooper, known for The Mystery of Marilyn Monroe: The Unheard Tapes. Now, its Netflixs turn. Streaming starts on August 16. Depp v. Heard reviews The critical reception of Depp v. Heard reflects a mixed bag of opinions. The documentary delves into the legal battle between actors Johnny Depp and Amber Heard, shedding light on the intricacies of their high-profile lawsuit. While several reviewers acknowledge the attempt to capture the essence of the judicial proceedings, they caution against oversimplifying complex legal arguments into mere soundbites. The Guardian aptly points out that reducing such intricate matters can lead to an incomplete and biassed portrayal of the case's legal intricacies. The Chicago Sun Times expresses disappointment in the documentary's approach, describing it as "slapdash and superficial." The review criticises the series for providing a platform that predominantly favours pro-Depp perspectives, allowing social media influencers to express vitriol towards Heard, undermining the seriousness of the issue. On a similar note, The Hollywood Reporter emphasises the documentary's lack of depth in its analysis, rendering it somewhat irrelevant for those who were actively following the case's developments during the legal proceedings. The Daily Beast underlines the documentary's attempt to highlight the overwhelmingly supportive Depp fandom. Still, it stops short of probing deeper into the broader social implications, such as the influence of the post-#MeToo era or the complexities of domestic violence. Jezebel adds a note of scepticism, questioning the documentary's overall impact and the lessons it offers. The review reflects that the documentary's conclusion fails to provide clear takeaways, leaving viewers pondering whether the main insight is merely a reminder of the harsh realities of online culture. Himachal Rains: More than 60 people have lost their lives in the ongoing rain fury which triggered landslides, cloudbursts, and road blockages in various districts of Himachal Pradesh. Rescue operations are still underway at some places to save people trapped under debris. Chief Minister Sukhvinder Singh Sukhu held a meeting with the high-powered committee on Tuesday for further intensification of disaster management efforts. All schools, colleges, and educational institutes are closed in the hill state today. Here are the top updates on Himachal Pradesh rains 1) A massive landslide was reported in Shimlas Summer Hill area on Wednesday, an official said. There were no immediate reports of any casualties or injuries in the incident. 2) On Tuesday, around seven houses collapsed in Shimlas Krishna Nagar area following a landslide. Some residents were feared trapped in the debris, and NDRF, SDRF, and state police personnel were leading the rescue operations. 3) CM Sukhu his government was fully committed to expediting restoration efforts on a priority basis, adding that about 400 out of a total of 1,220 blocked roads have been restored to their normal state. 4) On Monday, two landslides took place in Shimla, one at a Shiva temple in Summer Hill and the other at Fagli, which claimed 17 lives. 5) The India Meteorological Department (IMD) has predicted heavy rains in Himachal Pradesh for the next four hours. 6) The Himachal Pradesh University in an order said that the teaching activities in the state University will be suspended till 19 August whereas University Library to be closed till 20th August. 7) A Chinook helicopter of Western Air Command airlifted 18 Indian Army personnel and a 3-Ton Mini Dozer in a single sortie for rescue efforts in areas affected by landslides near Himachal Pradeshs Shimla today," the Indian Air Force informed. 8) "Due to the urban expansion of Shimla, torrential rains have led to the uprooting of more than 500 trees, posing potential challenges for local residents. To alleviate these concerns, the Forest Department was directed to swiftly and properly dispose of the fallen trees. Adequate manpower should be deployed to ensure efficient execution of this task," he said. 9) Since the onset of the monsoon season on 24 June, the hilly state suffered losses of 7,171 crore till 14 August, according to the state emergency operation center. 10) A total of 170 incidents of cloudburst and landslide have been reported in the state this monsoon season and about 9,600 houses partially or completely damaged. (With inputs from agencies) Delhi Chief Minister Arvind Kejriwal on Wednesday said he was missing his former deputy Manish Sisodia on his birthday, who he said is in jail in a "false case". While taking to X, formerly known as Twitter, he asked citizens to take a pledge to lay the foundation for a strong India. Today is my birthday. Many people are sending their wishes. Thank you so much! But I miss Manish. He is in jail in a false case. Lets all take a pledge today - that we will do everything within our means to provide best quality education to every child born in India." "That will lay the foundation for a strong India. That will help in realising our dream of making India no 1. That will also make Manish happy," Delhi CM added. Earlier on 15 August, while extending her wishes for Independence Day, Delhi Cabinet Minister Atishi hoped that Manish Sisodia, who has been in jail for 170 days, will "come out soon." Even today on Independence Day, the fight for freedom against the tyranny of a few continues. Manish Sisodia ji has made the future of lakhs of children of Delhi. Today it has been 170 days since he was forcibly sent to jail without any reason. Happy Independence Day Sir. Hope you come out soon," she said in a post on X, formerly known as Twitter. Speaking of Manish Sisodia's arrest, Enforcement Directorate (ED) on March 9 had arrested former Delhi deputy chief minister Manish Sisodia in the liquor policy case, after hours of questioning at Tihar Jail. As per the latest update, the Supreme Court of India on 4 August declined to grant Sisodia any temporary respite and adjourned the hearing on interim bail pleas of Sisodia in two Delhi excise policy cases which are being probed by CBI and ED, according to a report published by the news agency ANI. The top court granted more time to the federal agency to file a reply on Sisodia's bail plea. It has now deferred the hearing in this case for September 4. Sisodia has sought interim bail on grounds of his wife's ill health. Meanwhile, PM Modi extended birthday wishes to Delhi CM. In a tweet on X, the prime minister wrote, Birthday wishes to Delhi CM Shri Arvind Kejriwal Ji. Praying for his long life and good health." Prime Minister Narendra Modi welcomed World Health Organization Chief Tedros Adhanom Ghebreyesus to India on Wednesday, opining that the latter was well prepared for Navratri. The top official arrived in Gujarat earlier in the day for a global summit on traditional medicine and was seen enthusiastically playing dandiya in time to Gujarati folk music at an event organised to welcome him.. My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, Dr Tedros," the PM wrote in response to a video of the WHO chief that was shared by the Ayush Ministry. Prime Minister Modi had named Ghebreyesus Tulsi Bhai during an event held in April last year. Ghebreyesus meanwhile told reporters that he rather liked the name as it was the term for a medicinal plant. Tulsi Bhai, I like the name because the plant is a medicinal plant. By the way, I just planted Tulsi here in the wellness center and I'm really happy to do that because it has many benefits to take care of our forest and to contribute to make sure that our world is green. So, I don't see it only as medicinal, but I think given the climate change we're witnessing now, planting trees is very important. So I am really glad to be part of that. So, I'm happy to be called Tulsi Bhai, given the prominence of the plant," he told ANI. Co-hosted by the Ayush Ministry, the WHO Summit on Traditional Medicine will take place in Gandhinagar on August 17-18. As per a government press note, the event is intendeded to serve as a platform for experts and practitioners to delve into the latest scientific advancements and evidence-based knowledge in the sector, with the ultimate goal of ensuring health and well-being for all. (With inputs from agencies) NEW DELHI : The National Company Law Appellate Tribunal (NCLAT) on Wednesday asked the Reserve Bank of India (RBI) to respond in three weeks to a petition filed by Adisri Commercial, the former promoter of Srei Infrastructure Finance, against an earlier order issued by the tribunal. On 8 October, 2021, the Kolkata bench of the National Company Law Tribunal (NCLT) had admitted Srei into the corporate insolvency process, and an NCLAT bench In December 2022 rejected Adisris plea challenging the NCLT order. Senior advocate Ranjit Kumar, on behalf of Adisri Commercial, argued that its initial appeal was dismissed based on a delay in the filing process. He said the case wasnt evaluated on merits, as no notice was given, and the companys plea was swiftly dismissed after admission, which he said violates natural justice. Kumar insisted the company does not owe any debt as claimed by the RBI, and it was not given the opportunity to demonstrate the absence of debt. Kumar also sought leniency due to the covid-19 pandemics impact on the filing process, adding this oversight shouldnt be grounds for dismissing its petition. He said insolvency rules allow the tribunal to recall the dismissed appeal and address jurisdictional concerns. In response, Gopal Jain, senior counsel for the RBI, contended that Adisri Commercials actions showed a lack of seriousness and commitment throughout the legal process. Jain argued that recalling the dismissed appeal would disrupt the Corporate Insolvency Resolution Process (CIRP), reset the timeline and create a dangerous precedent. The RBI counsel mentioned that even the Supreme Court had dismissed the appeal, raising doubts about the possibility of recalling the order. In October 2021, RBI had superseded the boards of Srei Infrastructure Finance and subsidiary Srei Equipment Finance due to concerns over governance and default on loan repayment obligations. On Wednesday, RBI explained that this was done due to significant defaults, and the initial appeal wasnt rejected solely due to timing issues. The RBI argued that Adisri had pursued the matter through multiple courts, from the Bombay High Court to the Supreme Court. The bench requested both parties to provide some previous judgment on whether recalling a dismissed appeal is permissible. The tribunal will hear the matter again on 25 September. According to NCLAT, the promoters submitted that substantial injustice was caused to Srei and its shareholders by the Kolkata NCLTs insolvency order, which was issued without serving notice to the company or its promoters as required under law. The two lending companies of Srei GroupSrei Equipment and Srei Infrastructure - owe around 30,000 crore to creditors, of which the share of banks is 20,000 crore, and the rest is in the form of non-convertible debentures, external commercial borrowings and others. The three resolution applicants include a consortium of Varde Partners and Arena Investors, National Asset Reconstruction Co. (NARCL) and Authum Investment and Infrastructure. Parsi New Year wishes: The auspicious festival of Navroz which is also known as Nowruz, falls in India in the month July or August. This year Parsi New Year will be observed today i.e. on 16 August. The Parsi community in India follows the Shahenshahi calendar, due to which they observe Navroz nearly about 200 days after the rest of the world. Globally, Parsi New Year falls in the month of March. This day also marks the beginning of the Iranian or Persian New Year which is celebrated globally by different ethnicities for over 3,000 years. During this day, the Parsi community in India clean and decorate their homes, offer prayers to God, prepare meals and invite their family and friends for gettogether. Traditional meals like Dhansak, Patra Ni Machi, Sali Boti, Berry Pulav, Lagan Nu Custard, Ravo is often cooked in Parsi households. Here are top wishes and quotes to share with your loved ones this Parsi New Year: Wish you and your family a joyous year, may your life be filled with joy, achievements, and wellness. Navroz Mubarak May love, bravery, wisdom, patience, be by your side this year. May this year bring lot of happiness and prosperity to you and your family Saal Mubarak! May this year bring you and your family joy, success and good health. May this year be a fresh chapter filled with new ambitions, goals and success. Navroz Mubarak May your life bloom with joy, happiness and success just as flowers with arrival of Spring Saal Mubarak! Wish the coming year is filled with compassion, togetherness for you and your loved ones. On this auspicious day, wish you and your loved ones achieve fulfillment they deserve in the coming year. Navroz Mubarak Wish this radiant rays of Navroz lead you and your family to new beginnings Saal Mubarak! May the coming 12 months be full of contentment, peace for you and your family. Raksha Bandhan is a significant yearly festival that honours the special connections we have with our siblings. In the traditional observance, sisters adorn their brothers' wrists with a Rakhi, symbolizing their wishes for a joyful and successful life. In return, brothers pledge their unwavering care and support. However, contemporary trends have seen brothers also tying Rakhis on their sisters' wrists, and sisters reciprocating the gesture. This act carries the same vow of protection and affection. Alongside this ritual, there is a tradition of exchanging gifts between siblings, indulging each other with beloved items. Rakhi Shubh Muhurat This year, there's uncertainty surrounding the celebration date of Raksha Bandhan due to Bhadra Kaal. People are unsure whether to observe the festival on August 30 or 31. Also Read: Raksha Bandhan 2023 gift ideas: Smartwatches, wireless earbuds and other gadgets you can buy Typically, Rakhi falls on the final day of the Sawan month, coinciding with the Full Moon. As per the Drik Panchang, the precise auspicious time to commemorate Raksha Bandhan is as follows: The Bhadra period for Raksha Bandhan concludes at 9:01 pm. The Bhadra Poonch phase is from 5:30 pm to 6:31 pm, followed by the Bhadra Mukha interval from 6:31 pm to 8:11 pm. Additionally, the Poornima Tithi, signifying the Full Moon, commences on August 30 at 10:58 am and concludes on August 31 at 7:05 am. Raksha Bandhan Rituals The Raksha Bandhan celebration encompasses numerous customs. During this occasion, the sister conducts her brother's aarti and offers prayers for his well-being. Subsequently, she ties a Rakhi around his wrist, applies a tilak on his forehead, and shares sweets with him. Brothers reciprocate their love by presenting their sisters with gifts or money. Also Read: PM Modi asks NDA MPs to reach out to Muslim women during Rakshan Bandhan festival As per Drik Panchang, the conventional practice designates the afternoon as the most propitious period for observing Raksha Bandhan. Nevertheless, if this timing clashes with Bhadra, the rituals are postponed until after its conclusion. Various state governments have introduced initiatives to enable women to enjoy Raksha Bandhan festivities. Also Read: Bank Holidays August 2023: Banks to remain closed on these days. Full list here Madhya Pradesh's Chief Minister, Shivraj Singh Chouhan, recently shared plans to host a unique event. He aims to engage in conversations with women and distribute gifts to them on August 27, as part of the Raksha Bandhan celebration. Cow vigilante Bittu Bajrangi was remanded in police custody on Wednesday in connection with the recent Nuh communal clashes. The development came even as the Vishva Hindu Parishad and its youth wing - Bajrang Dal - distanced themselves from him. According to the police, Bajrangi is the president of an outfit called the Goraksha Bajrang Force. The 45-year-old, also known as Raj Kumar, , is a fruit and vegetables trader at the Gazipur market and Dabua maket in Faridabad. He is believed to have has been running his cow vigilante group for the last three years. Earlier reports quoting sources had also flagged Bajrangi as a Bajrang Dal member. Violence had erupted in Haryana's Nuh at the end of July, killing six people and injuring several others. A senior police officer told PTI that Bajrangi and his associates had wielded illegal weapons during the VHP procession that came under attack in Muslim-majority Nuh on July 31. While Bittu Bajrangi had been arrested by Faridabad police two days after the violence (over related another case) he was released on bail after he joined the investigation. He was accused of making inflammatory speeches and brandishing weapons in public. In the last one month alone, he has been booked in three cases of inciting religious sentiments. I was on duty with my team 300 metres away from the Nalhar temple. We saw a mob of around 20 people carrying swords and trishuls marching to Nalhar temple. To maintain law and order my team snatched and seized their weapons. After this, they started raising slogans against the police and manhandled the team," said a complaint filed by Assistant Superintendent of Police Usha Kundu. The ASP said that the group had squatted in front of the police vehicles where their weapons were kept. Soon after this, they had opened the rear gates of the vehicle and fled with weapons. "Bittu and others who had misbehaved with us and threatened to kill us were identified in the footage," ASP Kundu said in her complaint. Bajrangi was he was questioned and later arrested from Faridabad following a fresh FIR based on Kundu's complaint. The FIR cited several sections of the Indian Penal Code including 148 (riots), 149 (unlawful assembly), 332 (causing hurt), 353, 186 (obstructing a public servant from discharging duty), 395, 397 (armed robbery), and 506 (criminal intimidation) as well as provisions of the Arms Act. Meanwhile the VHP sought to address claims about the cow vigilante's association with the Bajrang Dal, insisting that the VHP as a whole had no relation with the accused. "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad (VHP) also does not consider the content of the video allegedly released by him to be appropriate," the right-wing outfit said in a statement. (With inputs from agencies) Donald Trump, former US President, on Tuesday, claimed that he will produce an irrefutable" report that should" lead to all charges against him getting dropped, New York Post reported. This comes after the Georgia grand jury indicted the former President concerning the efforts to overturn the 2020 election results in the Peach State. A Large, Complex, Detailed but Irrefutable REPORT on the Presidential Election Fraud which took place in Georgia is almost complete & will be presented by me at a major News Conference at 11:00 A.M. on Monday of next week in Bedminster, New Jersey. Based on the results of this CONCLUSIVE Report, all charges should be dropped against me & others - There will be a complete EXONERATION! They never went after those that Rigged the Election. They only went after those that fought to find the RIGGERS!" Trump said on the Truth Social platform. The charges, against the former President were brought by Fulton County District Attorney Fani Willis. The raps against him include violating Georgias anti-racketeering law, conspiracy, false statements, and asking a public official to violate their oath of office, the New York Post reported. Right after the indictment, Trump denounced the ruling and called the situation a total shutdown of democracy". He is also charged in three other criminal cases in Manhattan, Miami, and Washington, DC while facing 91 counts and potential prison sentences adding up to 712 years and 6 months, as per the New York Post. Meanwhile, eighteen alleged co-conspirators were indicted along with Trump in the Georgia case, including his lawyers Rudy Giuliani, John Eastman, Sidney Powell, Jenna Ellis, and Kenneth Chesebro, former White House chief of staff Mark Meadows, ex-Justice Department official Jeffrey Clark and the former presidents 2020 Election Day director of operations Michael Roman. Trump has pleaded not guilty to the New York and federal charges, and has accused his Democratic political opponents of bringing them to derail his bid to recapture the White House. -With agency inputs NEW DELHI : New Delhi and Dhaka are considering the establishment of 16 new border haats to increase the volume of trade between the two countries, according to Smita Pant, a senior diplomat in the Ministry of External Affairs. According to Pant, the two countries have eight haats operational, which are located across a number of northeastern states like Tripura and Meghalaya. Pant was delivering remarks at a conference focused on connectivity between Indias Northeast and Bangladesh, organized by Asian Confluence, a think-tank. A border haat is a rough-and-ready market allowing local people to trade in locally-grown agricultural and manufactured items along the border," explains Nazneen Ahmed in an article for the Observer Research Foundation, a New Delhi-based think tank. The new border haats, whose establishment is currently under discussion, will be located in Mizoram and West Bengal. New border haats may improve access to markets and economic opportunities for border communities while reducing informal trade across the border. These initiatives come even as both sides plan a slew of measures to improve the flow of trade. The two countries have stepped up infrastructure building to improve connectivity, with some initiatives funded with support from Japan. The establishment of the Matabari Port in Bangladesh by 2027 is also expected to allow for the easier flow of goods from India to Bangladesh and onwards to Asian markets. The three countries are working together on the Bay of Bengal Northeast Industrial Value Chain Concept", which aims to attract manufacturing to Indias Northeast and Bangladesh. New Delhi and Dhaka are also negotiating a comprehensive economic agreement. The two Leaders welcomed the recent finalization of a Joint Feasibility Study which recommended that Comprehensive Economic Partnership Agreement (CEPA) will be beneficial for both countries. They directed trade officials on both sides to start negotiations within the calendar year 2022 and to complete these at the earliest, in time for Bangladeshs final graduation from LDC status," reads a joint statement from the meeting between Prime Ministers Narendra Modi and Sheikh Hasina in India in September 2022. Connectivity was in focus during these talks. Reiterating the importance of facilitating trade between the two countries, they stressed the urgent need for up-gradation of infrastructure and facilities at the Land Customs Stations/Land Ports, and for removal of port restrictions and other non-tariff barriers at identified Land Custom Station," reads a joint statement from the visit. For its part, India has requested at least one major land port without port restrictions or negative list of restrictions, on the border with North Eastern States of India, for easier market access, starting with ICP Agartala-Akhaura," according to the same joint statement. India has also offered Bangladesh free transit through its territory to export goods to third countries through particular Land Customs Stations, seaports and airports. Bangladesh had also requested transit rail connectivity at the Chilahati-Haldibari crossing. UK Prime Minister Rishi Sunak attended a Ramayana recitation by spiritual leader Morari Bapu at Cambridge University on Tuesday. The Conservative leader insisted that he was present for the event as a Hindu and not as the Prime Minister of the United Kingdom. Starting his address with a chant of Jai Siya Ram, Sunak emphasised the important role faith and religion had played in his life. The PM said that he aspires to lead how the Hindu scriptures have taught leaders to lead. I'm here today not as a Prime Minister but as a Hindu. For me, faith is very personal. It guides me in every aspect of my lifeFor me, Lord Rama will always be an inspirational figure, to face life's challenges with courage, to govern with humility and to work selflessly," he said. This is believed to be the first time that a Hindu spiritual event has take place on the premises of Cambridge University. The Gujarat-born Indian spiritual leader is a renowned exponent of the Ramayana who has conducted Ram Kathas across the globe for more than 50 years. His first such event in the UK took place in 1979. While the focal point is the scripture itself, Bapu draws upon examples from other religions and invites people from all faiths to attend the discourses.By the age of twelve, Bapu had memorised the entire Ram Charit Manas and had begun reciting and singing the Ram Katha at fourteen," reads an excerpt from his website. His last Ram Katha - held at the Wembley Arena in 2017 - had brought together almost 10,000 listeners daily. Details shared on Morari Bapu's website indicate that the spiritual leader will also conduct similar events in Nepal, Gujarat and Kerala later this year. (With inputs from agencies) Due to the protest called out by the Khalistan supporters, security outside the Indian Embassy beefed up. A large number of police personnel were deployed outside the premises of the Indian embassy, a video posted by news agency ANI showed. This came after Pro-Khalistan groups had planned to stage protests outside the Indian Embassy in Washington DC amid a scheduled Independence Day celebration. A small group had gathered at the Indian Embassy on Tuesday morning, but they were outnumbered by the presence of a large number of security personnel including those from the US Park Police, US Secret Service and Washington DC Police. The Indian Ambassador to the US Taranjit, Singh Sandhu, hoisted the national flag at India House, his official residence. A large number of Indian Americans attended the ceremony. This is not the first time the Khalistan supporters have targeted the Indian missions. In the past, many incidents have been reported from US, UK, Australia as well as Canada. On reports that Ajit Pawar offering Sharad Pawar berth in the Union Cabinet, Shiv Sena (UBT) leader Sanjay Raut says, Maharashtra deputy CM is not that big a leader to make an offer to senior NCP leader. "... Pawar Sahab made Ajit Pawar, Ajit Pawar didn't make Sharad Pawar....His (Sharad Pawar) stature is high..." he told news agency ANI. Sharad Pawar and Ajit Pawar's "secret" meeting A "secret" meeting between senior Nationalist Congress Party (NCP) leaders Sharad Pawar and Ajit Pawar at a Pune businessman's residence on August 12 has caused a stir in political circles. Senior Nationalist Congress Party leader Jayant Patil was also present. The rendezvous took place in Koregaon Park, with Sharad Pawar arriving at 1 pm and leaving at 5 pm. Deputy chief minister Ajit Pawar was purportedly seen leaving the premises in a car at 6:45 pm. Ajit Pawar, who had previously split from the NCP to join the Shiv Sena-BJP government, confirmed his presence and interaction with Sharad Pawar. The media is giving different kinds of publicity to a meeting that took place between family members, leading to confusion. There is no reason to think that anything unusual transpired at the meeting," Ajit Pawar later told the media. Later, NCP working president Supriya Sule also dismissed speculation that Sharad Pawar is moving towards the BJP camp. She said that Sharad Pawar has cleared his stand in his Sangola speech where he said that his party will not go with the BJP as its ideology does not fit in NCP's political framework. "I have spoken with Congress and Shiv Sena(UBT). There is no confusion. No need to worry. Confusion must have cleared if you have listened to the Sangola speech and press brief of Sharad Pawar where he has cleared his stand," she said while talking to reporters. (With agency inputs) ATUL More Information The Congress announced plans to contest all Delhi Lok Sabha seats in the 2024 Assembly elections - only to backtrack hours later and issue a clarification. The initial assertion - from party spokesperson Alka Lamba - had prompted outrage in the AAP ranks with leaders insisting that there was no point in having the INDIA alliance in such a case. Alka Lamba is a spokesperson but she is not an authorised spokesperson for talking on such important issues. I have said as an in-charge that there were no such discussions in the meeting today. I refute Alka Lamba's statement," said AICC in-charge Delhi Congress Deepak Babaria. Congress leaders from Delhi had held a meeting with the party's top leadership on Wednesday to review its preparedness for the Lok Sabha elections. The politicians had discussed ways and means to strengthen the organisation. And according to Lamba, members of the Delhi Congress had been urged to make poll preparations for all seven seats. Babaria also cautioned the AAP against being provoked into making unfortunate statements as the party threatened to walk out of the INDIA alliance. If they (Congress) don't want to form an alliance in Delhi, then it makes no sense to go for the INDIA alliance, it is a waste of time. The party's top leadership will decide whether or not to attend the next meeting of the INDIA alliance," AAP spokesperson Priyanka Kakar had said. Meanwhile, a PTI report quoting sources said that Delhi Congress president Anil Chaudhary and former Union minister Ajay Maken had flagged issues relating to a possible alliance with the AAP during the meeting. "In view of the Lok Sabha elections, a consultation was held with the leaders of the Delhi Congress today. Revitalisation of Delhi Congress is our priority, in which participation of all leaders and workers is necessary. We had made Delhi prosperous and happy, our struggle for the people of Delhi continues even further," Kharge said after the meeting. (With inputs from agencies) Chennai: The last one month has probably seen the maximum number of holy grail discoveries in the scientific worldtwo in the field of physics and two in medicine. All of them have been solutions mankind has been seeking for decades. If they grow beyond the experimental stage and become common use, they could make the world a better place. Imagine the possibilities. One could provide us with an unlimited source of green and safe energy. The second could improve the worlds sustainability by making electricity grids efficient while cutting down transmission and distribution losses massively. In the medical field, the first of the two discoveries could give the world an effective cure for one of the most fearsome diseases known to humanscancer. The fourth discovery is about controlling Alzheimers, a disease that slows down mans cognitive and functional performance. Currently, it has no cure or disease-modifying treatment. All these discoveries come with challenges. They need to be replicated and pass peer review. In the case of medical discoveries, they need to clear clinical trials, and the benefits they eventually offer should far outweigh their side-effects. But the scientific community appears excited. Scientists are also sceptics. They have begun looking at these claims closely. A few may fail to make the cut but as Albert Einstein said, failure is success in progress". Nuclear fusion On 30 July, researchers at the National Ignition Facility, located at Lawrence Livermore National Laboratory, California, announced that they had achieved net energy gain in a fusion reaction. In other words, they managed to generate more energy than what the process consumed. This was the second time they did sothe facility achieved this feat earlier in December last year. Most importantly, the quantum of net gain was higher now. Net energy gain is critical to make commercial fusion power possible. That possibility has kindled hopes even among policy makers. Jennifer Granholm, the US energy secretary, said this success was one of the most impressive science feats of the 21st century". Ever since German scientists Otto Hahn, Lise Meitner and Otto Frisch successfully split the Uranium atom in December 1938, nuclear fission has seen significant progress, both for building weapons and peaceful purposes. As captured in the recent Christopher Nolan blockbuster Oppenheimer, the US government moved fast to weaponize nuclear fission, a process where a heavier atom is split into smaller ones which results in a massive release of energy. In 1945, the Second World War was on its last legs. Germany was defeated but Japan was yet to give in. Americans felt that an atomic bomb would decisively tilt the balance. The bomb was tested in July 1945; Hiroshima and Nagasaki were bombed in August. The war came to an end. Nuclear fission was first used for peaceful purposesto generate electricity only in December 1951. Today, there are over 440 nuclear reactors in operation around the world generating as much as 10% of electricity that is produced. But when it comes to nuclear fusiona process by which two lighter atoms are fused together to produce a heavier atom, resulting in the release of massive energy the progress has been slow. Like nuclear fission, nuclear fusion does not emit any greenhouse gases. But unlike fission, fusion leaves no radioactive waste and produces a lot more energy with a lot less fuel. The raw material to produce energy through nuclear fusion is hydrogen and is available in abundance. Nuclear fission, on the other hand, uses uranium which needs to be mined and is not an infinite resource. Nuclear fusion could therefore solve humanitys quest for unlimited sustainable energy. Ironically, nuclear fusion was also weaponized first. The US tested the first hydrogen bomb (it was 10 times more powerful than the bombs dropped in Japan) in 1952. However, efforts to harvest fusion for peaceful use did not take off as energy required for the fusion reaction was more than it generated. This is because of what scientists call the Coulomb Barrier. For a fusion reaction to take place effectively, two positive nuclei have to come close to each other. But two positive nuclei repel each otherthis phenomenon is called the Coulomb Barrier. To overcome this barrier and get the nuclei to come closer, a large quantum of energy is required. It took scientists over 70 years to ensure that the energy produced is more than what is expended to make the reaction possible. In the December experiment, the energy produced was 3.15 megajoules as against 2.05 megajoules that was spent. Initial analysis of the July test data reveals that the net gain was even higher. Megajoule is a unit of energy measurement. Achieving net energy gain in nuclear fusion is more than just a necessity to guarantee a safer and sustainable planet for the future generations. Fusion power is probably the only large-scale solution that could save the planet from irreversible damage that it is undergoing due to usage of fossil fuels and greenhouse gas emissions," says Ratna Kumar Annabattula, professor at the department of mechanical engineering, IIT Madras. But there is still some way to go before commercial power is generated from fusion reactions. For one, practical fusion reactors have not been built yet. Containing plasma at fusion temperature (hot gas tends to expand and escape from enclosing magnetic structures) is still a challenge. Robert W Conn, a plasma physicist put it succinctly when he wrote in an article recently: The quest for practical fusion energy remains one of the greatest scientific and engineering challenges of humankind". Room temp superconductivity On 22 July, South Korean researchersamong them were Sukbae Lee, Ji-hoon Kim and Young-wan Kwon published two papers in arXiv, a physics site, claiming to have successfully developed a substance that achieved superconductivity at room temperature. Superconductivity is a phenomenon where a substance offers zero or near zero resistance to electricity. The substance, LK-99, was a combination of copper, lead, phosphorus and sulphursubstances that were both cost-effective and easily available. The claim, therefore, generated both excitement and scepticism. It is not the first-time scientists have achieved, or have claimed to have achieved, superconductivity. In 1911, Dutch physicist Heike Kamerlingh Onnes successfully achieved superconductivity in mercury. He did so at an extremely low temperature of 269 degree centigrade. Over the years, other conductors displayed superconductivity but at extremely low temperatures. Aluminium exhibited superconductivity at 271.95 degrees, zinc at 272.3 degrees, tin at 269.95 degrees, lead at 265.95 degrees and titanium at 272.76 degrees. Scientists have even identified materials that displayed superconductivity at 7 degrees centigrade but at very high pressure. But at such low temperature and high pressure, their practical utility is limited. This is where the findings of South Korean researchers are significant. They claim to have developed a material that exhibits superconductivity at room temperature and ambient pressures. This, if confirmed, will have a significant impact on how the world operates. Take the case of power transmission. The world uses copper and aluminium for building transmission lines but at ambient temperatures they exhibit resistance to electricity. This results in transmission and distribution (T&D) loss. In the US alone, 100 billion units of electricity are wasted every year in T&D losses. Superconductivity at room temperature will have a profound implication," says G Baskaran, distinguished professor at the department of Physics, IIT-Madras. We can have a very efficient electric grid that causes almost no T&D loss. The field of medical imaging will get a big fillip with magnetic resonance imaging (MRI) machines becoming more efficient and super cheap. Also, transportation will see a major transformation. Magnetic levitation trains will connect various parts of the world, cutting down travel time significantly," he adds. It will also become easier to build a nuclear fusion reactor. Nonetheless, this is not the first time that such a claim has been made. In 2018, scientists at the Indian Institute of Science (IISc) made a similar claim. They developed a materiala silver and gold mixturewhich they said displayed superconductivity at ambient temperature and pressure. But they could not replicate the success. The IISc researchers are still at work to stabilize the outcome," says Baskaran. Then, in 2020, a few American physicists made a claim of superconductivity at 15 degrees centigrade but they had to withdraw it. Many experts are already questioning the claims made by the Korean researchers. They say LK-99 may just be a magnet and not a material that displays superconductivity at room temperature. The jury is still out. The challenge here is to replicate the success. These are nanoscale phenomenon, and you have no control over them. A substance may show superconductivity once but will not do so again," says Baskaran. Cancer-killing pill On 1 August, City of Hope, one of the largest cancer research and treatment organization in the US, published a study in a peer-reviewed academic journal about an experimental anti-cancer molecule that kills cancer cells without affecting the healthy ones. The molecule, AOH1996, is named after Anna Olivia Healeyborn in 1996, she died of cancer in 2006. The funds collected by her parents helped support the development of this molecule. The molecule targets the proliferating cell nuclear antigen (PCNA) protein, which plays a critical role in DNA replication. Till recently, PCNA was considered difficult to target using medicines. It took a team in City of Hope, under professor Linda Malkas, two decades of research and extensive computer modelling, one that involved simulating and analysing the interactions between millions of different molecules, to come up with a molecule that could target cancer-affected PCNA. When a patient is afflicted by cancer, the PCNA is uniquely altered in the cancer cells. It is these mutated PCNA cells that multiply rapidly leading to the spread of cancer and the patients eventual death. AOH1996 targets only the mutated PCNA cells and prevents them from dividing and making a copy of the faulty DNA. Its unique selective mode of action, where only the cancer cells are targeted, spares the healthy cells. In most therapies, no difference is made between good cells and bad cells. In a study published in Cell Chemical Biology, a journal, Malkas has claimed that in pre-clinical studies, AOH1996 has been effective against breast, prostate, ovarian, cervical, skin, brain and lung cancers. Results are promising and AOH1996 can suppress tumour growth as a monotherapy or combination treatment in cell and animal models without resulting in toxicity," Malkas said while speaking to the media post submission of the paper. Phase-1 clinical trials began in October at City of Hope. This discovery surely has given hope to thousands of cancer patients and their families across the world. Hope for the elderly Alzheimers is the most common problem that affects the elderly. The disease kills the memory and thinking cells in the brain and leads to progressive declining in cognitive and functional ability of those affected. Despite its prevalence among the elderly and billions of dollars invested so far, currently, there is no cure or even a disease modifying treatment for Alzheimers. All these years, what we offered was a symptomatic treatment which provided some relief to patients who were in the initial stages of the disease," says Dr P Vijayashankar, a senior consultant neurologist at Apollo Hospitals. That could change with Donanemeb, a drug developed by Eli Lilly & Co. The drug mops up amyloids or plaques that accumulate in the brains of people with Alzheimers and slows down the progress of the disease. In the phase-3 clinical trials involving 1,736 participants in the 60-85 years age group with early symptomatic Alzheimers disease, 36% showed decline in 18 months. This is the first time such a medicine that slows down cognitive and functional decline in people with early symptomatic Alzheimers disease has been found," says Vijayashankar. It has the potential to significantly improve the quality of life of the patients and provide much needed respite to the caregivers, he adds. But what is worrying the doctors is the potential side effects of the drug. During the trials, the drug caused brain swelling (ARIA-edema) in 24% of the cases and haemorrhaging (ARIA-H) in 31% of the cases. That apart, patients in the trial suffered from headaches, confusion, vomiting and seizures. The side effects are worrying but this is just a starting point. All these years we knew nothing about slowing the disease. Today, thanks to this drug, we have something to start with," says Vijayashankar. Elon Musk 's X platform (formerly known as Twitter) on Tuesday delayed access to links to content on the Reuters and New York Times websites as well as rivals like Facebook and Instagram, Washington Post reported. The aforementioned affected websites suffered a delay of about 5 seconds while clicking a link on the X before the webpage loaded, citing tests it conducted yesterday, the Washington Post added. However, by afternoon the X platform eliminated the delay. Musk, who bought Twitter in October, has previously lashed out at news organisations and journalists who have reported critically on his companies, which include Tesla and SpaceX. A user on Hacker News, a tech forum, posted about the delay earlier on Tuesday and wrote that X began delaying links to the New York Times on 4 August. On that day, Musk criticised the publication's coverage of South Africa and accused it of supporting calls for genocide NEW AD REVENUE PROGRAMME Last week, tech giant X announced a new update to their Ads Revenue program for creators, reducing the minimum amount of impressions needed from 15M to 5M. The company tweeted from its official handle, Now, even more people can get paid to post! Weve lowered the eligibility threshold for ads revenue sharing from 15M to 5M impressions within the last 3 months. Weve also lowered the minimum payout threshold from $50 to $10. Sign up for a Premium subscription to get access." X has also lowered its payment threshold from $5O to $10. Elon Musk on the other hand shared some more information regarding the new update and tweeted, This essentially means that X Premium (fka Twitter Blue) is free for accounts that generate above 5M views. Note, only views from verified handles count, as scammers will otherwise use bots to spam views to infinity." Previously, the micro-blogging site required users to have a minimum of 15 million impressions within three months to qualify for ad revenue sharing. This portrait of Vlad III, or Vlad the Impaler, was painted in the early 16th century, hangs in the museum at Castle Ambras in Innsbruck, Austria Legends of vampires go back centuries, but few names have cast more terror into the human heart than Dracula. However the fictional character, created by author Bram Stoker, was in fact based on a real historical figure called Vlad the Impaler. Vlad the Impaler, also known as Vlad III, Prince of Wallachia, was a 15th-century warlord, in what today is Romania, in south-eastern Europe. Stoker used elements of Vlad's real story for the title character of his 1897 novel " Dracula ." The book has since inspired countless horror movies, television shows and other bloodcurdling tales. However, according to historians and literary scholars, such as Elizabeth Miller who has studied the link between Stoker's character and Vlad III, the two Draculas don't really have much in common. Who was the real Dracula? Vlad the Impaler is believed to have been born in 1431 in what is now Transylvania, the central region of modern-day Romania. However, the link between Vlad the Impaler and Transylvania is a matter of some debate, according to Florin Curta, a professor of medieval history and archaeology at the University of Florida. "Dracula is linked to Transylvania, but the real, historic Dracula Vlad III never owned anything in Transylvania," Curta told Live Science. Bran Castle, a modern-day tourist attraction in Transylvania that is often referred to as Dracula's castle, was never the residence of the Wallachian prince, he added. This painting, "Vlad the Impaler and the Turkish Envoys," by Theodor Aman (1831-1891), allegedly depicts a scene in which Vlad III nails the turbans of these Ottoman diplomats to their heads. (Image credit: Public domain) "Because the castle is in the mountains in this foggy area and it looks spooky, it's what one would expect of Dracula's castle," Curta said. "But he [Vlad III] never lived there. He never even stepped foot there." Related: Bram Stoker's Vampire victim shows 'textbook' Leukemia symptoms Vlad III's father, Vlad II, did own a residence in Sighisoara, Transylvania, but it is not certain that Vlad III was born there, according to Curta. It's also possible, he said, that Vlad the Impaler was born in Targoviste, which was at that time the royal seat of the principality of Wallachia, where his father was a "voivode," or ruler. There is also Castelul Corvinilor, also known as Castle Corvin, where Vlad may have been imprisoned by Hungarian Governor John Hunyadi. It is possible for tourists to visit one castle where Vlad III certainly spent time. At about age 12, Vlad III and his brother were imprisoned in Turkey. In 2014, archaeologists found the likely location of the dungeon, according to Smithsonian Magazine. Tokat Castle is located in northern Turkey. It is an eerie place with secret tunnels and dungeons that is currently under restoration and open to the public. Where does the name Dracula come from? In 1431, King Sigismund of Hungary, who would later become the Holy Roman Emperor, according to the British Museum , inducted the elder Vlad into a knightly order, the Order of the Dragon. This designation earned Vlad II a new surname: Dracul. The name came from the old Romanian word for dragon, "drac." His son, Vlad III, would later be known as the "son of Dracul" or, in old Romanian, Draculea, hence Dracula, according to Historian Constantin Rezachevici (" From the Order of the Dragon to Dracula " Journal of Dracula Studies, Vol 1, 1999). In modern Romanian, the word "drac" refers to the Devil , Curta said. According to " Dracula: Sense and Nonsense " (Desert Island Books, 2020) by Elizabeth Miller, in 1890 Stoker read a book about Wallachia. Although it did not mention Vlad III, Stoker was struck by the word "Dracula." He wrote in his notes, "in Wallachian language means DEVIL." It is therefore likely that Stoker chose to name his character Dracula for the word's devilish associations. The theory that Vlad III and Dracula were the same person was developed and popularized by historians Radu Florescu and Raymond T. McNally in their book " In Search of Dracula (The New York Graphic Society, 1972). Though far from accepted by all historians, the thesis took hold of the public imagination, according to The New York Times. According to Constantin Rezachevici, the Order of the Dragon was devoted to a singular task: the defeat of the Turkish, or Ottoman Empire. Situated between Christian Europe and the Muslim lands of the Ottoman Empire, Vlad II's (and later Vlad III's) home principality of Wallachia was frequently the scene of bloody battles as Ottoman forces pushed westward into Europe, and Christian forces repulsed the invaders. Years of captivity When Vlad II was called to a diplomatic meeting in 1442 with Ottoman Sultan Murad II, he brought his young sons Vlad III and Radu along. But the meeting was actually a trap: All three were arrested and held hostage. The elder Vlad was released under the condition that he leave his sons behind. James S. Kessler (" Echoes of Empire ," Lulu Publishing, 2016) argues that Vlad II "sent Vlad Junior and his brother Radu cel Frumos as 'royal hostages' to the Ottoman court." "The sultan held Vlad and his brother as hostages to ensure that their father, Vlad II, behaved himself in the ongoing war between Turkey and Hungary," said Miller, a research historian and professor emeritus at Memorial University of Newfoundland in Canada. Under the Ottomans, Vlad and his younger brother were tutored in science, philosophy and the arts. According to Radu Florescu and Raymond McNally, Vlad also became a skilled horseman and warrior. "They were treated reasonably well by the current standards of the time," Miller said. "Still, [captivity] irked Vlad, whereas his brother sort of acquiesced and went over to the Turkish side. But Vlad held enmity, and I think it was one of his motivating factors for fighting the Turks: to get even with them for having held him captive." Vlad the Prince A bust of Vlad III that sits in the centre of Sighisoara, Romania, one of the many locations that claims to be the birthplace of the prince of Wallachia. (Image credit: David Greedy / Stringer via Getty) While Vlad and Radu were in Ottoman hands, Vlad's father was fighting to keep his place as voivode of Wallachia, a fight he would eventually lose. In 1447, Vlad II was ousted as ruler of Wallachia by local noblemen (boyars) and was killed in the swamps near Balteni, halfway between Targoviste and Bucharest in present-day Romania, according to John Akeroyd (" The Historical Dracula ", History Ireland, Vol 17 No.2, 2009). Vlad's older half-brother, Mircea, was killed alongside his father. Not long after these harrowing events, in 1448, Vlad embarked on a campaign to regain his father's seat from the new ruler, Vladislav II. His first attempt at the throne relied on the military support of the Ottoman governors of the cities along the Danube River in northern Bulgaria, according to Curta. Vlad also took advantage of the fact that Vladislav was absent at the time, having gone to the Balkans to fight the Ottomans for the governor of Hungary at the time, John Hunyadi. Vlad won back his father's seat, but his time as ruler of Wallachia was short-lived. He was deposed after only two months, when Vladislav II returned and took back the throne of Wallachia with the assistance of Hunyadi, according to Curta. Little is known about Vlad III's whereabouts between 1448 and 1456. But it is known that he switched sides in the Ottoman-Hungarian conflict, giving up his ties with the Ottoman governors of the Danube cities and obtaining military support from King Ladislaus V of Hungary, who happened to dislike Vlad's rival Vladislav II of Wallachia according to Curta. Meanwhile, Vladislav II sought aid from Ottoman ruler Mehmed II. Vlad III's political and military tack truly came to the forefront amid the fall of Constantinople in 1453. After the fall, the Ottomans were in a position to invade all of Europe. In July 1456, as the Ottomans and Hunyadis forces were locked in battle, Vlad led a small force of exiled boyars, Hungarians and Romanian mercenaries against his old enemy Vladislav II at Targoviste, according to McNally and Florescu in "Dracula, Prince of Many Face" (Little, Brown and Company, 1990). "He had the satisfaction of killing his mortal enemy and his fathers assassin in hand-to-hand combat," they wrote. Vlad, who had already solidified his anti-Ottoman position, was proclaimed voivode of Wallachia in 1456, according to Elizabeth Miller ("A Dracula Handbook," Xlibris, 2005). One of his first orders of business in his new role was to stop paying an annual tribute to the Ottoman sultan a measure that had formerly ensured peace between Wallachia and the Ottomans. Why is Vlad called "The Impaler"? A woodcut from a 1499 pamphlet depicts Vlad III dining among the impaled corpses of his victims. (Image credit: Public Domain) To consolidate his power as voivode, Vlad needed to quell the incessant conflicts that had historically taken place between Wallachia's boyars. According to Constantin Rezachevici (" Dracula: Essays on the Life and Times of Vlad the Impaler " Center for Romanian Studies, 2019) "during a banquet given by him at the palace in Targoviste, Vlad the Impaler ordered the impaling of some 500 Boyars (perhaps only really 50) with the accusation that their shameless disunity was the cause of the frequent changing of the princes in Wallachia". This is just one of many gruesome events that earned Vlad his posthumous nickname, Vlad the Impaler. This story, and others like it, is documented in printed material from around the time of Vlad III's rule, according to Miller. "In the 1460s and 1470s, just after the invention of the printing press , a lot of these stories about Vlad were circulating orally, and then they were put together by different individuals in pamphlets and printed," Miller said. Whether or not these stories are wholly true or significantly embellished is debatable, Miller added. After all, many of those printing the pamphlets were hostile to Vlad III. But some of the pamphlets from this time tell almost the exact same gruesome stories about Vlad, leading Miller to believe that the tales are at least partially historically accurate. Some of these legends were also collected and published in a book, " The Tale of Dracula ," in 1490, by a monk who presented Vlad III as a fierce, but just ruler. Vlad is credited with impaling dozens of Saxon merchants in Kronstadt (present-day Brasov, Romania), who were once allied with the boyars, in 1456, according to Kristen Wright (" Disgust and Desire: The Paradox of the Monster ," Brill Rodopi, 2018). Around the same time, a group of Ottoman envoys allegedly had an audience with Vlad but declined to remove their turbans, citing a religious custom. Commending them on their religious devotion, Vlad ensured that their turbans would forever remain on their heads by reportedly having the head coverings nailed to their skulls, according to McNally and Florescu. "After Mehmet II the one who conquered Constantinople invaded Wallachia in 1462, he actually was able to go all the way to Wallachia's capital city of Targoviste but found it deserted. And in front of the capital he found the bodies of the Ottoman prisoners of war that Vlad had taken all impaled," Curta said. The Battle With Torches by Romanian artist Theodor Aman depicts the nighttime raid of Vlad III against Mehmed II as he sought to end the Ottoman invasion of Wallachia. (Image credit: Public Domain/Muzeul Theodor Aman) In one battle on June 17th, 1462, known as the Night Attack at Targoviste, Vlad III and Mehmed IIs forces fought from three hours after sunset until about four in the morning, at the foothills of the Carpathian Mountains, according to McNally and Florescu. The attack was an attempt to assassinate Mehmed II, but using only torches and flares, the Wallachian forces were unable to locate his tent and the alarm was raised. McNally and Florescu estimate 5,000 of Vlad men were lost to 15,000 Ottomans, but point out that it was, "an act of extraordinary temerity, which is celebrated in Romanian literature and popular folklore." Vlad's victories over the invading Ottomans were celebrated throughout Wallachia, Transylvania and the rest of Europe even Pope Pius II was impressed. "The reason he's a positive character in Romania is because he is reputed to have been a just, though a very harsh, ruler," Curta said. How did Vlad the Impaler die? Not long after the impalement of Ottoman prisoners of war, in August 1462, Vlad was forced into exile in Hungary, unable to defeat his much more powerful adversary, Mehmet II. Vlad was imprisoned for a number of years during his exile, though during that same time he married and had two children. Vlad's younger brother, Radu, who had sided with the Ottomans during the ongoing military campaigns, took over governance of Wallachia after his brother's imprisonment. But after Radu's death in 1475, local boyars, as well as the rulers of several nearby principalities, favored Vlad's return to power, according to John M Shea (" Vlad the Impaler: Bloodthirsty Medieval Prince ," (Gareth Stevens Publishing, 2015). In 1476, with the support of the voivode of Moldavia, Stephen III the Great (1457-1504), Vlad made one last effort to reclaim his seat as ruler of Wallachia. He successfully stole back the throne, but his triumph was short-lived. Later that year, while marching to yet another battle with the Ottomans, Vlad and a small vanguard of soldiers were ambushed, and Vlad was killed. The church of Santa Maria La Nova in Naples is one of a number of locations where the remains of Vlad III are claimed to have been buried. (Image credit: Marco Cantile / Contributor via Getty Images) There is much controversy over the location of Vlad III's tomb, according to Constantin Rezachevici in a study published in 2002 in the Journal of Dracula Studies. It is said he was buried in the monastery church in Snagov, on the northern edge of the modern city of Bucharest, in accordance with the traditions of his time. But recently, historians have questioned whether Vlad might actually be buried at the Monastery of Comana, between Bucharest and the Danube, which is close to the presumed location of the battle in which Vlad was killed, according to Curta. One thing is for certain, however: unlike Stoker's Count Dracula, Vlad III most definitely did die. Only the harrowing tales of his years as ruler of Wallachia remain to haunt the modern world. Additional reporting by Jessie Szalay and Callum McKelvie Live Science Contributors. The Techsauce Global Summit 2023 kicked off on Wednesday, August 16, with a day full of exciting speakers, panel discussions, and networking opportunities. The event, which is held in Bangkok, Thailand, is the largest tech conference in Southeast Asia and this years theme was Social Impact, Climate Tech, and Cutting-Edge Technology. The summit attracted a wide range of companies, from startups to large corporations, all of whom were eager to share their latest innovations and ideas. One of the most notable trends at the summit was the growing focus on B2B businesses. A number of startups and companies were showcasing their solutions for businesses in a variety of industries, including agriculture, healthcare, and transportation. Another trend that was evident at the summit was the emphasis on data and analytics. Many companies were talking about how they are using data to improve their products and services, as well as to make better decisions about their businesses. I was particularly impressed by the three companies that I mentioned in my previous article: SCB X, Petabit, and SCG. SCB X Siam Commercial Bank (SCB) subsidiary, SCB X, dedicates itself to the advancement of new technologies and digital solutions. The company has a number of different products and services, including SCB IOX, SCB Tech X, and Token X. SCB IOX is a platform that allows businesses to build and deploy blockchain applications. SCB Tech X is a venture capital firm that invests in early-stage tech startups. Token X is a digital asset exchange that allows users to buy, sell, and trade cryptocurrencies. In addition to these three main products and services, SCB X also has its own hub, which is a space for attendees, entrepreneurs, developers, and other innovators to come together and collaborate and listen to their speakers. The hub will be hosting 13 speakers and 2 panel discussions during the Techsauce Global Summit 2023 among the 2 days event, and it has been a popular spot for attendees to network and learn about the latest trends in technology. SCG Operating since 1913 for over a century, SCG, a prominent Thai conglomerate, places its focus on agriculture and climate change. The company drives various initiatives targeted at tackling these challenges head-on. At the Techsauce Global Summit 2023, One of SCGs most interesting initiatives is its VR farming simulator. This simulator allows attendees to learn about agricultural practices and techniques without having to be at a proper farm. The simulator is designed to be realistic and immersive, and it allows farmers to practice new techniques in a safe and controlled environment. The simulator was a hit with attendees, who were able to learn about new farming techniques and technologies in a fun and interactive way. Petabit Petabit stands as a visionary VR center solution enterprise nestled in the vibrant heart of Thailand. At the forefront of their offerings is the remarkable Petaverse platform, an innovative marvel empowering users to craft and traverse boundless virtual realms. Further elevating their repertoire, Petabit unveils the ingenious VR Motion a gateway to a realm of heightened immersion within VR content. Emerging as a fresh contender, Petabit swiftly ascends to the zenith of the VR industry in Southeast Asia. Their unwavering commitment to ceaseless evolution and inventive solutions is nothing short of remarkable. Through a fusion of technology and imagination, Petabit consistently pioneers novel avenues for utilizing VR, addressing challenges, and crafting unparalleled experiences. With this steadfast dedication, I hold firm in the belief that Petabit will indelibly shape the trajectory of the VR industry in the years that lie ahead. Fueling Southeast Asias Tech Future: Highlights from Techsauce Global Summit 2023 I believe that the Techsauce Global Summit 2023 was a great success. The event brought together a diverse group of people from all over Southeast Asia to discuss the future of technology. I am confident that the summit will continue to grow in the years to come and that it will continue to be a valuable resource for the tech community in the region. The event was a great opportunity to learn about the latest trends in technology and to network with some of the most innovative people in the region. Im looking forward to day two! Copyright 2023 The Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed without permission. Reassuring patrons of the safety of shopping in Longford was the primary message coming from Longford retailers after a hoax bomb scare caused hysteria in the town over the weekend. Longford businesses are counting the cost of the prank bomb alert that saw two major retail premises evacuated. The two calls were reported to Longford Gardai on the afternoon of Saturday, August 12, 2023 regarding suspicious devices. Longford Shopping Centre and Dunnes Stores were cleared and the scenes were preserved to allow authorities conduct the investigation. Gardai liaised with specialist search resources and the Irish Defence Forces as well as management of the retail premises. No devices were located in the searches and the locations were deemed safe. Gardai are treating the call as a hoax and investigations into the circumstances are ongoing. Samuel Byrne, Manager of Longford Shopping Centre, said it was difficult to quantify the cost of the prank: I don't have a figure for it yet, he told the Leader, We closed from 5:30 on Saturday and didn't reopen until Sunday morning. Up to 15 security personnel undertook a search of the Shopping Centre before giving it the all clear. The implications of such a hoax for businesses are wide ranging, more than the loss on the evening. Samuel says shoppers can rest assured that shopping in Longford is safe: Obviously everything is deemed a hoax and nothing was found. There is no reason not to feel safe. The response from the Gardai and the Defence Forces fills us with confidence. We are in safe hands. Gardai hunt hoax bomb caller behind closure of Longford shopping outlets Gardai have launched a major investigation into establishing the identity of an individual who is suspected of making a number of hoax bomb threats that yesterday resulted in the evacuation of Longford Shopping Centre and a major supermarket in the county town. Gardai would appeal to anyone with information in relation to these calls to contact Gardai at Longford Garda Station on 043 335 0570 the Garda Confidential Line 1800 666 111 or any Garda Station. In a significant development for Longford, Hydrogen Utopia International (HUI) has set its sights on the former Atlantic Mills denim factory site to establish a cutting-edge hydrogen production facility, through its Irish subsidiary Alister Future Technologies (AFT). The company has secured an EU grant bringing it ever closer to building a full-scale plant transforming end-of-life waste plastic into low-carbon hydrogen. HUI say this will contribute to a more sustainable future for Longford and Ireland providing a paragon of circularity for the region. The grant is expected to reimburse AFT for 75% of expenditure incurred on Irish soil, of up to 450,000. Led by the CEO and Founder, Aleksandra Binkowska, HUI is pioneering a revolutionary technology that converts plastic waste into valuable low-carbon hydrogen gas, via a sealed system with no burning or unsightly smokestacks on the horizon, and zero harmful emissions. Speaking about their groundbreaking process, Ms Binkowska explained, "We take end-of-life waste plastic and, without recourse to combustion, convert it into synthesis gas (syngas), from which we extract the hydrogen. Its a sophisticated process that demands engineering expertise, for which this grant will be used". The potential of hydrogen as a clean energy source is immense. Ms Binkowska initially focused on its application in the transport sector but emphasises that H2 has versatile uses across various industries. One of the critical aspects of HUI's approach is tackling the issue of carbon emissions that can accompany traditional hydrogen generation. "Much hydrogen production today emits copious CO2, which has to be dealt with, at extra cost. We take plastic that nobody needs, which would ordinarily go to landfill, and convert it with negligible to nil CO2 released. Thus, HUIs method can help solve dual issues of climate change and plastic pollution in one stroke", Ms Binkowska stated. The grant aid is a significant boost for HUI's research and development (R&D) efforts. It will help the company further explore and refine the technology required to build their low-carbon hydrogen production plant. Expressing her delight at securing the funding, Ms Binkowska said, "This grant is exactly what we need, aimed at organisations looking to use plastic to make energy, reducing reliance on virgin fossil fuels. Furthermore, Im proud to say that we are one of only two for-profit businesses to have been awarded it." Ms Binkowska, originally from Poland and now working across the EU, initially considered piloting the venture in her homeland. However, she quickly recognised Ireland's potential as a prime location for her cleaner hydrogen future. "Having looked around, Longford was an ideal place for such a venture. The site is ideally located within reach of Dublin, as well as several waste disposal companies who have expressed their excitement to work with us going forward", she explained. She also praised the warm reception from the people of County Longford, along with the proactive support received from both Longford Local Enterprise Office and the County Council, making the decision to establish HUI in Ireland an easy one. The benefits of HUI's hydrogen venture extend beyond creating a cleaner environment. The company aims to contribute to the local economy by generating employment opportunities. Ms Binkowska projects that once the facility is fully operational, it could create between 15 and 30 full-time jobs, offering a positive economic impact for the region. With the Longford project leading the way, HUIs business model could ultimately be replicated throughout the country. The grant aid is part of the Interreg Atlantic Area 2021-2027 European Development Fund, which covers the western part of the Atlantic Ocean and includes all regions of Ireland and Portugal, as well as several French and Spanish regions close to or on the Atlantic Ocean. The funding opportunity, formally approved by the European Commission on September 8, 2022, is expected to play a pivotal role in promoting sustainable, innovative, commercially viable projects, like HUI's circular model. Ms Binkowska concluded, Our aim is that the clean energy hub in Longford will rekindle the prosperity and employment opportunities that the Burlington factory once provided the area. The Longford plant will be the first one of more we intend to build in Ireland, as we lead the way and prove the concept as part of a Pan-European system. A month-long celebration of local craft makers across Ireland is well underway and this August Craft Month Longfords proud living heritage will be on display. Celebrating the vibrant local craft scene, people will have the chance to experience demonstrations, exhibitions and workshops across a variety of crafts right on their doorstep as well as supporting local makers by buying products and learning more about their work. Local makers the Knights and Conquests Granard will be involved in the celebrations and events this year. The programme provides unmissable opportunities to experience, participate in, support, buy and learn about the local stand-out craft sector and, with over 400 events on offer across the island, provides the perfect excuse to hit the road for a craft inspired adventure or staycation. Here is an example of an exciting event taking place locally this month. Duchas Beo A celebration of our living heritage Location: Knights and Conquests Heritage Centre, Rathcronan, Dublin Street, Granard Date: Sunday, August 20, 1pm to 5pm Price: Free This community gathering celebrates Longfords rich and diverse living heritage of crafts and trades. Attendees will be able to experience history come to life with live medieval re-enactments on horseback by the Horsemen of Eire and meet blacksmith Ross, from Foraged Nature, as he shares the knowledge of his craft. Everyone will have the chance to enjoy a wonderful day of storytelling, sharing of olden knowledge, music, song and dance. Led by Design and Crafts Council Ireland, in partnership with Craft NI and Cork Craft Design, this month-long celebration, now in its second year, celebrates the vibrant local craft scene and gives people the chance to immerse themselves in the wonderful visual and hands on experience of craft. For more information, and to see the list of events taking place across the island visit www.augustcraftmonth.org An innovative new treatment for a common men's health issue has been introduced at an Irish hospital. The treatment - which uses water vapour therapy to target and shrink excess prostate tissue - has been introduced at Tallaght University Hospital (GUH) in recent days. According to the HSE, one in four men over the age of 40 in Ireland will suffer from an enlarged prostate gland, which puts pressure on the bladder and urethra. This can cause issues such as dribbling and often feeling like the bladder has not fully emptied, with the individual needing to go to the toilet often. If it is ignored and not treated it can lead to bigger problems with the kidneys and the bladder. Rezum uses water vapour therapy to target and shrink the excess prostate tissue; when the steam contacts the prostate tissue, the stored energy is released into the tissue. In time, the body absorbs the treated tissue, reducing the size of the prostate and relieving the urinary obstruction. It eases the symptoms for the patient and is typically performed as a day procedure, using sedation or a short general anaesthetic. A team at TUH, led by Consultant Urologist Professor Rustom Manecksha, has carried out the minimally invasive procedure in recent days. Professor Manecksha explained that we are now providing an alternative treatment for what is a common medical problem." He said, "Our hospital, and in particular the urology service, has a long tradition of innovation, and the introduction of this new therapy is a welcome addition to the number of ways we can treat an enlarged prostate, many of which are minimally invasive. "This means our patients spend less time in hospital and have a shorter recovery time so they can get back to living their lives." Local News, Press Releases By Long Island Published: August 16 2023 Governor Kathy Hochul signs the Roadway Quality Assurance Act into law, guaranteeing prevailing wages for construction workers on roadway excavation projects across New York State, fostering fair compensation and skilled workforce development Governor Kathy Hochul today signed legislation (S.4887/A.5608) into law, enacting the Roadway Quality Assurance Act, strengthening New Yorks construction workforce by ensuring they are paid the prevailing wage. This requirement uplifts workers and local communities across New York State and contributes to reliable utility service for ratepayers. "Our construction workers keep New Yorkers moving but are often underpaid for their hard and impactful work," Governor Hochul said. I am proud to sign this legislation that will provide construction workers with fair wages and allow them to support themselves, their families, and our local economy. Legislation (S.4887/A.5608) amends the labor law to enact the "roadway excavation quality assurance act to protect workers on projects requiring the excavation or opening of a street. This legislation mandates that utility company contractors and subcontractors pay the prevailing wage to employees on projects where a permit to use, excavate, or open a street is required to be issued. State Senate Deputy Leader Michael Gianaris said, The workers who maintain and improve our infrastructure are vital to our communities and deserve a prevailing wage. Its good for these skilled workers, and good for local economies across New York. I am pleased Governor Hochul is signing this bill. Assemblymember Karines Reyes said, I applaud Governor Hochul for raising the wages of workers that fix our roads and strengthening our states local economies. By signing this legislation, the governor guarantees that roadway workers will receive the prevailing wage for their services and ensure that workers are paid commensurately for the vital skills that they deploy. This new law will enable this critical part of New Yorks workforce to provide for their families and reinvest in local businesses. I am thankful to Senator Gianaris for his partnership in passing this bill, Governor Hochul for her leadership, and to LiUNA for their devotion to this effort. Mario Cilento, President of the New York State AFL-CIO said, We commend Governor Hochul for prioritizing safety while ensuring workers will be paid fairly for their labor. Requiring prevailing wage standards on roadway excavation projects will lead to the use of a highly trained and skilled workforce, help protect public safety and assist with utility service reliability. We thank the governor for signing the bill and we thank the Democratic Majorities in both houses and the bill sponsors, Senate Deputy Majority Leader Mike Gianaris and Assemblymember Karines Reyes. Gary LaBarbera, President, New York State Building & Construction Trades Council said, We thank Governor Hochul for signing this important piece of legislation that will benefit construction workers across New York. Prevailing wages provide meaningful benefits to workers and the economy, as they enable the Building Trades to continue creating middle class family-sustaining careers. The new law will protect public safety and utility service reliability by ensuring these roadway excavation projects utilize a highly trained and skilled workforce. We look forward to continuing to work with the Governor and our partners in the Legislature on other meaningful policies to benefit workers throughout this great State. Dan Bianco, LIUNA Vice President and New England Regional Manager said, For decades our union pushed to expand this requirement from just New York City to the rest of the state. This was a long and hard-fought battle by the men and women of the New York State Laborers that will benefit our membership indefinitely. Wed like to thank our valued colleagues in the Senate and Assembly, specifically Speaker Carl Heastie, Senate Leader Andrea Stewart-Cousins, bill sponsors NYS Senator Mike Gianaris and Assemblymember Karines Reyes, Senate Labor Chair Jessica Ramos and Assembly Labor Chair LaToya Joyner, who recognize the importance of paying solid wages to workers, safety, training and holding big utility companies accountable to the people their businesses serve. Most importantly, we thank Governor Kathy Hochul for standing shoulder-to-shoulder with proud LIUNA members and signing this legislation into law, and for being a strong ally to the union men and women who build New York from the ground up! Thomas A. Callahan, President of the New York State Conference of Operating Engineers said, The NYS Conference of Operating Engineers thanks Governor Hochul for signing this bill into law. Workers in this sector will now receive the wages and benefits they deserve. We also want to thank the NYS Legislature- especially the sponsors- for passing this important bill." Local News, Travel & Local Attractions, Press Releases By Long Island Published: August 16 2023 Newly restored Montauk Point Lighthouse celebrates its reopening after a major coastal resiliency project, preserving the historic landmark against erosion and storms, thanks to a collaborative effort involving Governor Kathy Hochul, U.S. Army Corps of ... Governor Kathy Hochul, the U.S. Army Corps of Engineers New York District, Montauk Historical Society, and other partners today celebrated the reopening of the newly restored Montauk Point Lighthouse and completion of a major coastal resiliency project to protect the historic lighthouse and associated cultural resources. The landmark, located on the easternmost point of Long Island, underwent an extensive multi-year renovation and will be significantly protected from waves and coastal storms due to a new stone revetment that will prevent erosion and degradation of the site. Extreme storms can have a devastating impact on so many communities and resources, especially here at the coastal Montauk Lighthouse complex, Governor Hochul said. New York State is proud to complete this project with the U.S. Army Corps of Engineers to implement this critical shoreline resiliency project so that the beautifully renovated lighthouse buildings and grounds are protected and enjoyed for generations to come." U.S. Army Corps of Engineers, New York District Commander Colonel Alexander Young said, "Completion of this project is a major milestone on a number of levels due to the historic nature of the facility and it's place in the community as well as American history. The Montauk Point Lighthouse has a played a key role in serving the maritime community for decades and the work done here by a very talented group of individuals from top to bottom will ensure it remains a symbol of American strength and ingenuity for years to come. I'd like to thank all of our partners at the federal, state, and local levels for their support. We couldn't have done it without them." The U.S. Army Corps of Engineers (USACE) coastal storm damage risk management project included the reconstruction of approximately 1,000 linear feet of stone revetment, including removal and reuse of existing armor stones, and delivery and placement of new armor stones weighing anywhere between 10-20 tons apiece. The project is designed to protect the historic Montauk Point lighthouse, complex, bluff area and associated cultural resources by stabilizing the site and protecting it from future coastal storms. The cost of the overall effort to restore and protect the historical landmark was approximately $44 million, which included the award of a construction contract to H&L Contracting of Bayshore in 2021. The project was cost shared between USACE and the New York State Department of Environmental Conservation (DEC). DEC allocated $15.4 million in NY Works funding as the project's non-federal sponsor. The local sponsor, the Montauk Historical Society, will maintain the site for continued use as a tourism attraction and educational center. The Montauk Point Lighthouse is the oldest lighthouse in New York State, authorized in 1792 by the Second Congress under President George Washington. Construction was completed in November 1796, and it was designated a National Historic Landmark on March 5, 2012. The lighthouse is on the Federal and State Registers of Historic Places. The Montauk Point Lighthouse was constructed approximately 300 feet from the original edge of the bluff, which became increasingly less stable over the years, leaving it extremely vulnerable to coastal storm erosion and significant damage. New York State Department of Environmental Conservation Commissioner Basil Seggos said, DEC is proud to partner with USACE to provide long-term protection for the Montauk Point Lighthouse from coastal erosion and future storm events. Climate change remains a real challenge for coastal communities, increasing the frequency of extreme weather events like Superstorm Sandy and resulting in more intense storm surge and coastal flooding. Under Governor Hochuls leadership, DEC is continuing to work with local federal, state, and local partners to support resiliency initiatives and helping communities become better prepared for when the next big storm hits. Concerned Citizens for Montauk Chairman David Freudenthal said, "CCOM, as the leading environmental organization in Montauk for the past 53 years, thanks Governor Hochul for drawing public attention to the urgent risk faced by our vulnerable shoreline in the face of climate change and sea level rise. CCOM is committed to working with our partners in government on responses to the imminent danger to our community. CCOM has been working with East Hampton Town and New York State since 2014 to develop a comprehensive long-term solution for Montauks coastline, including adoption in 2021 of the Coastal Assessment and Resiliency Plan. We look forward to the delivery later this year of the sand nourishment for Montauk's downtown beaches as part of the Federal Fire Island to Montauk Point plan to offer medium-term coastal stabilization." Historic Lighthouse Restoration Project In addition to the completion of the shoreline stabilization work, the Montauk Historical Society completed $2 million in renovations to the historic lighthouse and keepers residence. The lighthouse restoration work was undertaken concurrent with the revetment work and supported by more than $435,000 in New York State funding. The New York State Office of Parks, Recreation and Historic Preservation (OPRHP) awarded a $313,500 historic preservation grant and Empire State Development awarded a $125,000 Capital Grant, recommended by the Long Island Regional Economic Development Council in support of the Montauk Point Lighthouse as a premier historical, cultural, and recreational destination. The funding, from the Environmental Protection Fund (EPF) through the Regional Economic Development Council (REDC), addressed damage to the lighthouse towers stone facade and secured the long-term structural integrity of the historic structure. Additional private funds were secured by the Montauk Historical Society to help support the restoration of the 1796 lighthouse tower, 1860 keepers residence, and the newly refurbished Oceans Institute, a museum focusing on the health of the ocean. Among the new features is an interactive virtual aquarium that is filled with local sea creatures, from scallops to whales. New York State Office of Parks, Recreation and Historic Preservation Commissioner Erik Kulleseid said, We are thrilled to be able to help preserve the iconic Montauk Lighthouse. It is one of the key historic destinations not only in New York State but our nation and we congratulate all those who worked hard to keep it standing for generations to come. Empire State Development President, CEO and Commissioner Hope Knight said, "The Montauk Point Lighthouse stands tall once more as a monument to our past and a destination for cultivating connections to Long Island's coastal culture. Its restoration is a testament to New York State's dedication to preserving the legacy of this national landmark and fostering moments of joy, learning, and connection for all who visit this iconic shoreline symbol." Empire State Development Board Chairman Kevin Law said, "With the reopening of the renovated Montauk Point Lighthouse, a beacon of history and culture on Long Island illuminates once more. New York State takes great pride in providing a place where families, history enthusiasts, and cultural explorers can come together and create cherished memories that will shine far into the future." Long Island REDC Co-Chairs Linda Armyn, Chief Strategy and Marketing Officer at Bethpage Federal Credit Union, and Dr. John Nader, President of Farmingdale State College, said, "We proudly join Governor Hochul to celebrate the renovation of the Montauk Point Lighthouse. This restoration brings new life to a historical gem, highlighting New York States dedication to education, tourism, and preserving our iconic lighthouses as an enduring national landmark." Senate Majority Leader Chuck Schumer said, We have come a long way since 1796, and so has the historic Montauk Point Lighthouse. It was slipping ever closer to the Atlantics waters, but with urgent federal funds and construction complete, the shoreline protections needed to keep this beacon of Long Island pride and tourism shining for years to come have been restored. I thank Governor Hochul, New York State DEC, and Suffolk County for their partnership, and of course the Army Corps of Engineers for its diligent work over many years to secure this magnificent structure. Now, one of our great jewels shining proudly on Long Islands coastal crown will remain in place for years to come, and it shore is pretty. State Senator Anthony Palumbo said, "The Montauk lighthouse is an important symbol of the region's maritime history and a beacon for the East End's tourism and fishing industries. I applaud the efforts of our state and federal partners in protecting and preserving this historic landmark for future generations of New Yorkers and for visitors from around the world." Assemblymember Fred W. Thiele Jr. said, The Montauk Lighthouse has been the iconic symbol of Long Island for generations. The completion of this revetment project will ensure the integrity of this historic beacon for future residents and visitors to enjoy. I was pleased to play a role in the public/private partnership that made this project possible by passing needed legislation many years ago in the State Legislature. My congratulations to the Montauk Historical Society for their vision and advocacy in seeing this project to its successful completion. East Hampton Town Supervisor Peter Van Scoyoc said, The Montauk Lighthouse, where the sun first rises on New York State, is not only an iconic symbol for New York but is a cherished landmark in the Town of East Hampton, representing a part of our nautical history stemming back to the early days of our nation. Thanks to major federal and New York State funding, and the efforts of the Montauk Historical Society, the Army Corps of Engineers, and the contractors who completed this massive project of restoring the stone revetment that protects it, the lighthouse will be here for generations to come, said East Hampton Town Supervisor Peter Van Scoyoc. Montauk Historical Society President Joseph Gaviola said, The Montauk Historical Society is deeply grateful to Governor Hochul, Senators Schumer and Gillibrand, and the Federal, State, and Local Agencies, for the new Revetment that will protect the Montauk Lighthouse for generations to come. This is seminal, multi-generational work and a wonderful example of a Public/Private Partnership that worked to preserve Long Islands historic and iconic structure. As stewards of the oldest lighthouse in New York, a National Historic Landmark commissioned by George Washington, this is a dream come true. Building and Construction Trades Council of Nassau and Suffolk Counties President Matthew Aracich said, "I commend Governor, Kathy Hochul, as well as U.S. Senators, Chuck Schumer, and Kirsten Gillibrand for taking the necessary steps to protect one of Long Island's most prized possessions, the Montauk Point Lighthouse. The Montauk Point Lighthouse is more than a beacon of light that has guided mariners for 227 years, it is a National Historic Landmark and is synonymous with Long Island. Our skilled trades members are proud to have done their part in restoring this project to its former glory utilizing the expertise of a local union labor workforce. Today, it is evident that we must continue to pursue new initiatives in green energy that provide sound investments while simultaneously protecting our coastline from erosion and maintaining this beautiful Island we all call home." Long Island Association President & CEO Matt Cohen said, The Montauk Lighthouse is not only a national and state landmark, but is also emblematic of the resiliency, heroism, and strength of Long Islanders. The completion of the renovations will ensure that future generations will be inspired by its history and it will remain an important economic asset. New York State continues to commit record resources to support community resiliency and environmental protection. Among the many environmental victories in the 2023-24 State Budget, Governor Hochul maintained EPF funding at $400 million, the highest level of funding in the program's history. In addition, the landmark Clean Water, Clean Air and Green Jobs Environmental Bond Act is the largest environmental bond in state history and the first in New York since 1996. The Bond Act will make $4.2 billion available for environmental and community projects, including at least $1.1 billion for restoration and flood risk reduction and at least $650 million for water quality improvement and resilient infrastructure. For more information, go to http://ny.gov/BondAct. Nature & Weather, Travel & Local Attractions By Ls Cohen Published: August 16 2023 Long Island, with its diverse landscapes and stunning coastal vistas, offers a plethora of outdoor activities for nature enthusiasts. Among the most rewarding ways to experience Long Islands beauty is by embarking on its scenic hikes. From tranquil woodlands to picturesque beaches, Long Island's hiking trails provide a unique opportunity to explore its iconic locations up close. We delve into five must-do hikes that showcase the natural wonders and historical charm of Long Island. Montauk Point State Park - Camp Hero Loop Trail (6.2 mile loop) Montauk Point is synonymous with panoramic ocean views and unspoiled landscapes. The Camp Hero Loop Trail at Montauk Point State Park is a moderately challenging hike that treats hikers to breathtaking vistas of the Atlantic Ocean and Block Island Sound. Winding through coastal bluffs, dense woodlands, and historic military installations, this trail offers a blend of natural beauty and historical significance. Be sure to reach the Montauk Lighthouse, a Long Island icon, which offers an incredible vantage point to appreciate the vastness of the ocean. Fire Island National Seashore - Sunken Forest Trail (1.6 mile loop) Fire Island National Seashore is a haven for those seeking an escape into untouched coastal wilderness. The Sunken Forest Trail, located on Fire Island, is a short but magical hike that meanders through a rare maritime forest. This unique ecosystem features gnarled trees and winding boardwalks, giving hikers an otherworldly experience. As you traverse the trail, take in the serene sights and sounds of the forest and keep an eye out for local wildlife. Connetquot River State Park Preserve - Blue Loop (8.3 mile loop) For a journey into the heart of Long Island's woodlands, the Blue Loop trail at Connetquot River State Park Preserve is an excellent choice. This 8.3 mile loop trail leads hikers through dense forests, meadows, and wetlands. A popular trail for birding, hiking, and running. Cold Spring Harbor State Park - Billy Joel Park Trails (Varies) Long Island's cultural heritage is celebrated in Billy Joel Park, located within Cold Spring Harbor State Park. Named after the legendary musician and Long Island native Billy Joel, there are trails to provide hikers with captivating views of the harbor and a glimpse into the area's rich history. Orient Beach State Park - Lighthouse Trail (2.5 miles) Orient Beach State Park, located on the eastern tip of the north fork of Long Island, is a natural wonderland of waterfront with 45,000 feet of frontage on Gardiner's Bay and a rare maritime forest with red cedar, black-jack oak trees and prickly-pear cactus. Popular for birding, hiking, and road biking. Nassau-Suffolk Trail (19.6 miles) The Nassau-Suffolk Greenbelt Trail runs 19.6 miles between Cold Spring Harbor State Park at NY 25A to the Massapequa Preserve @at Merrick Road. The trail is surprisingly diverse and has challenging ups and downs through the wooded hills on the north to the ponds and streams near its south end. A parallel trail for mountain bikers runs through the Stillwell Preserve in Woodbury. Long Island's diverse landscapes and rich history come alive through its network of hiking trails. Whether you're seeking coastal panoramas, tranquil forests, or glimpses into the past, these five iconic hikes provide an opportunity to explore the island's natural wonders up close. From the rugged bluffs of Montauk to the peaceful shores of Orient Beach, each trail offers a unique experience that encapsulates the essence of Long Island's beauty. So, lace up your hiking boots, grab your water bottle, and embark on a journey of discovery through these remarkable Long Island locations. Local News By Chris Boyle Published: August 16 2023 The Rocky Point ALDI will be a tremendous addition to our community, said Legislator Anker. Recently, Suffolk County Legislator Sarah Anker attended the ALDI Grand Opening and ribbon cutting ceremony for their new location in Rocky Point. The Rocky Point ALDI will be a tremendous addition to our community, said Legislator Anker. Their commitment to fresh produce and affordable pricing means that we will have more options for local, healthy food choices. Legislator Anker greeted the crowd before the Grand Opening, thanking them for attending and coming out for the ceremony. She also assisted the Store Manager Emely Acosta with the ribbon cutting. After, Legislator Anker and her Chief of Staff Dorothy Cavalier greeted patrons in the store and spoke with District Manager Rachel Gregory about the companys commitment to sustainability and affordability. This is the 13thALDI store on Long Island, marking their commitment to providing communities with high-quality, fresh food. "ALDI-exclusive brands make up 90% of what we offer, many of them being award winners! We removed certified synthetic colors from all of our exclusive brand food products opting to use plant-based color ingredients, such as beet juice, paprika and turmeric, said Chris Daniels, Division Vice President. These reformulated products are the exact same high-quality and taste shoppers expect from ALDI-exclusive brands. We look forward to providing Rocky Point shoppers with unmatched value on all of our quality food and products. More information on the quality and safety of ALDI products can be found at https://corporate.aldi.us/en/about-us/our-food-philosophy Local News By Chris Boyle Published: August 16 2023 Envy Nails Failed to Pay Minimum Wage to More Than 100 Workers at 25 Salons in the Bronx, Manhattan, and Queens. New York Attorney General Letitia James announced that her office has recovered $300,000 in unpaid wages for workers at Envy Nails, a chain of 25 nail salons located in New York City. Between 2015 and 2021, the salons failed to pay minimum wage to more than 100 current and former employees, many of whom were vulnerable immigrant workers and workers of color. As part of the agreement with the Office of the Attorney General (OAG), Envy Nails will pay $300,000 in restitution to the salon workers, dissolve improperly registered corporate entities, and submit reports to OAG for a period of three years. One of the 25 entities doing business as Envy Nails, Nails 181, Inc. (Nails 181), has also pleaded guilty to Grand Larceny in the Third Degree, a class D felony, for failing to pay sales tax between September 2014 and August 2019. As a condition of its sentence, New York County Supreme Court Judge Laurie Peterson ordered tax judgments against Nails 181 totaling more than $275,000 in favor of the state. Envy Nails did not pay minimum wage, cheating more than 100 salon workers out of the livelihoods they had rightfully earned, said Attorney General James. We are holding them accountable for their crimes, and New Yorkers can rest assured that we will always fight for workers rights. Thank you to our partners in government and advocacy for working together with my office to ensure Envy Nails pays up and makes these workers whole. Wage theft undermines the basic rights of working New Yorkers, said New York State Department of Labor Commissioner Roberta Reardon. I thank Attorney General James and Governor Hochul for their dedication to ensuring no New Yorker is robbed of their hard-earned wages. I urge those who feel they may be a victim of wage theft to contact NYSDOL immediately. The Department of State, Division of Licensing Services regularly inspects nail salons to ensure compliance with wage bond and other requirements. When violations are found, the Department takes corrective action to protect the public and nail salon workers, said New York State Secretary of State Robert J. Rodriguez. The Department was pleased to work with the Department of Labor and the Office of the Attorney General on this matter to hold these bad actors accountable and deter future impropriety. Paying business taxes is not only critical for the overall economic health of our city and our state but is also a civic responsibility. Taxpayers deserve a fair and level playing field when it comes to taxation, and no business can be allowed to simply ignore its legal obligations, said New York City Department of Finance Commissioner Preston Niblack. I thank the attorney general for bringing appropriate enforcement action in this case. DOF's Tax Audit and Enforcement Unit is always ready to assist, and we will continue working together with all of our partners to ensure those committing tax theft are held accountable. In 2018, our union was proud to stand with nail salon workers at Envy and work with them to file wage theft complaints, said Julie Bracero-Kelly, General Manager, NY NJ Regional Joint Board of Workers United. Labor violations like wage theft and employee misclassification are all too common in the nail salon industry and despite the risk of retaliation, these workers bravely stood up for their rights. The attorney general listened to them and acted to deliver justice. She has been a force for combating wage theft across the state, and we thank her and her partner agencies for investigating this case and fighting for workers who are too often left to fend for themselves. The settlement and criminal conviction are the result of a multiagency investigation by OAG, the New York State Department of Labor (DOL), and the New York State Department of State (DOS). The DOL referred the matter to OAG in December 2018 after DOL completed another civil enforcement action against Envy Nails. The investigation revealed that between 2015 and 2021, 25 nail salons operating as Envy Nails in the Bronx, Manhattan, and Queens systematically underpaid salon workers, in violation of New York state labor laws. During this time period, Envy Nails salons misclassified their workers as independent contractors and did not pay them required minimum wages or overtime pay. The investigation expanded when the New York State Department of Taxation and Finance (DTF) and the New York City Department of Finance (NYCDOF) discovered that in addition to wage violations, Envy Nails underreported more than $2 million in taxable sales between September 2014 and August 2019, resulting in the underpayment of at least $90,000 in owed sales tax, as well as penalties and interest. Attorney General James thanks DOL Commissioner Reardon and Secretary of State Rodriguez, Acting Commissioner Hiller and DTF, and Commissioner Niblack and NYCDOF for their assistance with this investigation. New York City Tax Auditor Travis Goddard and Rajeev Garg, Senior Director, NYC Department of Finance, Office of Tax Enforcement also contributed to this investigation. Attorney General James has consistently worked to defend workers rights and stop wage theft. In March, Attorney General James recovered $24,000 for employees of Build With Prospect, a design-build company that stole wages from its employees. In October 2022, Attorney General James secured $90,000 in stolen and unpaid wages for more than a dozen former employees of a commercial dry cleaner in Queens. In July 2022, Attorney General James delivered $500,000 to current and former employees of a Manhattan bar for enduring sexual harassment, discrimination, and wage theft. In May 2022, Attorney General James secured more than $2.9 million for hundreds of New York City Marriott workers who were denied full severance pay. In April 2022, Attorney General James recovered $175,000 for Gotham Pizza workers who were cheated out of their pay. In September 2021, Attorney General James recovered $400,000 for Long Island laundry workers who were unlawfully fired during the pandemic. In July 2021, Attorney General James delivered $600,000 to survivors of sexual harassment and discrimination at restaurants owned by famed chef Mario Batali and Joseph Bastianich. In 2020, she secured $240,000 for 11 former employees of Kenneth Friedman-owned New York City restaurant The Spotted Pig. This case was investigated and prosecuted by Director of the Combatting Upstate Financial Frauds Scheme Initiative, Assistant Attorney General Philip V. Apruzzese of the Criminal Enforcement and Financial Crimes Bureau (CEFC) and Criminal Labor Section Chief Jeremy Pfetsch. Analytical work was provided by Deputy Supervising Analyst Jayleen Garcia under the supervision of Supervising Analyst Paul Strocko. Forensic accounting was performed by Principal Supervising Auditor Investigator Jason Blair, under the supervision of Deputy Chief Auditor Sandy Bizzarro of the Forensic Audit Section. CEFC is led by Bureau Chief Stephanie Swenton and Deputy Bureau Chief Joseph G. DArrigo. The Labor Bureau is led by Bureau Chief Karen Cacace and Deputy Bureau Chief Young Lee. The criminal investigation was conducted by Detectives Steven Pratt and Angel LaPorte under the supervision of Supervising Detectives Michael Leahy and Anna Ospanova, Assistant Chief Samuel Scotellaro, and Deputy Chief Juanita Bright of the Major Investigations Unit. The Investigations Bureau is led by Chief Investigator Oliver Pu-Folkes. Both CEFC and the Investigations Bureau are part of the Division for Criminal Justice, which is led by Chief Deputy Attorney General Jose Maldonado. The Labor Bureau is a part of the Division for Social Justice, which is led by Chief Deputy Attorney General Meghan Faux. Both the Division for Criminal Justice and the Division for Social Justice are overseen by First Deputy Attorney General Jennifer Levy. Governor Kathy Hochul today announced that vibriosis, a rare but potentially fatal bacterial infection that can cause skin breakdown and ulcers, has been identified in a recently deceased individual from Suffolk County. Fatal cases of vibriosis have also been identified in Connecticut. The Governor urged New Yorkers to learn how to avoid exposure and to take appropriate precautions, as the New York State Department of Health reminded providers to consider vibriosis when diagnosing wound infections or sepsis of unknown origins. While rare, the vibrio bacteria has unfortunately made it to this region and can be extraordinarily dangerous, Governor Hochul said. As we investigate further, it is critical that all New Yorkers stay vigilant and take responsible precautions to keep themselves and their loved ones safe, including protecting open wounds from seawater and for those with compromised immune systems, avoiding raw or undercooked shellfish which may carry the bacteria. Vibriosis is caused by several species of bacteria, including the Vibrio vulnificus bacteria, which occurs naturally in saltwater coastal environments and can be found in higher concentrations from May to October when the weather is warmer. Infection with vibriosis can cause a range of symptoms when ingested, including diarrhea, stomach cramps, vomiting, fever and chills. Exposure can also result in ear infections and cause sepsis and life-threatening wound infections. The death in Suffolk County is still being investigated to determine if the bacteria was encountered in New York waters or elsewhere. In the meantime, the New York State Department of Health this week reminded health care providers to consider vibrio vulnificus when seeing individuals with severe wound infections or sepsis with or without wound infections. New York State Health Commissioner Dr. James McDonald said, We are reminding providers to be on the lookout for cases of vibriosis, which is not often the first diagnosis that comes to mind. We are also suggesting to New Yorkers that if you have wounds, you should avoid swimming in warm seawater. And, if you have a compromised immune system, you should also avoid handling or eating raw seafood that could also carry the bacteria. Suffolk County Executive Steve Bellone said, While we continue to investigate the source of this rare infection, it is important for residents to remain aware and vigilant on precautions that can be taken. As always, if any residents have health concerns we encourage them to contact their health care provider. While anyone can get vibriosis, those with liver disease, cancer or a weakened immune system or people taking medicine to decrease stomach acid levels may be more likely to get an infection or develop complications when infected. To help prevent vibriosis, people with a wound, such as a cut or scrape, a recent piercing or tattoo, should avoid exposing skin to warm seawater in coastal environments or cover the wound with a waterproof bandage. In addition, those with compromised immune systems should avoid eating raw or undercooked shellfish, such as oysters, which can carry the bacteria. Wear gloves when handling raw shellfish and thoroughly wash your hands with soap and water when finished. More information about vibriosis can be found here (https://www.health.ny.gov/ diseases/communicable/ vibriosis/index.htm). Local News By Chris Boyle Published: August 16 2023 An examination of the revolver used in the shooting revealed that the trigger had indeed been pulled, despite Baldwin's repeated claims that he had never done so. After criminal charges against Hollywood actor Alec Baldwin connected to a fatal shooting on the set of the movie Rust resulting in the death of the films cinematographer and the wounding of its director were dropped, reports indicate that charges against the Hollywood actor could potentially be reinstated following the release of a new bombshell analysis of the firearm in question. On October 21, 2021, Baldwin, 65, was filming on the set of the western movie Rust in Santa Fe, New Mexico when he discharged a Colt .45 revolver being used as a prop that had somehow been loaded with a real bullet killing cinematographer Halyna Hutchins, 42, and injuring director Joel Souza, 48. After an investigation, Baldwin was charged by New Mexico prosecutors with involuntary manslaughter and involuntary manslaughter in commission of a lawful act; an additional enhancement charge relating to an individual brandishing a firearm was also included, but later dropped. Baldwin had always maintained his innocence and had pleaded not guilty to the charges, all of which were ultimately dropped in April; if convicted, Baldwin could have faced up to 18 months in prison. However, Baldwin might not be out of the woods just yet. This week, Lucien Haag of Forensic Science Services in Arizona stated that his team's examination of the revolver used in the shooting as well as the spent cartridge revealed that the trigger had indeed been pulled at the time of the discharge, despite Baldwin's repeated claims that he had never done so. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver, the report says. This fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger." Based on this news, New Mexico special prosecutor Kari Morrissey has noted that an official announcement as to whether or not her office will pursue renewed charged against Baldwin will be coming at an undetermined time in the near future. More than 250 tonnes of waste and rubble have been dumped at Redange, a short drive from Belval, since 2019 The landfill has been in this state since 2019, the group says Photo credit: J'aime ma foret A town near Luxembourgs border with France has been accumulating tonnes of illegal waste for the past four years, a pressure group has said, echoing previous concerns from locals that polluters from the Grand Duchy and Belgium nip across the border to dispose of unwanted stuff. More than 250 tonnes of industrial waste, household waste and rubble have been dumped at Redange since 2019, just a few kilometres from Belval, the citizens' rights group Colletif citoyen: j'aime la foret told media outlet Contacto last week. The calls echo that of a local mayor who in June said that Luxembourg treats France like "a bin" over illegal waste dumping across the border, which he said was due to people coming from Luxembourg and Belgium. Court cases filed since the discovery of the landfill in Redange saw two Belgium-based companies, Mondial services and Jost group, dispute over who is responsible for dumping the waste, but no action has since been taken to clean it up. Efforts to clean up the site have stalled while the collective estimates costs of clearing the dump to be between 180,000 and 230,000. The majority of the garbage was dumped there by Belgian companies, however, companies and residents of Luxembourg are also a part of the problem, the collective said in a Facebook post. It wouldn't be surprising if the pollution reached Luxembourg, since the flow of water doesn't stop at the border, Gautier Berera, a founding member of the Facebook group told media outlet Contacto. This Belgian waste passed through Luxembourg, and a small part of it also came from Luxembourg (...), Berera said. There are streams underground, which seeps pollutants out of the landfill into a pond nearby that eventually "joins the Alzette, said Jessica Dautruche, another member of the group, explaining the potential impact for Luxembourg. The French state will not clean it up as the waste has been dumped on private property, and the owners of these properties will only be held accountable after a court sentence, Dautruche added. The Basel Convention on cross-border waste control, which Belgium is a part of, states that the country where the waste originated is responsible for cleaning it up. If the Belgian state does not do it, France could do it considering the illegal dumps are located there, Dautruche said. Another court hearing is expected at the end of August, Contacto said. (This article was first published on Contacto. Translation and additional reporting by Haneyl Jacob) Unit 3 has been taken offline twice in the space of a week for different reasons Reactor 3 at the Cattenom nuclear power plant continues to trouble operators as they had to take it offline again on Monday, the second time in the space of a week the unit had to be disconnected from the grid Reactor 3 at the power plant, which lies 25 kilometres from the country's capital, was disconnected due to a malfunction on an electrical panel located outside the nuclear zone, operator Electricite de France (EDF) said in a press release. The same unit was disconnected on Thursday after operators reported a fault that set off the power-generating turbine's protection mechanisms. The reactor resumed producing electricity the next day after the fault was identified and fixed, but is now offline again. An investigation is ongoing and the reactor will be reconnected after various safety checks are made, EDF said on Monday evening. Reactor 3 had resumed producing electricity in April after spending almost a year offline while it underwent repair works for corrosion. Reactor 2 and 4 at the plant are currently powering the region's electricity grid, while reactor 1 has been undergoing maintenance since May. Unit 1 experienced a series of shutdowns in the past year to address corrosion and other concerns. Luxembourg's government sent several letters to French authorities last year raising concerns about Cattenom. France became Europe's top net power exporter in the first half of this year, with most of the electricity flowing to Great Britain and Italy. European Union Ambassador to the Philippines Luc Veron has expressed optimism that the scoping exercise for the resumption of negotiations for a free trade agreement (FTA) with the Philippines will bring the relationship to the next level. ICYMI: The (EU) and (Philippines) jointly announced they will start a scoping exercise towards the resumption of FTA negotiations, Veron posted on X (formerly Twitter) on Tuesday, August 15. With this launch, the (EU) is paving the way to take its partnership with (Philippines) to the next level!, the EU diplomat added. Veron reposted the previous statement made by the European Commission (EC). According to the post, EC President Ursula von der Leyens recent visit to the Philippines and meeting with President Ferdinand Marcos Jr. paved the way for the planned scoping process. The announcement confirms the key importance of the Indo-Pacific region for the EU trade agenda, the commission said. This was after the Philippines and the EC announced in late July that they will soon launch the scoping process. The EC said the process will assess to which extent they share a mutual understanding on the future FTA. If this process concludes successfully, and after consultations with the Member States, the EU and the Philippines would be in a position to resume FTA negotiations, the commission said, in a statement. The EC, which shapes the European Unions overall strategy, is aiming for an ambitious, modern, and balanced free trade agreement. Underscoring the Philippines role as a key partner for the EU in the Indo-Pacific region, Von der Leyen expressed hope for the full potential of the relationship. Together, we will realize the full potential of our relationship, creating new opportunities for our companies and consumers while also supporting the green transition and fostering a just economy, ECs statement quoted Von der Leyen as saying. The EU aims for a comprehensive FTA with the Philippines that includes ambitious market access commitments, swift and effective sanitary and phytosanitary procedures, as well as the protection of intellectual property rights, including Geographical Indications, it added. The EU also said that sustainability will also be at the core of the agreement, with both sides expected to commit to the ideas of Trade and Sustainable Development (TSD), which comprises high levels of protection for workers rights, for the environment, and the achievement of ambitious climate goals. The EU and the Philippines enjoy well-established trade relations, with clear potential for an even closer relationship because the EU is the Philippiness 4th largest trade partner and the Philippines is the EUs 7th most important trading partner in the Southeast Asian region (and 41st worldwide), according to the EU statement. The EU is also one of the largest investors in the Philippines, with the EUs foreign direct investment stock in the Philippines reaching 13.7 billion euros in 2021. Please enable JavaScript to view the comments powered by Disqus. The government is ready to provide assistance to Filipinos affected by the deadly wildfires that struck the island of Maui in Hawaii, President Ferdinand R. Marcos Jr. said Tuesday night. Mr. Marcos issued the statement on his official X (formerly Twitter) account, as he assured the public that he is closely monitoring the condition of Filipinos residing in Hawaii. With the help of the Department of Foreign Affairs and the Department of Migrant Workers, we are trying to get the number of Filipinos affected by the wildfires in Hawaii), he said. Mr. Marcos said the Philippine Consulate in Honolulu is ready to assist affected Filipinos. He advised the Filipinos needing assistance to contact the government through its 24/7 emergency hotline +1808 253-9446 or official e-mail address [email protected]. Mr. Marcos said the Philippine Consul General in Hawaii is currently contacting local authorities and the Filipino community with any new information regarding the incident. The DFA on Tuesday said around 50 Filipino teachers in Hawaii are among the thousands of individuals who were able to flee from the wildfires in Maui while the Philippine Consulate is sending a team to assist affected Filipinos. Official information from the Philippine Consulate General in Honolulu said the search and rescue operations have only reached about 3 percent of the areas gutted by the wildfires. As of Aug. 15, the death toll from the blaze has reached 99 as local authorities have yet to ascertain both their identities and ethnicities. There are about 388,000 individuals in Hawaii who identify themselves as Filipino or part-Filipino, according to the 2020 US state census. Around 200,000 of the 388,000 have parents who are both Filipinos but only 16 percent are Philippine passport holders. Please enable JavaScript to view the comments powered by Disqus. Washington, United States US Secretary of State Antony Blinken reiterated Tuesday that any greater relationship with Afghanistans Taliban rulers was contingent on improving treatment of women, two years after the movement toppled the US-backed government. No country has recognized the Taliban government, and the United States has avoided direct economic engagement in part over what critics call a return to gender apartheid, with women and girls squeezed out of schools and public spaces. We continue to work to hold the Taliban accountable for the many commitments that its made and not fulfilled, particularly when it comes to the rights of women and girls, Blinken told reporters. Weve been very clear with the Taliban and dozens of countries around the world have been very clear that the path to any more normal relationship between the Taliban and other countries will be blocked unless and until the rights of women and girls among other things are actually supported, Blinken said. The Taliban quickly stormed back to power after President Joe Biden withdrew US troops, ending Americas longest war. The Biden administration did not mark the anniversary, but Blinken, responding to a question, defended the pullout and said the United States was not focused on other priorities. The decision to withdraw from Afghanistan was an incredibly difficult one, but also the right one, Blinken said. We ended Americas longest war. For the first time in 20 years, we dont have another generation of young Americans going to fight and die. Please enable JavaScript to view the comments powered by Disqus. A first-class city in the Visayas, Cebu City envisions to develop into a smart city, much like the city-state of Singapore. To this end, the local government unit (LGU) of Cebu City has forged a partnership with PLDT Enterprise, the corporate business arm of PLDT, the leading integrated telecommunications and digital services provider in the Philippines, to expedite its thrust towards digital transformation for the benefit of its constituents and thriving business communities. The collaboration will provide advanced digital solutions and reliable connectivity with the initial roll out of free, unlimited public Wi-Fi in 50 barangays, including prominent areas like Guadalupe, Tisa, Basak San Nicholas, and Talamban. Wi-Fi access points will be strategically deployed in barangay halls, gyms, and key areas of each barangay to ensure wide coverage and connection of about 30 to 40 meters from every access point. PLDT Enterprise supplies fiber broadband internet access with a robust bandwidth of 100mbps to enable seamless connectivity. Moreover, a cloud-managed access point is installed to monitor real-time alerts and ensure uninterrupted internet. Kent De La Calzada, Assistant Vice President & Head of Customer Relationship Management at PLDT Enterprise, underscores the importance of connectivity in driving progress and innovation, saying, Digital access has become the lifeblood of modern cities. A digital city facilitated by widespread broadband is one precursor into becoming a smart city. This certainly benefits all stakeholders. In enabling easy access to information and essential services through wireless internet, the LGU enhances the delivery of public services, facilitates learning and information technology, and promotes competitiveness among barangays. To help the LGU connect with their constituents better, PLDT Enterprise played a pivotal role in powering the Peoples State of the City Address, as the official connectivity sponsor of the event, bringing together the community virtually to engage and connect with the local government. Just as importantly, it will play a pivotal role in supporting the citys tourism objectives by providing internet access to visitors. Last year, Cebu City ranked first in tourist arrivals (with 1,063,503 tourists) among all LGUs in Cebu. The Honorable Michael L. Rama, Mayor of the City Government of Cebu, expressed gratitude for the partnership and its potential impact on the citys digitalization programs. Not only enhancing connectivity, but this initiative also empowers our citizens by providing access to vital information and social services. With PLDT Enterprises expertise and support, we are one step closer to realizing our vision of a smart Cebu City, PLDT Enterprise quoted Rama as saying. This latest partnership is another testament to PLDT Enterprises commitment to digitalize different localities, particularly those in far-flung areas, in support of nation-building and economic growth. Indeed, the City Government of Cebu has set plans for expanding connectivity to the remaining 30 barangays located in mountainous areas to further extend and ensure reliable connection across the entire city. Mitch Locsin, First Vice President & Head of Enterprise and International Business Groups at PLDT and Smart, expressed enthusiasm for the partnership, as well as the growing trend of digitalization across the country. PLDT Enterprise is delighted to be involved in Cebu Citys digital transformation journey. Much like we have done in other LGUs, our advanced fiber broadband solutions will provide the city and its residents with the tools and resources needed to thrive in the digital era, Locsin closes. PLDT Enterprise continues to work hand in hand with LGUs like the City Government of Cebu to foster innovation, improve government services, and create smarter and more connected communities. This partnership has taken significant strides in supporting the United Nations Sustainable Development Goals by promoting digital inclusion and empowering citizens with greater and equal digital opportunities through connectivity. Together, PLDT Enterprise and the City Government of Cebu are driving positive change, bridging the digital divide, and building a more inclusive and sustainable future for all. A STEP CLOSER TO BECOMING A SMART CITY. PLDT Enterprise and the Cebu City Government formalize their partnership to provide free Wi-Fi access to city constituents. From left to right are Ramon Magadan Jr., Customer Development Manager, Smart Communications Inc.; Kent De La Calzada, AVP and Head of Customer Relationship Management-Visayas, PLDT Enterprise; Hon. Michael L. Rama, City Mayor, City Government of Cebu; and Clyde Maru, Relationship Manager, PLDT Enterprise. Please enable JavaScript to view the comments powered by Disqus. Holyoke - Holyoke Community College culinary arts professor Warren Leigh has been named Educator of the Year by the Center for the Advancement of Food Service Education (CAFE), a national industry group. Leigh, a professional chef and restaurateur who has been teaching at HCC for 15 years, received his award June 21 at the 2023 CAFE Leadership Conference in Charleston, South Carolina. About 20 children were attacked by bees at a Topsfield summer camp on Monday after a hive had been disturbed, Topsfield Police Chief Neal Hovey and Fire Chief Jen Collins-Brown said. The person who called 911 said about 20 children had been stung by bees and that most children had been stung multiple times at Tritown Council Leg Morning Workshop Summer Camp being held at Trinity Church in Topsfield. NECN reported one boy was stung 17 times by the bees. One child was showing signs of anaphylaxis, police said. First responders arrived two minutes after the 911 call and the child was taken to the hospital. Read more: Boston exploring expanded rules to allow easier beekeeping in the city Mutual aid ambulances and additional police were called due to the number of possible patients but it was later determined that they would not be needed. The fire department said TTC Horizons Coordinator Director Beth Whalley and Workshop Coordinators Instructors Kevin Husson and Sue Thompso recognized that a hive had been disturbed and moved the children to safety. This undoubtedly prevented more serious injuries, the department said. They also provided ice packs, care and comfort to the campers. We are grateful for such a supportive and well-trained camp leadership, the statement read. We look forward to seeing these brave Lego robotics and engineering creators back tomorrow creating great projects. The fire department warned that there has been a significant uptick in bee-related medical calls in August. Remember to stay vigilant when out and about, if concerned about an allergic reaction, dont hesitate to call 911, the department said. Efforts from around the world are being focused on helping those impacted by the Maui wildfires, including those with Massachusetts ties. Aaron Griffith, a New Bedford native, has never seen anything quite as apocalyptic in his four years with the Maui Fire Department, he said in an interview with WJAR. It was worse than anybodys worst nightmare, Griffith told the outlet. You couldnt imagine it. The Lahaina fire in Hawaii has become the deadliest in over 100 years with the death toll rising to 106 victims as of Wednesday, Aug. 16, CNN reported. Roughly 2,200 structures were destroyed with roughly 86% being peoples houses, the outlet said. Griffith was one of 17 Lahaina firefighters who lost their homes, The Standard-Times reported. My journey to get back on my feet is going to be a lot easier than anybody else, Griffith told the outlet mentioning how he was a single renter. Its these other men and women that have sacrificed so much that Im concerned with right now. The firefighters family has started a GoFundMe for Griffith to help him rebuild. Griffiths sister, Sarah Griffith, described what her brother has been through on the campaigns description. On 8/9/23, my brother Aaron left home in Lahaina, Maui, for firefighting duty with only the clothes on his back and his firefighting equipment, she wrote. Since that time, Maui has been absolutely devastated by wildfires, taking numerous lives and destroying timeless historical properties and homes. Despite his exhaustion from saving others, she wrote that her brother is doing alright. The firefighter recently told his father about some of his countless rescues. He carried elderly, women, children, and babies through streets, buildings, and hallways to safety while surrounded by flames and exploding cars, she wrote on the GoFundMe page. He carried a baby thrust into his arms by a woman, sheltering it from the heat, smoke, and flames, she continued. He also found and rescued victims out of the water with severely burned flesh but very much alive, wrapping them in medical blankets and carrying them to safety. The GoFundMe has raised more than $43,000 of $50,000 goal as of Wednesday. People interested in donating can click here. In addition, Griffiths sister-in-law, Sasha, has started a T-shirt fundraiser for Maui firefighters. My brother-in-law, Aaron, is a proud member of the Maui Fire Department and my husband Noah is a member of the New Bedford Fire Department, she wrote on the fundraiser page. The connection between the historic cities of New Bedford to Lahaina is undeniable. All the money from the fundraiser will be paid directly to New Bedford Firefighters Local 841 for distribution to the men and women of the Lahaina Firehouse. People interested in buying a shirt can click here. A man who was shot and killed on Saturday night, Aug. 12, has been identified as 41-year-old Dwayne Griffith of Springfield, the Hampden County District Attorneys Office reported. The shooting happened at about 9:20 p.m. near the intersection of State and Dresden streets. Police responded to a ShotSpotter activation and found Griffith with a gunshot wound, the office said. He was taken to Baystate Medical Center where he later died. The homicide was the latest in an especially violent week that has left a total of four people dead by gunfire. There have been 22 homicides this year in the city. Earlier on Saturday a man was killed and a woman seriously injured in a separate and unrelated shooting. Police responded to a car crash near the intersection of Boston Road and Parker Street and found the victims. The man, later indentified as Abdikadir Hussein, died at the scene and the woman, who was driving, was taken to Baystate Medical Center by ambulance for treatment, authorities said. The Springfield Polices Homicide under the direction of Capt. Trent Duda is investigating the homicides with assistance from Hampden District Attorney Anthony Gullunis office. Read more: Man found shot on Hancock Street in Springfield dies at hospital Anyone with information about any of the crimes is asked to call the Detective Bureau at 413-787-6355 or anonymously Text-A-Tip by texting CRIMES (2-7-4-6-3-7), type SOLVE and then the tip. A GoFundMe is raising money for a Springfield family after they lived through a real-life nightmare. Victor Nieves, 34, shot and killed Kim Fairbanks, 52, a pet dog and injured two children before turning the gun on himself at 174 Berkshire Ave. on Monday. Fairbanks was babysitting her three grandchildren while their parents were at work. Money could never replace or erase the nightmare they are walking through, but it can help ease the financial burden, the GoFundMe reads. They need our help, they need our love and our prayers. Natalie Cardona, a family friend, started the GoFundMe to help the family with financial burdens as they plan a funeral for Fairbanks and have two children in the hospital, one of whom is fighting for her life, according to Stephanie Croteau, the childrens mother. Monday forever altered this familys life, the GoFundMe reads. 12 1 / 12 Multiple victims in Berkshire Ave. shooting in Springfield Nieves was an upstairs neighbor to the family. A spokesman for Hampden District Attorney Anthony Gulluni said the family downstairs had no warning as the gunman forced his way into their home and opened fire. A 10-year-old girl remains in critical condition after being transferred to Boston Childrens Hospital on Monday night after undergoing surgery for a gunshot wound to the chest, according to Ryan Walsh, spokesman for the Springfield Police Department. Her mother wrote she is fighting for her life in Boston. A 12-year-old girl was hospitalized at Baystate Medical Center in Springfield but is in stable condition after being shot in the abdomen. Croteau said the bullet grazed that child, who protected both her siblings during the shooting. The GoFundMe called her a hero. ... she sprung into action during an event that would freeze a grown man in his tracks. She protected her siblings as best she could, it reads. A third sibling, a 5-year-old boy, escaped injury, the district attorneys spokesman, James Leydon, confirmed. At 2:45 p.m. Monday, Aug. 14th, my life and time has stopped, Croteau wrote on Facebook. It literally feels like Im in a f---- nightmare. I feel so alone. Cardona is hoping to help the family feel less alone by bringing the community together in support of them. Croteau shared videos of her children with their grandmother playing and credited her for teaching everything she knows and how to be herself. Those who knew me, knew me and my mom did everything together, she wrote on Facebook. We spoke about everything. No matter what it was. No matter what she was doing, when I called her panicking and crying, she had the answer. And now ... who am I supposed to call?! Fairbanks was remembered as a tough but caring person. She also had a great sense of humor and her laughter was contagious, the GoFundMe said. Kim would go to war for the people she loved, and she died doing so, it reads. Kim lives on through all of us, and we will remember her as a hero. The goal of the GoFundMe is $25,000. In the first day, it raised more than $7,000. Click here for the GoFundMe. SPRINGFIELD When Chantz Dudley walked across the stage to accept his diploma from Springfield High School on Tuesday, he could have spoken for most of the 164 students who graduated after earning their final credits in summer school courses. The COVID-19 pandemic and some personal issues had set him back. He found himself short of the credits he needed at the end of the school year, but that didnt prevent him from becoming a high school graduate. Im a man and I finish what I started, he said. The Springfield Public Schools did not forget students like Dudley. Officials held a joint graduation for students who earned their diplomas from 15 different schools at Symphony Hall. Graduation speaker Corey L. Sullivan described his ups and downs in high school, admitting he didnt get the best start his freshman year: He wasnt focused and would not turn in work on time. As the year progressed, he was improving, but then the pandemic hit and he lost momentum. It was another excuse not to do work, he said, adding he would fall asleep during Zoom classes and wouldnt wake up until the school day was nearly over. Springfield Central High School Graduate Corey LaNeil Sullivan celebrated with the graduates in his greeting at the Springfield Public Schools Summer School Commencement Ceremony taking place at Springfield Symphony Hall on August 15th. (Ed Cohen Photo) In 11th grade, he returned to the school with renewed motivation, but started skipping with his friends. They didnt care about missing class because they were having fun. His senior year had a similar pattern, with Sullivan starting strong and then falling into old habits. Even though I treated high school like an option, it feels weird knowing after today school isnt mandatory any more. We did it, it took us longer to get there, but we did it, he told his fellow graduates. Multiple speakers, Mayor Domenic J. Sarno, Superintendent Daniel J. Warwick and LaTonia Monroe Naylor, vice chairwoman of the School Committee, told the graduates they were proud of them for sticking with school even when it was such a challenge. Friends and family joined them, cheering loudly when names were called, taking videos and photos to remember the moment. Some presented surprised graduates with bouquets of flowers. Perseverance is an important character trait the graduates showed and will need throughout their lives, Warwick said. You were the ones who stuck with it when things were the toughest, from the ups and downs of the high school years to the challenges and even the final summer, when the finish line seemed so close yet so far away, he said. L to R- Springfield Honors Academy graduates Aaliyah White, Sierra White, and Brooklyn White at the Springfield Public Schools Summer School Commencement Ceremony taking place at Springfield Symphony Hall on August 15th. (Ed Cohen Photo) Triplets Sierra, Brooklyn and Aaliyah White graduated from Springfield Honors Academy together after all three passed a final English class in summer school. A lack of motivation, the isolation of COVID, Sierra White said, listing factors that left the three short of credits at the end of the school year. There was some depression, her sister Brooklyn added. The three were in the same household and faced the same personal problems. It made sense they all were short on credits, she added. But Sierra White said she and her sisters didnt want to be left behind They buckled down to finish their last course. Now, they are looking ahead, with Aaliyah securing a carpentry apprenticeship while her two sisters plan to continue working. Sierra hopes to get into the film industry. Brooklyn wants to focus on music. State Sen. Adam Gomez presents his daughter, Nevaeh Gomez, with her diploma from Duggan Academy early graduation at the Springfield Public Schools Summer School Commencement Ceremony taking place at Springfield Symphony Hall on August 15th. (Ed Cohen Photo) Standing out in a bright red graduation gown, Evontrey Perry of the High School of Commerce said he plans to work while deciding his future plans. He said he finished high school partly because he knew his two aunts one of whom died in his freshman year, the other in his sophomore year would be disappointed he didnt earn his diploma. It was really me not being motivated or focused enough in school, Perry said. Everett police identified on Wednesday the woman who died while she tried to save one of her children from drowning during a trip to New Hampshire on Tuesday. Melissa Bagley, 44, was the wife of Everett Police Lt. Sean Bagley, according to an Everett police Facebook post. The family of five, along with a friend, planned to hike to Franconia Falls in Lincoln, after getting to the Lincoln Woods trailhead at around 1 p.m. on Tuesday, the New Hampshire Fish and Game Department said in a statement. The family started swimming after they got to the falls. One of the younger children slipped and fell into one of the pools and could not get out due to the current, the department said. Bagley leaped into the pool to save the child, whose foot was stuck between rocks. When she began to struggle, her other two sons jumped in to save them. The boy who was initially trapped got out, but one of his brothers in turn got stuck. Lt. Bagley found his wife on a rock, the department said, and started CPR. Bagley was not revived. Lt. Bagley turned to his son who was still stuck and was able to pull him out. Then the officer returned to his wife and waited with her until first responders arrived. Crews found two people with non-life-threatening injuries and the mother, who was pronounced dead. Her body was carried to the trailhead and picked up by Mayhew Funeral Home, Fish and Game said. Two other members of the family were taken to Littleton Regional Healthcare for treatment of non-life-threatening injuries, the department added. Everett police said that they appreciated everyone who reached out and asked that Lt. Bagley and his family be given the time and space to mourn the death of Melissa. Generations of members of the Springfield-area Indian community gathered Tuesday at Tower Square to celebrate Indias Independence from the United Kingdom. Over 100 people gathered in the food court in Tower Square to hear a few words about the 76th anniversary from Springfield Mayor Domenic j. Sarno, state Reps. Michael Finn and Carlos Gonzalez, and Raj Rayonai of the Indian Association of Greater Springfield. I want to thank my friends Dinesh Patel, Vid Mitta, their families and the IAGS as we continue to celebrate Springfields vibrant and diverse community as we celebrate and recognize Indias independence with a flag raising ceremony at Tower Square and City Hall. Last year was the first time the flag of India was raised at City Hall, another first for our Springfield. Indian-Americans have contributed so much to our Springfield, the commonwealth and our nation, especially in the business, education and medical fields. My administration is proud to support and recognize the great contributions India has made in the world and in friendship to the United States, said Sarno. Concluding with traditional songs and Indian food, the ceremony was a visual feast with many observers wearing traditional Indian clothing. For the seventh time, the University of Massachusetts Amherst nabbed the top spot for Best Campus Food in the Princeton Review Best Colleges guidebook. The rankings are determined from student reports of their experiences at the schools, and are based off of 165,000 student answers to the survey question How do you rate the food on campus? UMass beat out Cornell University in Ithaca, New York, and Bowdoin College in Brunswick, Maine, to achieve the number one recognition. Read more: UMass Amherst named best in nation for campus food for 6th straight year UMass Amherst claims to have the largest collegiate dining program in the country. A statement from UMass said the program is committed to providing the campus community with locally sourced, healthy, sustainable, and globally inspired cuisine, and its leadership is a firm believer in helping to build community through food. Im extremely proud of our dedicated, talented, and hardworking team whose commitment to excellence has been instrumental in our continued success, said Ken Toong, executive director of Auxiliary Enterprises, which includes UMass Dining. Were immensely grateful for our students, faculty and staff and entire UMass administration for their support and invaluable feedback, which has contributed to shaping and enriching the quality-of-life experience. Andrew Mangels, vice chancellor of UMass Amherst, congratulated UMass Dining for its achievement. This recognition is a testament to the great leadership, dedication, and focus on excellence all year long, which provides exceptional culinary experiences, Mangels said. A fire that ignited on a railroad freight car in Westfield on Tuesday is being considered suspicious by fire officials, and they are asking for the publics help. Westfield firefighters arrived at the area behind 181 Notre Dame St. at around 6:45 p.m. after receiving a report of a railroad car on fire, according to a statement from State Fire Marshal Jon Davines office. Firefighters noticed the freight cars doors were open, revealing large flames inside it. Railway fires come with a unique set of hazards to firefighters and the public, and this was a very challenging fire for us to knock down, Westfield Fire Chief Patrick Egloff said in the statement. We had to cut our way into the freight car to fully extinguish it. After the fire was knocked down, firefighters found that most of the freight cars contents were destroyed by the flames. Investigators also noticed signs of forced entry and further damage in the freight car, Davines office said. Due to these circumstances, Davine and Westfield Police Chief Lawrence Valliere said in the statement that they are asking anyone with information about the fire is urged to call Westfield police detectives at 413-572-6400. Anyone who observed people or vehicles near the train tracks north of Notre Dame Street yesterday evening may have information that could help us, Valliere said in the statement. Davine emphasized that if the fire had spread, we could have seen a serious risk to the community. The fire continues to be under investigation by police and fire officials, including the Massachusetts State Police Fire and Explosion Investigation Unit. Students across Massachusetts are heading back to school, but not every district goes back on the same day, and in many cases, not all students in the same district return to the classroom at the same time. For families wanting to know when its time for their children and teens to start the new school year, check out our guide below for many of the states school districts, from Pittsfield to Provincetown, and communities in between. Click the highlighted links on town, city and district names to see more details about school openings and upcoming school schedules for each school district. So, will your family be back to school before or after Labor Day this year? Check out the list below to learn more about public school district opening dates across the Bay State. The backers of a push to reform the MCAS graduation exam said Wednesday that theyve joined forces on a single campaign to require the commonwealth to replace it with a local certification requirement to earn a high school diploma. My co-signers and I embarked on a ballot initiative as enthusiastic parent advocates to end the MCAS requirement for graduation, Shelly Scruggs, a parent from Lexington who filed the first petition to change the graduation requirement, said in a statement. We were both relieved and excited to learn that the Committee to Eliminate Barriers to Student Success for All was also gearing up for this fight and had the backing of the Massachusetts Teachers Association and its allies, Scruggs said. The push to to reform the standardized exam is among the nearly four-dozen ballot initiatives filed with state Attorney General Andrea Campbells office that could be decided in 2024 or 2026, State House News Service reported earlier this month. Supporters of the sprawling array of questions filed multiple versions of the same question on several topics, including nine versions of a revived app-based driver question and eight versions of a proposed law requiring voter identification, State House News Service reported. Thats a standard tactic, undertaken as supporters gauge which version has the best odds of legal and political survival, State House News Service further reported. Massachusetts students, families and schools will only benefit from this combined campaign to replace the MCAS graduation requirement with a more suitable and authentic demonstration of student ability, Scruggs said. MTA President Max Page said that having a single question on next Novembers ballot will be less confusing for voters. But, he noted, the fact that two questions were filed on the topic proved the public appetite for reform. We all share the same goals of maintaining high standards in Massachusetts schools and ensuring that every student granted a diploma has mastered academic skills aligned with state curriculum frameworks, Page said. Massachusetts residents are ready to join the vast majority of states that have scrapped the use of standardized tests as a graduation requirement and instead use authentic, educator-designed assessments of student skills, Page continued. The MCAS will still be taken, as is required by federal law, but it will be used for diagnostic purposes, and not as a high-stakes test required for earning a diploma. The new coalition will back the version of the MCAS ballot question supported by the MTAs Board of Directors, organizers said in their statement. The single question asks voters to replace the MCAS graduation requirement with a locally developed certification of academic proficiency, advocates said. Hundreds of aspiring nurse aides can start taking their certification exams in Spanish and Chinese next year, the start of a multilingual policy that advocates and lawmakers say will tackle a major workforce shortage in nursing homes and other long-term care facilities. The English-only exam for certified nurse aides (CNAs) has prevented non-native speakers -- including those with years of nursing experience in other countries -- from accessing the entry-level health care role here. The new language options were included in a policy section within the fiscal 2024 budget that Gov. Maura Healey signed into law last week, but lawmakers say it is only the first step in breaking down barriers for immigrant CNAs and improving care for nursing home residents who dont speak English. Just before the pandemic, we turned away probably three dozen applicants that wanted to become nurse aides because we knew they couldnt pass the test in English, so this is going to be a huge help for us, and I think its going to benefit other populations, as well, said Bill Graves, president and CEO of the South Cove Manor, a nursing and rehabilitation facility in Quincy where the vast majority of residents are native Chinese speakers. We could hire another dozen tomorrow and put them to work right away if they could pass the test. An influx of new CNAs -- who provide care for daily tasks like bathing, eating and dressing -- would improve staffing ratios and help staunch burnout among current staffers who must work overtime, Graves said. Asani Furaha, a refugee from the Democratic Republic of the Congo and a former longtime nurse for Doctors Without Borders, said at a July committee hearing that she failed the written part of the CNA exam three times due to language barriers. Yet she passed the clinical component on the first try, calling it simple. The policy to expand language offerings for the CNA written exam made it to former Gov. Charlie Bakers desk last year as part of a broader economic development bill, and he returned it to lawmakers with an amendment asking for an implementation date and tacked on other initiatives for mental health exams for incarcerated people. The Legislature didnt take up Bakers proposed changes, though Sens. Jo Comerford and John Keenan and Rep. Tackey Chan revived the issue with similar legislation (H 3609 / S 1336) this session. Under the budget signed by Healey, the Department of Public Health must offer the CNA exam in a language other than English by Oct. 1, 2024, though Keenan said he intends to urge officials to target a faster timeline. The CNA exam used to be available in Chinese before the COVID-19 pandemic, when the state used a different exam provider, Keenan and Chan said. The demand is immediate -- its now, and I think we have to respond as quickly as possible, Keenan, a Quincy Democrat, told the News Service. Its long overdue. I cant imagine how isolating it must be when somebody is in a room and unable to communicate with a nurse, CNA or anyone that comes in. Read More: HCC offers free English language classes to nurses At the committee hearing last month, Keenan lamented the disconnect between the CNA exams being offered in just English, compared to the learners permit exam in more than 30 languages. Tara Gregorio, president of the Massachusetts Senior Care Association, also called on DPH to implement the policy as soon as practical to grow the volume of CNAs, who she said deliver the majority of direct care and provide vital companionship to residents at nursing facilities. There are 3,240 vacant CNA positions, Gregorio said, citing a July 2023 workforce survey from the association. That translates into a 20 percent vacancy rate, she said. Quality of care and quality of life for individuals living in nursing facilities is directly dependent upon an adequate number of caregivers at a time when demand critically outpaces supply, Gregorio said in a statement to the News Service. As demand for nursing home services continues to grow, it is critical that we continue to work together to adopt policies, like this new provision, that embraces our direct care workforce and aging population and allows nursing facilities to continue to recruit and retain a more stable and skilled workforce. At South Cove Manor, Graves said CNAs who can only speak English sometimes need to ask a bilingual colleague for help translating on behalf of residents, who could be expressing that theyre in pain or need another meal. Hiring CNAs who are fluent in Chinese would be a huge benefit for his facility, said Graves, the former chair of the Massachusetts Board of Registration of Nursing Home Administrators. For us to hire all English-speaking nursing aides doesnt make sense, Graves said. The related Senate bill thats pending on Beacon Hill calls for the CNA exam to be in a language other than English, including but not limited to Portuguese, Haitian Creole, Spanish and Chinese. Meanwhile, the policy approved through the budget only invokes Spanish and Chinese, while likewise allowing DPH to determine which other languages are needed. A DPH official told the News Service the department will make future decisions about providing additional choices without specifying what other languages could be under consideration. DPH is working with the states CNA testing provider to ensure exam guides are developed, translated and made available to applicants, the official said. There will be a public comment period, as well as a public hearing, as DPH modifies nurse aide regulations, the official said. The language policy in the budget falls short of the legislation that Comerford said she will still pursue without question this session -- including commonsense measures aimed at making the CNA exam more comprehensible to non-native speakers, who struggle with the phrasing of certain multiple choice questions. Comerford said the budget leaves DPH with a good deal of latitude for crafting rules and regulations to implement the exam policy. Its a wonderful first step honestly, and its an acknowledgment of what its going to really take to break down barriers to access for those who would like to become certified nurse aides, the Northampton Democrat said of the budget. I have faith in DPH that theyre going to want to make this test as accessible as possible within the limits of the law. The current CNA exam is not straightforward, said Laurie Millman, executive director of the Northampton-based Center for New Americans, which offers training for students preparing for the CNA exam. Millman said immigrants view the CNA role as an opportunity to strengthen their English vocabulary before they continue their education and pursue other health care positions, such as medical assistants or phlebotomists, that allow them to save up for a house and car, among other expenses. Yet due to the language barrier, only 60 percent of students who are learning English at the center pass the written exam, compared to 80 percent who pass the clinical exam, according to Millman. She said she regularly fields frantic calls from long-term care facilities who are searching to hire CNAs. We end up taking so much time decoding the exam rather than measuring what students know, she said. We are receiving a lot of immigrants; the smart money figures out whos highly qualified and removes the barriers. If you have the lens that says Americans are not the only people who are qualified -- there are highly qualified people all over the world -- figure out how to make these pathways more accessible. SPRINGFIELD Recent fatal shootings in Springfield have sparked citywide outrage and calls to action on the issue of gun violence. The most recent gun-related deaths came Monday afternoon on Berkshire Avenue, when 52-year-old Kim Fairbanks was shot by Victor Nieves, 34, who died of a self-inflicted gunshot wound. Two of Fairbanks grandchildren were also shot. The following is what Springfield mayoral candidates have said on the issue; the field will be narrowed at a preliminary election Sept. 12. The following summaries are presented alphabetically. David Ciampi David Ciampi, a mental health counselor, said the recent shooting is a reflection of whats happening across the country. He said the city has to orchestrate a variety of approaches to gun violence on local, state and federal levels. Ciampi said developing and providing more mental health resources could lower gun violence. He said the city has a duty to assist young people with the proper resources to develop social and coping skills to successfully transition to adulthood. What happened yesterday, is the result of someone who did not get the behavioral health care that he or she needed to have and so we really need to deal with that, Ciampi said of the Berkshire Avenue shooting. We need to be able to take lethal weapons away from individuals that can inflict harm and others. Justin Hurst City Councilor Justin Hurst said Springfield needs a comprehensive plan that involves the community. The three components of that plan, he said, include actions by police, Springfield residents and the gang members responsible for the violent crimes. Hurst said the police department needs a full complement of officers who can patrol the streets, as well as an officer assigned to every state and federal task force that addresses gangs, guns and drugs in the city. Hurst said there need to be specialized units working past midnight and on weekends, which he said is not now happening. The city should invest in organizations doing work to reduce violence, specifically among the citys younger population, and for people leaving jail looking for job opportunities. The last component is that if we need to ask for help, we need to ask for help, and by help, I mean additional resources at the state level. It is not enough to just ask for help yesterday, which is what the mayor did, Hurst said of the press conference Mayor Domenic J. Sarno held at the Police Department Monday. Jesse Lederman The City Council president said he thinks the city needs to develop a strategy that immediately secures the safety of every neighborhood, while also looking at the root causes of crime and violence. I believe that even if we were able to take every bad actor off the street, if we do not address the underlying challenges that lead people down pathways ... to gun violence, then we will continue to see the cycle repeat itself, Lederman said. Lederman later referred to the Future City Initiative Plan he hopes to implement if elected. Re-implementing the practices and tenets of the Hub & COR (Center of Responsibility) Public Safety Initiative would be included in Ledermans plan, which he said has stalled. The initiative is a recognized model of public safety collaboration between local police, community organizations and human service agencies. Orlando Ramos Prior to the Monday shooting, state Rep. Orlando Ramos released a statement saying the mayor has lost control of the issue of gun violence in the city. In the same statement, Ramos detailed a public safety plan, with community policing as a main priority. He said community police officers would be responsible for building and maintaining active relationships with local stakeholders. Creating a Springfield Gun Court is another priority Ramos highlighted in his plan. Right now, we have over 300 pending gun cases in the courts, which is more than double that of any other municipality in the Commonwealth, Ramos said. We need a gun court specifically designed to deal with gun-related cases in order to streamline these cases and get criminals off the streets. Other goals in that plan: partnering with the Bureau of Alcohol, Tobacco, Firearms and Explosives (ATF), investing in mental health services, creating a municipal app for the City of Springfield and economic development. Domenic J. Sarno In the midst of a campaign for reelection, Sarno told The Republican he is less concerned about how recent gun-related deaths affect his bid and has shifted his focus to public safety. I worry about what needs to be done to reassure and keep our residents and business community safe, Sarno said. This gun violence is an epidemic across the nation. I dont want to see it happen anywhere, but specifically (not) in our city of Springfield. The mayor held a press conference Monday, where he announced he will continue to seek solutions to the citys gun violence in partnership with the police department, Hampden County Sheriffs Department, state police and community stakeholders. A roundtable among community partners, the Commonwealth Interstate Narcotics Reduction Enforcement Team, the Violent Fugitive Apprehension Section, the ATF, the Drug Enforcement Administration and the Federal Bureau of Investigation (FBI) was held before the press conference. Sarno, who is an advocate for bail reform, reiterated that instances of gun violence involve repeat offenders who should not have been released by the court system. If these individuals were originally held or incarcerated, loved ones would not be mourning their loss, he said. Balance of Visible Trade showed a deficit of Rs 13,898 million in June 2023, lower by 32.1% compared to the previous month and by 25.5% compared to the corresponding month of 2022. In June 2023, total imports decreased by 23.9% compared to May 2023 and by 22.1% compared to June 2022 whereas total exports decreased by 5.1% compared to May 2023 and by 15.9% compared to June 2022. In June 2023, South Africa (11.5%), France (10.6%), United Kingdom (8.8%), United States (8.1%), Spain (6.9%) and Madagascar (6.1%) were our major exports destinations while our imports were mainly from China (17.6%), U.A.E. (7.4%), Oman (6.9%), India (6.3%), South Africa (6.2%) and Brazil (5.8%). LOCAL MEP Maria Walsh has rejected criticism of the new Nature Restoration Law adopted by the European Parliament, branding it misinformation and scaremongering. The Shrule native and her Fine Gael colleagues voted against her EPP Group in the European Parliament chamber when she supported for the adoption of the Nature Restoration Law a decision that was labelled disgraceful by Independent TD Michael Fitzmaurice. Ms Walsh was on her home patch last Thursday when she accompanied Taoiseach Leo Varadkar on a tour of south Mayo and north Galway. The tour included a visit to the farm of Kevin Moran in Caherlistrane, the 2016 FBD Young Farmer of the Year. Speaking to The Mayo News before visiting the farm, the Fine Gael MEP, a member of the Midlands-North-West constituency, rejected claims that the law will impact rural Ireland negatively. I dont think it is [bad for rural Ireland] I have to take my responsibility as a public rep not to be scaremongering and making sure things that are happening in Europe are brought down [to the public] factually. There is a little bit of misinformation going on, particularly around nature restoration It is around making sure that fine print makes sense. Rewetting was reduced which is positive and new money was put in, she said, adding: It is important we are not constantly changing the goalposts particularly for young farmers like Kevin. Ms Walsh explained that she has spoken to a number of farmers in her locality since the vote, and she believes it is important that the right policies are in place to protect both the environment and farm families. She said voting against the law would not have achieved that. Perhaps shame on me for not getting the message across, she said, but if that had happened [if the vote had been defeated] it would have come back into the next Common Agricultural Policy, which is not where it belongs. Right now the CAP in my eyes is not fit for purpose for what it was designed for many years ago. It would have been a disservice to farm communities like those here in Glencorrib if they did that. For me it is about finding that extra funding and making sure all voices are heard at the table. The Linenhall Arts Centre in Castlebar is very excited to reveal that its specially curated Young Playwrights Programme, in conjunction with Fighting Words returns for a second year. Following a hugely successful collaboration in 2022, young people aged 16 -18 years are invited to find their storytelling voice by applying for one of eight spaces in this years programme. No previous playwriting experience is necessary but enthusiasm and a passion for performance writing is key. Successful applicants will be required to attend all workshops in September and October, and dedicate time to reflecting, reading and writing throughout its duration. The exciting finale will be a rehearsed reading of their writing by professional actors and directors, as part of the Linenhalls Roola Boola Arts Festival 2023, in November. Mentoring the selected group are the Fighting Words Mayo team consisting of Paul Soye and Ken Armstrong, assisted by Ernestine Duffy and Laura Killeen and facilitated by the Linenhalls Arts Access Officer, Deirdre Melvin. On this supported opportunity Armstrong commented: When you are young, a single drop of encouragement can sometimes be the difference between going on to explore your talents as a writer or leaving it aside altogether. Thats the most valuable element of this process. Yes, there is creative, technical and critical input too, but for me, that drop of pure encouragement will always be the treasure young writers take away. Soye continued: The live performance by professionals is a real 'Wow factor'. For participants to experience the characters they've created, come to life on-stage and performed by trained actors, is an amazing experience. For fledgling playwrights, an informal information session with the Fighting Words team takes place on Saturday, August 26th between 12 noon and 1.30pm. Drop in for a chat, or alternatively email access@thelinenhall.com for further details. The workshop programme is free to participants thanks to Fighting Words, funding from the Arts Council via The Linenhall Arts Centre and Creative Ireland Mayo. Bronchiectasis drugs are crucial for treating the symptoms and side effects of this chronic respiratory disorder, which is characterized by the expansion of the bronchial airways. These medications work to lessen symptoms including a persistent cough, increased mucus production, and frequent respiratory infections while also delaying the onset of the illness. The medications used to treat bronchiectasis include bronchodilators, mucus-clearing agents, antibiotics, and anti-inflammatory medicines. Their advancement has created new opportunities for bettering patient experiences and quality of life. Current bronchiectasis research examines novel therapy modalities and targeted drugs, providing hope for more successful therapies and improved disease management. The global Bronchiectasis Drugs market size was valued at US$ 303.8 million in 2023 and is expected to reach US$ 592 million by 2030, grow at a compound annual growth rate (CAGR) of 10% from 2023 to 2030 Get a Sample Copy of Report @ https://www.coherentmarketinsights.com/insight/request-sample/6011 *Note This Report Sample Includes: Brief Overview to the research study. Table of Contents The scope of the studys coverage Leading market participants Structure of the reports research framework Coherent Market Insights research approach Market Dynamics The increasing cases of associated risks drive the market growth. Bronchiectasis frequently happens as a result of health conditions such as humoral immunodeficiency, inflammatory bowel disease (Crohns disease and ulcerative colitis), rheumatologic diseases (rheumatoid arthritis and Sjogrens disease), chronic obstructive pulmonary disease (COPD), cystic fibrosis. These diseases are of great concern globally. Furthermore, the increased prevalence of bronchiectasis globally is also a result of various external factors, including an increase in the number of patients with related diseases. Hence, such factors boost the market growth during the forecast period. The growing awareness about bronchiectasis is an emerging bronchiectasis drugs market trend. This condition is often misunderstood as common cough and cold, as the symptoms of most respiratory tract infections are overlapped. Often, this results in underdiagnosing the infection, which reduces the use of treatment options and thus affects the growth of the market. Primary and secondary healthcare centers need to be better informed about it to increase awareness of this disease. Additionally, some companies regularly organize educational programs to inform healthcare professionals and patients of available therapeutic options for the treatment of bronchiectasis. Hence, such trends boost the market growth during the forecast period. Competitive Landscape Key companies covered as a part of this study include GlaxoSmithKline plc, Novartis AG, Pfizer Inc., AstraZeneca plc, Boehringer Ingelheim International GmbH, Roche Holding AG, Teva Pharmaceutical Industries Ltd., Bayer AG, Sanofi S.A., Sunovion Pharmaceuticals Inc., Mylan N.V., Cipla Ltd., Grifols S.A., Insmed Incorporated, Arbor Pharmaceuticals LLC Detailed Segmentation: Global Bronchiectasis Drugs Market, By Drug Class: Antibiotics Mucolytics Bronchodilators Anti-inflammatory agents Global Bronchiectasis Drugs Market, By Route of Administration: Oral Inhalation Intravenous Global Bronchiectasis Drugs Market By Distribution Channel: Hospital Pharmacies Retail Pharmacies Online Pharmacies Global Bronchiectasis Drugs Market, By Geography: North America Europe Asia Pacific Latin America Africa Get Exclusive Purchase Now to Access @ https://www.coherentmarketinsights.com/insight/buy-now/6011 Market Opportunities: Personalized and Precision Medicine: The market for bronchiectasis medications offers numerous prospects in the field of personalized medicine. Treatment outcomes and patient satisfaction can be enhanced by customizing therapies based on each patients unique characteristics, including disease severity, underlying causes, and hereditary factors. The development of personalized and precision medicine strategies for treating bronchiectasis can result in more efficient and focused treatments, creating new opportunities for market expansion. Emerging Markets: Opportunities in emerging markets are expanding, especially in areas like Asia Pacific, Latin America, and the Middle East. Bronchitis is becoming more common in these markets, and there is a rising need for efficient treatment solutions. Significant market growth potential might result from extending market reach to these areas, adjusting to localized healthcare systems, and addressing particular needs. Recent Developments: New product launches I-neb CMS In 2022, the FDA designated this innovative inhalation medicine as a breakthrough therapy. By focusing on the underlying inflammation that underlies the condition, it is made to treat non-CF bronchiectasis. Brensocatib: For the treatment of bronchiectasis, this oral selective and reversible DPP-1 inhibitor is presently being studied in Phase III clinical studies. In patients with the disease, it has demonstrated promise in lowering inflammation and enhancing lung function. Acquisition and partnerships Aptahem was purchased by Grifols for $1.7 billion in 2022. The business Aptahem is in the trial stages of creating a novel class of treatments for respiratory conditions like bronchiectasis. Gilead Sciences paid $1.1 billion to acquire PneumRx in 2022. A new inhalation treatment for bronchiectasis is being developed by PneumRx, a business in the clinical stages. Ask Here for Customization Report @ https://www.coherentmarketinsights.com/insight/request-customization/6011 Why Choose Coherent Market Insights? Authentic Method: To ensure high-level data integrity, accurate analysis, and impeccable forecasts Analyst Support: For complete satisfaction of our customers Targeted Market View: Targeted market view to provide pertinent information and save time of readers Agile Approach: A faster and efficient way to cater to the needs with continuous iteration Customization: Customization of the reports scope as needed to meet your requirements in full Check Out More Report: North america companion animal drugs market Uae heart failure drugs treatment market India immune oncology drugs market Hemoglobinopathy treatment drugs market Amino acid market Liver diseases therapeutics market Aortic stenosis market Mucopolysaccharidosis treatment market Ischemia reperfusion injury therapeutics market Sulfasalazine market Softgel tumble dryer market Cannabidiol consumer health market Plasma therapy market Allergic asthma therapeutics market Head lice drug market by Gord Hotchkiss , Featured Contributor, August 15, 2023 An interesting game of media brinkmanship is happening in Canada. To help bring you up to speed, heres a quick summary: -- Like everywhere in the world, Canadas news outlets are starving for revenue. Advertising is drying up, as more budget moves online. -- In an ill-advised attempt to shore up the Canadian News industry, the federal government passed bill C-18, the Online News Act, which says that Facebook, Google and other tech giants must pay news organizations when someone comes to a web story through a link on one of their platforms. -- Meta said -- basically: "WTF? Were sending you traffic. You want us to pay for that? Fine, well shut off that traffic." Back in June, Meta posted this notice: In order to comply with the Online News Act, we have begun the process of ending news availability in Canada. These changes start today, and will be implemented for all people accessing Facebook and Instagram in Canada over the course of the next few weeks. advertisement advertisement Those changes started stripping news from our social media feeds in the last few weeks. I havent seen one news item on my Facebook feed in the last week. If youre confused, you have a lot of company north of the 49th. Logic seems to be totally missing from this particular legislative hammer toss from Justin Trudeau and his merry band of lawmakers. If there's any logic, it may be that many users never bother to click through to the actual story. They apparently get all the news they need from doomscrolling on Facebook. In a blog post, Michael Geist, the Canadian Research Chair in internet and ecommerce law at the University of Ottawa, calls the bill a Lose-Lose-Lose-Lose. For the media outlets that this bill is supposedly protecting, Geist writes, It is difficult to overstate the harm that Bill C-18 will create for the media sector in Canada, with enormous losses that will run into the hundreds of millions of dollars. Oops. Geist details how lobbyists and supporters of the bill were sure Meta was bluffing and would come to the table to negotiate when bidden to do so. A law professor from Carleton University said I am not worried. The threats they are making, they are doing this all around the world. But Meta wasnt bluffing. And why would it? When you hold all the cards, you dont have to bluff. Some news publishers estimate that as much as 50% of their traffic comes from these online channels. A recent study by Maru Public Opinion showed that 26% of Canadians say they get their news from social media sites. For younger age cohorts, this percentage jumps to 35%. News publishers have now lost that traffic, with no offsetting revenue from Bill C-18 to compensate for it. For a bill that was supposed to save the Canadian news industry, this seems to be hammering nails in the coffin at an alarming rate. As Geist said, this is a cautionary tale for a government that blithely ignored the warning signs, seemed to welcome a fight with the tech companies, and had no Plan B. If there are lessons to be learned -- or, at least, points to be pondered -- in this Canadian debacle, here are two to consider: This shows that legislators, not just in Canada but around the world, have no idea of the new power dynamics in a digital economy. They still carry the quaint notion they are the power brokers within their borders. But this shows that Meta could care less about the Canadian market. We are a drop in their global revenue bucket. Not only have has the company not caved in when confronted with the awesome might of the Canadian government, it hasn't even bothered coming back to the table to talk. When the Liberal lawmakers decided to take on Meta, they were taking a knife to a gun fight. Secondly, I wonder how one third of Canadians will now be informed about whats happening in the world. With any information sources with even a shred of journalistic integrity stripped from their Facebook and Instagram feeds, who will they be listening to? In a bid for survival, Canadas news publishers are supposedly launching a desperate campaign to re-educate us on how to find the news. Yeah. We all know how successful re-education campaigns are. Finally, in the irony of ironies, as it squared off against Facebook in this ill-fated battle, Canadas Liberal government launched a new campaign asking us to share our thoughts on a Summer Check-In Survey. Their platform of choice for this campaign? Facebook. by Laurie Sullivan @lauriesullivan, August 15, 2023 Microsoft has reversed its decision to ban ads from unverified marketers. The company on Tuesday announced that it will pause ads for several reasons as the advertiser completes the Advertiser Identity Verification (AIV) process for new accounts. After additional review and consideration, our approach to unverified advertisers has been slightly modified to provide additional time to optimize our process while reducing impact to our customers, Microsoft wrote in a blog post. If the process has not been completed by the time the advertisement runs, Microsoft will pause the ads for the following reasons: Advertisers whose ads will serve in the European Economic Area (EEA) will have 30 days to complete verification for ads to continue serving in the EEA. If AIV is not complete within 30 days, eligibility to serve ads in the EEA will be suspended until successful completion of AIV. Advertisers whose account has been flagged by systematic checks related to risk for advertisers or those who use their products. Ads will not serve globally until successful completion of AIV. Advertisers whose account has been suspended for policy violations, who have not already completed AIV. Successful completion of AIV will be the first step for the advertiser to be able to appeal the suspension. advertisement advertisement by Erik Oster , August 15, 2023 With back-to-school season now in full swing, teachers will have their work cut out for them, and organic, allergy-friendly snack brand MadeGood wants to lend a hand. According to the National Education Association, more than 90% of teachers spend their own money on school supplies and other necessities. Snacks are no small part of that, with NEA Today noting that A number of educators mentioned that they spend a lot on snacks because if they dont, they routinely see students who are too hungry to focus on their schoolwork, and one teacher went so far as to call spending on snacks her number-one financial drain. So the issue makes a lot of sense for the brand, which is rewarding $200 to 1,000 teachers across the country through its MadeGood Share Some Good Fund, and a commensurate giveaway in Canada. The initiative calls on consumers to nominate deserving educators who have made a positive impact on their lives from now until Sept. 24 -- allowing them to submit one entry per week. advertisement advertisement We all know how crucial education is for our children and the amount of effort our teachers put into ensuring students succeed," said Nicole Bleiwas, vice president of marketing at MadeGood parent company Riverside Natural Foods, said in a statement, adding that the brand hopes the Share Some Good Fund can help their school year get off to a good start! MadeGood teamed up with actress Sheryl Lee Ralph, who won an Emmy for her portrayal of kindergarten teacher Barbara Howard on Abbot Elementary, to promote the initiative by calling on fans to submit deserving educators for the award I personally have had several teachers who made a great impact on my formative years, and as a mother I have seen how educators have helped shape my own children," Sheryl Lee Ralph said in a statement. "Teachers work tirelessly to support their students. The MadeGood Share Some Good Fund is a major step forward in aiding them ahead of the school year." by Les Luchter , August 16, 2023 Adding your own flavor to fashion comes with age. Forgetting how to add doesnt. Losing the fear of looking foolish comes with age. Losing your way in your own home doesnt. Those statements are part of Some Things Come With Age, an Alzheimers Association/Ad Council PSA campaign designed to help Hispanic audiences recognize the difference between normal signs of aging and possible early signs of Alzheimers or other dementia. The campaign, created by the Lopez Negrete agency, launches Aug. 16, with :30 and :60 spots, both available in either English or Spanish, for TV, radio, out-of-home, and digital sites. advertisement advertisement As Latinos, we tend to expect certain illnesses with aging. The waving off of early signs of any disease, Alzheimers in this case, in the name of normal aging is keeping many Hispanic families from having the necessary conversations and doctor consultations," explains Lopez Negrete President and Chief Executive Officer Alex Lopez Negrete in a press release. "Were hoping this campaign will open an important conversation about what getting older really brings, so we can avoid misconceptions that also reinforce ageism. The Alzheimers Association notes that Latinos are about 1.5 times more likely than non-Hispanic whites to develop Alzheimers disease, and cites an AARP report which found Latinos to be the fastest-growing group of older adults in the U.S. Hispanic and Latino Americans are disproportionately affected by Alzheimers, but are diagnosed later in the disease or not at all, states Carl V. Hill, the Alzheimers Associations chief diversity, equity & inclusion officer. The Some Things Come With Age campaign also includes a website --10signs.org in English and 10senales.org in Spanish -- with more information and resources, including 10 symptoms of Alzheimers and how they differ from normal aging. For example, sometimes forgetting names or appointments, but remembering them later is typical of people getting older, but memory loss that disrupts daily life is not. The Alzheimers Association and the Ad Council have run numerous campaigns since first partnering in 2019. by Karlene Lukovitz @KLmarketdaily, August 16, 2023 In the latest example of a seeming conflict between Elon Musks insistence that he is a free speech absolutist" and his behavior, the newly rechristened X platform reportedly slowed the open times for the links of some key rivals and news organizations. In tests conducted and reported on by The Washington Post on Tuesday, links on X to the website pages of Facebook, Threads, Instagram, Bluesky and Substack, as well as The New York Times and Reuters, took about five seconds to load. All of these companies had previously been singled out for ridicule or attack by X owner Musk, the report notes. The delays were first noted by an X user early Tuesday, in the Hacker News forum. advertisement advertisement A few hours after the Post published its story, X stopped throttling many, and perhaps all, of the affected sites, the newspaper said. Musk and X did not respond to the Posts requests for comment. The newspaper found its own link on X, along with those of Fox News, Mastodon, YouTube and most other sites, to have been unaffected. A 2016 Google analysis of mobile traffic found that more than half of users abandoned sites that took more than three seconds to load. One Post source reported that the Timess traffic had declined since the open delays on X began. Posting on Bluesky yesterday, Yoel Roth, Twitters former trust and safety chief, said that while the open delays are one of those things that seem too crazy to be true, even for Twitter, he had confirmed their existence with his own test. by Wendy Davis @wendyndavis, August 16, 2023 Google must face a trial in a long-running battle with a pay-per-click advertiser who claimed in a class-action complaint that the company reneged on a promise to discount some ads, and failed to limit ads geographically. In a ruling issued Tuesday, U.S. District Court Judge Edward Davila in the Northern District of California rejected Google's bid to decide the lawsuit in its favor before trial, writing that case involved a genuine dispute of material fact that warrants a trial. The battle dates to 2011, when Rene Cabrera claimed he was overcharged for pay-per-click ads he purchased to market his former business, Training Options. He alleged that he bought pay-per-click ads from Google between 2008 and 2009, and that Google failed to correctly apply its smart pricing discount during that time, and also charged him for clicks that originated from states other than the ones he was targeting. He targeted Florida, North Carolina, Georgia, and Louisiana, but alleged that he was charged for clicks from users in New York, Virginia, California, Illinois, Texas, and other states, according to court papers. advertisement advertisement Davila previously threw out the lawsuit on the grounds that Cabrera no longer owned Training Options, but the 9th Circuit Court of Appeals revived his claims in 2021. The appellate court said that Cabrera's continued control over his Google advertising account gave him standing to pursue a lawsuit against the company. After the 9th Circuit sent the case back to Davila, Google urged the judge to decide the matter without a trial. Among other arguments, Google said its agreement with advertisers doesn't set out a particular smart pricing formula. The company also argued that it disclosed in the Help Center that ads could be shown to users regardless of their location. Davila rejected Google's bid for summary judgment on both points, paving the way for Cabrera to attempt to prove his claims at a trial. Separately, advertisers who purchased Google's TrueView skippable video ads recently alleged in a class-action complaint that they were overcharged by the company. by Wayne Friedman , August 16, 2023 When it comes to U.S. consumer demand for U.S. programming overall on any network/platform -- original or library programming, regardless of exclusivity -- the bulk of content ownership still resides with legacy media companies, according to Parrot Analytics. In the second quarter of this year, Walt Disney had a leading demand share of 20.1%, followed by Warner Bros. Discovery with 17.6%, Paramount Global at 12.2%, NBCUniversal with 9.8% and Netflix at 8.2%. Parrot defines "demand share" as user data gathered from daily "expressions" of demand from over two billion people in over 100 languages in more than 200 countries. Expressions are those who "interact with content and talent." Data comes from search engines, wikis and informational sites, fan and critic ratings sites, social video sites, blogs and microblogging sites, social-media platforms, peer-to-peer apps and open streaming platforms. advertisement advertisement With many individual streaming platforms still money-losing operations, many corporate owners such as Warner Bros. Discovery continue to shift library TV and movie programming -- off their streaming platforms, such as Max, to be sold to third-party networks and CTV platforms. Looking specifically at streaming demand for individual platforms, according to the research, global demand was up 21.6% in the second quarter of this year -- with Netflix still dominant as competitors make gains. In the U.S. Netflix also continues to lead all competitors by a wide margin with a 36.3% original demand share -- down 41% from a year ago. Still, Netflix remains the only consistent profitable U.S. streaming service. Look for Netflix to double down on international content if the strikes drag on, according to Parrot Analytics. But will this be enough to make up for delayed seasons of its smash hits like Wednesday, Stranger Things, and Emily in Paris? The next-closest competitor to Netflix: Amazon Prime Video, 8.6% -- which lost 9% year-over-year. Moving into third place -- on the back of Ted Lasso, Apple TV+ is now at 8.3% share (up 7% year-over-year). by Joe Mandese @mp_joemandese, August 16, 2023 Less than a week after X Corp. (formerly Twitter) CEO Linda Yaccarino boasted the platform is "a much healthier and safer" place since Elon Musk took it private and that "99.9%" of the content posted on the platform was brand safe, some of the 0.1% apparently slipped through. According to an analysis released this morning by watchdog Media Matters For America, Twitter has been monetizing less than savory tweets by placing ads for blue-chip brands on the account on pro-fascist, pro-Hitler account New American Union (@NewUnion76). At least long enough for Media Matters to grab screenshots of pro-Nazi, anti-semitic hate posts, along with ads from major brands, including quite a few big media brands: advertisement advertisement I just checked and the account has been suspended, with no indication about when or explicitly why, other than it violated "the Twitter rules." Twitter rules? I guess they meant X rules, whatever they are -- but one thing is clear, the timing of the report doesn't exactly support Yaccarino's spin about X's brand safety. I mean, what brand wants to be associated with X-rated content, especially when it's adjacent to posts like these: "New American Union is a pro-fascist account that celebrates Hitler and his National Socialist Party (aka the Nazi Party)," reads the Media Matters report, adding, "Its been 'verified since April 2023' and has thousands of followers, meaning that the account meets at least two of the criteria for Xs revenue sharing program and that money from Xs advertisers could eventually end up in the accounts pockets." Look, I get it. It's hard to moderate and police big social media platforms for hate speech and other unsafe content for brands, especially after you've decimated your content moderation, brand safety and engineering teams. Just don't go on CNBC squawking about what a great job you're doing, because you only get so many shots at being trustworthy. And right now, it looks like Yaccarino is squandering some of hers. Addendum: After this "Red, White & Blog" was published, CNN published its own report that at least two of the brands showing up on the New American Union posts have suspended their advertising on X. by Laurie Sullivan @lauriesullivan, August 16, 2023 Nine years ago, Rachel Tipograph founded MikMak, a global software platform to some of the largest multichannel brands in the world. Now she is leading the consolidation of the ecommerce and analytics software space. This week the 36-year-old CEO of MikMak acquired ChannelAdvisors Shoppable Media and Brand Analytics product lines from CommerceHub. We want to be the biggest software and analytics company for the biggest brands in the world, Tipograph said. To do that we need to ensure the majority of brands work with us. ChannelAdvisor was MikMaks biggest competitor. When ChannelHub took ChannelAdvisor private in November, Tipograph took that as a signal to consolidate the space and integrate ChannelAdvisors Shoppable Media and Brand Analytics product lines. Today, MikMak has more than 4,000 global retailers in its retail network. Tipograph also claims to have the majority of shoppable media impressions going through the companys platform, with more than 1,200 of the biggest brands with the biggest budgets like Mattels Barbie.com, Samsung, LG, Microsoft, and many more. advertisement advertisement The company is profitable now, as well as prior to the latest acquisition, which will increase annual revenue by 50%. Historically, MikMak supported categories were beauty, personal care, toys, and pets. The acquisition expanded the company into technology, consumer electronics and home improvement. The acquisition is MikMaks second this year. In February 2023, the company acquired Swaven, a French ecommerce analytics software company, to expand its global reach into EMEA, APAC and LATAM. Prior to founding MikMak, Tipograph ran global digital and social media at the Gap, between 2011 and 2014, during the rise of social media. Following the completion of this transaction and initial integration, CommerceHub and MikMak plan to form a strategic partnership to enable the two companies to jointly sell various complementary offerings. We want to be the domain experts in our space and add value whether thats co-selling or strategic product integration, she said. Employee joined the company from around the world to support the product roadmap. Lots of changes in the works, she said. The product roadmap for the remainder in the year includes really big strategic data partnerships, and a deeper dive into groceries. About 50% of the products its customers sell are found in grocery stores, with a large portion in food, beverages, and alcohol. Theres an opportunity to build software around meal planning. MikMak also will roll out products based on artificial intelligence (AI), analytics, and commerce enablement by the end of the year. Eventually, the ChannelAdvisor product names will dissolve into MikMak 3.0, and all customers will migrate to the new platform. The majority of the upgrade will occur in the next year. by Wendy Davis @wendyndavis, August 16, 2023 Google, the Cartoon Network, Hasbro and other companies are again urging a federal judge to throw out a class-action complaint alleging they violated children's privacy by tracking their YouTube viewing in order to serve them with targeted ads. In papers filed Tuesday with U.S. District Court Judge Beth Labson Freeman in the Northern District of California, Google argues that even if the tracking allegations were proven true, they wouldn't support the complaint's claims -- including a claim that Google committed a highly offensive privacy violation. The company argues that judges across the country have ruled in other cases that collecting data about people's web use is routine, and not the type of activity considered highly offensive. This court should reach the same conclusion, Google writes. Collecting online activity, geolocation, and browser/device information in accordance with clear public disclosures is not actionable, and plaintiffs privacy claims should be dismissed. advertisement advertisement The Cartoon Network, Hasbro and the other channel operators argue separately that the allegations, even if proven true, wouldn't show that they acted in concert with Google to track children. Freeman previously threw out the complaint on the grounds that the federal Children's Online Privacy Protection Act overrode the claims, but the 9th Circuit Court of Appeals reversed that ruling last year and reinstated the lawsuit. The battle dates to 2019, when California resident Nicole Hubbard sued YouTube and channel operators -- including Hasbro, the Cartoon Network, Mattel, and DreamWorks -- on behalf of her child. She alleged in a class-action complaint that her 5-year-old child watched YouTube channels aimed at young children -- including Ryan ToysReview, Hasbro's My Little Pony Official, and CookieSwirlC. Her lawsuit, later joined by other parents, came around two months after Google agreed to pay $170 million to settle allegations by the Federal Trade Commission and New York Attorney General that YouTube violated the federal children's privacy law by collecting data from viewers younger than 13. The parents argued that the companies violated state laws by collecting tracking data from children. Among other assertions, the parents said the alleged data collection amounted to intrusion upon seclusion -- a privacy claim that can be brought in California, and that involves highly offensive conduct -- as well as various state consumer protection laws. Hasbro, the Cartoon Network and other YouTube channel operators argue separately that there is no basis to hold them responsible for alleged data collection by YouTube. Plaintiffs sole complaint against the channel owners ... is that there was behavioral advertising on their YouTube channels, counsel for Hasbro and the others write. They add that behavioral advertising was enabled by default on YouTube, and say their alleged failure to opt out of behavioral advertising, even if proven true, wouldn't show they aided and abetted Google's alleged data collection. Theres a new feud brewing in Bollywood. And its between Sonam Kapoor and Rana Daggubati. It started when the Baahubali star accused an unnamed big Hindi Heroine of wasting time on the sets of a film, at King Of Kothas pre-release event. Fans conjectured that the diss was aimed at Sonam Kapoor, and went off with that assumption. Although, Rana released an apology for the troubles caused to Sonam, alas it was too little too late. Or so it seems with the actress recent post. Instagram/Sonam Kapoor Taking to Instagram, Sonam Kapoor shared a post on her stories which featured a popular Eleanor Roosevelt quote: Small minds discuss people. Average minds discuss events. Great minds discuss ideas, with the comment, Just a little something I'd like some people to know. Especially when discussing things about people that are made up. Ranas Apology Responding to Sonams incessant trolling, on Tuesday Rana took to Twitter to express his apologies to both Sonam and Dulquer, and issued a clarification about the same. He wrote, "I am genuinely troubled by the negativity that has been aimed at Sonam due to my comments, that are totally untrue and were meant entirely in a light-hearted manner. As friends, we often exchange playful banter, and I deeply regret that my words have been misinterpreted. I am genuinely troubled by the negativity that has been aimed at Sonam due to my comments, that are totally untrue and were meant entirely in a light-hearted manner. As friends, we often exchange playful banter, and I deeply regret that my words have been misinterpreted. I take Rana Daggubati (@RanaDaggubati) August 15, 2023 Rana concluded, "I take this opportunity to express my heartfelt apologies to Sonam and Dulquer, both of whom I hold in great esteem. I hope this clarification puts an end to any speculation and misunderstanding. Thank you for your understanding," What Started The Beef? Rana Daggubati about Dulquers calm & composed behaviour. #KingOfKotha Watch it & guess who the heroine is. pic.twitter.com/1grkmlKdEX Aakashavaani (@TheAakashavaani) August 13, 2023 In the video, Rana can be heard saying, "Dulquer was my junior at acting school. We became friends there. He is a very polite person." He continued, "He was doing a Hindi film and the producers are my friends. They were shooting near my house. I went there to meet Dulquer. He was standing in the corner with the spot boy." "During the take, a big Hindi heroine was engrossed in a phone conversation about shopping in London with her husband. Her lack of focus affected the shots' quality, frustrating those on set. he revealed. Rana further shared, Despite the disruptions caused by the situation, Dulquer remained patient and understanding, defusing tension and maintaining a harmonious environment. That was Dulquer for us," This led inquisitive fans to figure out the unnamed culprit, which they believed to be Sonam Kapoor. They even conjectured that the incident could have happened on the sets of the 2019 movie The Zoya Factor which starred Dulquer Salmaan and Sonam Kapoor as the films leads. What do you think about this brewing tiff? Let us know in the comments! The military has stood up a task force and greatly expanded its involvement to more than 400 service members as it continues to respond to the deadly and record-breaking wildfires on the Hawaiian island of Maui. The Pentagon is now "executing six approved mission assignments from FEMA" that include moving people, cargo and supplies to the island; housing first responders; and helping with aerial firefighting, Pentagon spokeswoman Sabrina Singh told reporters at a press conference Tuesday. Additionally, Defense Secretary Lloyd Austin "has designated Army National Guard Brig. Gen. Stephen Logan as the dual-status commander of the Joint Task Force 50 [that] will synchronize all DoD support to operations," Singh added. Read Next: Pioneering Study Links Testicular Cancer Among Military Personnel to 'Forever Chemicals' The expanded military role comes as the situation on the island only continues to grow more dire. President Joe Biden said in a social media post Tuesday that the wildfire death toll had grown to 99 people since last Tuesday -- "the deadliest wildfire in a century," he wrote. The town of Lahaina was reduced to an ashen, barren landscape after wildfires swept down from the nearby mountains to the seaside location on Aug. 8. As the wildfires reached the town, reports emerged of people jumping into the ocean in order to avoid the flames in what was once a popular tourist destination. Singh said that the Hawaii National Guard has activated around 258 Army National Guard and Air National Guard personnel for state active duty -- up from 134 last week. The U.S. Army Corps of Engineers has also deployed about 30 people -- a mix of active-duty soldiers and civilians -- who are managing debris removal and providing temporary power for the Federal Emergency Management Agency, or FEMA. Meanwhile, Singh said the Coast Guard, which has deployed about 140 service members, has shifted the focus of its response to "minimizing maritime environmental impacts while remaining ready to respond to any new reports of individuals in the water." On Monday, the service announced that it had deployed pollution response teams and equipment to various places around the island, including a 100-foot boom placed at the mouth of Lahaina Harbor "to contain potential hazardous contaminants and materials." Last week, a Coast Guard 45-foot response boat medium crew rescued 14 survivors from the waters off the island. Singh noted that active-duty service members from several branches have been involved in the response efforts from the beginning of the disaster, but the Pentagon has been hampered from saying exactly how many because the figures are constantly changing. "They're going to ebb and flow as FEMA continues to request service and support," Singh said. The Marine Corps said it had already started to play a role in supporting the task force when a Marine KC-130J aircraft, based out of Marine Corps Base Hawaii, transported leadership from Oahu to Maui this morning. Chuck Little, a spokesman for Marine Forces Pacific, told Military.com in an emailed statement that the Marines are postured to support emergency relief efforts with many of the platforms and units they have in Hawaii. Little said the Marine Corps has MV-22 Ospreys and KC-130J Super Hercules aircraft ready to help with more material and people, and it can use MQ-9 Reaper drones for aerial survey. "Additionally, the 3rd Marine Littoral Regiment is available to provide manpower, engineering and water purification support," Little added. -- Konstantin Toropin can be reached at konstantin.toropin@military.com. Follow him on Twitter @ktoropin. Related: Marines Tapped to Aid Papua New Guinea in Wake of Volcanic Activity North Korea's acknowledgment Tuesday that it is holding runaway Army Pvt. Travis King has raised fresh questions about the unusual case, but experts say the reclusive regime's statement suggests the young soldier is proving to be a poor hostage. An article from the Korean Central News Agency, or KCNA, released Tuesday evening with alleged quotes from the soldier are probably not King's own words. King "confessed that he had decided to come over to the DPRK [North Korea] as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," the North Korean outlet claimed. "The North Korean regime has probably tried to craft the most negative message that they can take advantage of him as far as they can," Bruce Bennett, a senior defense analyst with the Rand Corp. think tank, told Military.com in a phone call Wednesday. Read Next: Air Force Pilots Have One Month to Extend Contracts for Up to $50,000 Per Year in Bonuses KCNA is widely acknowledged to be the propaganda arm of the dictatorship that runs North Korea, and its articles are largely seen as statements of the government rather than a journalistic product. The reporting is the first word that North Korea has issued on the 23-year-old soldier since Aug. 1, when the Pentagon announced that it had issued a cursory acknowledgment of the United Nations Command's inquiries about King. It comes nearly a month after King ran across the Demilitarized Zone from a visitors tour in South Korea into the North and captivity. Bennett said the long silence was likely part of an effort "to get the U.S. to beg and plead to get him," adding that the reclusive, totalitarian state has "learned that fast action is not necessarily in their best interest." The KCNA article went on to say that King "expressed his willingness to seek refugee [sic] in the DPRK or a third country, saying that he was disillusioned at the unequal American society." When reached by Military.com, a Pentagon spokesperson said that the military "can't verify these alleged comments," before adding that "the department's priority is to bring Pvt. King home, and that we are working through all available channels to achieve that outcome." To Bennett, the use of racial discrimination and inequality in the statement is telling. If King were a more prized captive, "they would be trying to say, 'Hey, we've got this really valuable person that we got from the West,'" he explained. "But they're not saying anything like that -- they're pulling the race card," Bennett added. The regime has not shied away from using over-the-top rhetoric in its reports before. A recent June 21 post on Secretary of State Antony Blinken's visit to China, for example, called it "a disgraceful begging trip of the provoker" and said that the Biden administration was "in the grip of repugnancy toward the Chinese government." No language of that sort was present in King's story. Meanwhile, King's family is just hoping to hear from him. They were made aware of the article Tuesday night and issued a statement, but have stayed silent on North Korea's allegations that King claimed racism. King and his family are Black. Jonathan Franks, a spokesman for the family, told Military.com that the Army reached out to King's mom within an hour of the report becoming public and she is appealing to the North Koreans to treat her son humanely. "She's a mom worried about her son and would be grateful for a phone call from him," Franks said in the released statement. Bennett didn't rule out the possibility that the North Koreans would allow such a call, especially if it offers a better political outcome for them or more opportunity to embarrass the U.S. "This is an exploitation opportunity, and they're going to try and exploit it as best they can, in whatever way the U.S. allows them to," he added. Franks announced in early August that former New Mexico Gov. Bill Richardson, who has negotiated with North Korea on numerous occasions and helped arrange prisoner releases from other countries, has agreed to help in their case. However, Bennett stressed that "human life is not an important thing" to the North Korean regime and, as a result, "they may conclude that just keeping [King] for a long time is in their best interest and puts pressure on the U.S." -- Konstantin Toropin can be reached at konstantin.toropin@military.com. Follow him on Twitter @ktoropin. Related: Family of Pvt. Travis King Eases Back on Claims of Being Ignored by the Army This year, 17 personnel have died at Tinker Air Force Base in Oklahoma, but Air Force officials have refused to say what the causes of those deaths were, citing concerns for families and units on base. Kimberly Woodruff, a Tinker Air Force Base spokesperson, told Military.com on Wednesday that the base lost the personnel to "various causes, and several deaths remain under investigation." Tinker Air Force Base has more than 30,000 personnel, and it's unclear how many of those deaths were service members, government employees, contractors or civilians tied to the base. One individual connected to the installation told Military.com that they had been informed of deaths connected to base this year including potential suicides, as well as COVID-19-related deaths. Read Next: After North Korea Response, Expert Says Army Pvt. Travis King's Future Is Likely Political Pawn "We are deeply saddened by the losses we have experienced at Tinker Air Force Base," Col. Abby Ruscetta, the Tinker installation commander, said in an emailed statement. "Our focus moving forward is to let everyone know we value them, and we stand together as a team." Woodruff initially told Military.com on Tuesday that Air Force policy prohibits the installation from disclosing the number and causes of deaths, including suspected suicides still under investigation. "I'm sorry, but we are not going to release the number of deaths at Tinker," Woodruff told Military.com in an email. "We have ongoing investigations and to protect the families and the units, we won't comment on those numbers. It is Air Force policy that we do not disclose information about deaths or their circumstances." Department of the Air Force spokeswoman Ann Stefanek said it's up to the Department of Defense to release suicide statistics at the Pentagon level every quarter -- although those numbers are service-wide and don't specify commands or individual bases. She added there's no prohibition against a base releasing the total number of deaths at that installation. Tinker Air Force Base public affairs later released the number of deaths this calendar year to Military.com but did not specify which deaths were being investigated as due to suicide, accidents, illnesses or other causes. If you're a service member, civilian or government employee with close knowledge of any deaths in 2023 at Tinker Air Force Base, you can send an encrypted email to tomnovelly@protonmail.com to speak with a reporter and can be offered anonymity if you fear retribution. Other services have quickly identified deaths and suspected suicides. In 2022, Army leaders and a bipartisan group of lawmakers in Washington, D.C., began bringing attention to a string of at least 11 suicides from soldiers stationed in Alaska the year prior. The lack of transparency on the deaths at Tinker is especially concerning to military advocates such as Teri Caserta. As the mother of the late Brandon Caserta, a 21-year-old sailor who died by suicide in 2018, she pushed for the federal creation of the Brandon Act, which aims to help address mental health crises in the ranks. Both Caserta and Military.com came across social media posts alleging Tinker was in the midst of a spate of suicides, which prompted inquiries to the base. Caserta told Military.com that addressing issues at installations includes accountability for how and why personnel on Tinker Air Force Base are dying. "Tinker does not have to disclose the names of the airmen/women who have died, but I believe we as citizens who have service members and who have children looking into serving our country deserve to know why and how airmen/women are dying," Caserta said in an email Wednesday. "We need to know that the Air Force takes all deaths as seriously as they claim and, if there is toxicity within the ranks at Tinker, they all should be held accountable for these deaths whether they are suicides or not." Caserta's concern about Tinker comes two weeks after the Department of the Air Force announced it was updating and implementing its own Brandon Act mental health policies. While all the military branches have struggled with growing numbers of suicides, the Air Force's apparent lack of transparency in even immediately disclosing deaths, much less details about them, stands in contrast with the Navy, which dealt with several major suicide clusters at its commands in the past 18 months. Last April, when Military.com inquired about reports of a spate of suicides about the aircraft carrier USS George Washington, the Navy immediately confirmed some of the deaths -- including that some were "suspected suicides" -- despite the last death being five days prior. The Navy made similar admissions when media outlets reached out over reports of suicides at an East Coast maintenance center in November and the carrier USS Theodore Roosevelt in December and January. Woodruff told Military.com in an emailed statement that Tinker is "committed to fostering a culture that values and encourages help-seeking behavior and enhances individual confidence" and is working with various offices at the installation to promote connectedness. "Tinker Air Force Base officials have engaged with our people following the recent losses of life and has a network of helping agencies to include mental health counselors, chaplains, and Military Family Readiness professionals," Woodruff said. The Air Force reported 17 suicides across the entire active-duty force, two in the reserves and five in the Air National Guard between Jan. 1 and March 31 of this year, according to the Department of Defense's quarterly report. Last year, the Air Force reported 63 suicides in the active-duty service, 13 in the reserve and 14 in the Air National Guard. Tinker, located in Oklahoma City, is home to the Air Force's Oklahoma City Air Logistics Complex, which provides maintenance on a wide range of aircraft, as well as the 552nd Air Control Wing and the 72nd Air Base Wing. It also houses some Navy facilities, along with offices for the Defense Logistics Agency. If you are a service member or veteran who needs help, it is available 24/7 at the Veterans and Military Crisis Line, call or text 988 or chat 988lifeline.org, or through the online chat function at www.veteranscrisisline.net. -- Thomas Novelly can be reached at thomas.novelly@military.com. Follow him on Twitter @TomNovelly. -- Konstantin Toropin can be reached at konstantin.toropin@military.com. Follow him on Twitter @ktoropin. Related: Air Force, Space Force Offer New Mental Health Referrals Under Brandon Act The Office of the U.N. High Commissioner for Human Rights said Tuesday that nearly 10,000 civilians have been reported dead since the beginning of the war in Ukraine. A new report confirmed 9,444 civilian deaths and 16,940 people injured since Russia launched a full-scale invasion of its neighbor in February 2022. In total, 500 children have been killed. The real figure is likely much higher. The OHCHR noted that the count was not complete because data was missing from many regions. This applies especially to cities such as Mariupol, Lysychansk or Sievierodonetsk, which were occupied by Russian troops after a long period of heavy fighting, making the data harder to obtain. In Kyiv too, it is feared that thousands or tens of thousands more Ukrainians have been killed than officially recorded. According to the U.N. figures, the vast majority of people 7,339 of them died in regions of Ukraine shelled by Russia and defended by the Ukrainian army. In regions occupied by Russian troops, 2,105 people were killed. In the embattled regions of Donetsk and Luhansk in the east, there were significantly more victims on both sides of the front than in the capital Kyiv, central and western Ukraine. The U.N. report shows that there were more civilians killed in the first months of the war. In the spring and summer of 2023, between 170 and 180 civilians died each month. Ukrainian President Volodymyr Zelenskyy meanwhile visited front-line positions in the southeastern Zaporizhzhia region Tuesday. "I thank you for the service, thank you for defending Ukraine at this important southern stretch," Zelenskyy said during a tour of a field hospital, according to a statement on the president's website. The military hospital reportedly treats up to 200 wounded people daily. The president visited staff members of eight different brigades, the statement said. About 10 weeks ago, the Ukrainian army began a counteroffensive with a focus on the Zaporizhzhia region. So far, however, this has fallen short of the high expectations. Russia continues to occupy more than 100,000 square kilometers, or about 3,850 square miles, of Ukrainian territory, including the Crimean Peninsula, which Moscow annexed in 2014. Facing the advance of Russian troops in the eastern Ukrainian region of Kharkiv, the Ukrainian army has moved reserves to Kupyansk in the Kharkiv region, which Ukrainians liberated last year. "Positions have been reinforced, certain methodological recommendations have been given and reserves have been moved," the spokesman for Ukraine's eastern forces, Serhiy Cherevatyi, told the television news channel My-Ukraina on Tuesday. According to Ukrainian and Russian military observers, the Russian army has moved up to about 4.3 miles from the town of Kupyansk. Local authorities have ordered the evacuation of civilians around the town. In the west of Ukraine, several people were killed and injured in a barrage of Russian missile strikes that damaged dozens of buildings overnight, officials said Tuesday. Air raid alerts were issued across the entirety of Ukraine as 24 cruise missiles were fired by Russian fighter jets flying over the Caspian Sea, the Ukrainian Air Force said. In addition, a Russian frigate in the Black Sea launched four Kalibr cruise missiles. Air defenses intercepted 16 of the missiles, the air force said. At least three people were killed in the northwestern city of Lutsk, located in the Volyn region bordering NATO-member Poland, said regional Gov. Yuriy Pohulyaiko. Several injured people were taken to hospitals. Lviv, the largest city in western Ukraine, and its surrounding area were also hit in the early hours of Tuesday by Russian cruise missiles, Mayor Andriy Sadovyi said. About 40 buildings were damaged, with the attic of an apartment building catching fire and the grounds of a kindergarten pounded, he said. The mayor released a video that he said was a deep bomb crater left by the Russian missile that hit the kindergarten's premises. Rocket impacts were also reported from the cities of Dnipro and Zaporizhzhia, as well as Kramatorsk, which are closer to the front lines in eastern Ukraine. One man died in Kramatorsk, local prosecutors said. Ukraine has been fending off a full-scale Russian invasion since February 2022, but Ukrainian troops had been fighting Moscow-controlled separatists in eastern Ukraine since the spring of 2014. _____ 2023 dpa GmbH. Distributed by Tribune Content Agency, LLC. Marine Lt. Col. Jasmin Jaws Moghbeli is about to go where very few helicopter pilots have gone before. Moghbeli, 38, is making her first trip to space later this month as the commander of NASAs SpaceX Crew-7, bound for the International Space Station on a mission to conduct experiments in the stations microgravity laboratory. The mission, which launches August 25 with a four-member international crew, is just the latest milestone in Moghbelis remarkable career, but its also the culmination of a lifetime. For me, this is something Ive wanted to do for as long as I can remember, Moghbeli said in a July 25 NASA press conference, the last time she and the other astronauts would address the public ahead of the launch. One of the things Im most excited about is looking back at our beautiful planet. Everyone Ive talked to who has flown already has said its a life-changing perspective to see Earth in that way. Then-NASA astronaut candidate Jasmin Moghbeli wears a spacesuit prior to underwater spacewalk training at NASAs Johnson Space Center Neutral Buoyancy Laboratory, April 11, 2018. (NASA/Josh Valcarcel) Moghbeli, who flew an AH-1W Super Cobra for the Marines before becoming a test pilot for the service, was selected for NASAs two-year astronaut training program in 2017. While most service members with dreams of going to space pursue a military career flying fighter jets, Moghbeli told me at the time of her selection that she loved rotary-wing aviation. In fact, she said, the only time she wavered in her determination to become an astronaut was during her deployment to Afghanistan in 2009, when she flew close air support missions out of Camp Bastion in Helmand province with Marine Light Attack Helicopter Squadron 367, nicknamed Scarface. When I was a Cobra pilot in Afghanistan, I dont know that, had I been offered the chance to leave and be an astronaut, I dont know that I would have said yes at the time, because I loved what I was doing in that moment, Moghbeli said. Then-NASA astronaut candidate Jasmin Moghbeli poses for a portrait in the Johnson Space Centers Systems Engineering Simulator, a real-time, crew-in-the-loop engineering simulator for advanced spaceflight programs, July 9, 2019. (Bill Ingalls/NASA) Moghbeli also completed two later deployments with Marine Expeditionary Units to Japan and the Middle East. While her dreams of becoming an astronaut guided her choices throughout her career, Moghbeli said she always knew becoming part of NASA would require luck as well as skill. I never did anything where, had I not gotten a shot, I wouldnt have been happy doing what Im doing, she told me. During the press conference, Moghbeli described experiments she was looking forward to conducting while at ISS, including a spacewalk in which shell swab the outside of the space station to collect potential evidence of microorganisms surviving in the harsh conditions of space. I think that will be really cool, she said. Moghbeli also revealed that shell be accompanied on her six-month mission by two stuffed dragons, in honor of her young twin daughters. I introduced them to these dragons and said, OK, now weve got to box them up to pack them for space,' she said. Im looking forward to showing them the dragons floating around in space. These mascots are especially fitting, as Crew-7 will be launching aboard SpaceXs Dragon spacecraft, called Endurance. Theyll be propelled into space via a SpaceX Falcon 9 rocket. Endurance also transported the previous Crew-3 and Crew-5 missions. As commander of Crew-7, Moghbeli follows another Marine Corps trailblazer: Col. Nicole Mann, a former F/A-18 Hornet pilot who commanded Crew-5 and became the first Native American woman to go on a spacewalk earlier this year. Mann was also the first female Marine to lead a NASA spaceflight. 2017 NASA astronaut candidates Bob Hines, Matthew Dominick, Jasmin Moghbeli (top right) and Raja Chari take hold to their surroundings during their reduced gravity flight aboard Canadian Space Agencys Dassault Falcon 20 Jet, Feb. 21, 2018. (Robert Markowitz/NASA) While Moghbeli, a child of Iranian parents who grew up in Baldwin, New York, on Long Island, rarely talks about being a pioneer in her field, she said at the recent press conference that she was inspired by recent protests and demonstrations for freedom in Iran. Ive been very lucky in my life to have had the opportunities I have had. While it has taken a lot of hard work to get to where I am, I didnt have certain barriers that unfortunately others have had, she said. I hope to see a day where the same opportunities I have had are open for anyone else who wants to put in the hard work and effort, and there are no barriers in place preventing them from doing so. Read the original article on Sandboxx. NIAMEY, Niger Nigeriens are preparing for a possible invasion by countries in the region, three weeks after mutinous soldiers ousted the nations democratically elected president. Residents in the capital, Niamey, are calling for the mass recruitment of volunteers to assist the army in the face of a growing threat by the West African regional bloc, ECOWAS, which says it will use military force if the junta doesn't reinstate deposed President Mohamed Bazoum. ECOWAS has activated a standby force" to restore order in Niger after the junta ignored a deadline to release and reinstate Bazoum. The initiative, spearhead by a group of locals in Niamey, aims to recruit tens of thousands of volunteers from across the country to register for the Volunteers for the Defense of Niger. The group would fight, assist with medical care, and provide technical and engineering logistics among other functions, in case the junta needs help, Amsarou Bako, one of the founders, told The Associated Press on Tuesday. "Its an eventuality. We need to be ready whenever it happens," he said. The recruitment drive will launch Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin, two countries that have said they would participate in an intervention. Anyone over 18 years old can register and the list will be given to the junta to call upon people if needed, said Bako. The junta is not involved but is aware of the initiative, he said. Regional tensions are deepening as the standoff between Niger and ECOWAS shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Last week the junta said it was open to dialogue with ECOWAS after rebuffing the bloc's multiple efforts at talks, but shortly afterwards charged Bazoum with high treason and recalled its ambassador from neighboring Ivory Coast. ECOWAS defense chiefs are expected to meet this week for the first time since the bloc announced the deployment of the standby force. Its unclear when or if the force will invade, but it would probably include several thousand troops and would have devastating consequences, conflict experts say. A military intervention with no end in sight risks triggering a regional war, with catastrophic consequences for the vast Sahel that is already plagued by insecurity, displacement and poverty, said Mucahid Durmaz, senior analyst at Verisk Maplecroft, a global risk intelligence company. Niger was seen as one of the last democratic countries in the Sahel region south of the Sahara Desert, and a partner for Western nations in the effort to beat back growing jihadi violence linked to al-Qaida and the Islamic State group. France, the former colonial ruler of Niger, and the United States have approximately 2,500 military personnel in the region that train Niger's military and, in the case of France, conduct joint operations. Since the coup, France and the U.S. have suspended military operations and jihadi attacks are increasing. At least 17 soldiers were killed and nearly two dozen wounded in an ambush by extremists in the Tillaberi region, said the Ministry of Defense on state television on Tuesday. The attack occurred Tuesday afternoon when a military detachment was traveling between Boni and Torodi villages. The wounded were evacuated to Niamey. It was the first major attack against Nigers army in six months and is a worrying sign of potential escalation, said Wassim Nasr, a journalist and senior research fellow at the Soufan Center, a think tank. What we are witnessing today is both jihadi warring factions, the Islamic State group and (al-Qaida affiliate Jamaat Nusrat al-Islam wal-Muslimin), marking their territory because of the security void caused by the coup. This definitely should be seen in the context of the ongoing war between the two groups, he said. Analysts say the longer the coup drags on, the less likely an intervention will occur as the junta cements its grip on power, likely forcing the international community to accept the status quo. United States Secretary of State Antony Blinken said on Tuesday there was still room for diplomacy to return the country to constitutional rule and said the U.S. supported ECOWAS dialogue efforts, including its contingency plans. The new U.S. ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to a U.S. official. The United States hasnt had an ambassador in the country for nearly two years. Some Sahel experts say this has left Washington with less access to key players and information. The U.S is in a difficult situation with no good choices, said Michael Shurkin, a senior fellow at the Atlantic Council and director of global programs at 14 North Strategies, an Africa-focused risk advisory. It either sticks to a principled position and pushes for democracy while alienating the junta and risk pushing it into Russias arms, or we give up on principle and work with the junta in the hope of salvaging a productive working relationship." While regional and western countries scramble for how to respond, many Nigeriens are convinced they'll soon be invaded. The country of some 25 million people is one of the poorest in the world and residents are hoping the new regime will set the nation on a new path. In Niamey Wednesday, eager locals said they'd do what it took to defend the country. My children and I love these soldiers and I invite young people to join the army and develop our country and our villages," said Amadou Hawa, a Niamey resident who lives in a shanty town on the side of the road. The details of Niger's volunteer force are still vague, but similar initiatives in neighboring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organizing Nigerien volunteers, said Niger's situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers ... The difference with us is our people will fight against an intrusion, he said. ___ Associated Press reporters Dalatou Mamane in Niamey and Matthew Lee in Washington, D.C. contributed The Marine accused of having sex with a missing 14-year-old girl in his barracks room has been charged with three specifications of sexual assault, according to a charge sheet obtained by Military.com on Tuesday. The charges allege that the unnamed Marine, a 22-year-old man, assaulted the girl on June 27 at Camp Pendleton, California. Each specification lists a different sexual act committed "upon a child who had attained the age of 12 years but had not attained the age of 16 years," the document said. A second charge states the Marine violated restrictions by leaving Pendleton grounds on June 27. The restriction itself is "prior and unrelated," according to the Marine Corps, but the charge relates to when the accused Marine and a friend went to pick up the teenager off base -- the same day the alleged assault occurred. Read Next: After North Korea Response, Expert Says Army Pvt. Travis King's Future Is Likely Political Pawn The revelation of the charges comes a day before the Marine is set to attend a preliminary hearing at Camp Pendleton on Thursday. After the preliminary hearing, the Marine's command will review the evidence and charges laid out by military prosecutors and decide whether it will go to court-martial. The Marine has been in pre-trial confinement since Aug. 1, according to the charge sheet. In July, the Naval Criminal Investigative Service, or NCIS, looked into allegations of human trafficking, according to investigatory documents obtained by Military.com last month. Those allegations have been leveled by the victim's family in comments on social media and to the press. Investigators did not find evidence of human trafficking at that time and so far there has been no publicly produced evidence that suggests human trafficking was involved. However, on Wednesday, NCIS told Military.com that it would not comment further on the investigation when asked whether there was suspected human trafficking. NCIS did say, however, it is still working with a California Department of Justice human trafficking task force. "I can confirm that the investigation remains ongoing in partnership with the San Diego Sheriff's Department and the San Diego Human Trafficking Task Force," Jeff Houston, a spokesperson for NCIS, told Military.com on Wednesday. "Out of respect for the investigative process, NCIS will not comment further while the investigation remains ongoing." The female teen went missing in June for two weeks and, according to investigative documents obtained by Military.com, the unnamed Marine and a fellow service member left post June 27 to pick her up. When the group returned to base that day, personnel at the gate checked the identification only of the service members, not the girl. The next day, after the alleged assault occurred, the girl was found roaming the barracks by two service members, according to leaked log sheets made public on social media. A summary of the initial investigation said that the Marine met the teenager on a dating app, on which she misrepresented her age as 22 years old. Military investigators reviewed the Marine's phone and found an exchange between him and the teen in which she admitted to lying about her age, according to the documents. Initially, the teenager told investigators that no sexual contact had occurred, but the Marine admitted to having sex with the girl when questioned. About a week later, the teenager was interviewed by a law enforcement agent specializing in crimes involving children. At that time, the teenager alleged that she had been sold for sex to the Marine. Last month, the victim's aunt, Casaundra Perez, accused the military of covering up the case and said that human trafficking was involved. According to local San Diego authorities, the victim was last seen by her family on June 9 and was reported missing on June 13. Perez said on social media last month that the victim has learning disabilities and that, between personal issues affecting the family and distrust of law enforcement from a previous incident when the child ran away, they did not report her missing immediately. -- Drew F. Lawrence can be reached at drew.lawrence@military.com. Follow him on Twitter @df_lawrence. Related: 14-Year-Old Girl Found in Pendleton Barracks May Have Met Marine on Tinder, New Documents Show KYIV, Ukraine Russia resumed its targeting of grain infrastructure in Ukraines southern Odesa region, local officials said Wednesday, using drones in overnight strikes on storage facilities and ports along the Danube River that Kyiv has increasingly used for grain transport to Europe after Moscow broke off a key wartime export deal through the Black Sea. At the same time, a loaded container ship stuck at the port of Odesa since Russias full-scale invasion more than 17 months ago set sail and was heading through the Black Sea to the Bosporus along a temporary corridor established by Ukraine for merchant shipping. Ukraines economy, crunched by the war, is heavily dependent on farming. Its agricultural exports, like those of Russia, are also crucial for world supplies of wheat, barley, sunflower oil and other food that developing nations rely on. After the Kremlin tore up a month ago an agreement brokered last summer by the U.N. and Turkey to ensure safe Ukraine grain exports through the Black Sea, Kyiv has sought to reroute transport through the Danube and road and rail links into Europe. But transport costs that way are much higher, some European countries have balked at the consequences for local grain prices, and the Danube ports cant handle the same volume as seaports. Odesa Gov. Oleh Kiper said the primary targets of Russias overnight drone bombardment were port terminals and grain silos, including at the ports in the Danube delta. Air defenses managed to intercept 13 drones, according to Kiper. It was the latest attack amid weeks of aerial strikes as Russia has targeted the Danube delta ports, which are only about 15 kilometers (10 miles) from the Romanian border. The Danube is Europes second-longest river and a key transport route. Meanwhile, the container ship departing Odesa was the first vessel to set sail since July 16, according to Oleksandr Kubrakov, Ukraines deputy prime minister. It had been stuck in Odesa since February 2022. The Hong Kong-flagged Joseph Schulte was traveling down a temporary corridor that Ukraine asked the International Maritime Organization to ratify. The United States has warned that the Russian military is preparing for possible attacks on civilian shipping vessels in the Black Sea. Sea mines also make the voyage risky, and ship insurance costs are likely to be high for operators. Ukraine told the IMO it would would provide guarantees of compensation for damage. Last Sunday, a Russian warship fired warning shots at a Palau-flagged cargo ship in the south Black Sea. According to Russias Defense Ministry, the Sukru Okan was heading northwards to the Ukrainian Danube River port of Izmail. Ship-tracking data analyzed by The Associated Press confirmed that the Joseph Schulte was steaming south. The Joseph Schulte is carrying more than 30,000 tons of cargo, with 2,114 containers, including food products, according to Kubrakov. He said the corridor will be primarily used to evacuate ships stuck in the Ukrainian ports of Chornomorsk, Odesa and Pivdennyi since the outbreak of war. On the wars front line, Ukrainian officials claimed another milestone in Kyivs grinding counteroffensive, with Deputy Defense Minister Hanna Maliar saying troops have retaken a village in the eastern Donetsk region. The village of Urozhaine is near Staromaiorske, a hamlet that Ukraine also claimed to have recaptured recently. The claims could not be independently verified. Ukraine appears to be trying to drive a wedge between Russian forces in the south, but it is up against strong defensive lines and is advancing without air support. Also Wednesday, the Russian military said it shot down three drones over the Kaluga region southwest of Moscow and blamed the attack on Ukraine. No damage or casualties were reported. ___ Jon Gambrell in Dubai, United Arab Emirates contributed. There are many who dont realize the Russo-Ukrainian War began long before Russias 2022 invasion of Ukraine. In 2014, Ukraines pro-Russia president, Viktor Yanukovych, was overthrown in a popular revolution, and as Ukrainians began looking to the West, Russian forces started infiltrating Ukrainian border regions Donetsk and Luhansk, where they started pro-Russian separatist movements. Those areas have been in turmoil ever since, first declaring themselves independent republics and then annexed by Russia after its full-scale invasion of Ukraine. Ukrainian filmmaker Maryna Er Gorbachs harrowing new movie Klondike is set during the conflict between Ukraine and the Donetsk and Luhansk separatists. The end result is a masterpiece in storytelling that depicts life for average Ukrainians caught between competing armed forces. After the ill-fated Malaysia Airlines Flight 17 comes crashing down in Ukraine after it was shot down by Russian separatists in the region, a family in the village of Grabove, Donetsk, finds itself caught up in the aftermath. Irka (Oksana Cherkashyna) and Tolik (Sergey Shadrin) are expecting their first baby, but their village is slowly becoming more and more unsafe. The plane crash and the international attention it receives sparks the simmering tensions in Grabove, but Irka refuses to leave her home. People start taking sides, but the family doesnt want any part of either side; they just dont want to leave the home they built. Tolik has many separatist friends who expect him to join the fight, but his brother, Yaryk (Oleg Shcherbina), refuses to betray Ukraine. The movie is set against the backdrop of many historical events in Ukraine. In February 2014, months before the MH17 shootdown, Yanukovych fled Kyiv amid an impeachment vote, only to show up in Russia declaring he was still president of Ukraine. With his ouster, Luhansk and Donetsk took up arms, supported by Russian weapons and troops. During that same month, Russia seized and annexed the Crimean Peninsula. Fighting along the border regions of the two breakaway areas continued for nearly eight years to the day, when Russia finally invaded Ukraine with a fully equipped armed force. A powerful and timely depiction of the horrors of war on everyday civilians, Klondike has received awards from the Sundance, Berlin, Istanbul, Seattle and Sarajevo film festivals, just to name a few. Two of its actors, Oleg Shcherbina and Oleg Shevchuk, are currently serving in the Ukrainian Armed Forces. Klondike is not yet available for streaming, but the North American streaming rights were purchased by Samuel Goldwyn and it will be available later this year, according to Variety. -- Blake Stilwell can be reached at blake.stilwell@military.com. He can also be found on Facebook, Twitter, or on LinkedIn. Keep Up With the Best in Military Entertainment Whether you're looking for news and entertainment, thinking of joining the military or keeping up with military life and benefits, Military.com has you covered. Subscribe to the Military.com newsletter to have military news, updates and resources delivered straight to your inbox. Youve probably seen the viral video. An older man sits in an audience as an unseen voice asks, "Is there anyone in our audience tonight who owes their life to Nicholas Winton?" A crowd around the man stands up as he tries to comprehend whats happening. You may not remember the end, because it was so hard to see through your tears. The video was part of a British television show called Thats Life! In 1988, Winton was invited to be part of the studio audience for the taping. During the show, host Esther Rantzen read from Wintons own notes about the rescue of hundreds of Jewish Czechoslovakian children from Nazi occupation in 1939. She then asks the then-adult children to stand up. In the viral clip, youll see only the handful around Winton stand, but Rantzen later asks the children and grandchildren of Wintons children to stand. At this point, the entire audience stands for Winton. Some of the children he rescued would later present him with a ring inscribed with words from the Talmud: Save one life, save the world. Wintons story is retold through a new movie that will make its premiere at the Toronto Film Festival later this year. One Life, starring Anthony Hopkins, recreates how and why Winton found himself in Czechoslovakia rescuing Jewish children from the Nazis. It then fast-forwards to 1988, when his stunning deed is recognized by the world through a BBC television show. Winton was born in 1909 to German Jewish parents who immigrated to London. He became a banker and eventually a stock broker. As a young man, he joined Britains Labour Party and was ardently opposed to the rise of Nazism in Germany, as well as the appeasement of Adolf Hitler by British conservatives. In the years leading up to World War II, he traveled to Czechoslovakia as part of a delegation working to help Jewish refugees escape the country ahead of Nazi Germanys occupation. Nazi anti-Semitism was well known before 1938 when Winton flew to Prague. While Christian and Jewish organizations had popped up to help rescue children from Nazi-dominated countries, Czechoslovakia wasnt one of them. Sir Nicholas Winton in 1938, holding a rescued child. (Menemsha Films) Winton had planned a ski trip to Switzerland that year, but instead got a call from a friend about an important project in Czechoslovakia. Winton went there instead. Working from an apartment in Prague, he and fellow volunteers secured guarantees from the British government to receive Czech Jewish children, as long as they had homes. Winton found homes for 669 Jewish children in Britain, calling on private citizens, hostels and anywhere else that would take them. He moved them out of Czechoslovakia through Holland before they could be taken by the Nazis. Their parents werent so lucky; most of them would die in the Holocaust, many at the infamous Auschwitz concentration camp. It still wasnt enough for Winton. He was haunted for much of the rest of his life after his largest transport, carrying some 250 more children and due to leave Prague on Sept. 1, 1939, was canceled due to the Nazi invasion of Poland that saw Hitler shut down all border crossings in Nazi-controlled countries. He never heard about those children again. Winton went on to serve in the Red Cross and the Royal Air Force during World War II. Aside from a handful of news articles, his activities in Czechoslovakia remained unnoticed by the world. It wasnt until the 1988 episode of Thats Life! that the world at large discovered the work of the man who became known as Britains Schindler, and Winton himself learned the true extent of what he did before the war. Winton died at age 106 in 2015. Sir Nicholas Winton visited Prague in October 2007 and met with Czech students. (Hynek Moravec) One Life also stars Jonathan Pryce (Game of Thrones) and Helena Bonham Carter (Fight Club, The Kings Speech). It is currently playing as part of the London Film Festival, but will hit theaters in 2024. -- Blake Stilwell can be reached at blake.stilwell@military.com. He can also be found on Facebook, Twitter, or on LinkedIn. Keep Up With the Best in Military Entertainment Whether you're looking for news and entertainment, thinking of joining the military or keeping up with military life and benefits, Military.com has you covered. Subscribe to the Military.com newsletter to have military news, updates and resources delivered straight to your inbox. Rock fans, get tickets to Lynyrd Skynyrd and ZZ Tops Sharp Dressed Simple Man Tour, stop for Aug. 26 at Pine Knob Music Theatre in Clarkston. Stubhub, Vivid Seats, SeatGeek and Ticketmaster have tickets for $50 to $700 but ticket prices will fluctuate. Tickets are also available for tour stops in Canada, Illinois and Ohio. Lynyrd Skynyrd has popularized the Southern rock genre of music with songs such as Free Bird and Sweet Home Alabama. Originally formed in 1964 under the name My Backyard, the band eventually changed its name to Lynyrd Skynyrd. Since its formation, the group has sold over 28 million records in the US. In 1977, the band kicked off the Street Survivors Tour, during which it played in venues across the country as well as in the UK and Europe. In March 2006, Lynyrd Skynyrd was inducted into the Rock and Roll Hall of Fame. (Stubhub). Get tickets to the Sharp Dressed Simple Man Tour, stop in Michigan at Stubhub, Vivid Seats, SeatGeek, and Ticketmaster. Tickets are also available for tour stops in Canada, Illinois, and Ohio. Clarkson - Sat Aug. 26 6:30pm, Pine Knob Music Theatre, Clarkston, MI Stubhub Vivid Seats SeatGeek Ticketmaster Tinley Park - Sat Aug. 19 6:30pm, Credit Union 1 Amphitheatre, Tinley Park, IL Stubhub Vivid Seats SeatGeek Ticketmaster Cincinnati - Fri Aug. 25 6:30pm, Riverbend Music Center, Cincinnati, OH Stubhub Vivid Seats SeatGeek Ticketmaster Toronto - Sun Aug. 27 6:30pm, Budweiser Stage, Toronto, ON, CA Stubhub Vivid Seats SeatGeek Ticketmaster CHIPPEWA COUNTY, MI Several bulldozers and planes are being used to battle a 35-acre wildfire in the Upper Peninsula. The so-called Goose Marsh Fire was reported in Chippewa Countys Whitefish Township at 6:15 p.m. on Tuesday. The fire is contained, according to the Michigan Department of Natural Resources. The fire is burning in a sandy, difficult to access pine forest. No structures are reported as threatened, according to the DNR. All-terrain vehicles are also being used to access and fight the blaze. The DNR is assisted by firefighters from the Whitefish Township Fire Department and Hulbert Township Fire Department. Other agencies assisting include the Whitefish Township EMS, Chippewa County Sheriffs Office, Michigan State Police, Bay Mills Police Department and Chippewa County Central Dispatch. The cause of the fire is under investigation. READ MORE: Pilot uninjured after small plane crashes at Michigan airport Michigan man linked to Mexican drug cartel gets lengthy prison sentence for transporting cocaine Ohio driver dies in Upper Peninsula rollover crash A species of predatory hornet that feeds on honeybees and other insects has been found on U.S. soil in the wild for the first time in Georgia, officials with the state Department of Agriculture (GDA) and the University of Georgia announced Tuesday. The extent of its proliferation in Georgia and beyond is not yet known, but officials and scientists warned that its arrival could pose a major threat to bees and, in turn, some of the states most valuable crops, which rely on pollinators for successful harvests. If established, this invasive species could threaten the native pollinators in our state and negatively impact our agricultural industry as a whole, Agriculture Commissioner Tyler Harper said Tuesday. Vespa velutina, also known as the yellow-legged hornet, is native to tropical regions of Southeast Asia, but has already spread to multiple regions in Europe, parts of the Middle East and other parts of Asia where it is not native. The hornet had long been identified by entomologists as having a high potential to invade the U.S. as well. Two of the hornets were found by a beekeeper in Savannah earlier this month, who spotted the distinctive-looking insect on his property. The beekeeper notified the GDA, which enlisted UGA experts to help investigate. Scientists at UGA identified it as a yellow-legged hornet and on August 9, the U.S. Department of Agriculture (USDA) confirmed their assessment. A colony has not been discovered yet, but the hornets nests typically house roughly 6,000 of the insects. Harper thanked the beekeeper who reported the hornet and its partners at UGA and the USDA for helping to identify the insect. Harper said his agency and the USDA would be setting out traps to try to eradicate it from Georgia. The winged pest is a close relative of the Northern giant hornet, another invasive species in the U.S., sometimes referred to as the murder hornet for its ability to ruthlessly dispatch honeybees. Several murder hornets were discovered in Washington state in 2019, leading to fears that the insects could decimate local honeybee populations. Fortunately, sightings have subsided and a citizen survey last year did not uncover any of the insects. The yellow-legged hornet is smaller than its Northern cousin, but has a similarly voracious appetite for eating honeybees and other pollinators. The hornets can decimate an entire bee colony in a matter of hours. Dr. Keith Delaplane, a honeybee expert in the University of Georgias Department of Entomology, said the hornet is similar in size to other species commonly found in Georgia, but can be distinguished from lookalikes by the bright yellow tips on the ends of its legs. Delaplane added that the insect is perhaps best identified by the aggressive aerial attacks it is known to wage on honeybees, which have earned it the nickname the bee hawk. The most important thing for beekeepers to do at this point is to be vigilant and look out for predatory behavior at their hive entrances, Delaplane said. The news of the hornets arrival in Georgia is unwelcome news for the states powerhouse agriculture industry. Honeybees in the state and around the globe are already in decline due to climate change, pesticide use, habitat loss and other human activity. Pollinator-dependent crops, like blueberries and watermelons, are worth nearly $430 million annually in Georgia alone, Delaplane said. Georgia also consistently ranks among the top 20 states for honey production and in the top two or three for packaged bees used for beekeeping operations, UGA experts said. Harper asked for the publics help in documenting the insect. The GDA website has posted a form for citizens to report potential sightings and the agency said Georgians with additional questions or concerns can email the department at yellow.legged.hornet@agr.georgia.gov. A note of disclosure This coverage is supported by a partnership with 1Earth Fund, the Kendeda Fund and Journalism Funding Partners. You can learn more and support our climate reporting by donating at ajc.com/donate/climate/ 2023 The Atlanta Journal-Constitution. Visit at ajc.com. Distributed by Tribune Content Agency, LLC. A 27-year-old Ossineke, Mich. man has been arrested in Florida in connection with the deaths of two men who were found unresponsive inside an Alpena Township home in March. According to the Michigan State Police, Gavin Krueger was arraigned in 88th District Court on two counts of delivery of controlled substance causing death. Police identified Krueger as a suspect in the deaths following an investigation that began when police discovered a 31-year-old and 35-year-old man dead from an apparent overdose. Officers discovered the men with a razor blade and a rolled up $5 bill that had a white powder on it. Police also found other drug paraphernalia leading them to eventually request a search warrant of Kruegers residence. During the search of Kruegers home, police allegedly discovered more evidence and the Alpena County Prosecutors Office issued an arrest warrant for Krueger on July 5. Krueger was eventually captured by the Indian River County Sheriffs Office in Vero Beach, Florida on July 23. He was then transported back to Michigan on Aug. 10 and lodged at the Alpena County Jail. Bond was set at $100,000 and Krueger is due back in court on Aug. 28. READ MORE: Former Jackson Citizen Patriot sports reporter dies in head-on crash Washtenaw County township supervisor being investigated for alleged sexual harassment Theres something special about the monarch butterflies you may see flitting around in the coming weeks. The monarchs we witness in mid- to late August and early September are part of whats known as the species annual super generation a special group of butterflies that will live longer and fly much, much farther than their 2023 predecessors. According to the U.S. Fish & Wildlife Service, these butterflies are the fourth or fifth generation of monarchs to hatch since spring of this year. Each previous generation of monarchs lives for about four weeks, but the super generation will live for up to eight months, traveling 10 times farther than the others. Over the next two months, millions of these super-generation butterflies will undertake a perilous journey, flying and riding air currents for thousands of miles to the Sierra Madre Mountains of Mexico where they will spend the winter before starting an equally tough trip back north in early spring. As they make their way south in the coming weeks, traveling up to 50 miles a day, the monarchs will often congregate in large numbers at night or in inclement weather a behavior called roosting. Common roosting spots in the Great Lakes region include Michigans Stonington Peninsula and Tawas Point State Park, and Ontarios Point Pelee National Park. The monarchs migration march can be seen on the Journey North website, which uses citizen-reported observations to track North American migratory species. The fall 2023 monarch maps include updated sightings of adult monarchs and monarch roosts. The International Union for the Conservation of Nature last year categorized the monarch butterfly as endangered, which is two steps from extinction according to the organizations list of threatened species. The group estimates that the population of monarch butterflies in North America has declined by up to 72% over 10 years due to habitat loss, climate change and use of herbicides and pesticides for agriculture. According to the U.S. Fish & Wildlife Service, improving, restoring and creating habitat for monarchs by planting native milkweed and nectar plants can help the species numbers. Monarch migration in Michigan runs through early October, peaking in September. Find more resources at JourneyNorth.org/monarchs, where you can also submit reports of monarch sightings to help researchers track their migration patterns. MORE ON MLIVE: Native plant program helps you bring more butterflies to your backyard Michigan freshwater jellyfish: Late summer is best time to see these curious creatures Seeing a distant light from Sleeping Bear Dunes? Its this island lighthouse built in 1871 (This story has been updated.) FLINT, MI -- Flints city lockup, a short-term police holding facility designed to ease overcrowding in the Genesee County Jail, will shut down on Oct. 1 because of a funding shortfall. Sheriff Chris Swanson told the county Board of Commissioners on Wednesday, Aug. 16, that he plans to move lockup operations to the county jail, the only alternative to ending the short-term holding program after the state reduced funding for it to $2 million -- half of what was available during the previous fiscal year. Swanson said increased competition for state public safety funding likely caused the cut, which will require the county to lay off five correction deputies and a certified police deputy. The sheriff said he has been in conversations with Flint Mayor Sheldon Neeley about the lockup status and the transition thats ahead. A new contract for operating the facility inside the county jail has been drafted and delivered to the city, county officials said. The city said in a statement to MLive-The Flint Journal that its working to ensure that the next contract continues to allow Flint police to lodge arrested individuals and that it does not diminish the departments ability to maintain public safety. We value our partnership with the state of Michigan, which provides funding for the purpose of operating the Flint city lockup, the statement says. We are continuing to engage with Genesee County to determine what the next iteration of our operations contract for the city lockup will look like. It may take a bit more time, but we are committed to working together with the Sheriffs Office to make a plan beyond our current contract, which expires September 30. Although the city owns the lockup, located on the second floor of the Flint Police Department, the Sheriffs Office has operated it for more than a decade, using state funds to pay the bills. Running the facility over there at this point is not the best use of money and resources, Swanson said during a commissioners committee meeting on Wednesday. Incorporating the lockup into the jail truly is the only way to continue the operation, he said. The lockup has been hailed by some local officials and police as an essential public safety tool, giving local police departments a place to house individuals theyve arrested but whose crimes are not serious enough to book into the often-overcrowded jail. Its been used as an alternative to releasing individuals with court appearance tickets, providing them with a secure place to cool down after an arrest But there have been hiccups in the city-county-state partnership with county officials complaining about slow reimbursement payments and non-functioning equipment in and around the lockup. Before it reopened in August 2021, an inspection of the lockup by the Michigan Department of Corrections noted the need for an evacuation plan for the facility and listed safety concerns including some cells that could not be opened because of broken mechanical parts. The facility had been closed and reopened multiple times because of Flint budget cuts before former Gov. Rick Snyder set aside money for the county to operate it starting in 2012. Swanson closed the facility for 17 months during the COVID-19 pandemic and also shifted the operation to the county jail earlier this year because of staffing and a jail overcrowding emergency. During those past shifts in location, the sheriff has housed inmates in bullpen areas and intake housing units at the county jail. Since Snyders funding commitment, state funds has continued to flow to the city to pay the county for operating the lockup since 2012, but in a letter to commissioners, Swanson said the states fiscal year 2024 budget reduced funding to $2 million, $350,000 of which is used to pay for tethers for the indigent. That leaves $1.65 million for the city lockup, half of what was available in the current fiscal year. Want more Flint-area news? Bookmark the local Flint news page or sign up for the free 3@3 Flint daily newsletter. Read more at The Flint Journal: Faced with staffing shortage, sheriff moves Flint lockup population to county jail Proposed Flint ordinance aims to block contractor donations to officials A majority of Flint City Council members now face approved recall petition drives GRAND RAPIDS, MI A senior living community on Lake Michigan Drive NW is preparing to expand. Covenant Living of the Great Lakes received approval Aug. 10 from the Grand Rapids Planning Commission to build 18 duplex buildings at its campus at 2510 Lake Michigan Dr. NW. The expansion would create a total of 36 housing units, each of which has two bedrooms, two-and-half bathrooms, and a two-car garage. Randy Gross, vice president of project development at Covenant Living Communities and Services, said construction would begin on the project after 70% of the units are leased. That could be as soon as spring of 2024. We have very strong interest in the project, he said. (We) will be going into presale in the next month or two. The overall cost of the project has not been finalized, Gross said. He was also not able to provide an estimate on how much it would cost to lease one of the duplexes. Covenant Living Communities and Services, which is based in Illinois, describes itself as one of the largest nonprofit senior living organizations in the U.S., with 16 communities in nine states. The organization has been in Grand Rapids for 23 years, Gross said, and has 63 assisted living units, 37 skilled nursing units, and 189 independent living units at its Lake Michigan Drive campus. Want more Grand Rapids-area news? Bookmark the local Grand Rapids news page or sign up for the free 3@3 Grand Rapids daily newsletter. More from MLive West Michigan automotive supplier purchased by private investment firm Cybersecurity firm to move headquarters from Florida to Grand Rapids Its a boy and a girl! John Ball Zoo red panda cubs healthy and growing GRAND RAPIDS, MI While the eastern massasauga is not the most cuddly or cute endangered species, John Ball Zoo is working hard to keep the essential Michigan snakes alive outside of the zoos borders. The zoo is partnering with the Sarett Nature Center in Benton Harbor to monitor the states only venomous snake in an effort to help the population recover. The eastern massasauga is a pit viper that is native to central and eastern North America from southern Ontario and throughout the Midwest. The snake was listed as an endangered Species in 2016 and there are only 263 populations still known to be thriving, according to the Federal Register. A thriving population of the snakes must have more than 25 adult females in the area to be considered able to survive as a group. Overtime, the eastern massasauga has lost its population numbers due to habitat loss in Michigans wetlands. Bill Flanagan, conservation manager at John Ball Zoo, said what makes this snake so essential is the addition of biodiversity in the already diminishing Michigan wetlands. The snakes also help control the fluctuating tick population in Michigan due to their consumption of tick-bearing rodents. Whatever happens to the snake here in Michigan will determine what happens to the snake, period, Flanagan said. We really are the stewards for this snake moving forward. This past May, the John Ball Zoo team built a 2-foot fence where snakes are most active at the Sarett Nature Center with crawl spaces equipped with cameras to watch the snakes movements throughout May, and now into the fall months of September and October. This was practiced instead of their traditional route of looking for snakes on foot, hoping to diminish the human impact on the natural habitat that is already withering. John Ball Zoo has been working on the conservation of these snakes for more than 10 years, looking for more ways to connect with the massasauga populations, but Flanagan also cares about connecting people with the snakes. He said telling residents about the snakes endangered status and teaching people how to identify them makes it that much easier for people to care about this venomous snake. A lot of times, people ask me what the most important thing that people can do for conservation of species, and sometimes I think its just getting out and really being aware of whats around us and really enjoying nature, Flanagan said. Flanagan said this snake species and conservation in general is important to the zoo because John Ball Zoo exhibits these snakes and wants visitors to be able to explore the species native to their home state. John Ball Zoo also is part of a species survival plan, which is committed to breeding endangered species and protecting them along with other zoos. (The zoo) is one of the great places to come and see massasaugas, Flanagan said. The zoo wants to remind Michiganders that if they come across one of these snakes, they can help keep them alive by sharing their findings with local scientists. Take a picture from a safe distance and report it to either Michigan Natural Features Inventory or to the Michigan Department of Natural Resources Herps of Michigan iNaturalist project. Want more Grand Rapids-area news? Bookmark the local Grand Rapids news page or sign up for the free 3@3 Grand Rapids daily newsletter. Read more on MLive: Section of Burton Street in Grand Rapids will remain closed until winter Save the birds. Grand Rapids aviary rescue needs help to stay afloat Suspect killed, deputy injured in shooting after Muskegon County police chase MONTCALM COUNTY, MI -- Police have apologized after inaccurately reporting that a person had died in a Tuesday, Aug. 15 motorcycle crash in Montcalm County. Montcalm County sheriffs deputies initially said a 47-year-old Greenville woman, a passenger on a motorcycle, had died following a crash on Stanton Road. About 1 p.m. Wednesday, police said they had received inaccurate information about the death from medical personnel. Our prayers and sincere apologies go out to the persons involved in the crash and their family. We strive to provide accurate information and greatly failed. We will work to ensure things like this do not occur in the future, sheriffs administrators wrote in a Facebook post. More from MLive WMU trustees sudden death leaves a profound void, says university president John Ball Zoo welcomes baby eastern mountain bongo WYOMING, MI Three men are facing charges for separate and unrelated incidents where police found loaded handguns in their possession, Wyoming police said. The three arrests lead to four handguns seized, bringing the total to 63 firearms the department has taken off the streets this year. Police first responded to a report of a retail fraud around noon on Tuesday, Aug. 15, at a local business in the 5500 block of Byron Center Avenue. Police said the suspect, an 18-year-old Wyoming man, fled the scene but was located by officers a short time later. The man had a loaded handgun in his possession, police said. The Wyoming man was arrested for carrying a concealed weapon and taken to the Kent County Jail. About seven hours later, police conducted a traffic stop near 44th Street and Clyde Park Avenue to make a felony warrant arrest on a 50-year-old Kentwood man. Police said the Kentwood man was in possession of two loaded handguns when he was arrested. The man was lodged in jail on charges of carrying a concealed weapon, possession of cocaine, and being a felon in possession of a firearm. Then, shortly after midnight on Wednesday, Aug. 16, officers were called to the 2600 block of Spring Hill Street for a report of a suspicious vehicle. The vehicle was located shortly after officers arrived in the area. Police said the occupant of the vehicle, a 22-year-old Benton Harbor man, had a loaded handgun on him. The Benton Harbor man was also arrested for carrying a concealed weapon and transported to jail. Want more Grand Rapids-area news? Bookmark the local Grand Rapids news page or sign up for the free 3@3 Grand Rapids daily newsletter. Read more on MLive: Neighbors complained of noise. Now, you cant play pickleball at this city park. Tech company creating radical new digital strategies opens Grand Rapids headquarters West Michigan Fire Department disbanding after 73 years of service GRANDVILLE, MI - A staple in the Grandville community founded in 1946 has been sold to a local restaurant management company. Ted and Helen Zondervan purchased Rainbow Grill at 4158 Chicago Dr. SW from founder Earl Zuidema in 1954 and has been in the Zondervan family ever since. Now, after three generations in the family and another location later, Jeff Lobdell from Resturant Partners Management, LLC., is taking over. John Zondervan, who is the current owner and operator, said in a news release he spent his whole life in the two Rainbow Grill restaurant locations; one in Grandville and another in Hudsonville. As my wife and I just celebrated the birth of our 10th child, we have decided that owning and operating one location in Hudsonville, to make the best it can be, is our goal going forward, Zondervan said in a statement. He said that he found comfort in Jeff Lobdell and his team continuing to operate it as Rainbow Grill, touting that he knows they will continue to take care of the staff and the guests. Lobdells company, Restaurant Partners Management, LLC. owns and operates 15 independent restaurant locations in the Grand Rapids area and six independent restaurant locations in Traverse City as well as two beachfront hotels on East Grand Traverse Bay. Restaurant Partners Management and 4GR8Foodbrands mission is to provide a great experience for the guests, staff and community. Lobdell said in the news release the 77-year-old space holds a special place in his heart, along with his wife Audrey. He said that Restaurant Partners Management and 4GR8Foodbrands mission is to provide a great experience for the guests, staff and community. I am grateful for all the Zondervan family has done for the restaurant, their staff and the community in which they have operated, Lobdell said in a statement. I am honored to carry on the tradition of Rainbow Grill moving forward with my family-owned and operated company. Lobdell served on the Michigan Restaurant and Lodging Association Board of Directors as past chair and currently serves as the vice chair of the National Restaurant Association. Learn more about ongoing updates about the space here. Want more Grand Rapids-area news? Bookmark the local Grand Rapids news page or sign up for the free 3@3 Grand Rapids daily newsletter. Read more: New reptile, amphibian indoor zoo opens in Walker Experience side-splitting comedy at this R-rated magic show in Muskegon Save the birds. Grand Rapids aviary rescue needs help to stay afloat Michigans Best Local Eats: Greekshore in Muskegon aims to transport your taste buds to Greece GRAND RAPIDS, MI -- Police said speed was likely a factor in a fatal collision between two motorcycles and a mini-van Tuesday, Aug. 15 in downtown Grand Rapids. Grand Rapids police said Wade Freeman, 31, of Grand Rapids, died in the 4 p.m. crash at Fulton Street and Jefferson Avenue. Police said three motorcycles were on Fulton Street when two of them collided with a min-van. The crash also involved a Chevrolet Suburban, which had less serious damage. Three people in the mini-van, as well as the second motorcyclist, were taken to hospitals for injuries not considered life-threatening. Police said witnesses reported that speed was a factor in the crash. Anyone with information about the crash can call the Grand Rapids police Traffic Unit at 616-456-3771. GRAND RAPIDS, MI As Bob Niemiec walks through Twisthinks new Grand Rapids headquarters, he traces the companys two-decade journey from its days as a startup in Holland with one client to a design and technology consulting firm with global ambitions. Were like the minor leaguer thats been playing AA ball in Holland and had a great experience there, said Niemiec, the companys managing partner. But now were taking that to a much higher level and trying to make a much bigger impact in the region and the state and beyond. KENT COUNTY, MI After 73 years of service, the Cutlerville Fire Department will be disbanded within the next few years. But the end of the Cutlerville Fire Department doesnt mean the census designated place of about 17,800 residents will be without firefighting response. Instead, there will be an additional, new fire station and more firefighters watching over Cutlerville. Cutlerville is located in Byron and Gaines townships, with Division Avenue being the dividing line between the two. The Cutlerville Fire Department has serviced both township sides of Cutlerville since 1950 through an agreement that says Byron and Gaines townships jointly own and operate the department. On July 24, Byron Township leaders voted to give a one-year notice that they would be leaving that agreement. Township leaders said the decision was prompted by population growth. RELATED: See population estimates for Kent, Ottawa counties According to Byron and Gaines township leaders, one of the townships will take over and fully operate the Cutlerville station and the other township will build and staff its own fire station in Cutlerville. Whichever township keeps the existing station will pay the other township half of the buildings value. Following the split, both stations will serve their own township sides of Cutlerville, with each being able to give the other mutual aid if the other township department needs help. Gaines and Byron leaders say both stations will have full-time, 24/7 coverage. Which township will take over the Cutlerville station, centrally located at 11 68th St. SW, and which one will build a new station remains to be decided. Leaders from both townships say there will be no service reductions during the transition period to each operating their own side of Cutlerville. Byron leaders want to see the joint Cutlerville station operation continue following the seperation and until a new station is built. Under the agreement, Gaines would be in charge of Cutlerville fire operations until that time, according to Gaines leaders. Byron Townships decision to leave the agreement and go their own way is largely due to population growth and the need for more firefighters to respond to a growing number of calls, many of them medical related, said Byron Township Supervisor Don Tillema. A majority of the firefighting calls in the township are in Cutlerville, Tillema said. Its getting busy enough and were both getting big enough that we decided well do our own and they can do their own, Tillema said. Weve got 27,000 people in Byron Township and theyve got a little more than we do, so were getting big enough. Were big and were ready to expand it. Were just looking down the road a way. Between the 2010 and 2020 census, Byron Township saw a 32.5% population increase, from 20,317 residents to 26,927. During that same period, Gaines Township saw a 14.5% increase, from 25,146 residents to 28,812. Gaines Township Manager Rod Weersing agrees calls for service are increasing and will keep doing so. The volume of calls for fire service is increasing year-over-year by about 7%, he said. But, he said, there are other options than each township going their own way. Before the Byron Township vote, Cutlerville fire leaders recently presented plans to Gaines Township to meet the demand of increasing calls by hiring three more full-time firefighters for the station, Weersing said. The station currently has six full-time firefighters along with a number of paid on-call and part-time firefighters. Eventually, the goal for the joint Cutlerville department wouldve been to have two teams of two firefighters working at all times so they could respond quickly when multiple calls come through, Weersing said. The township was exploring the proposal for more firefighters at the joint department when the notice from Byron Township leaders to separate was approved. By dividing, we lose the efficiencies of having the one station there, but when the dust settles well have two stations, Weersing said. Well actually have more firefighters available for anything that pops up in that area. In addition to the joint Cutlerville station, Byron and Gaines townships each have one fire station that they fully own and operate. Weersing said having both of its stations fully operated and owned by the township will result in some efficiences. The move to seperate Cutlerville fire services wont save either township money, both Weering and Tillema said. Read more on MLive: Neighbors complained of noise. Now, you cant play pickleball at this city park. Cybersecurity firm to move headquarters from Florida to Grand Rapids Citizen drone helps rescuers spot drowning victim in Lake Michigan Its a boy and a girl! John Ball Zoo red panda cubs healthy and growing Only currently sitting lawmakers with concealed pistol licenses will be able to keep their firearms in the Michigan Capitol after members of the State Capitol Commission unanimously moved to enact a total gun ban within building early Wednesday. Commissioners previously signed off on a form of an open-carry firearm ban in 2021, voting to tack on a concealed carry ban earlier this year. Its slated to take effect shortly after Monday, Sept. 4. RELATED: Why Michigans Capitol will look different next time you visit News of the exemption for sitting lawmakers is not totally unexpected. Earlier this month, Commission Chair William Kandler told the Capitol outlet MIRS the commission was considering a carveout for lawmakers with concealed pistol licenses, though said he would not vote for such a move. Ive been opposed to that concept from the beginning. I just dont see the need for it, Kandler told reporters after the Aug. 16 meeting. The people doing business in this building, the legislators, are probably the most protected people in this city. Maybe in the state. They have three police forces protecting them now and I think thats pretty well adequate to protect them. Kandler was one of two votes against putting in a carveout for lawmakers, the other being Commission Vice Chair Joan Bauer. Under the now final procedures, the only individuals allowed to keep their firearms, as well as other items that could be deemed a weapon such as a hammer or X-Acto knife are: Michigan State Police and Capitol security officers; Sitting lawmakers with a proper concealed pistol license Active-duty law enforcement officers listed under the Michigan Commission on Law Enforcement Standards Act, so long as the officer is in uniform or otherwise properly identified by Capitol security; Active duty agents from federal enforcement agencies, such as the FBI, as authorized by Capitol security, and; Private security personnel carrying out official business within the Capitol Building who receive pre-authorization from Capitol security Commissioner Tim Bowlin, who offered the policy amendment, told reporters after the meeting both Democratic and Republican lawmakers had privately approached him before the vote to argue why they should be able to keep their guns in the building. This is all about taking steps, and I think this was the first step in, hopefully, a series of steps that the commission will take over the next year or two to make this building safer, he said. Asked what he meant by a series, Bowlin said there are questions remaining about whether the House and Senate office buildings have the authority to bar firearms from the premises even for those with a concealed license. He also noted while some lawmakers had threated legal action if not allowed to keep their concealed pistol on their person inside the Capitol, those comments did not factor into his decision to amend the final draft policy. RELATED: Michigan Republicans protest Capitol gun ban, threaten possible lawsuit The final vote puts makes Michigan the 25th state in the nation to enact some form of a gun ban according to Everytown for Gun Safety, a nonprofit organization advocating for gun control and anti-gun violence measures. Under the new change, visitors in four areas the main east entrance, the Heritage Hall entrance, and two entrances accessible via swipe cards by legislative staff will see pass-through weapon detection towers, scanning for not just standard issue guns but 3-D printed weapons as well. At the final entrance, used by maintenance and vendors such as caterers, there will be a metal detector. An additional weapons detection system was installed earlier this month in the House Office Building where many lawmaker offices and committee hearing rooms reside at the discretion of House Speaker Joe Tate, D-Detroit. As of time of publication, no such systems had been installed at the Senate Binsfeld Office Building. UPDATE: Michigan Capitol Commission OKs total gun ban with one exception Should the Michigan State Capitol Commission keep a ban on openly or concealed carrying firearms inside the Capitol building, at least one legislative Republican says the move could prompt legal action. Sen. Michele Hoitenga, R-Manton, argued the commission did not have the proper authority to ban weapons at the Capitol whatsoever during an appearance this week on WKARs Off the Record. Ive spoken with an attorney, she said. I dont want to be breaking any laws. But again: this was a commission rule, this would not be a law, and I do believe there will be litigation. There will be litigation sooner than later. RELATED: Why Michigans Capitol will look different next time you visit Commissioners previously signed off on a form of an open-carry firearm ban in 2021, voting to tack on a concealed carry ban earlier this year. Its slated to take effect shortly after Monday, Sept. 4, and as of time of publication will apply to lawmakers just as much as it does Capitol visitors and staff. Commissioners will consider final adoption of the updated Capitol security plan at 10 a.m. today in the State Capitol Building. The move has served to rile a handful of conservatives lawmakers, including Hoitenga, who sits on the Second Amendment Caucus. She said because the rule was not made by the legislature, it should not be considered enforceable. Its because of that, Hoitenga said, she wouldnt backdown from carrying in the Capitol. Thats why I hired a lawyer. I dont want to go that route, but I am going to fight for my rights, she said, adding she would absolutely consider being a plaintiff in any potential future litigation. The commission only acted on implementing a partial firearm ban in 2020, after Attorney General Dana Nessel penned an opinion saying the commission would be within its rights to make such a decision. And while Rep. Phil Green, R-Millington, acknowledged an attorney general opinion was binding unless challenged in court, he felt it was a stretch to say that the Capitol Commission has the right to create this type of political policy. Instead, Green argued the process should have played out legislatively with a chance for a hearing and input from the public. The legislature made it very clear in 2013 when it created the requirements for an individual to be appointed on to the Capital Commission, that they must have experience in maintaining historical buildings, said Green, who chairs the legislative Second Amendment Caucus. So, I think there is a lot there that makes it so that the court would question, or should question, whether or not that making political decisions is a purpose of the Capital Commission. Asked if he, too, would protest the gun ban if implemented, Green simply said he would make sure he was functioning inside the law and inside my constitutional rights. Michigan is in a slight majority of states that have no form of gun control at their respective capitols, according to data gathered by the nonprofit Everytown for Gun Safety. Should the commissions change go forward as planned, it would make Michigan the 25th state in the nation to have some kind of gun ban at its Capitol Building. Regardless of whether Republicans sue the Capitol Commission for their imposed gun ban, it is unlikely to impact the weapons detectors installed earlier this month in the House Office Building where many lawmaker offices and committee hearing rooms reside as its policies are outside the commissions purview. RELATED: How could Republican indictments shape Michigans 2024 election? Your guide to Michigan politics Unlike the detectors located at the Capitol, this gun ban only applies to staff and visitors to the building and does not affect lawmakers ability to concealed carry. No such weapons detections systems have been installed in the Senate Binsfeld Office Building as of Tuesday, Aug. 15. Alex Rossman, spokesperson for Senate Majority Leader Winnie Brinks, D-Grand Rapids, declined to comment further on what he referred to as fluid, internal security measures or plans. More from MLive Could tax cuts for private jets help Michigans aviation businesses? Georgia indictment against Trump cites Michigan election falsehoods Battle flags, beer bottle caps among historic treasures in Michigan Capitol exhibit A majority of Flint City Council members now face approved recall petition drives DePerno frequently present during voting machine tests, court docs allege NORTHPORT, MI A northern Michigan man facing charges for releasing petrochemicals into Grand Traverse Bay is finally moving a barge thats been parked by a stretch of Northport luxury homes for more than two years. UpNorthLive.com reports that owner Don Balcom, 88, is moving the notorious barge to Green Bay, Wis. The barge went under tow on Tuesday, Aug. 15. The barge was spotted south of Suttons Bay on Wednesday. Balcom parked the barge off Northcott Drive in Leelanau County in July 2021. The vessel sank in 2020 near Greilickville and released oil and fuel. It sank again last year in Northport and was finally refloated this spring. Nearby home owners have called the barge an eyesore and have long wanted it moved. In June, the state attorney generals office charged Balcom with seven counts of violating state water protection laws. The state previously threatened to seize the barge as abandoned property. Balcoms history of parking the barge for long periods in various places around the Grand Traverse Bay area to the chagrin of residents and officials dates back almost 20 years. Balcom was arraigned in Leelanau County on July 11. Related stories: Rogue barge owner charged by state AG Sunken barge finally floats again Popular radio presenter and business woman also known as Oheema Woyeje has asserted that politicians are playing with the destiny of the country. Speaking about the current economic situation on her mid-morning show on Angel FM, monitored by Blogger and Journalist Attractive Mustapha, she said its high time Ghanaians put political colors aside and face the reality. When is there going to be an intervention for our citizens? When are we going to be happy about our country and say to ourselves the future is bright? she asked rhetorically. She wondered when politicians would wake up from their slumber, and fix the country, change the 1992 Constitution that they claim needs amendments. The broadcaster attributed the economic hardship and lack of hope as the major reasons many youth pay huge sums of money for visa, so they can move out of Africa to seek greener pastures in the west. "The only way our generation will be remembered is for us to do better than our predecessors, and also, we must set high standards," she stated. In her bewilderment, the multi-lingua host touched on Cecilia Dapaah's saga. She couldnt wrap her head around it and wondered why a minister would keep such huge sums of money in her residence. Two American tourists were found sleeping off a binge in the upper levels of the Eiffel Tower in Paris after dodging security the night before, it was revealed on Tuesday. Security guards roused the men in the early morning as they were making their rounds before the French landmark's 9am opening time, Eiffel Tower operator Sete said on Tuesday. "They appear to have got stuck because of how drunk they were," Paris prosecutors told the French news agency AFP. The two Americans had spent their night under the stars in a spot normally closed to the public between the tower's second and third levels, but did not pose any apparent threat, said Sete. After paying for an entry ticket around 10.40pm on Sunday just before the attraction closed, the pair hopped security barriers while climbing down the stairs from the tower's top, a police source said. Firefighters, including a specialist unit for recovering people from dangerous heights, were sent to recover the interlopers, the police source added. Both men were taken to the police station in Paris' seventh district for questioning. Sete said it would file a criminal complaint. Bomb threats The discovery delayed the tower's opening to the public on Monday morning for around an hour. On Saturday, two bomb threats forced the evacuation of the tower. A further email with a bomb threat against the 330-metre steel tower was sent to three Paris police stations on Monday, but police advised against evacuation. (with AFP) 15.08.2023 LISTEN The Eastern Regional Minister, Seth Acheampong has declared his support for Vice President Dr. Mahamudu Bawumia to become the New Patriotic Party's (NPP) flagbearer. Addressing party delegates from the Mpraeso constituency, Mr Acheampong made a strong case for Dr. Bawumia, citing his exceptional qualifications and dedication to the party's course. With the NPP's flagbearership race in full swing, he spoke in favor of Dr. Bawumia during a campaign stopover in the Region. Mr Acheampong, a former Member of Parliament for Mpraeso, indicated his positive relationships with the other nine candidates but asserted that Dr Bawumia stands tall among them. Highlighting Dr Bawumia's political experience, deep understanding of the party's inner workings, and strong dedication to the NPP, he expressed his belief that Dr. Bawumia possesses the qualities necessary to guide the party to victory. "Since I became the Regional Minister, I have carefully observed that among the other candidates and as the truth stands, Dr. Bawumia is the best person at this moment to lead the party if the NPP wants to break the 8 political jinx," Acheampong emphasized. He labeled the Vice President as the party's "last chance" and stressed the importance of choosing a candidate who can win power for the NPP. Acheampong urged delegates to throw their support behind Dr. Bawumia and vote for him to become the flagbearer. A 28-year-old lady, identified as Maame Mra from Sefwi Dwinase-Akurase in the Sefwi Wiawso municipality of the Western North Region has mysteriously died in an accident. Earlier reports suggested that the deceased was involved in a motorbike accident after visiting her police boyfriend at Sefwi Mpomam. However, some undisclosed sources have revealed that the victim had a heated argument with her boyfriend after they returned from Mpomam to Dwinase, and that her police boyfriend subsequently stabbed her. After this discovery, some residents of Sefwi Dwinase clashed with the police when they visited the victims family. Some eyewitnesses say that the police vehicle was badly damaged as residents angrily pelted stones at the police and their vehicles. Meanwhile, the motorbike rider and the police officer have been arrested to assist with the investigation. Narrating the incident to the media, Nana Bafeli, a chief linguist of Sefwi Dwinase, said, It was a terrible sight. All the police officers in the area came, but they couldnt control the angry crowd. Everyone knew the deceased, so the residents were very angry. We had to speak to the residents to calm down in order to not jeopardize the investigation. We assured them to be patient because the police will definitely uncover the truth. -citinewsroom Private Newspapers and Online News Publishers Association of Ghana (PRINPAG) has partnered with Peace Watch Ghana, a Non-Governmental Organisation (NGO), to promote peace and security in the country. During a joint meeting at PRINPAG's offices on Tuesday, August 15, 2023, the two organizations agreed to collaborate in making peace the focus of public discussion in Ghana. Peace Watch Ghana, established in 2008, is known for its focus on the welfare of personnel of the Ghana Police Service and aims to address issues of media abuse towards senior public officials. Among other initiatives, Peace Watch Ghana plans to lobby for the establishment of a Special Security Tax in Ghana to raise funds for police personnel support and logistics. The idea of a Security Tax received unanimous support at the meeting, with the intention to enhance security services and the general security situation in the country. To raise awareness about peace and security, a joint float on the streets of Accra is proposed, along with sensitization workshops at the district level. The organizations also plan to engage with industry players to gain their support for the proposed security tax and establish a joint fundraising committee. PRINPAG's President, Mr. Andrew Edwin Arthur, emphasized the importance of collaboration to safeguard the nation's peace using their Newspapers and Online News Portals. The Executive Director of Peace Watch Ghana, Madam Diana Yonkopa Daniels, praised PRINPAG's role as a key partner in national development and expressed optimism about the collaboration's positive outcomes for Ghana. Peace Watch Ghana has been supporting the Ghana Police Service through various means, including office refurbishments, Awards ceremonies, an Informant Awards scheme, and advocating for justice for those wrongly accused. PRINPAG, an Association of Publishers, Media Owners, and Senior Editors, is dedicated to promoting the welfare of its members. Also in attendance at the meeting were PRINPAG's Vice President Michael Antwi-Agyei, National Organizer Emmanuel Opare Gyan, Public Affairs and External Relations Officer Jeorge Wilson Kingson, Treasurer - Madam Fodia Amenyah, and Executive Secretary Kenteman Nii Laryea Sowah. Member of Parliament for North Tongu and the ranking member on the Foreign Affairs Committee, Samuel Okudzeto Ablakwa, has praised the Foreign Affairs Minister, Shirley Ayorkor Botchwey, for her strong stance against alleged corruption-related activities at the Passport Office. Madam Botchwey during an unannounced visit to the Passport Office on Monday, August 14 expressed her anger over the constant extortion of money from applicants by passport workers and goro boys and girls to expedite their services. Speaking on Eyewitness News on Citi FM, the North Tongu legislator said though his consistent calls had often been disregarded, it is better to celebrate the late action by the sector Minister. I have always been calling for hands-on action on matters about getting a passport and so the Minister must be commended for descending at the Passport Office to ensure that the right thing is done and I am saying that we have to encourage this kind of action. Mr. Ablakwa, however, questioned the effectiveness of leadership at the various passport offices which he said are so lax it had to take the sector minister to express her anger at the corruption-related activities that go on at the various centres and called for action to be taken. Why does it have to take the Minister to go to the Passport Office to ensure that people that had overstayed their service but continued being there and what does that say about the leadership at the Passport Office? Mr. Ablakwa added that what is urgently needed at the various passport centers is to print the over 11,000 backlog and give way for automation afterward to ensure a smooth process of acquiring a passport. Fundamentally, the backlog has to be cleared and I recall when we met them, they told us that they had about 11,000 people in the backlog and the Minister then assured us that they had ordered some giant industrial printers to help clear the backlog in good time to give way for automation but that is yet to happen. -citinewsroom 16.08.2023 LISTEN ECOWAS heads of state have threatened again to use force to remove the new military government in Niger as they did in 2011 to remove Gbagbo in Cote DIvoire. There have been such actions in the other parts of the world in the past, including the NATO action in Kosovo in 1999. As someone interested in International Law of Armed Conflict and the Use of Force, I believe the use of force by ECOWAS would be illegal. I am therefore using this article to contribute to the debate on the legality or otherwise of the proposed use of force by ECOWAS on a Member State as I did in 2011 (see The Cote dIvoire Crisis: Will Use of Force by ECOWAS be Legal?, Ghanaweb, January 10, 2011), which I have amended below. According to the International Law of Armed Conflict and the Use of Force, also known as Jus ad bellum, Jus in bello and commonly known as International Humanitarian Law, any use of force or initiation of hostilities against a sovereign state is illegal unless certain conditions are met. The conditions under which states are permitted to use force are such that they impose considerable limitations upon the way in which force may be used even in cases where the use of force is justified. In fact, the use of force is prohibited under Article 2 (4) of the 1945 United Nations Charter, which states: All Members shall refrain in their international relations from the threat or use of force against the territorial integrity or political independence of any State, or in any other manner inconsistence with the purposes of the United Nations. The limited restrictions that the use of force is permitted are as follows: self-defence; maintenance or restoration of international peace and security, on humanitarian grounds and for the freedom or liberation of colonised people. It is important to note that with the exception of self-defence and freedom or liberation of colonised people, the other two are not free standing but subject to prior approval by the UN Security Council before the actual use of force. I now consider the conditions in detail. Self Defence: Article 51 of the UN Charter provides that Nothing in this present Charter shall impair the inherent right of individual or collective self-defence if an armed attack occurs against a Member of the United Nations, until the Security Council has taken the measures necessary to maintain international peace and security. Measures taken by Members in the exercise of this right to self-defence shall be immediately reported to the Security Council and shall not in any way affect the authority and responsibility of the Security Council under the present Charter to take at any time such action as it deems necessary in order to maintain or restore international peace and security. From the above, it is evident that self-defence is permitted when the state resorting to the use of force has been attacked, though Pre-emptive Use of Force is allowed under certain circumstances. That is, where there is reliable evidence or intelligence to suggest that an armed attack on a member state is imminent, then that member state does not have to wait or sit down idle until the attack takes place before taking action in self-defence. Pre-emptive Use of Force is controversial as it can be abused particularly, by powerful states against weaker ones as in the current case of the Russian attack on Ukraine. Other states strongly believe that (as contained in a memo by a US Department of State Legal Adviser), the inherent right of self-defence embodied in the UN Charter must include the right to take pre-emptive action; otherwise, the original purpose is frustrated. We cannot wait for a first strike under such circumstances. The Neo-Conservatism doctrine under the George Bush presidency was strongly in favour of Pre-Emptive Use of Force and was used to justify the Iraqi invasion in 2003 without Security Council approval. The doctrine has become part of the war on terrorism. Can the use of force against Niger by ECOWAS be legal and permitted under UN Charter Article 51, that is, self-defence, or under Pre-Emptive Self-Defence? The answer is an emphatically, NO. Because the situation in Niger is not and cannot be an armed attack or imminent armed attack on any of the remaining fourteen Member States of ECOWAS, under the UN Charter. Moreover, ECOWAS members states or heads of state have no authority, legal or moral to determine the form of government (elected or unelected) that other member states should have. That is solely the right of individual member states to determine. Maintenance of International Peace and Security: Article 1 (1) of the United Nations Charter states to maintain international peace and security, and to that end: to take effective collective measures for the prevention and removal of threats to peace, and for the suppression of acts of aggression or other breaches of the peace, and to bring about by peaceful means, and in conformity with the principles of justice and international law, adjustment or settlement of international disputes or situations which might lead to a breach of the peace. In pursuance of this noble objective, the UN Charter also provides for the use of force as part of collective measures taken by the Security Council under Article 42. Should the Security Council consider that measures provided in Article 41 (by peaceful means), would be inadequate or have proved to be inadequate, it may take such action by air, sea or land forces as may be necessary to maintain or restore international peace and security. Such action may include demonstrations, blockade and other operations by air, sea or land forces of Member States of the United Nations. The above objective under Article 1 (1) could also be carried out by a regional organisation such as ECOWAS with the approval of the Security Council. This provision is under Article 53 (1) of the Charter The Security Council shall, where appropriate, utilize such regional arrangements or agencies for enforcement action under its authority. But no enforcement action shall be taken under regional arrangements or by regional agencies without authorisation of the Security Council, with the exception of measures against any enemy State, as defined in paragraph 2 of this Article, provided for pursuant to Article 107 or in regional arrangements directed against renewal of aggression policy on the part of any such State, until such time as the Organization may, on request of the Governments concerned, be charged with the responsibility for preventing further aggression by such a State. Enemy State is defined under 53 (2) as any State which during the Second World War has been an enemy of any signatory of the present Charter. From the above, does the current situation in Niger threaten international peace and security such that it may warrant UN or ECOWAS intervention by the use of force? Some may disagree with me, but my answer is another No. In my opinion, the military take over in Niger does not constitute a risk or threat to international peace and security and therefore, it would be unlawful, unreasonable and out of proportion for the use of force by ECOWAS. In fact, the real threat to international peace and security in Niger is not the military government but terrorist acts by some fundamentalist religious groups such Boko Haram. Security Council Authorization Another limitation regarding the legitimate use of force to maintain international peace and security is that it must be authorised by the UN Security Council. ECOWAS appear to assume that authorisation from the Security Council is a done deal. If that is their current position, then they should think again because they are wrong. The established practice on Security Council authorization is such that the likelihood of the Security Council agreeing to authorise such use of force against a Member State is so remote that, it is often not considered. ECOWAS should not be deceived because Russia and China (two out of the five Permanent Members of the Security Council) will veto the authorisation. State sovereignty has two aspects: freedom from outside interference and freedom to act as the sovereign sees fit within agreed borders. Both Russia and China hold the view that the West, should not impose their form of democracy on other states. For these reasons they will use their vote powers so the Security Council would be unable to authorise the use of force by ECOWAS to maintain international peace and security, let alone return the ex-president to power. Without Security Council authorization, the use of force by ECOWAS will be unlawful. Humanitarian Intervention The lawful use of force on humanitarian grounds is also strictly regulated by specified criteria. NATO defines humanitarian intervention as, an armed intervention in another state, without the agreement of that state to address a humanitarian disaster, in particular caused by grave and large-scale violations of fundamental human rights. This definition means the sovereignty of the state being intervened must be breached and for the intervention to be humanitarian, the desire to address the violations of human rights should be the driving force in the intervention decision. Attempts have been made to agree on criteria for humanitarian intervention and I enumerate them for easy reference: The threat or occurrence of grave and large-scale violations of human rights; Clear and objective evidence of such a threat or occurrence; The government of the state is unwilling or unable to take remedial action (a failed state); There is clear urgency; The purpose is clearly explained to the public and the international community; The objective is limited to stopping the human rights abuses; The action is supported by those for whom it is intended; There is support of regional states; There should be a high probability of success; There should be a mapped-out transition to post-conflict peace building; The use of force should be proportionate to achieving these goals (jus ad bellum) ; and ; and International law on the conduct of war should be followed during the action (jus in bello). Does ECOWAS meet the above criteria? Is there a humanitarian disaster in Niger? The answer to both questions is No and therefore ECOWAS cannot lawfully intervene in Niger by use of force on humanitarian grounds. Another lawful use of force is through invitation by the legitimate government of a sovereign state. The difficult here is that the old government has been overthrown and no longer in power. The overthrown president is under house arrest and therefore there is one government in Niger, which is the military government and therefore ECOWAS cannot use force by invitation from the sovereign state of Niger because the legitimate government is the military government against which ECOWAS intends to use of force. Since Niger is an independent state and not a colony and ECOWAS is a freedom fighter or liberator, the use of force under freeing a colonized state is not applicable and need no further consideration. From the above ECOWAS has no option to use force to attack a sovereign state that is not a belligerent or an aggressor. Now let me turn to the use of legitimate force permitted by the UN Charter is regulated by principles of jus ad bellum, that is, laws on the decision to use of force or the initiation of hostilities (before action). On the other hand, the conduct of hostilities between States is regulated by the principles of jus in bello that is, laws of armed conflict or during action. This is why the International Law of Armed Conflict and the Use of Force is also referred to as Jus ad bellum, Jus in bello. For both the initiation of hostilities and the conduct of hostilities to be lawful, each must be within the limitations of what is reasonably necessary and proportionate to the achievement of a legitimate objective. There are different school of thoughts on where jus ad bellum ends and where jus in bello begins but what is not in dispute is that the relationship between the two are such that in reality jus ad bellum does not cease to operate after the initiation of hostilities and therefore operates concurrently with jus in bello. Another important fact is that the limitations of what is reasonably necessary and proportionate are equally applicable to States as well as all individuals involved in the initiation and conduct of hostilities. Every single action throughout the conduct of hostilities including even the pulling of a trigger by a single soldier and collective action by either a platoon or a brigade are all subject to the limitations of both jus ad bellum and jus in bello. That was why I was under order or obeying instructions is not an acceptable defence for the breach of the limitations. It is also for the same reason why in the first Gulf War in 1991 the Allied Forces did not remove Saddam Hussein from power since that would not have been reasonably necessary and not proportion to achieving the objective of freeing Kuwait and restoring international peace and security at the time. Now let me apply the above principles to intended use of force by ECOWAS. Will the use of force to remove military government in Niger be reasonably necessary and proportionate to the objective of achieving the goals of the Nigeran people? I am afraid not and there is no such thing as achieving the goals of a people in the various Articles mentioned above. Worst still, the use of force will result in civilian casualties and ECOWAS may have their own Libya, Afghanistan or Iraq in Niger because it will not be a short war but a prolonged one. Because the proposed use of force cannot meet both the jus ad bellum and jus in bello limitations criteria, it would be unlawful for ECOWAS to do so. Niger cannot be considered as an enemy State under Article 53 (1) and (2), simply because some military officers decided to overthrow the elected government. Therefore, there is no need for me to analyse that situation. For above reasons all the ECOWAS Member State military commanders who are planning the use of force and actually command the action could be prosecuted for illegal use of force against a Member State of both ECOWAS and the UN. What is the established customary practice? The only option left for ECOWAS to resort to use of force, which will be legitimate and lawful under International Law is by relying on established customary practice. Despite my conclusion that the use of force by ECOWAS will be unlawful under International Law, it is also imperative on me to consider what has been the long-established practice (the custom) or the norm in such situations. An important aspect of the application and interpretation of law is customary practice (unwritten law or case law). That is, what has been exercised from time to time immemorial (in similar circumstances), considered to be right and reasonable, can be proven by direct evidence and has become acceptable. Assuming my prediction that the Security Council would not authorise the use of force by ECOWAS because of veto by Russian and China is accurate, the 2003 Iraqi invasion led by US and UK was also undertaken without authorisation by the Security Council. Though many still believe that the use of force was illegal (because in their view, Security Council Resolution 1441 did not specifically authorise the use of force), the plain truth is that, the NATO action in Kosovo made the Iraqi invasion, legal under International Law. There are other examples of the use of force by States that would have been unlawful under International Law but became acceptable because of customary practice. For example, Israel relied on pre-emptive self-defence to justify her attack on Iraqs nuclear reactor in 1981. What concerns me is not only the potential for a prolonged conflict but also the precedence to be set by ECOWAS if the threat of use of force is enforced against a Member State. It could make the region unstable. Interestingly, I have looked at the ECOWAS Charter and cannot find any specific Article that allows the use of force against Member States, though Article 3(o) under Aims and Objectives states: any other activity that Member States may decide to undertake jointly with a view to attaining Community objectives. Article 7(i) under The Authority of Heads of State and Government Establishment, Composition and Functions also provides that: exercise any other powers conferred on it under this Treaty. The ECOWAS Charter, Protocol and all other governance documents strongly advise that all conflicts should be resolved through peaceful means. Therefore, why is ECOWAS is threatening to use force against a Member State without utilising peaceful means through diplomacy is any ones guess. In conclusion, the use of force by ECOWAS against Niger would be illegal and it would set a new precedence in international relations and diplomacy, that could be dangerous and a threat to peace and security in the region. Kofi Ata, Cambridge, UK For desperate Syrians, a WhatsApp message saying "I want to go to Europe" can be all they need to start a treacherous journey to Libya and then across the Mediterranean. Twelve years after conflict broke out when President Bashar al-Assad repressed peaceful pro-democracy protests, Syrians are still trying to escape a war that has killed more than 500,000 people, displaced millions and pulled in foreign powers and global jihadists. At least 141 Syrians were among up to 750 migrants thought to have been on a trawler that set off from Libya and sank off Greece in June, relatives and activists told AFP. Most of the passengers are feared drowned. AFP interviewed Syrian smugglers and migrants about the journey to migrant hub Libya, notorious for rights abuses, and then across the central Mediterranean -- the world's deadliest migrant route. Almost everyone requested anonymity, fearing reprisals. 'A batch every month' "We finalise everything by phone," said a smuggler in Syria's southern Daraa province. "We ask for a copy of their passport and tell them where to deposit the money. We don't have to see anyone in person," he told AFP over WhatsApp. Daraa, the cradle of Syria's uprising, returned to regime control in 2018. It has since been plagued by killings, clashes and dire living conditions, all of which are fuelling an exodus, activists say. Syria to Libya to the EU. By Jihad KACHAAMI (AFP) "The first year we started, we only sent one group. Today, we send a batch every month" to Libya, the smuggler said. "People are selling their homes and leaving." Libya descended into chaos after a NATO-backed uprising toppled and killed dictator Moamer Kadhafi in 2011, the same year Syria's war began. The North African country is split between a UN-recognised government in the west and another in the east backed by military strongman Khalifa Haftar, who has ties to Damascus. Syrians deposit the money -- more than $6,000 per person -- with a third party, often an exchange office which takes a commission. The smuggler declined to disclose his cut, but said he was paid once the migrants reached Italy. His partner in eastern Libya organises the actual boat trip. 'Humiliated, beaten' One travel agent in Daraa told an AFP correspondent posing as a migrant that a package deal cost $6,500. This included a plane ticket, eastern Libya entry document, airport pickup, transport, accommodation, the boat journey to Italy and a life jacket, a WhatsApp message said. Migrants stay "in a hotel or a furnished apartment", it added, but Syrians said such promises were seldom kept. They told AFP of overcrowded and disease-ridden warehouses, where armed guards subjected migrants to violence and extortion. At least 141 Syrians were among up to 750 migrants thought to have been on a trawler that set off from Libya and sank off Greece. By Angelos Tzortzinis (AFP/File) Omar, 23, from Daraa province, borrowed $8,000 to be smuggled to Libya and then Italy this year, saying he was desperate to leave "a country with no future". Now in Germany, he said he spent two weeks locked in a hangar near the coast in eastern Libya with around 200 other people. "We were abused, yelled at, humiliated and beaten," added Omar, who said guards gave them only meagre servings of rice, bread and cheese to eat. On departure day, "around 20 armed men forced us to run" the distance from the hangar to the sea, "hitting us with the back of their rifles", he said. "When we finally reached the shores, I was exhausted. I couldn't believe I'd made it." Among mercenaries In part of northern Syria controlled by Ankara-backed rebel groups, a recruiter of fighters said he also smuggled migrants to Libya by listing them among pro-Turkey mercenaries. Turkey supports the Tripoli administration in Libya's west. Ankara has largely shut down a once well-trodden route to Europe via Turkey. "Every six months, we use the fighters' rotation to send people with them," the recruiter told AFP. Syrians from the impoverished, opposition-held northern Idlib and Aleppo provinces, "particularly those living in displacement camps, contact us", the recruiter said. Listed as "fighters", the Syrian migrants are entitled to a Turkish-paid "salary" of around $2,500, the recruiter said. The armed group pockets $1,300, the recruiter takes the rest and the migrants get a free flight to Libya, he said. Syrians first go to border camps for pro-Ankara fighters before crossing into Turkey and flying to the Libyan capital Tripoli. They spend two weeks in Syrian militia camps in western Libya before being introduced to smugglers, who ask around $2,000 for the boat trip to Italy, he added. 'To hide our tracks' For those in regime-held Syria, getting to Libya can involve criss-crossing the Middle East on a variety of airlines and sometimes overland -- "to hide our tracks", the smuggler in Daraa said. Men carry bodybags from a coast guard vessel to a refrigerated truck at the port in Kalamata, Greece. By Angelos Tzortzinis (AFP/File) AFP saw a group ticket for around 20 Syrian migrants who travelled to neighbouring Lebanon and then flew from Beirut to a Gulf state, then to Egypt, before finally landing in Benghazi in eastern Libya. Direct flights are also available from Damascus to Benghazi with private Syrian carrier Cham Wings. The European Union blacklisted Cham Wings in 2021 for its alleged role in irregular migration to Europe via Belarus, lifting the measures in July last year. Several Syrians told AFP that on their flights to Benghazi, direct or not, were many migrants bound for Europe. Spokesperson Osama Satea said Cham Wings carried only travellers with valid Libyan entry documents, noting the presence of a considerable Syrian diaspora there. He told AFP the airline is not responsible for determining whether passengers are travelling for work or for other reasons, but "it certainly doesn't fly to Libya to contribute to smuggling or migration attempts". 'There was terror' Syrians arriving in Benghazi need a security authorisation from the eastern authorities to enter. But the Daraa smuggler told AFP this was not a problem: "In Libya, like in Syria, paying off security officials can solve everything." "We have a guy in the security apparatus who gets the authorisations just with a click," he said. More than 1,800 migrants have died crossing the central Mediterranean towards Europe in 2023, according to the International Organization for Migration. By STRINGER (Eurokinissi/AFP/File) Migrants told AFP a smuggler's associate -- sometimes a security officer -- escorted them out of Benghazi's Benina airport. One security authorisation seen by AFP bore the logo of Haftar's forces and listed the names and passport numbers of more than 80 Syrians bound for Europe. Once in Libya, the Syrians may wait weeks or months for the journey's most perilous part. More than 1,800 migrants of various nationalities have died crossing the central Mediterranean towards Europe this year, according to International Organization for Migration figures. Around 90,000 others have arrived in Italy, according to the UN refugee agency, most having embarked from Libya or Tunisia. A 23-year-old from northern Syria's Kurdish-held Kobane was among around 100 survivors of the June shipwreck off Greece. He paid more than $6,000 for a trip that almost cost him his life. "There was terror," he said. Six people died in desperate fights over food and water, and "on the fifth day, we started drinking seawater". "I wanted to leave the war behind, live my life and help my family," he said from Europe, warning others against making the trip. "I was promised decent lodgings and a safe trawler, but I got nothing." The Founder and Leader of All Peoples' Congress (APC) Dr Hassan Ayariga has noted that he will try all means possible to convince astute business magnate Ambassador Alhaji Salamu Amadu to contest the Ayawaso North Constituency on the ticket of All Peoples Congress as a parliamentary candidate. Dr Ayariga made the statement at the National Mosque, Kanda when he was addressing a gathering to Mark International Youth Day which was organized by the Afro-Arab Group and its founder Ambassador Alhaji Salamu Amadu. According to him, he knows it will not be an easy task to convince the young businessman to go into politics. He added that the people of Ayawaso North need someone like Ambassador Salamu to turn their misfortunes into blessings. "Inshallah I will do all I can to convince my brother Ambassador Alhaji Salamu Amadu to contest on the ticket of my political party as a parliamentary candidate. He's a unifier and team leader so if I can secure him as a parliamentary candidate victory shall be ours in the Ayawaso North Constituency," he stated. Dr Hassan Ayariga noted that it is time for him to do that for the liberty of the youth in the Ayawaso North Constituency. "We all know in the Bible that Moses was tasked by God to take the Israelites to the promised land but he was changed and the barton was given to Joshua to lead them to the promised land so I want to make known to the good people of Ayawaso North that Ambassador Alhaji Salamu Amadu is their 'Joshua'. "He will do well as a politician because of his track record as a businessman, entrepreneur, and philanthropist," he emphasized. Chamber for Local Governance (ChaLoG) has expressed] concern regarding a recent statement attributed to Dr Serebour Quaicoe, the Director of Electoral Services of the Electoral Commission (EC) of Ghana. The statement indicated that the Electoral Commission would announce a new date for the District Level Elections (DLE) in the upcoming week. ChaLoG is of the opinion that this delay strategy by the Electoral Commission appears deliberate and could potentially undermine the forthcoming DLE. This perception arises from the fact that Parliament had requested the Electoral Commission to incorporate the guarantor system, which had been omitted without valid justification, into the Constitutional Instrument (C.I) presented to them. Dr Richard Fiadomor, President of ChaLoG, conveyed these concerns in a statement dated August 15, 2023, in Accra. ChaLoG highlighted that earlier in the year, the Electoral Commission had published a comprehensive "PROGRAMME OF ACTIVITIES FOR 2023 DISTRICT LEVEL ELECTIONS." This schedule outlined a series of events and initiatives that were initially planned to span from January 10, 2023, to October 3, 2023, culminating in the scheduled election. However, the Electoral Commission deviated from its own proposed timeline after Parliament rejected the unpopular Constitutional Instrument (C.I), leading to a subsequent boycott-like stance by the Electoral Commission. This has prompted Ghanaians to learn in August 2023 that a new election date will be determined in the current week. Emphasising its constitutional mandate, ChaLoG referred to Article 246 (1) of the 1992 Constitution, which obliges the Electoral Commission to conduct the District Level Elections every four years. Consequently, a new DLE should ideally be organised either later this year or early next year. A pertinent inquiry posed by ChaLoG to the Electoral Commission pertains to the feasibility of compressing the originally planned ten-month timeline into a condensed four-month period, assuming the DLE is rescheduled for December 2023. In conclusion, ChaLoG strongly urged the Electoral Commission to desist from what it perceives as a deliberate obstruction and return to its initial "PROGRAMME OF ACTIVITIES FOR 2023 DISTRICT LEVEL ELECTIONS." This would ensure minimal further delays, allowing registered voters to actively participate in selecting their Electoral Area and Unit Committee Representatives. These individuals will serve as replacements for the current Assembly and Unit Members, whose four-year term is set to conclude on January 22, 2024. Source: classfmonline.com 16.08.2023 LISTEN Before the Europeans greedily exerted the monster called Imperialism on the African continent and succeeded in its scramble, Africans knew no conflicts of massive magnitude, though there were pockets of tribal rivalry which led to insignificant wars between feuding states. The Scramble for Africa is a term widely used by historians to describe the invasion, annexation, division, and colonization of most of Africa by seven Western European powers during an era known as "New Imperialism". (Wikipedia) As history has it, one of the cardinal factors for the scramble of Africa, especially in the Sub- Saharan portion of the continent was the rich and immeasurable raw materials discovered over here. The mineral deposits in these countries attracted many European investors who needed raw natural resources to improve their quest for industrialization and to propel their economies to flourish. Also, after they discovered that there was a huge amount of raw materials in this portion of the globe, there was an increased demand for it by many of these European countries, especially Britain, which somewhere in the 19th century( 1833 and 1914) was going through an economic deficit. Therefore, there was an urgent need to militarily protect wherever they could find raw materials to feed their industries. Hence the establishment of the military and naval bases for strategic purposes. There were other reasons for the partition of Africa but these were the very common reasons. One would have thought that after all these centuries and the return of most if not all parts of the African continent to non-imperialistic states, for that matter, independent states, the Europeans would have completely restrained from interfering with the affairs of the African continent and allowed the sovereignty of individual countries to manifest in the management of their internal socio-economic and political issues. However, this has been far from reality as many independent African states still have their socioeconomic and political umbilical cords intensively attached to their colonial masters. These former colonial masters still control the affairs of many of these independent countries from their homes (abroad) using financial aid to induce and cow the African countries into submission. The myriad of coup d'etats that are witnessed of late in the subregion suggests that imperialism has come back. The only difference is that greedy, insensitive, and corrupt African leaders have invited this second phase of imperialism on themselves. The invitation is extended to countries where they can access either monastery benefits or any support in terms of weapons to solidify their internal defense against rebel groups, who are also being controlled from outside these countries. Currently, it's also true that there is a power struggle among the so-called superpowers. Economic dominance is a common reason for this power struggle and this has created a dichotomy within the superpowers in the world. The so-called superpowers are in two different groups. This can also be seen in the current Ukraine - Russian war. One group supports Ukraine and the other group is on the side of Russia. Back home here in Africa, the war is being fought silently and in a different perspective. Who are these rebel groups and what is their interest? The answers to these questions will inform you that the two groups have taken the fight against each other indirectly to the African continent. It's said that when two elephants are fighting, it's the grass that suffers. Obviously, this is what is going on in the African continent, especially, in the Saharan region. I fear more war brutalities, atrocities, and displacement of innocent citizens as these coup d'etas continue to occur without any pragmatic measures being put in place to curtail them. Unfortunately, each country protects its interest and looks up to the developed countries where they get their butter and bread from. This is what is making our leaders suffer from chronic indecision as to whether to strike these rebels or not. The West and Europe have unduly gained a lot from the continent and they have now turned it into a dumping site, where all manner of rotten and cheap products are directed to. They can't do this to themselves and that is why they need foolish African leadership who they can remote control and take advantage of them. No wonder most of these corrupt African leaders can't even fight against the promotion of same-sex policies in their countries even though the acts are alien to our beautiful culture. As for the immutable African leaders, the only time they are affected is when they are ousted from power by rebels or their own trusted guards, who are mostly also influenced by the same imperialists, then they turn to lose the few dollars from their sponsors and begin to reason properly. We can't, therefore, blame the West and Europe so much if our leaders refuse to see a need to move away from corruption and other tendencies that bedevil our continent. Visionary leaders like Nkrumah and other Africans saw this coming and urged their colleagues to form a formidable unity as the only way to fight against the West and Europe. Because they believed those people will never stop taking advantage of the African continent even after they allowed many of their former colonial countries to enjoy some level of autonomous status. Most African countries if not all never enjoy full autonomy because they have never been economically independent. Africans are watching!!! Clashes between rival militias in Libya's capital Tripoli has killed at least 27 people and left residents trapped in their homes, emergency medics said. The fighting appears to be the most intense to shake Tripoli this year. In addition to the deaths, more than 100 people were injured, Libya's Emergency Medicine and Support Centre, which provides emergency services in the west of Tripoli, said early on Wednesday. A total of 234 families were evacuated from frontline areas in the capital's southern suburbs, along with dozens of doctors and paramedics who were trapped by the fighting while caring for the wounded, the centre said. It is unclear how many of the dead were fighters or civilians. The clashes erupted late on Monday between the 444 brigade and the Special Deterrence Force, two of the many militias that have vied for power since the overthrow of dictator Moamer Kadhafi in 2011. Tensions flared after a senior commander of the 444 brigade was allegedly detained by the rival group at an airport in Tripoli earlier in the day, according to local media. Late on Tuesday, city elders acting as negotiators announced that a deal had been reached to release the commander, Mahmoud Hamza, to a neutral party. The fighting abated overnight as a result. Airport closed The Libyan capital's only civilian airport, Mitiga, remained closed to commercial flights on Wednesday, officials said. Flights were being diverted to Misrata about 180 kilometres to the east. Libya has seen more than a decade of conflict since Kadhafi was ousted. Two rival administrations, one in Tripoli and another based in the eastern city of Benghazi, are vying for control through alliances with militias on the ground. A period of relative stability had led the United Nations to express hope that elections could finally take place this year, and the latest fighting triggered international calls for calm. The United Nations Support Mission in Libya said it was "following with concern" the fighting in Tripoli. "All parties must preserve the security gains achieved in recent years and address differences through dialogue," UNSMIL said. The embassies of France, the UK, the European Union and the United States all echoed the UN's call for de-escalation. (with newswires) 16.08.2023 LISTEN Actor cum politician John Dumelo is grieving the loss of his mother. The actor announced the death of Mrs Antoinette Ama Ampomah Dumelo in a Facebook post on Tuesday, 14 August 2023. My heart is broken. My dear mother passed away yesterday my number one supporter, the actor stated. Heaven has gained an angel, the actor added. Mrs Dumelo died on Tuesday, 15 August 2023, at the age of 71. The leadership of the main opposition National Democratic Congress (NDC) recently endorsed the actor to contest the Ayawaso West Wuogon constituency in the 2024 general elections. Dumelo had contested the seat on the ticket of the NDC in the 2020 elections but lost to the constituencys current Member of Parliament (MP) Lydia Alhassan. Poor reading culture in the various educational institutions in the country particularly at the primary level has been a major concern for stakeholders in education in the country. In Obuasi, AngloGold Ashanti has partnered the Otumfuo Osei Tutu II foundation and the Obuasi East and the Obuasi Municipal Assemblies to roll out a program which seeks to improve the reading abilities of pupils at the early grade stage. In a follow-up to a workshop on differentiated learning for early grade teachers sponsored by AngloGold Ashanti, more than 1000 pupils in the Kindergarten level in both the Obuasi East and the Obuasi Municipality have been given textbooks worth GHS1.3 million cedis to boost their reading capabilities. At a ceremony to handover the books to the education directorates for onward distribution to schools, the Social Development and Gender Superintendent Superintendent of AngloGold Ashanti Mavis Nana Yaa Kyei said the role of education on national development cannot be overemphasized stressing that AngloGold Ashanti recognizes that, a nation cannot develop without focusing on education hence has committed to improving education in its operational areas. She said the partnership with Otumfuo Osei Tutu II Foundation and the Assemblies to distribute over 30,000 books forms part of the formal educational support of AngloGold Ashanti which has been captured in its 10-year Socio-Economic Development Plan. "We are focused on improving the quality of education in our communities. This we want to achieve by inculcating onto the school children the culture of reading especially at the basic level," she stated. Mrs. Kyei opined that focusing on basic level was critical in promoting reading among school children. She said once the children are able to read at the foundation level, they will be able to understand examination questions going forward. The Municipal Chief Executive for Obuasi Hon Elijah Adansi-Bonah lauded AngloGold Ashanti for its efforts in promoting quality education in Obuasi. He said the comeback of AngloGold Ashanti has seen the mining giant significantly contributing towards not only infrastructural development but also improving the quality of education in Obuasi. The Chief Executive for the Obuasi East District who was also present touched on the importance of reading at the basic education level stressing that it enhances the knowledge capacity of pupils. She also commended the Otumfuo Osei Tutu II Foundation for championing education in the country. The Obuasi Municipal Director of Education George Alfred Koomson said the Ghanaian language and literacy books are needed to complement the efforts of the directorate to improve the reading abilities of pupils in both the Obuasi East and the Obuasi Municipality. "During the workshop organized by AngloGold Ashanti for teachers, we made a request to them to supply the school kids with reading materials to aid teaching and learning. We commend AngloGold Ashanti for their commitment toward educational improvements in Obuasi," he emphasised. Seventeen troops died in a jihadist ambush in Niger, the government said, in a reminder of the nation's deep security crisis as its military rulers face off against neighbours determined to reverse last month's coup. An army detachment was "the victim of a terrorist ambush near the town of Koutougou" in the Tillaberi region near Burkina Faso on Tuesday, said a defence ministry statement published later that day. It added that another 20 soldiers had been wounded, six seriously, with all the casualties evacuated to the capital Niamey. More than 100 assailants, who were travelling on motorbikes, were "neutralised" during their retreat, the army said. A jihadist insurgency has plagued Africa's Sahel region for more than a decade, breaking out in northern Mali in 2012 before spreading to neighbouring Niger and Burkina Faso in 2015. The so-called "three borders" area between the three countries is regularly the scene of attacks by rebels affiliated with the Islamic State group and Al-Qaeda. The unrest across the region has killed thousands of troops, police officers and civilians and forced millions to flee their homes. Niger. By Tupac POINTU (AFP) Anger at the bloodshed has fuelled military coups in all three countries since 2020, with Niger the latest to fall when its elected president, Mohamed Bazoum, was ousted on July 26. Alarmed by the cascade of takeovers, the West African bloc ECOWAS has warned of possible military intervention to reinstall Bazoum, who is being detained in the presidential compound in Niamey. Military chiefs of the Economic Community of West African States (ECOWAS) are to meet in Ghana on Thursday and Friday to follow through a decision by their leaders last week to deploy a "standby force to restore constitutional order" in Niger. Analysts say an intervention would be militarily and politically risky, and the bloc has declared that it prefers a diplomatic outcome. Troubles Talks have taken place this week in Addis Ababa, gathering ECOWAS and Niger representatives under the aegis of the African Union. Niger's democratically elected president, Mohamed Bazoum, was overthrown by members of his guard on July 26. By Issouf SANOGO (AFP/File) On Tuesday, Niger's military-appointed civilian prime minister, Ali Mahaman Lamine Zeine, made an unannounced visit to neighbouring Chad -- a key nation in the unstable Sahel but not a member of ECOWAS. He met President Mahamat Idriss Deby Itno, handing over what he described as a message of "good neighbourliness and good fraternity" from the head of Niger's regime. "We are in a process of transition, we discussed the ins and outs and reiterated our availability to remain open and talk with all parties, but insist on our country's independence," Zeine said. Bazoum's election in 2021 was a landmark in Niger's history, ushering in the country's first peaceful transfer of power since independence from France in 1960. Economic Community of West African States. By Nalini LEPETIT-CHELLA (AFP) He survived two attempted coups before being toppled in the country's fifth military takeover. ECOWAS has applied a raft of trade and financial sanctions while France, Germany and the United States have suspended their aid programmes. The measures are being applied to one of the poorest countries in the world, which regularly ranks bottom of the UN's Human Development Index, a benchmark of prosperity. Niger is also facing a jihadist insurgency in its southeast from militants crossing from northeastern Nigeria -- the cradle of a campaign initiated by Boko Haram in 2010. On Saturday 5th August 2023, the town of Osu came alive with vibrant sights and sounds of a special event. This festival known as the Dade Tutswaa took place for two exciting days. It is a meaningful celebration that happens just before the famous Homowo festival in Osu. The Dade Tutswaa Festival ushers the people of Osu to come together, show their pride, and honor their ancestors. It is a special time that brings the community closer and sets the stage for the bigger Osu Homowo celebration. Background The Dade Y3l3yeli Shifoo and Asafo Tutswaa, affectionately known as Dade Tutswaa, stands as a vibrant annual festival that resonates deeply within the heart of Osu, one of the seven traditional Ga-Dangme paramountcy in Ghana. This captivating festival is a tribute to both the military prowess of the Osu people and their ancestral deity, Dade, revered for its historical role in ancient warfare. At its core, the Dade Tutswaa Festival serves as a unifying force, bringing together all four divisions of Osu: Kinkanwe, Ashinte, Alata, and Anorhor. Guided by the Kinkanwe Asafo, the festival's grand procession travels through each division then final ending at Kinkanwe. This captivating journey meanders through the heart of Osu, paying homage to traditional homes and sites of significant historical importance. As the procession weaves through the community, the revered flags of Kinkanwe: Antoa and Akonfode lead the way, accompanied by the Asafoiats3m3i (the Asafo captains), traditional leaders and community members. The procession goes into the Osu Castle which has various traditional elements within that predates the building of the castle. It also visits ancient homes throughout the traditional area of the township. In every division that the procession arrives, the leading men and women offer drinks to the procession, the deity and the Asafo. Central to the festival are the captivating displays of musketry and traditional dances, symbolic of the revered Asafo culture of Osu. These dances, uniquely Osu's, are a testament to the community's cultural distinctiveness. The traditional colors of the celebration are red and white. On Saturday, the dominant color is red with a touch of white and on Sunday the dominant color is white with a touch of red. Prior to the grand Saturday procession, the Asafo Company sends forth drinks as messengers of celebration to the leading traditional homes. This not only announces the festival's arrival but also invites these homes to prepare for the Asafo warriors and the revered deity's visitation. The lead figures of the festival, led by the Nii Shippi Nkpa (the battlefield commander), Dzaase Asafoats3 Nii Tempong Sarpei III (senior captain), alongside Asafoats3 Brenya under the Antoa flag, and Asafoats3 Dzahene under the Akomfode flag, ensure the festival's seamless celebration. Setting the stage With the successful celebration, the commemoration and a testament to its unifying prowess. The festival has not only honored the valiant warriors of yesteryears but has also reinforced the bond that ties the community together. Through its captivating displays, vibrant colors, and deep-rooted traditions, Dade Tutswaa stands as a timeless testament to the resilience and cultural richness of Osu and sets the stage for this years Homowo scheduled to take place a few weeks from now. 16.08.2023 LISTEN The National Democratic Congress (NDC) Youth Wing has opposed the imposition of a 10% tax on bet winnings by the Akufo-Addo/Bawumia government. In a press release signed by the NDC National Youth Organizer, George Opare Addo, on August 16, 2023, the youth expressed its collective determination to resist what they perceive as an unfair and burdensome policy. The NDC Youth Wing emphasized its commitment to safeguarding the financial interests of young people who participate in betting activities. According to the release, they "declare a resolute series of actions to resist this burdensome and insensitive policy." The press release highlighted various grievances of the youth against the government. "The monies stolen and stashed by appointees of this NPP government and saved in their homes and bank accounts. The massive corruption happening at the bank of Ghana under the watch of Dr. Ernest Addison. The failed vision of President Akufo-Addo's government. The Lies of Vice-President, Dr. Mahamudu Bawumia, seen now to be a public ridicule amongst young people. "The arrogance of appointees of the government who have taken the pleasure of stealing public funds, talking down on citizens, and robbing young people of the meagre sums they secure through betting in an economy that has impoverished them," the statement added. In response to these concerns, the NDC Youth Wing outlined their proposed actions. "Picket at the Ministry of Finance and occupy government offices across the country. Start and scale up nationwide conventional and unconventional lawful agitations as a measure of the resistance. Instigate young people to confront officials of this government and demand 'AN END to the CORRUPTION and Insensitivity of the Akufo-Addo-Bawumia-failed brigade. Occupy Parliament and other government agencies," the statement emphasised. The NDC Youth Wing calls on all young Ghanaians to join its efforts to kick against the tax policy. They assured that further instructions would be communicated through its constituency youth wing departments across the country. 16.08.2023 LISTEN The Council Members of the Kumasi Technical University (KsTU) in the Ashanti Region is set to name Professor Gabriel Dwomo as the school's new Vice Chancellor. Professor Abdul Aziz, the Local Secretary of the Technical University Teachers Association (TUTAG) of Kumasi Technical University (KsTU) confirmed to OTEC FMs breakfast show on Wednesday, August 16, 2013, that the Council arrived at the decision at a meeting on Tuesday August 15, 2023. Prior to the appointment of the new Vice Chancellor, the local chapter of the Technical University Teachers Association (TUTAG) had petitioned the Council to ensure they name a person from the University to serve as the new Vice Chancellor. TUTAG members ahead of the meeting stormed the venue with ply cards and red armbands calling on the Council not to go looking outside the facility for a new Vice Chancellor. Following the appointment of Professor Dwomo as the next Vice Chancellor of Kstu, TUTAG members who welcomed the decision said they will do everything possible to ensure his tenure of office becomes a success. The Secretary of TUTAG at the University, Professor Abdul Aziz said they were happy the Council heeded their calls by choosing one of their own to run the school. "The Council is yet to come out with official communication to that effect, but we can assure you that our current Pro-Vice-Chancellor, Professor Gabriel Dwomoh will be our next Vice Chancellor, Professor Abdul Aziz told the programmes host Captain Koda "He has been in the university for 14- years and has served through all the ranks of the school, so we believe strongly his appointment is in the right direction, we commend the Council for their wise decision," he added. The Statutes of the University stipulate that the Vice Chancellor has an individual renewable tenure of four years, while the Pro-Vice Chancellor has three years. Established as Kumasi Technical Institute in 1954, the KsTU is one of Ghanas foremost technical universities, presently holding the status as the best technical university in the country. Earlier agitations Members of the Technical University Teachers Association (TUTAG), Kumasi Technical University (KsTU) chapter, were resisting attempts by the universitys governing board to impose what they call a foreigner as a Vice Chancellor of the school. The local chapter argued they have equally competent and experienced individuals to steer the affairs of the university. On Tuesday morning, lecturers at the school converged at the university campus to register their displeasure over the supposed imposition. Clad in red and black apparel, the senior academic members of the university wielded placards with the inscription, #VC_INTERNALMOVEMENT, while palm trees at the schools administration block were decorated with red cloth. The disagreement delayed the quest to appoint a new head of the university as the current Vice-Chancellor ends his tenure in September this year. Sources at the university indicate that the governing board of the university held a crunch meeting to resolve the impasse. Presently, Professor Osei-Wusu Achaw, a Chemical Engineer, is the Vice-Chancellor of the university. He was appointed to office in 2019 together with three other officials to the governing council of the university. About Professor Gabriel Dwomoh The renowned Professor has served in the university with utmost dedication for 14-years and now the Pro-Vice Chancellor of the school. Prof. Gabriel Dwomoh is the first and youngest Full Professor of the Business School and Kumasi Technical University in general. Prof. Dwomoh attended the prestigious Opoku Ware Senior High School and continued to the University of Cape Coast (1994-1999) where he read Bachelor of Secretaryship and passed out with flying colours. He further continued to Kwame Nkrumah University of Science and Technology between 2001 to 2004 reading Master of Arts, Industrial Psychology (Human Resource Management) and the Lincoln University from 2003-2004, Master of Business Administration (Finance). He obtained his PhD continued to the Costa Rica Business School to pursue a PhD. He started his career as a Management Trainee Assistant at Anglogold Ashanti and moved on to Sunyani Technical University (Sunyani Polytechnic) as a lecturer from 2000-2003. He joined Kumasi Technical University in 2009 as a lecturer in Human Resource Management and Entrepreneurship. He was promoted as Senior Lecturer and appointed to head the then Institute of Entrepreneurship and Enterprise Development as the Director, from January 2012 through to September 2016. He further moved to head the Institute of Research Innovation and Development and subsequently as Director of the International Affairs and Institutional Linkages. He was instrumental in the Institute of Research Innovation and Development being registered as a recognized and approved centre for MMDAs to award governments. He also led to the signing of MoUs with several District Assemblies for joint proposal funding. Willemstad, 16 August 2023 In 2022, Genesis, 22, and her partner Jose* squeezed aboard a small, overloaded boat captained by people smugglers and packed with migrants bound for Curacao, an island in the Caribbean 65 kilometres (40 miles) off the Venezuelan coast. Seven months pregnant at the time, Genesis hoped for a new life working as a housekeeper where, despite living precariously on the margins of society, she could make more in one day than in one month back home. Anything she saved would be sent to her mother, who was struggling to provide food and clothes for the four-year-old daughter Genesis left behind. En route, the vessels aging motor died in heavy swells and the boat began to sink; its 31 passengers including Genesis, who did not know how to swim, were terrified of drowning. Traditional Caribbean fishing boats used for transporting migrants. Photo: IOM/Gema Cortes Genesis holding her eight-month-old baby at the entrance of her home in Willemstad. Photo: IOM/Gema Cortes The Dutch-style architecture of Willemstad is a draw for Venezuelan migrants and tourists in Curacao. Photo: IOM/Gema Cortes Shipwreck on the rocky coast of Klein Curacao. Photo: IOM/Gema Cortes It was horrible, a lot of water came into the boat, everyone was screaming, she said, recalling the dramatic eight-hour journey. I was afraid of being eaten by the sharks, being crushed to death on the rocks, or disappearing at sea. I just cried, prayed for my baby, and held on to my partner. Perilous journeys IOMs Missing Migrants Project (MMP) documented the deaths and disappearances of at least 321 migrants in the Caribbean last year, a record since MMP began its work in 2014, and an 84 per cent increase from the 180 recorded in the previous year. Thus far in 2023, at least 120 migrants have died or disappeared in the Caribbean. We are calling for more action to prevent deaths and protect migrants who are embarking on these routes, regardless of their status and at all stages of their journey, said Karen Wouters, IOM Project Coordinator in Curacao. Prevention begins with regular migration pathways, defending the rights of families to stay together and responding to the needs of migrants in vulnerable situations. This map presents an overview of the migration routes used throughout South America and is for illustration purposes only. The boundaries and names shown, and the designations used on this map do not imply official endorsement or acceptance by the International Organization for Migration (IOM). The young couple came from La Vela de Coro, a bedroom town on the Venezuelan coast where most homes are empty. Many of their owners have set off to sea for Curacao, a constituent country within the Kingdom of the Netherlands. More than 7 million people have left Venezuela in recent years as a result of the political turmoil, socio-economic instability and the ongoing humanitarian crisis. The vast majority have sought new lives in the Americas and Caribbean. Most Venezuelans cross land borders into Colombia or Brazil, but for many in coastal towns, Curacao, a popular destination for European and American tourists, Aruba and the twin-island nation of Trinidad and Tobago are much closer. Due to a long history of mutually beneficial commerce and travel, tourism and migration ties, many mainlanders have friends and relatives on the islands who can provide housing and job connections. Today, more than 14,000 Venezuelans live in Curacao equivalent to almost 10 per cent of the islands population of nearly 154,000. Many flew in as tourists, but some are making the perilous sea voyage. Although Curacaos Venezuelan population is tiny compared to Colombia, 2.5 million, and Peru, 1.5 million, the island is home to one of the highest numbers of displaced Venezuelans relative to its population. From Curacaos postcard-perfect beaches, one can sometimes see the coast of Venezuela. Photo: IOM/Gema Cortes At least 321 deaths and disappearances of migrants in the Caribbean were documented in 2022. Photo: IOM/Gema Cortes A life in hiding Arriving with nothing but faith, Genesis and Jose are gradually building a life in Curacao and raising their infant son. The International Organization for Migration (IOM) provided them rental assistance, cash vouchers, food, clothing, and referral information to local partners who can assist them with other services. The life of a Venezuelan migrant in Curacao is not easy. Cultural and linguistic differences and the lack of a formal pathway to obtain work and residence permits or Dutch citizenship means the states migrant population live in constant fear of being deported. We must be hidden. This is the life of the irregulars here in Curacao, said Genesis, who rarely leaves her one-room house in a modest neighbourhood in the capital Willemstad. Genesis preparing a feeding bottle for her son at home in Willemstad. Photo: IOM/Gema Cortes Aerial view of sunshine beaches in Curacaos capital, Willemstad. Photo: IOM/Gema Cortes A trained mechanic, Jose is a construction day-labourer, earning enough money to send back home. Genesis will start cleaning homes soon. Venezuelans like me are coming to Curacao for a simple reason: its easier to survive here, she said, sitting with her eight-month-old son on a beach crowded with European holidaymakers where, when on a clear day, she can see the coast of her country. "I came with the intention of going back in the long term. Though our lives are better here, our hearts are always back in Venezuela. Genesis is one of the 16,000 Venezuelans living on the small Caribbean Island of Curacao equivalent to almost 10 per cent of the population. Photo: IOM/Gema Cortes This story was written by Gema Cortes, IOM Media and Communications Unit, Office of the Special Envoy for the Regional Response to the Venezuelan Situation. *Name changed for protection reasons. 16.08.2023 LISTEN Mr. Frank Octhere Paintsil, Chief Executive Officer (CEO) of EKPS, a Shipping Services Limited based in Tema has declared his intention to contest Gomoa Central Parliamentary Primaries of the opposition NDC if nomination opens. According to him, the NDC stands a better chance of recapturing the parliamentary seat from the NPP. "Am waiting patiently for the opening of nominations to enable go for the forms and am optimistic that the delegates will vote massively for me knowing the kind of cordial relationship that exists in us as a family. "Am yet to visit the delegates to send my message across but even before then, the series of calls from the delegates urging me to contest is enough motivation for me," he stated. In an interview with newsmen at Gomoa Afransi last Sunday, Mr. Frank Octhere Paintsil, a native of Gomoa Afransi and also a Chairman at Palegic Ocean Fisheries Limited noted that he stands tall among all those who have the same intention to contest the primaries in the Gomoa Central constituency. He disclosed that the NDC need someone with charisma like him to unseat the NPP Member of Parliament for Gomoa Central Hon Naana Eyiah who doubles as the Deputy Minister for Interior. "Am saying this because Frank Octhere Paintsil is a household name in all the communities in Gomoa Central constituency, especially among NDC party delegates and sympathizers. "No wonder calls from them urging me to contest the Parliamentary primaries because they believe am the best candidate to recapture the Parliamentary seat for the NDC. "Another important reason why I want to contest the primaries is to unify the party because of the many factions and divisions. Am coming to serve as a unifier that will bring everyone on board for the battle ahead of us," Mr. Frank Octhere Paintsil assured. He therefore calls on the delegates to throw their unflinching support for him to win the primaries and subsequently recapture the parliamentary seat for the party come 2024. The leadership of the opposition National Democratic Congress (NDC) has introduced special donation cards known as Good Governance Cards in an effort to raise money for the party in a sustainable way. In a letter addressed to all Regional Chairmen of the party, signed by its Director of Administration, Emmanuel Zumakpeh, on Tuesday, 15 August 2023, the party revealed this new system is an overhaul of the old one, with two new cards being introduced to widen the net and rope in more funds. They are the Standard and Loyalty Cards. According to the leadership of the party, the cards have features: they are self-registering with mobile money and bank payment options as well as handled by a digital platform manager. Also, a softcopy of the card is dispensed instantly upon completion of payment while the hardcopy is printed and sent to the applicant. The cards, the party noted further, are under the following classifications: Prestige, Platinum, Gold, Silver Bronze, Standard and Loyalty. Owners of the existing cards will be rolled over to the new ones with the appropriate modifications, the letter added. Source: classfmonline.com Gun battles between two leading armed groups in the Libyan capital Tripoli have killed 27 people and wounded 106, medics said Wednesday, as a tentative truce took hold. The clashes between the influential 444 Brigade and the Al-Radaa, or Special Deterrence Force, two of the myriad of militias that have vied for power since the overthrow of longtime dictator Moamer Kadhafi in 2011, erupted on Monday night and raged through Tuesday. A total of 234 families were evacuated from frontline areas in the capital's southern suburbs, along with dozens of doctors and paramedics who had got trapped by the fighting while caring for the wounded, the Emergency Medicine Centre said. Three field hospitals and a fleet of around 60 ambulances had been deployed to the area after the fighting broke out. The clashes were triggered by the detention of the head of the 444 Brigade, Colonel Mahmud Hamza, by the rival Al-Radaa Force on Monday, an interior ministry official said. Smoke billows over the Libyan capital amid heavy fighting between the two main armed groups linked to the Tripoli-based government. By Mahmud TURKIA (AFP) Late Tuesday, the social council in the southeastern suburb of Soug el-Joumaa, a stronghold of the Al-Radaa force, announced an agreement had been reached with Prime Minister Abdelhamid Dbeibah, head of the UN-recognised government based in the capital, for Hamza to be handed over to a "neutral party". In a televised announcement, the council said a ceasefire would follow the transfer of the force's commander and late Tuesday the fighting abated. Both armed groups are aligned with Dbeibah's government, one of two rival administrations that vie for power through shifting alliances with the militias on the ground. Overnight, Dbeibah visited the southeastern suburb of Ain Zara, which saw some of the heaviest fighting on Tuesday, accompanied by Interior Minister Imed Trabelsi. Dbeibah "saw for himself the severity of the damage" as he toured the densely populated neighbourhood's unlit streets, his government's press office said on its Facebook page. He gave instructions for a survey of the damage to be carried out so that residents could be compensated, it added. The interior ministry put in place a security plan to deploy officers to battleground districts to oversee the truce announced between the two sides. Airport reopens The Libyan capital's only civilian airport, Mitiga, which lies in an area under Al-Radaa's control, reopened to commercial flights on Wednesday, officials said. Flights had been diverted to Misrata about 180 kilometres (110 miles) to the east throughout the day on Tuesday and again on Wednesday morning. Libya has seen more than a decade of stop-start conflict since the NATO-backed revolt that toppled Kadhafi. In May, the two armed groups had clashed for hours in Tripoli, also after the arrest of a 444 Brigade member. Forces affiliated with the Tripoli-based Government of National Unity (GNU) deploy following the clashes. By Mahmud TURKIA (AFP) A period of relative stability had led the United Nations to express hope for delayed elections to take place this year, and the latest fighting triggered international calls for calm. The UN mission in Libya said it was "following with concern" the security deterioration in Tripoli and its impact on civilians. Human Rights Watch Libya researcher Hanan Saleh expressed outrage that the capital's armed groups continued to settle their differences with heavy weaponry in residential areas without being held accountable. "Surely, Libyans at risk of such violent incidents deserve more? Nothing will change unless there are consequences," she said. Libya specialist Jalel Harchaoui said the latest fighting highlighted the failure of the international community to address the problem of the militias. "However events unfold, the past three years have been wasted by diplomats, politicians, the security planners and peace building specialists. Tripoli is a territory even more dominated by the militias than before," Harchaoui said. The 444 Brigade is affiliated with Libya's defence ministry and is reputed to be the North African country's most disciplined. It controls the southern suburbs of Tripoli, and other areas. The Al-Radaa force, commanded by Abdel Rauf Karah, is a powerful ultra-conservative militia that acts as the capital's police force and controls central and eastern Tripoli, Mitiga air base, the civilian airport and a prison. Libya is split between Dbeibah's UN-backed government in the west and another in the east backed by military strongman Khalifa Haftar. In August last year, 32 people were killed and 159 wounded in Tripoli when groups supporting the Haftar-backed government fought with Dbeibah's forces. Ghana has over 2.3 million people living with various mental health conditions, yet mental health care remains a challenge, with a 98% treatment gap. Since 2022, the country has been implementing the Director Generals Special Initiative for Mental Health (DG-SIMH) in four regions to address the gaps and strengthen the standard of mental health and well-being. The five-year initiative supported by the Government of Norway and USAID seeks to get 100 million more people to access quality and affordable mental health services in 12 countries around the world, including Ghana. Now, the World Health Organization (WHO) is enhancing the capacity of the implementing regions and partners to ensure the sustainability of the initiative and other inventions even after the implementation period. Through the WHO Special Initiative for Mental Health, Ghana has stepped up efforts to improve mental health care. So now we are working to ensure that the significant effort being made is sustained beyond the lifespan of the initiative, says the Noncommunicable Diseases & Risk Factors Officer at WHO Ghana, Dr. Joana Ansong, during a sustainability planning and business case development workshop for the implementing partners in Kumasi. On her part, the Deputy Director for Mental Health at the Ghana Health Service, Dr Amma Boadu lauded the foresight of WHO in seeking to enhance capacity for sustainable programming and pledged the services commitment to leverage the new skills to sustain health programmes at all levels. The workshop was aimed at building the foundational knowledge and expertise of the regions to effectively pursue, secure, and manage strategic partnerships for sustainable and impactful health programs. This capacity will help us to address gaps in our efforts to sustain mental health programmes and other health interventions, especially at the subnational level where resources are very constrained, Dr. Boadu noted. Participants described the workshop as timely and insightful as they were empowered with the requisite technical competence to build strong business cases for sustainable health interventions. This is the first time we are participating in this kind of workshop. We are ready to leverage the skills to not only mental health programmes but all other health interventions, says Mr. Edward Owusu, the Savannah Regional Mental Health Coordinator. The four-day workshop saw participants taking through partnerships, resource mobilization, and advocacy to strengthen their capacity to sustain their health programmes. Residents who make prank calls would be tracked down and arrested, Divisional Officer III, Ebenezer Yenzu, the Public Relations Officer for the Ghana National Fire Service (GNFS) Tema Regional Command, has said. He noted that the GNFS continued to see a significant number of hoax calls and had put mechanisms in place to address the situation. DOIII Yenzu said travelling to a site based on a hoax call with limited resources was concerning and had an impact on the operations of the service. Imagine that after leaving Tema for Sakumono, we finally realize that the call was a joke, but by this time Tema Community Two had experienced a true emergency. For instance, millions of cedis worth of property would have been lost before we returned to the real fire scene, he said. He warned Ghanaians, in particular the youth, to refrain from such unbecoming behaviour because those arrested would face appropriate punishment. DOIII Yenzu said some persistent hoax callers were detected and dealt with in the past and emphasised that plans were in place to make sure that hoax callers were arrested and dealt with appropriately. He said investigations had shown that most hoax callers were teenagers who had just got home from school and find the calls amusing. GNA Chief Priests and Diviners on Monday successfully performed divination rituals at Awadadas Court to pave way for this years Hogbeza. These divination rituals which forms a critical part of Anlo Hogbeza activities, was earlier scheduled for July but was disrupted due to some misunderstandings. The activities were performed under the supervision of Torgbui Satriakor III, Chairman of Hogbeza Rituals Committee and Torgbui Subo II on orders of Torgbui Zewu II, Dufia of Anloga and Awadada Torgbui Agbeshi Awusu II at his court. Today, we invited our diviners to come do their usual rituals, it was successful, the deities told us the destiny (Kporli) on which we should do our activities this year, it demanded some special sacrifices, we shall obey the gods in that regard to complete the entire process then we move on to the next phase of activities for the festival, Torgbui Satriakor told the Ghana News Agency (GNA). Torbokor Kuatsikor, one of the diviners in charge, stated clearly how they performed the rituals and promised to support the chiefs to perform the necessary sacrifices to complete the process. We did our part, we told our chiefs what needs to be done and we shall support them to complete the process. Torgbui Subo II, a Planning Committee member, in his interview with the GNA said that all has commenced perfectly towards the festival this year. It is true we faced some obstacles, but as Anlos, we believe in peace and as you can see, we are taking every step one after the other to have a successful festivalI can assure all natives to keep calm and trusts us, he said. Dufakaka is one of the first and critical rituals performed to invoke and seek the blessings of the deities and destiny on which the kingdom should celebrate their annual festival According to the programme by the publicity committee, there would be a ban on noise making and drumming from September 10th to October 1, 2023, followed by other activities towards the climax on November 5, 2023. -GNA Mr. Bright Asamoah Brefo, the Parliamentary Candidate (PC) on the ticket of the National Democratic Congress (NDC) in the Bibiani- Anhwiaso-Bekwai Constituency, has advised students to stay away from unhealthy sexual acts in their various campuses and rather focus on their studies. According to him, it was a taboo in the Ghanaian society and against the culture to indulge in such an unclean behaviour and attitude which came with numerous health issues. Mr Brefo made the appeal during the 2nd graduation and 6th matriculation ceremony of the Bibiani College of Health and Allied Sciences held the at school premises over the weekend. He said the fight against homosexuality was a shared responsibility and commended the minority in parliament for their solid decision to support the passage LGBQT+ law in Ghana. Mr Brefo congratulated the graduates and asked them to be more diligent in every aspect of their work, since they were going to be dealing with human lives. Mr. Brefo said when the NDC came to power in 2025, it would help address the challenges confronting the college. He urged both the matriculants as well as the graduates to vote massively for the National Democratic Congress (NDC) come 2024 general election to save Ghanaians from the current economic woes in the country. The PC later donated an amount of GH2,000 to the management of the school towards the successful celebration of the programme. -GNA 16.08.2023 LISTEN Mr Geoffrey Kini, the Member of Parliament of Nkwanta South in the Oti region, has appealed to the government to fix the Gekyebum bridge. The bridge, which links Nkwanta to eight other communities including Odomi, Gekrong, Keri, Pawa and Kue, is in a deplorable state, affecting the people. The MP made the appeal when he led a communal labour to fix parts of the deplorable roads on the stretches of Keri, Odomi and Kue, which have been left unattended for over decades. Some members of the communities also complained bitterly about the nature of the bridge, which they said was having a serious toll on their daily activities. We are compelled to carry our children to school and bring them back home every day since the children cannot cross the bridge on their own because of its deplorable nature and this is affecting our commercial activities. Mr Kini told the Ghana News Agency that his decision to mobilise the people to fix the roads, was in connection with his question to the Roads and Highway Minister on the floor of Parliament as to when those roads would be constructed. He said the Minister's reply that no fund was available and that the roads would be captured in the 2024 budget, was a big blow to the people of Nkwanta South. The Member of Parliament said the people of Nkwanta deserved better, the reason he organised the activity was to help fix the most affected roads to give them relief. GNA The Minister for Fisheries and Aquaculture Development, Mrs Mavis Hawa Koomson, has urged graduates of the National Aquaculture Center to make effective use of cutting-edge technologies like the Re-circulatory Aquaculture System (RAS) to stimulate innovation in the fisheries industry. She said this at the graduation ceremony for the first batch of students admitted to the National Aquaculture Center in Amrahia on Tuesday. She noted that aquaculture has become an essential option for augmenting worldwide fish supply and meeting rising seafood demand while also addressing concerns of sustainability. Mrs Koomson asserted that there was a huge potential for aquaculture to strive in Ghana, adding that the dedication, hard work and innovative mindset of the graduates can harness this potential to improve job creation and national food security. She advised the graduates to take advantage of the various supports under the Aquaculture for Food and Jobs (AFJ) initiative being implemented by the Ministry. The industry is faced with challenges such as the high cost of fish feed, the inadequate market for cultured fish and disease outbreaks. As products of this state-of-the-art Centre, you have been trained in areas such as fish anatomy, fish health, harvesting, processing and marketing, as well as, agribusiness and farm management to succeed in the industry, she stressed. The first batch of 24 students went through 13 weeks of extensive training in various aspects of aquaculture. The Member of Parliament (MP) for Assin Central, Kennedy Ohene Agyapong is unhappy with the poor performance of the Ghana cedi against the US dollar under President Akufo-Addo's administration. According to him, although he does not believe the ruling New Patriotic Party (NPP) is incompetent, he is convinced in his mind that Ghanaians cannot praise government for overseeing the huge depreciation of the local current. He was explaining why he blasted Deputy Finance Minister John Kumah who he clarifies is the government official he described as a strategist. I was drawing the attention of the Minister [John Kumah] who was on the floor of the house by 12 o'clock saying that we are not going to IMF today we are not going to IMF tomorrow and 2pm we announced that weve gone to IMF. And this same guy goes out to say that we dont want somebody like Ken Agyepong. Thats why I responded. That doesnt mean Im saying that NPP is incompetent but I will admit that moving from GHS4 to GHS12 you cannot shower praises on NPP. Read my lips. Thats the gospel truth, Ken Agyapong said during an interview with TV3. Among the 10 aspirants contesting for the flagbearer position of the ruling NPP, Ken Agyapong insists that he stands tall. He is optimistic that if he is made flagbearer, he will lead the NPP to break the 8 in the 2024 General Elections to transform the country. Member of Parliament (MP) for Assin Central, Kennedy Ohene Agyapong has admitted that when it comes to the fight against corruption, the ruling New Patriotic Party (NPP) has failed. President Akufo-Addo and the NPP came to power in 2017 after campaigning hugely on the promise to fight corruption following constant allegations of corruption in the erstwhile John Dramani Mahama administration. As part of efforts to fulfil that promise, the President after assuming the top role appointed former Attorney General Martin Amidu as the first Special Prosecutor to deal with corruption and corruption-related offenses. Unfortunately, that move did not work with Martin Amidu later describing President Akufo-Addo as the mother serpent of corruption. Speaking to Citi TV in an interview, Ken Agyapong defended the President, insisting that he should not be blamed for the failed fight against corruption alone. According to him, the judiciarys slow pace at dealing with cases has also not helped the fight against corruption. To be frank with you, whatever we said durian the campaign weve not been able to do it. Fighting corruption. There are so many cases that went to court but manipulations and machinations or whatever, theyve not even completed about five of them. Ghanaians are impatient and because of that people are doing things with impunity. But when you are blaming the president you should blame the judiciary too because of the delays. What Im saying is the judiciary is part of the problem and the government is also part of the problem, Ken Agyapong said. The flagbearer hopeful of the NPP is of the view that government alone cannot fight corruption. As president in the future, Ken Agyapong says he will educate Ghanaians as part of the fight to reduce corruption in the country. For us to fight corruption to reduce corruption we have to educate every Ghanaian. Every Ghanaian should be a partner to government so that we begin to teach them and imbibe in them good morals, the Assin Central MP said. The Africa Womens Leadership Academy (TAWLA) has proudly announced that Baroness Arlene Foster, former First Minister of Northern Ireland and esteemed member of the UK House of Lords, will deliver the keynote address at the inaugural Ladies in Leadership Awards (LILA2023). Scheduled for November 16th, 2023, at 5 pm at the College of Physicians and Surgeons, No. 54, Independence Ave., Ridge Accra, the event is themed "Empowering Women, Strengthening Economies." It promises to be a landmark occasion recognizing and celebrating the outstanding achievements of women across various sectors of the Ghanaian economy. Acknowledging their vital contributions, the ceremony will also feature the presentation of a range of fellowships. TAWLA, a distinguished center under the prestigious Christian Accra Business School's umbrella, is committed to empowering African women through leadership and business education. The Academy has been at the forefront of shaping female leaders, promoting gender equality, and building sustainable economic growth. Baroness Arlene Foster, known for her long and impactful career in politics, will share her insights and wisdom on leadership, empowerment, and economic development. As the former First Minister of Northern Ireland, Foster's leadership style and unwavering commitment to public service have made her an inspirational figure globally. Foster's presence at LILA2023 underscores the importance of women in leadership roles and the economic landscape, reflecting the event's central theme. Her address is expected to inspire, challenge, and motivate attendees, including entrepreneurs, professionals, academics, and aspiring young leaders. "We are thrilled to have Baroness Foster as our keynote speaker," said a spokesperson for TAWLA. "Her unique perspective and extensive experience make her an ideal voice to encourage and inspire the next generation of women leaders." LILA2023 tickets are now available, and further details about the event can be found on TAWLA's official website, www.ladies.academy Many people may be tempted to view the World Bank's recent announcement that it will freeze new loans to Uganda because of the country's vicious anti-LGBTIQ+ law as a harbinger of the Bank taking a more progressive approach to human rights issues. While the announcement is welcome, based on my many years studying the Bank and on my research for my forthcoming book , The Law of the International Financial Institutions, I think there are good reasons to be cautious about its significance. The World Bank , which has been operating for over 75 years, has 189 member states as shareholders. It funds development projects and programmes in member states that have annual per capita incomes below about US$12,535 . The member states elect a Board of Executive Directors that oversees the Bank's operations and approves all its loans. The Bank's Articles of Agreement stipulate that it cannot base its decisions on political grounds. The articles state that the Bank shall not interfere in the political affairs of its member states. Nor should its decisions be influenced by the political character of these states. Moreover, the Bank is instructed that it should only pay attention to considerations of economy and efficiency. And that it should not be affected by political or other non-economic influences or considerations. The articles don't define these key terms. They also don't identify the criteria the Bank should consider when deciding if a particular issue should be excluded from consideration because it is political rather than economic. This means that this decision is within the exclusive discretion of the Bank's decision makers. Division of labour The Articles were drafted and agreed in 1944. At the time, the division of responsibilities between those who made the political decisions and those who made the economic ones seemed relatively clear. It was assumed that each Bank member state, as an exercise of its sovereignty, would decide for itself how to deal with the social, environmental, and cultural impacts and consequences of the particular transaction for which it was seeking the Bank's support. The Bank, on the other hand, would take the state's decisions on these issues as given. It would merely consider if the particular loan request was technically sound and economically and financially feasible. This division of responsibility, of course, was unrealistic. The Bank's Board of Executive Directors must approve each loan. They represent its member states. It is inevitable that officials elected or appointed by and ultimately accountable to states will pay close attention to the political implications of their decisions. And that these considerations may trump the technical merits of the transaction. Thus, inevitably, political considerations, including human rights, have always been, at least implicitly, a factor in Bank operations. The futility of the Bank's attempt to exclude political, including human rights, considerations from its operations can be seen at two levels. Firstly, at the level of the Bank's relations with its member states. Secondly, at the level of individual transactions. A good example of the Bank's failed efforts to exclude political factors at the country level was its decision in the 1960s to lend to Portugal and South Africa to fund the construction of the Cahora Basa dam in Mozambique. The Bank decided to make this loan despite a UN General Assembly effort to impose sanctions on these countries because of their colonial and apartheid policies. Many African states, supported by a majority of UN member countries, argued that the loan should have been denied. Their case was that the policies of the borrowers violated the human rights of their subjects. They were also a threat to regional peace and security. The Bank's General Counsel defended the decision on the basis of the political prohibition in the Bank's articles and on the technical merits of the project. Despite its ostensible non-political position, the Bank did not make any further loans to South Africa until it became a democratic state. At the individual transaction level, the Bank funds projects and programmes that have profound social and environmental impacts. Consequently, it is forced to pay attention to some of the political, including human rights, implications of these projects and programmes. For example, if it finances a road or a renewable energy project, the project will require land. The current occupants of the land may need to be moved to make way for the project. Alternatively, the project may have social and environmental effects that hurt people. It could, for example, affect the surrounding community's ability to grow food, or place the community at higher risk of accidents or exposes more young girls and women to the risk of gender-based violence . If the affected community belong to minority groups in the country, with their own language, culture, and geographic attachments, they may qualify as indigenous people under international law and the Bank's policies. In this case, the project may require their free, prior informed consent . However, there are disagreements among states and between the Bank and some of its member states about which communities qualify as indigenous and what is required to ensure that their rights are respected. For example, some states and Bank stakeholders contend that it is enough to seek the consent of the community's leadership. But others maintain that the consent can only be established if particular vulnerable groups within the communities, such as women, youth, LGBTIQ+, or disabled people, are given specific opportunities to express their consent. Some states may argue that giving such attention to these vulnerable groups is inconsistent with local practices and customs and that the Bank, pursuant to its own Articles, should not be interfering with these internal political matters. In all these cases, the Bank has to exercise judgement. This means, for example, that in the Uganda case the Bank could decide that it should not extend any new credit to Uganda because of its new anti-LGBTQI+ legislation. However, it is also easy to see that in another context the Bank or its Board of Executive Directors may conclude that on balance it is better to continue lending to the particular country despite serious human rights issues. Or to a particular project because the perceived benefits outweigh the costs. The challenge, of course, is ensuring that the Bank is making these decisions on a principled and predictable basis. And not according to its own whims and political preferences. And that it can be held accountable for the way in which it makes the decisions. Danny Bradlow receives funding from the Open Society Foundation for a project unconnected to this article. He is also a Compliance Officer in the Social and Environmental Compliance Unit (SECU) at the UN Development Programme. By Danny Bradlow, Professor/Senior Research Fellow, Centre for Advancement of Scholarship, University of Pretoria MTN Ghana has pledged its continuous support to the La Traditional Council for the celebration of the Homowo Festival. The countrys leader in the telecommunications industry in the past has partnered with the La Traditional Council to celebrate the beautiful festival. To continue that tradition, MTN Ghana on Wednesday, August 16, supported the Traditional Council with a cash amount of GHS10,000 as well as drink hampers. In addition, the telecom giants have provided airtime worth GHS1,000 to facilitate communication for the preparations for the Homowo Festival Speaking before the presentation to the La Traditional Council, the Area Sales Manager of MTN Ghana for the Greater Accra Region, Kwasi Osei Hyeaman said his outfit is happy to be connected to the festival because aside from hooting at hunger, the Homowo celebration is used to foster togetherness, connect people and bring about development. He noted that this objective is at the core of the business of MTN which is connecting families, friends, and businesses through the services we provide. He said MTN Ghanas support to La and other Traditional Areas across the country forms part of the quest to delight customers and make their lives a whole lot brighter. At MTN we believe festivals project a lot about the African society and are considered an integral part for the development of our communities. The commercial activities tied to these celebrations improve the economic conditions of the celebrating communities. This is why MTN Ghana continue to lend its support to over 40 festivals across the country, Kwasi Osei Hyeaman said. Kwasi Osei Hyeaman He further expressed appreciation to the Traditional Council and people of La Traditional area for their continuous support and loyalty to the MTN Brand. On behalf of the management of MTN Ghana, Kwasi Osei Hyeaman said, On this occasion of La Homowo festival, we wish the chiefs and people of La long life and prosperity. Speaking on behalf of the La Traditional Council after the presentation from MTN, Nii Adjei Koofeh IV who is La Shikitele appealed for continuous collaboration while expressing appreciation to the telecom giants. He said the Council is most grateful for the kind gesture and hoped that beyond the presentation, MTN Ghana will assist in other areas besides the celebration of the Homowo Festival. We are most grateful for their presentation. We have a very good and long-standing relationship with them so every year they do come to support us for our festival. We hope that its not going to be only support for the festival but after the festival, we will work together for them to assist us through their foundation so that we can develop the community and our town folks, Nii Adjei Koofeh IV told the media. Advice to the youth: La Shikitele Nii Adjei Koofeh IV in his interaction with the media admonished the youth to be on their best behaviour for a peaceful celebration of this years Homowo Festival. He advised the youth to stay away from drugs and focus on education and other productive activities that will help shape their future. We want to celebrate the festival in peace as we always do. We will advise the youth to move away from hard drugs and things that wouldnt help their development. Times are hard but we urge them to focus on their studies to do things that will benefit their future than to waste their time on things that will drag them back, Nii Adjei Koofeh IV advised. The 2023 Homowo Festival celebration will be climaxed on August 23. To provide a distinct customer experience during the celebration, MTN will set up experience centers at vantage points. In view of the just-ended SIM registrations, MTN will also help customers to reconnect their SIM with the Ghana Card and register those who need similar services. In addition, MTN will use the occasion to do some education on Mobile Money (MoMo) fraud during the celebrations. Political figures and members of DR Congo's civil society on Wednesday called for the authorities to scrap emergency measures in two eastern provinces plagued by armed groups. North Kivu and Ituri provinces have been under a "state of siege" since May 2021 under a government initiative aimed at fast-tracking security measures against the groups. Under it, senior civilian officials have been widely replaced by military or police officers. In a statement, 132 prominent figures urged the government to take "the sole option... of quite simply lifting the state of siege," restoring civilian institutions and "strengthening large-scale military operations" in the region. The call came after a three-day assessment by national and provincial elected officials, traditional leaders and senior representatives from religions and associations, brought together by President Felix Tshisekedi. The statement came from participants who hail from the two provinces. The "state of siege" has been under mounting attack in recent months over its failure to stem the violence and, according to Amnesty International, for fostering rights abuses. "Everyone knows that the state of siege is a failure," said Jean-Claude Katende, head of the African Association for Human Rights, or ASADHO. In a commentary published on Wednesday in the national press, former North Kivu governor Julien Paluku, who is current industry minister, noted that one the region's most dangerous groups, the M23, "revived under the state of siege." The predominantly Tutsi group has seized swathes of territory in North Kivu since re-emerging from dormancy in late 2021. More than a hundred armed groups are active in eastern DR Congo, say monitors of violence in the region. By Gillian HANDYSIDE (AFP) DR Congo's government accuses neighbouring Rwanda of abetting the organisation, a charge that Kigali denies. The M23 is one of more than a hundred armed groups that roam eastern Democratic Republic of Congo, many of them a legacy of two wars that flared at the end of the 20th century. 16.08.2023 LISTEN Professor Stephen Adei, a former rector of the Ghana Institute of Management and Public Administration (GIMPA) has bemoaned the deteriorating economic situation of the country. According to Prof Adei, Ghanaians deserve an apology from President Akufo-Addo and Finance Minister Ken Ofori-Atta. He said the National Democratic Congress (NDC) is also not a choice, despite the New Patriotic Party's (NPP) poor leadership in an interview with Asaase Radios TownHall Talk. We have a problem with governance in this country People in government whether National Democratic Congress (NDC) or the New Patriotic Party (NPP) do not admit fault. I think it is a bad practice. I havent heard an explicit statement from the President or Minister of Finance Ken Ofori-Atta, that we are sorry for what has happened to Ghana even whether things went beyond our capacity, whether it was Ukraine -Russia war, COVID-19 pandemic, Prof Adei stated. He continued by asserting that Ghanaians should brace themselves for more hardship. The next three years, whether its Mahama or any of the NPP candidates, things will be extremely difficult for Ghanaians and it requires mobilizing Ghanaians to accept certain realities, he stated. In reaction to the Bank of Ghana's (BOG) justification of a $250 million head office, Professor Adei said the timing was inappropriate. We are in such a deep hole that you should not be building new headquarters, he emphasised. Reverend Sister Christiana Ifeabunike, Headmistress for Mount Camel Senior High School has expressed concern over some stalled educational infrastructure projects in the school for some years now. Rev. Sister Ifeabunike disclosed that about two major projects including a three-unit dormitory and a multipurpose dinning hall have been left uncompleted. She said the situation is mounting pressure on the existing structures in the school, saying "These projects when completed would improve the schools fortunes and make it a first-class second circle institution in the country." She mentioned that these projects started in somewhere 2014 has become a worry to both students and school authorities. Rev. Sister Ifeabunike noted that the 17-year-old school established in 2006 by the Catholic Mission and later absorbed by the Government of Ghana in 2012 is focused on providing academic excellence with moral values to contribute to providing quality human resources for nation-building but the current situation is undermining their efforts. Rev. Sister Ifeabunike expressed this concern on Wednesday in an interview with this reporter at the schools premises in Techiman, the regional capital. She added that the absence of the facilities to complement the existing ones was impeding academic work in the school and that stakeholders should expedite actions to complete the projects to improve academic progress among other things. She hinted that due to the inadequate dorms to accommodate the students some classrooms have been converted into dormitories as the student population continues to increase over the years. Touching on academic performance, Rev. Sister Ifeabunike noted that even though the school continues to face some challenges it does perform well in many academic disciplines including the West Africa Senior High Scholl Certificate Examination (WASSCE) National Maths and Science Quiz and sporting activities. Rev. Sister Ifeabunike commended the staff of the school and other stakeholders for their selfless efforts in contributing to moulding the students to become responsible adults and contribute to community transformation among other things. Manso Datano as the densely populated community in the Amansie South District of the Ashanti Region has benefitted from the District Assembly Common Fund (DACF) again. It was all joy for the residents and traditional rulers in the area during a commission of an ultra-modern lavatory 30-seater water closet toilet facility and yet-to-commission lockable stores in the community. Assembly Members and a large number of people joined the District Chief Executive (DCE), Hon. Clement Opoku Gyamfi, and the Assembly staff to officially commission the project on the 15th of August 2023. Speaking at the short but colorful ceremony to officially commission the project, Hon. Clement Opoku Gyamfi said the Assembly is committed to serving humanity. "We are much pleased to serve the people of Datano. This will go a long way to save the school here, traders, and the entire community. We decided to build this facility to help these little ones because they are the future leaders. Most of the sicknesses that we contracted were from where we go to defecate. "All these little children, a little infection can destroy their lives if we dont take time but if we have a good place where they will go as a restroom that will save their lives, the DCE addressed the community. Hon. Opoku Gyanfi added "Together, we are bringing development to the Amansie South district and helping make the district an even better place to live." The Assembly Member of the area, in his welcome address, acknowledged the DCE for the great work he has done for the people of Datano. Hon. Samuel Kwame Amponsah, also the Presiding Member (PM) of the Assembly stated that the DCE has helped them in developing the district. Concerned Ex-Employees of GN Saving and Loans Limited have passionately called on President H.E Nana Akufo Addo to intervene by using his executive powers to force the Governor of the Bank of Ghana to restore the operating license of GN Bank. Kofi Fosu, Convener of the group speaking at a press conference in Accra said the restoration of the license will help restore the lost confidence in the indigenous Ghanaian entrepreneurs and galvanize the private sector as an engine of economic growth. "Additionally, such action will be a worthy restitution of the lost opportunities to the employees, customers, shareholders, and business community," he stated. Mr. Kofi Fosu admitted that the bank was faced with some liquidity challenges at certain points as a result of delays on the part of borrowers to settle their loan obligations on time. "A substantial portion of this credit default was due to the government's inability to honor its obligation to most of our customers who have executed jobs at some state institutions," he said. He stressed that the Governor of the Bank of Ghana, could have resorted to a more progressive and human-centric approach to remedy the situation at the time, instead of the iron-fisted method adopted to revoke the license of the bank. According to him, the receiver has been very lackadaisical and sluggish in safeguarding the assets of the bank, hence calling on the receiver to take appropriate measures to protect the remaining assets of the bank from further destruction. "It has been exactly four years since the Bank of Ghana revoked the banking license of GN Savings (GN Bank). "Until the revocation, the bank employed over 3,000 workers before the onset of the financial sector crises. These consist of graduates and 1,750 SHS graduates who were trained to offer financial services to many Ghanaians outside the mainstream financial sector. Which some of them were encouraged and supported by the bank to further their education. "The bank has 300 branches of different sizes across the, with about 200 branches constructed by the shareholders to demonstrate their commitment to promoting savings and financial inclusion within the indigenous communities and providing job opportunities for the youth," he stated. Mr. Kofi Fosu underscores that the revocation of the GN Bank operating license has resulted in the loss of livelihood of the employees and the loss of assets of the bank and business. "The revocation caused our cherished customers to lose their working capital and business. Many of these customers have not been able to build their lives back after the revocation," he stressed. The leadership of the Youth Wing of the opposition National Democratic Congress (NDC) has raised concern over the 10% tax imposed on bet winnings. This follows the implementation of a 10% withholding Tax on the gross winnings from all Betting, Gaming, Lotto, and other Games of Chance which started on Tuesday, August 15. According to the Ghana Revenue Authority (GRA), the implementation of the tax is in line with the Income Tax (Amendment) (No. 2) Act, 2023 (Act 1094). In a press release from the NDC Youth wing, National Youth Organiser George Opare Addo has vowed to lead various actions to ensure the government withdraws the tax. He insists that the unity of the NDC Youth Wing is unwavering, and its determination to protect the funds young people secure through betting is unyielding. In the face of the unjust TEN (10%) taxation on bet Wins, we, the young people of Ghana and the NDC Youth, declare a resolute series of actions to resist this burdensome and insensitive policy. Through agitations, protests, advocacy, and civic engagements, we will ensure our voices are heard and demand that this corrupt Akufo-Addo/Bawumia Government rescind its decision, George Opare Addo said in the press release. Among many activities, the NDC Youth Wing plans to picket at the Ministry of Finance and occupy government offices in protest of the 10% tax. Below is a copy of the release: 16.08.2023 LISTEN Derrick Oduro, a retired major, has warned that if soldiers are deployed to participate in returning democratic in Niger, the New Patriotic Party (NPP) administration will lose support from Ghanaians. According to Mr. Oduro, members of the National Democratic Congress (NDC) will politicize the issue to their advantage. He said the Niger conflict can be resolved diplomatically in an interview on Adom FM's Burning Issues. The current government as in the NPP would lose the 2024 general polls if it dares to help fight Niger. The opposition party which is the NDC wouldnt take it lightly and would keep politicizing it until they convince Ghanaians that the government didnt listen to their advice, Major Retired Derrick Oduro stated. This comes after a 15-member Economic Community of West African States met in Nigerias Abuja on Thursday 10th August 2023 for an emergency summit to discuss responses to last months military takeover in Niger after the coup leaders defied their earlier threat to use force to restore democracy. While the coup leaders have threatened violence if anybody tries to interfere, Ghanaians have been signalling the President to dissociate himself from the action. A Security Analyst, Paul Boateng, says the Economic Community of West African States (ECOWAS) cannot execute their plan due to the fact that the President of the country and his family are still in military custody and might get killed if ECOWAS strikes. ECOWAS and Nigers issue is like Ghanas election and the electoral commission, immediately the results are declared, you cant do anything about it. I suggest using diplomatic means to solve the issue because Nigers President and his family are in the militarys custody and should there be any strike from ECOWAS, they would kill them. To me, I dont think ECOWAS can fight as they claim they would, they cant do anything, he stated. As a passionate advocate for youth engagement and gender equality, I find it imperative to address an issue of immense significance that resonates with the heart of our nation the LGBTQ+ discourse. Our beloved Ghana stands at a crossroads, where traditional and contemporary values converge, and it is within this context that I seek to initiate a constructive and open dialogue. I have observed, with deep concern, the ongoing discussions surrounding the LGBTQ+ bill in our country. In a diverse society like ours, where unity prevails in our diversity, our leaders must provide clear guidance, fostering an environment of understanding and empathy among citizens. As we traverse this intricate landscape, the resounding call for Vice President Dr. Alhaji Bawumia to express his position on the LGBTQ+ bill has gained momentum. The Vice President, a distinguished and devout Muslim, has consistently shown an unwavering commitment to his faith, and this commitment has been evident in his vocal expressions on issues such as the '10% on bet' controversy. Today, I humbly extend an invitation to Vice President Dr. Alhaji Bawumia to share his perspective on the LGBTQ+ bill a perspective that holds the power to guide, inspire, and unite our nation. The values he has upheld, coupled with his role as a leader who champions the aspirations of Ghanaian youth, make his voice a crucial one in the ongoing discourse. This is not a demand for immediate answers or divisive rhetoric. Rather, it is an invitation to engage in a nuanced conversation, one that considers diverse viewpoints, cultural heritage, and human rights. It is a call for the Vice President to lend his voice to an inclusive dialogue that transcends political affiliations and speaks to the very essence of our shared humanity. As a youth activist and feminist advocate, my mission has always been to promote understanding, dialogue, and unity. It is in this spirit that I urge the media houses to join us in amplifying this call, as we seek to create a platform where Vice President Dr. Alhaji Bawumia can share his thoughts openly and honestly. In a democracy that thrives on robust discussions, the Ghanaian youth, and indeed all citizens, deserve a leader who demonstrates integrity, empathy, and transparency. Together, let us create an environment where the Vice President's voice can be heard, not as a politician, but as a visionary leader who guides us toward a future that respects both our cultural heritage and the principles of human rights. Drawing from the wisdom of the Quran, which emphasizes honesty, integrity, and truth, let us remember the verse: "O you who have believed, fear Allah and be with those who are true." (Quran, Surah At- Tawbah, 9:119) We anticipate that Vice President Dr. Alhaji Bawumia's input will enrich the ongoing discourse, fostering a sense of unity, compassion, and understanding among Ghanaians. As we eagerly await his response, I extend my gratitude to the media houses for their continued commitment to the ideals of open dialogue and responsible journalism. Together, let us embark on a journey towards empowerment, enlightenment, and inclusivity, as we work towards a Ghana that upholds its values while embracing the universal principles of human dignity and respect. Bright Botchway Youth activist / feminist advocate 16.08.2023 LISTEN The knowledge teachers have about students is a key asset not only in maximizing students' learning but also having good, strong teacher-student relationship. But at what point does the teacher start to gather knowledge about the student, by what means is the knowledge gathered, how well does the teacher use such knowledge and with whom is the knowledge shared? In some Ghanaian schools (elementary and junior high), parents come to see the headteacher/headmaster and discuss a possible opportunity to admit their ward in the school. The prospective student is made to sit for an admission test. The student is admitted and placed in a class based on the result of the test taken. Besides the test results, student's biodata and sometimes academic report from the previous school, what else will the teacher and the headmaster know about the student? Parents will only share with the school severe health conditions of the students. Apart from that, what the teacher will know about the student coming to the class is the result from the admission test. And the result from such test becomes the starting point for what sort of academic relationship the teacher will have with the student. But the knowledge of students' motivation to learn is very essential to the design and delivery of lessons and the relationships teachers build with students. This should also be known to teachers before students start classroom activities. Such knowledge helps teachers to understand each students beyond the admission test. From the Two-Factor Model of Learning Motivation proposed by the Japanese researcher, Shinichi Ichikawa, students come to the class with different kinds of motivations to learn. This ranges from fulfillment-oriented motivation where students see learning itself as fun and to satisfy their curiosity. There is the training oriented type. To these students, learning is to train the brain and for self-discipline. There are some students who are motivated to learn due to the practicality and usefulness of what they are learning. To them, how useful is the knowledge to work and daily life. This is the practical orientation. Some students too are relationship oriented; others are learning so they are also learning. Also, they learn because they want to be recognized by people they love. The self-esteem oriented is motivated by competition and the desire to win. They learn hard with strong competition. The last one is the reward or remuneration oriented. They learn to get reward from parents, teachers, for the future or praise and appreciation from others. How can teachers and school authorities come to identify these peculiar motivations that drive students to learn? Of course with vigilance and purposeful observation and communication, the teacher can identify these motivations. Unfortunately, some teachers only have their attention on the students who do well in class. Though difficult it may seem, casting the net wide to understand 'all' students in the class will help meet their needs in order to support their learning. This will also influence the methods, content structure, evaluation techniques and the kind of relationships teachers establish with students to maximize learning. Here comes the role of school couseling. With teachers having taken courses in guidance and counseling, even when there is no professional counselor assigned to the school, there should be a time within the academic year that teachers with their knowledge in the field should engage students and possibly their parents to discuss matters concerning students' learning. An example can be to include this practice in the revision week. Talk to students and parents one by one about not only students' motivations to learn but anything concerning their learning. Listen to parents. Let them share changes they are observing in their children. Teachers too share same with them and find ways to make the situation better. Likewise with students. Understanding and knowing students especially what motivates them to learn can be a useful tool to the teacher to help students reach their best in the teaching learning situation. Nicholas Okota-Wilson [email protected] The alumni of the 1999 year group of St. Augustine's College unveiled the APSU 99 Legacy Project at a launch in Accra of the schools 94th speech & prize giving day. According to the group, the project aims to revolutionize the learning environment at their alma mater. Over the years, the school has experienced rapid expansion in student enrolment, leading to increased pressure on its facilities. The school's infrastructure has become dilapidated, and operating costs have risen significantly due to economic pressures. Multiple school-run cycles have added to the strain, exacerbating the challenges faced by the college. Speaking at the projects unveiling, the Chairman, Prof Ernest Kofi Abotsi, Dean, UPSA Law School, expressed his joy of the project taking cognizance of 21st-century skills in IT such as AI, coding entrepreneurship etc. He urged all APSUNIANS to come on board to support the legacy project and also encouraged them to promote and support APSU Led businesses, so that the profits would ultimately end up benefiting St Augustines College. APSU president, Dr Ernest Anthony Osei, also indicated that, though the project is being led by APSU99, its for all APSUNIANS. He urged all APSUNIANS to come on board to support the legacy project. The guest speaker of the event, Mr Alex Bram, CEO of Hubtel on behalf of Hubtel supported the initiative with a seed money of GHS 800,000 to kick start the project. He said, Quality education requires quality facilities and that is the more reason why Hubtel is supporting the initiative to help students. He urged all alumni to throw in their support for the project to be completed as planned by supporting, through donations. Mr Henry Arthur-Gyan, headmaster of the school was pleased with the project and expressed excitement about the Technology Hub geared towards mentoring in programming, coding, AI and entrepreneurship. In a statement released by the group, One of the critical infrastructural setbacks is the non-functional state of the newly refurbished ICT laboratory and e-learning centre, which was commissioned by APSU97. The absence of a reliable power supply hinders the effective and efficient utilization of these modern facilities for teaching and learning. The unavailability of power not only limits the college's capability to leverage technology for learning but also hinders the development of cyber, technology, and digitally enabled future leaders. By addressing the infrastructure challenges and promoting sustainability, we aim to empower the next generation of students with the knowledge, skills, and tools needed to thrive in the modern world and lead the way as responsible, digitally enabled future leaders. The new project aims to refurbish and modernize the existing 18-unit classroom block to create a conducive and efficient learning environment to enhance the teaching and learning experience, fostering a more productive and innovative approach to education. It also aims to empower students with 21st-century tech - skills to prepare them for success in the digital age and future job markets. The establishment of the Tech Hub will equip students with essential skills, positioning them for success in diverse careers. Under this project, there will be the implementation of off-grid solar power systems which will align with the project's commitment to sustainability and environmental consciousness. This approach will reduce operating costs by minimizing reliance on traditional energy sources, ensuring long-term financial stability for the school. The Legacy Project aims to alleviate the challenges faced by students in the evening when the unavailability of power severely hampers learning activities. With a sustainable solar power solution, students will have access to reliable energy, enabling them to study and participate in extracurricular activities after hours. The project's modernization efforts will elevate St. Augustine's College to meet global educational standards. The Mayor of Houston, accompanied by a delegation of 30 esteemed representatives, embarked on an insightful and culturally enriching visit to Ghana. The visit was organized and skillfully coordinated by the GUBA Diaspora Network. This monumental trip aimed to strengthen ties between Houston and Ghana, foster collaboration, and explore new horizons for trade and cultural exchange. The journey commenced on the 21st of July, as the Mayor and his delegates touched down in Accra. The vibrant city welcomed them with open arms, setting the stage for an unforgettable experience. The itinerary was meticulously crafted to showcase the rich history and cultural heritage of Ghana. On Saturday, the meticulously planned itinerary continued its exploration of Ghanas rich history and cultural heritage, with a captivating Accra City tour which included visits to iconic landmarks such as the Kwame Nkrumah Museum, W.E. Du Bois Centre, Black Star Square, and James Town. These sites provided the delegation with a profound understanding of Ghanas historical importance and its deep connections to the global diaspora. The delegation again had a significant encounter with the Minister of Tourism and representatives from Freezones GEPA. Additionally, McDan graciously hosted a business delegation at his residence. Continuing their voyage of discovery, the delegates travelled to Cape Coast on Sunday, the 23rd of July. The group explored the poignant history of the region, visiting Assin Manso and Cape Coast Castle, both of which bear witness to the complex history of the transatlantic slave trade. These visits underscored the shared history between Houston and Ghana and highlighted the importance of remembrance and understanding in shaping a better future. On Monday, the 24th of July, marked a pivotal point in the visit, as the Mayor and his delegates engaged in crucial discussions with key stakeholders. Meetings with the Minister of Energy, the US Ambassador to Ghana, and the Minister of Trade were held, facilitating constructive dialogues on economic collaboration, energy partnerships, and trade opportunities. These meetings epitomized the proactive approach to forging meaningful connections between the two regions. The GUBA Diaspora Network played an integral role in organizing and coordinating this momentous undertaking, ensuring a seamless blend of cultural exploration, business discussions, and relationship building. The positive outcomes of this trade mission are already evident, as ideas were exchanged, relationships were fostered, and the potential for future collaborations between Houston and Ghana was significantly enhanced. As the Mayor of Houston and his delegates conclude their transformative journey, the echoes of this visit will undoubtedly resonate for years to come. The GUBA Diaspora Networks dedication and meticulous planning have laid the foundation for a new era of cooperation and mutual understanding between these two dynamic regions. For more information, kindly visit our website; www.gubadiasporanetwork.com or call +233 24 515 6705. The Kumasi Metropolitan Assembly (KMA) is set to institute a fine of GH500 for the release of tricycles that will be impounded for flouting its ban on the use of tricycle activities within the Central Business District. Several tricycles were impounded, and the owners were arrested during the implementation of the ban by the Assembly on August 1. The ban was announced by the Assembly on July 25 but was met with stiff opposition from operators which led to its slow implementation but was intensified on August 1 with twelve defiant operators currently standing trial and are on a GH30,000 bail each. The Assembly at its 2nd Ordinary Meeting on July 28 resolved that any tricycle that will subsequently be impounded will be required to pay an amount of GH500 before it will be released. Taxis and trotros that will be arrested for illegal loading at unauthorized locations will also be expected to pay a fine of GH500 with sprinter and buses also expected to pay GH800 and GH1000 respectively. Cargo vehicles that will flout the same directive will also pay a minimum of GH600 and a maximum of GH1500. -citinewsroom The family of slain journalist, Ahmed Hussein-Suale has expressed fear that justice will not be served in the murder of the journalist if the Member of Parliament for Assin Central, Kennedy Agyapong, is elected president. The family, in a statement, expressed dissatisfaction with comments made by Kennedy Agyapong, who is seeking to represent the New Patriotic Party (NPP) in the 2024 general elections. The outspoken MP in an interview on Face to Face on Citi TV, indicated he had no regrets about issues leading to the murder of the former Tiger Eye PI journalist in January 2019. But the Suale family, in a statement, accused Mr. Agyapong of the journalists murder and said no amount of attempts or comments can absolve him of the gruesome murder. The family said Ahmed Suale would have been alive if Mr. Agyapong had not released his photos on Net2 TV and called for thugs to beat him up. But for Kennedy Agyapongs reckless and criminal conduct, nobody would have known the face of Ahmed, who was an undercover reporter of Tiger Eye working on BBC projects. Therefore, the post facto attempt to shift the blame on the professional conduct of Ahmed, cannot hold. Over the period, Kennedy Agyapong has tried strenuously to change the effect of his call for retribution against Ahmed by saying his comments were location-bound, i.e., presence at Net 2 premises. We quote his comments verbatim here to prove how deceitful Kennedy Agyapong is yes, that is him, Ahmed, he lives at Madinawherever you see him beat him up I will pay for the cost. Clearly, Kennedy Agyapong was rallying support for the lynching of Ahmed without any restriction. The family further emphasized that the lack of remorse and defence tactics exhibited by the MP indicate that there will be no justice if Mr. Agyapong is elected president in the 2024 general elections. By his lack of remorse and the frantic defence of his conduct, the family is doubtful that Ahmed would ever get justice under a misfortune where Kennedy Agyapong becomes the president of Ghana. We are also disappointed that, to date, the Police has not made any progress on the matter. At minimum, we expect the Police to look into the crime of aiding and abetting, and threats of harm where there is plentiful of evidence, all of which was reported to them on a couple of occasions by Ahmed Suale. Below is the statement issued by Ahmed Suales family -citinewsroom 16.08.2023 LISTEN The family of slain Ahmed Suale has criticized Kennedy Agyapong for his remarks about their deceased relative. According to a statement from Ahmed Suale's family, media outlets are doing them and Ghana a disservice by allowing the New Patriotic Party (NPP) presidential candidate the chance to spread incorrect information. The family said in the statement that they "will not also hesitate to bring legal action against any media house that continues to entertain the lies of Kennedy Agyapong, should we find a recourse in law to do so." This is the umpteenth time, we, the family of Ahmed Suale, would have to respond to the tosh and flat-out lies of Kennedy Agyapong regarding the murder of our brother, Ahmed Suale. There can be no escape route for Kennedy Agyapong, as nothing can be further from the truth, that, it was his extremely reckless and criminal conduct that led to the death of our brother. It was reckless for him to have shown the photos of Ahmed on his Net 2 TV while revealing his residential location. And it was criminal for him to have rallied thugs to unleash violence on Ahmed for a ransom, he, Kennedy Agyapong was willing to pay, the family stated. Below is the full statement by the Family of Ahmed Hussein-Suale This is the umpteenth time, we, the family of Ahmed Suale, would have to respond to the tosh and flat-out lies of Kennedy Agyapong regarding the murder of our brother, Ahmed Suale. There can be no escape route for Kennedy Agyapong, as nothing can be further from the truth, that, it was his extremely reckless and criminal conduct that led to the death of our brother. It was reckless for him to have shown the photos of Ahmed on his Net 2 TV while revealing his residential location. And it was criminal for him to have rallied thugs to unleash violence on Ahmed for a ransom, he, Kennedy Agyapong was willing to pay. But for Kennedy Agyapongs reckless and criminal conduct, nobody would have known the face of Ahmed, who was an undercover reporter of Tiger Eye working on BBC projects. Therefore, the post facto attempt to shift the blame on the professional conduct of Ahmed, cannot hold. Over the period, Kennedy Agyapong has tried strenuously to change the effect of his call for retribution against Ahmed by saying his comments were location-bound, i.e., presence at Net 2 premises. We quote his comments verbatim here to prove how deceitful Kennedy Agyapong is yes, that is him, Ahmed, he lives at Madina...wherever you see him beat him up.. I will pay for the cost. Clearly, Kennedy Agyapong was rallying support for the lynching of Ahmed without any restriction. On the lie of he having paid Ahmeds fees, that is another barefaced lie which Kennedy Agyapong concocted after his reckless behaviour led to the death of Ahmed to soften the blow back effect of his conduct. He has never met Ahmed in his entire life as he himself admits. Ahmed was solely taken care of by the family which was and has been capable of fending for family members. We find it bizarre that even after his gruesome murder, Kennedy Agyapong continues to desecrate his memory with lies and distortions. We are gobsmacked, the journalists, (especially, Umar Sanda) allowed Kennedy Agyapong to get away with many lies which we have refuted in the past. More shockingly, Kennedy Agyapong stated that he has not regretted his actions, and this was also allowed to pass without a pushback from Umar Sanda. Do the laws of Ghana permit lynching a person (even if a criminal) when he/she comes to your premises, assuming we admit his barefaced post facto distortion for a second? It can never be justified, regardless of how hard he tries to rewind and reword his comments, therefore to allow his comments to pass, is a subtle endorsement of his reckless behaviour of advocating for the use of violence on not just Ahmed Suale, but other journalists like Manasseh Azure, Erastus Asare Donkor, and Anas Aremeyaw Anas, whom called for his hanging. By his lack of remorse and the frantic defence of his conduct, the family is doubtful that Ahmed would ever get justice under a misfortune where Kennedy Agyapong becomes the president of Ghana. We are also disappointed that, to date, the Police has not made any progress on the matter. At minimum, we expect the Police to look into the crime of aiding and abetting, and threats of harm where there is plentiful of evidence, all of which was reported to them on a couple of occasions by Ahmed Suale. We caution media houses and journalists to be circumspect when dealing with the gruesome murder of their colleague and should be wary not to glorify violence against journalists on their platforms. They should also show sensitivity to the family who continues to be in pain in the face of the reticence of the State to give us justice and not allow Kennedy Agyapong to use their platforms to desecrate the memory of our beloved son, whose only crime was to work for the interest of Ghana. The family will not also hesitate to bring legal action against any media house that continues to entertain the lies of Kennedy Agyapong, should we find a recourse in law to do so. SGD IBRAHIM KAMILU TAHIDU (For and on behalf of Divela Ahmed Husein Suale Family) 0266376342, 0244033286 16.08.2023 LISTEN The Family of the slain Ghanaian journalist Ahmed Suale has issued a press release to lambast Assin Central Member of Parliament (MP), Kennedy Ohene Agyapong. The MP in an interview with the media has reiterated that he cannot be blamed for the murder of the late investigative journalist. According to Ken Agyapong, Ahmed Suale did a mistake by showing his face during his investigations. No, not that I want to be president and therefore I will say I didnt say that, No. I said if he comes to my premises, I didnt say go and beat him outsideYou know Ahmed Suales problems, he made a lot of mistakes, and in all the investigations Anas did, Anas never showed his face, so all the people that Anas embarrassed in this country, it was Ahmed Suale who set them up. Suale was the one who set up the Finance Minister, they never saw Anas face, Ken Agyapong said when asked if he regret showing the face of Ahmed Suale on TV. Unhappy with the latest comments, the family of Ahmed Suale says it will be difficult for the late journalist to get justice. By his lack of remorse and the frantic defence of his conduct, the family is doubtful that Ahmed would ever get justice under a misfortune where Kennedy Agyapong becomes the president of Ghana, part of a release from the family of the deceased investigative journalist said. The family of Ahmed Suale says it is disappointed that, to date, the Police have not made any progress on the matter. The family says they expect the Police to look into the crime of aiding and abetting, and threats of harm where there is plentiful evidence, all of which was reported to them on a couple of occasions by Ahmed Suale. Meanwhile, the family says it will not also hesitate to bring legal action against any media house that continues to entertain the lies of Kennedy Agyapong should it find a recourse in law to do so. Below is the statement from the Family of the late Ahmed Suale: For Immediate Release ALL MEDIA PLATFORMS 16th August 2023 A STATEMENT BY THE FAMILY OF AHMED SUALE ON THE INTERVIEW OF KENNEDY AGYAPONG ON CITI T.V., T.V. 3, AND OTHER MEDIA STATIONS. This is the umpteenth time, we, the family of Ahmed Suale, would have to respond to the tosh and flat-out lies of Kennedy Agyapong regarding the murder of our brother, Ahmed Suale. There can be no escape route for Kennedy Agyapong, as nothing can be further from the truth, that, it was his extremely reckless and criminal conduct that led to the death of our brother. It was reckless for him to have shown the photos of Ahmed on his Net 2 TV while revealing his residential location. And it was criminal for him to have rallied thugs to unleash violence on Ahmed for a ransom, he, Kennedy Agyapong was willing to pay. But for Kennedy Agyapongs reckless and criminal conduct, nobody would have known the face of Ahmed, who was an undercover reporter of Tiger Eye working on BBC projects. Therefore, the post facto attempt to shift the blame on the professional conduct of Ahmed, cannot hold. Over the period, Kennedy Agyapong has tried strenuously to change the effect of his call for retribution against Ahmed by saying his comments were location-bound, i.e., presence at Net 2 premises. We quote his comments verbatim here to prove how deceitful Kennedy Agyapong is yes, that is him, Ahmed, he lives at Madina...wherever you see him beat him up.. I will pay for the cost. Clearly, Kennedy Agyapong was rallying support for the lynching of Ahmed without any restriction. On the lie of he having paid Ahmeds fees, that is another barefaced lie which Kennedy Agyapong concocted after his reckless behaviour led to the death of Ahmed to soften the blow back effect of his conduct. He has never met Ahmed in his entire life as he himself admits. Ahmed was solely taken care of by the family which was and has been capable of fending for family members. We find it bizarre that even after his gruesome murder, Kennedy Agyapong continues to desecrate his memory with lies and distortions. We are gobsmacked, the journalists, (especially, Umar Sanda) allowed Kennedy Agyapong to get away with many lies which we have refuted in the past. More shockingly, Kennedy Agyapong stated that he has not regretted his actions, and this was also allowed to pass without a pushback from Umar Sanda. Do the laws of Ghana permit lynching a person (even if a criminal) when he/she comes to your premises, assuming we admit his barefaced post facto distortion for a second? It can never be justified, regardless of how hard he tries to rewind and reword his comments, therefore to allow his comments to pass, is a subtle endorsement of his reckless behaviour of advocating for the use of violence on not just Ahmed Suale, but other journalists like Manasseh Azure, Erastus Asare Donkor, and Anas Aremeyaw Anas, whom called for his hanging. By his lack of remorse and the frantic defence of his conduct, the family is doubtful that Ahmed would ever get justice under a misfortune where Kennedy Agyapong becomes the president of Ghana. We are also disappointed that, to date, the Police has not made any progress on the matter. At minimum, we expect the Police to look into the crime of aiding and abetting, and threats of harm where there is plentiful of evidence, all of which was reported to them on a couple of occasions by Ahmed Suale. We caution media houses and journalists to be circumspect when dealing with the gruesome murder of their colleague and should be wary not to glorify violence against journalists on their platforms. They should also show sensitivity to the family who continues to be in pain in the face of the reticence of the State to give us justice and not allow Kennedy Agyapong to use their platforms to desecrate the memory of our beloved son, whose only crime was to work for the interest of Ghana. The family will not also hesitate to bring legal action against any media house that continues to entertain the lies of Kennedy Agyapong, should we find a recourse in law to do so. SGD IBRAHIM KAMILU TAHIDU (For and on behalf of Divela Ahmed Husein Suale Family) 0266376342, 0244033286 A Communications Team Member for the National Democratic Congress (NDC), Mr Kwaku Asafo Agyei has asked President Nana Addo Dankwa Akufo-Addo and his government to come clear on what Ghana stand to gain over plans to deploy troops to troubled Niger. According to him, government should not even think of deploying any military officer to Niger without coming clear on what the country stands to gain and its impact on Ghana's security. The NDC spokesperson believes it will be an exercise in futility on the part of Ghana to send soldiers to Niger, all in the name of restoring democratic rule in the country. Speaking on the Kumasi-based OTEC 102.9 FM's afternoon political show "Dwabrem" on Wednesday, August 16, 2023, Mr Asafo Agyei said it would be wrong on the part of government to take a decision on such a sensitive matter without broad consultation. Some members of the Minority in Parliament have alleged that President Akufo-Addo is seriously making plans to deploy Ghanaian troops to Niger as part of a standby force by the Economic Community of West Africa (ECOWAS) to remove the countrys new military leadership. With Kwaku Asafo Agyei being the latest to join the calls, he has emphasized that Ghana's gallant soldiers must be kept away from what he termed as "looming bloodbath and geopolitical confrontation" which may have a negative toll on Ghana's security. As a follow-up to the directive of the ECOWAS Authority of Heads of State and Government at its Extraordinary Summit on the political situation in the Republic of Niger held on 10th August 2023 in Abuja, Nigeria, the ECOWAS Committee of Chiefs of Defence Staff (CCDC) has commenced the activation of the ECOWAS Standby Force for the restoration of constitutional order in the Republic of Niger. To this end, the ECOWAS Committee of Chiefs of Defence Staff will be having an extraordinary meeting in Accra, Ghana from 17th to 18th August 2023 to finalise plans for the deployment of the Standby Force. ECOWAS continues to consider the possibility of military intervention in Niger after launching a standby force last week, but the Niger coup leaders appeared to dig in by announcing plans to charge deposed President Mohamed Bazoum with high treason and undermining national security. Bazoum and his family have been held captive for three weeks since his removal from power, despite calls from Ecowas and major international powers for his immediate release and return to office. A junta statement read out on state TV said that evidence had been gathered to charge Bazoum and unnamed foreign accomplices. A formal decision to charge the ousted leader could increase pressure on Ecowas to intervene militarily. In a statement last Thursday, the organisation directed its committee of chiefs of defence staff to promptly activate the ECOWAS Standby Force with all its elements. The directive followed an extraordinary summit held in Abuja, Nigeria, to address the political situation in Niger, where a July 26 coup led by General Abdourahamane Tchiani, the former commander of the Niger presidential guard, deposed and detained the elected President Mohamed Bazoum. However, no details regarding troop numbers, contributing countries, or funding have been disclosed so far, and it is not clear whether the deployment represents a decisive step towards military intervention. The chiefs of staff met again on Saturday in Ghanas capital city, Accra, to further consider their options. The Chief Executive Officer (CEO) of the National Youth Authority (NYA), Pius Enam Hadzide has stressed that government will not abolish the 10% taxes imposed on bet winings and all other forms of gaming. According to him, the youth must understand that billionaires Aliko Dangote and Osei Kwame Despite did not become successful by chance but through hard work. The Ghana Revenue Authority (GRA) on Tuesday, August 15, announced that the implementation of a 10% withholding Tax on the gross winnings from all Betting, Gaming, Lotto, and other Games of Chance has started. The tax has been imposed in line with the Income Tax (Amendment) (No. 2) Act, 2023 (Act 1094). This has not gone down well with many Ghanaian youth including George Opare Addo, the National Youth Organiser of the opposition National Democratic Congress (NDC). In a press release, he noted that the group will protest until the government of President Akufo-Addo abolishes the new tax. In the face of the unjust TEN (10%) taxation on bet Wins, we, the young people of Ghana and the NDC Youth, declare a resolute series of actions to resist this burdensome and insensitive policy. Through agitations, protests, advocacy, and civic engagements, we will ensure our voices are heard and demand that this corrupt Akufo-Addo/Bawumia Government rescind its decision, George Opare Addo said in the press release. Speaking in an interview with Citi News on Wednesday in relation to the demand from the NDC Youth Wing, Pius Enam Hadzide emphasised that government will just rubbish it. He advised the youth that even if times are tough in the country, they should not resort to betting. The likes of Despite and Dangote did not become successful by chance. They worked for it. Its not right to resort to betting even if times are tough, Pius Enam Hadzide said. He added, I accept that there are challenges. But Im saying that to argue that the way out of these challenges is to now resort to games of change is wrong. The CEO of the National Youth Authority further indicated that there will be engagements to increase the tax on bettings to demotivate the youth. FedEx Co. (NYSE:FDX Get Free Report) was the recipient of a significant decline in short interest in the month of July. As of July 31st, there was short interest totalling 3,490,000 shares, a decline of 27.1% from the July 15th total of 4,790,000 shares. Currently, 1.5% of the companys stock are short sold. Based on an average daily trading volume, of 2,050,000 shares, the short-interest ratio is currently 1.7 days. Analysts Set New Price Targets Several analysts have recently issued reports on the company. StockNews.com upgraded FedEx from a hold rating to a buy rating in a research note on Friday, August 4th. Sanford C. Bernstein upped their price target on FedEx from $261.00 to $284.00 in a research note on Wednesday, June 21st. JPMorgan Chase & Co. increased their target price on FedEx from $228.00 to $251.00 in a research note on Wednesday, June 21st. Argus increased their target price on FedEx from $240.00 to $260.00 in a research note on Monday, June 26th. Finally, UBS Group increased their target price on FedEx from $260.00 to $272.00 in a research note on Wednesday, June 21st. Nine research analysts have rated the stock with a hold rating and sixteen have assigned a buy rating to the company. According to MarketBeat.com, FedEx currently has an average rating of Moderate Buy and a consensus target price of $246.14. Get FedEx alerts: Check Out Our Latest Stock Analysis on FDX Insider Activity at FedEx Hedge Funds Weigh In On FedEx In other FedEx news, EVP Michael C. Lenz sold 5,745 shares of the businesss stock in a transaction dated Thursday, July 20th. The stock was sold at an average price of $261.88, for a total value of $1,504,500.60. Following the transaction, the executive vice president now owns 22,478 shares in the company, valued at $5,886,538.64. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through the SEC website . In other news, EVP Robert B. Carter sold 19,270 shares of the companys stock in a transaction dated Wednesday, June 28th. The stock was sold at an average price of $246.00, for a total transaction of $4,740,420.00. Following the completion of the sale, the executive vice president now owns 58,875 shares in the company, valued at approximately $14,483,250. The transaction was disclosed in a filing with the SEC, which is accessible through this hyperlink . Also, EVP Michael C. Lenz sold 5,745 shares of the companys stock in a transaction dated Thursday, July 20th. The stock was sold at an average price of $261.88, for a total value of $1,504,500.60. Following the sale, the executive vice president now owns 22,478 shares of the companys stock, valued at approximately $5,886,538.64. The disclosure for this sale can be found here . Over the last ninety days, insiders have sold 35,816 shares of company stock valued at $8,822,147. Company insiders own 8.73% of the companys stock. Hedge funds have recently modified their holdings of the stock. RB Capital Management LLC increased its holdings in FedEx by 3.3% during the 1st quarter. RB Capital Management LLC now owns 1,951 shares of the shipping service providers stock valued at $451,000 after purchasing an additional 63 shares in the last quarter. AMI Investment Management Inc. increased its holdings in FedEx by 43.9% during the 1st quarter. AMI Investment Management Inc. now owns 15,385 shares of the shipping service providers stock valued at $3,560,000 after purchasing an additional 4,693 shares in the last quarter. Moors & Cabot Inc. increased its holdings in FedEx by 101.5% during the 1st quarter. Moors & Cabot Inc. now owns 4,274 shares of the shipping service providers stock valued at $989,000 after purchasing an additional 2,153 shares in the last quarter. Baird Financial Group Inc. increased its holdings in FedEx by 7.8% during the 1st quarter. Baird Financial Group Inc. now owns 148,735 shares of the shipping service providers stock valued at $34,408,000 after purchasing an additional 10,731 shares in the last quarter. Finally, Loomis Sayles & Co. L P increased its holdings in FedEx by 553.3% during the 1st quarter. Loomis Sayles & Co. L P now owns 392 shares of the shipping service providers stock valued at $91,000 after purchasing an additional 332 shares in the last quarter. 73.39% of the stock is owned by institutional investors and hedge funds. FedEx Price Performance Shares of FDX traded down $1.27 during mid-day trading on Tuesday, reaching $265.67. The company had a trading volume of 1,390,465 shares, compared to its average volume of 2,013,983. FedEx has a twelve month low of $141.92 and a twelve month high of $270.95. The company has a debt-to-equity ratio of 0.78, a current ratio of 1.37 and a quick ratio of 1.33. The companys 50-day moving average is $250.17 and its 200-day moving average is $229.39. The company has a market capitalization of $66.82 billion, a P/E ratio of 17.18, a P/E/G ratio of 1.28 and a beta of 1.35. FedEx (NYSE:FDX Get Free Report) last issued its earnings results on Tuesday, June 20th. The shipping service provider reported $4.94 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $4.85 by $0.09. FedEx had a return on equity of 15.32% and a net margin of 4.41%. The business had revenue of $21.93 billion during the quarter, compared to analysts expectations of $22.55 billion. During the same period in the prior year, the firm posted $6.87 EPS. FedExs quarterly revenue was down 10.1% compared to the same quarter last year. On average, equities analysts anticipate that FedEx will post 17.3 EPS for the current year. About FedEx (Get Free Report) FedEx Corporation provides transportation, e-commerce, and business services in the United States and internationally. It operates through FedEx Express, FedEx Ground, FedEx Freight, and FedEx Services segments. The FedEx Express segment offers express transportation, small-package ground delivery, and freight transportation services; and time-critical transportation services. Further Reading Receive News & Ratings for FedEx Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for FedEx and related companies with MarketBeat.com's FREE daily email newsletter. Investor AB (publ) (OTCMKTS:IVSBF Get Free Report) was the target of a large decline in short interest in July. As of July 31st, there was short interest totalling 1,261,700 shares, a decline of 27.4% from the July 15th total of 1,738,900 shares. Based on an average daily trading volume, of 2,300 shares, the short-interest ratio is presently 548.6 days. Investor AB (publ) Trading Down 3.3 % Shares of OTCMKTS:IVSBF traded down $0.64 during trading on Tuesday, reaching $18.85. 5,711 shares of the stock traded hands, compared to its average volume of 2,415. The stock has a 50-day moving average of $19.70 and a two-hundred day moving average of $19.81. Investor AB has a 52-week low of $13.46 and a 52-week high of $21.22. Get Investor AB (publ) alerts: Analyst Ratings Changes A number of research analysts have recently commented on IVSBF shares. Bank of America upgraded shares of Investor AB (publ) from an underperform rating to a neutral rating in a research report on Wednesday, April 26th. Handelsbanken raised shares of Investor AB (publ) from a market perform rating to an outperform rating in a research note on Tuesday, July 18th. Investor AB (publ) Company Profile (Get Free Report) Investor AB (publ) is a venture capital firm specializing in mature, middle market, buyouts and growth capital investments. It is operating through four business areas including core, private equity, operating, and financial investments. For core investments, the firm invests in health care, financial services, IT and fintech sectors and considers investments in listed companies in leading minority positions. Read More Receive News & Ratings for Investor AB (publ) Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Investor AB (publ) and related companies with MarketBeat.com's FREE daily email newsletter. Juniper Networks, Inc. (NYSE:JNPR Get Free Report) CFO Kenneth Bradley Miller sold 15,000 shares of the businesss stock in a transaction that occurred on Tuesday, August 15th. The stock was sold at an average price of $27.61, for a total value of $414,150.00. Following the transaction, the chief financial officer now directly owns 225,828 shares in the company, valued at approximately $6,235,111.08. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through this hyperlink. Juniper Networks Price Performance Shares of NYSE JNPR traded down $0.44 during mid-day trading on Tuesday, hitting $27.33. 3,118,406 shares of the stock traded hands, compared to its average volume of 3,920,594. The company has a debt-to-equity ratio of 0.37, a current ratio of 1.66 and a quick ratio of 1.25. Juniper Networks, Inc. has a twelve month low of $25.18 and a twelve month high of $34.53. The company has a market cap of $8.78 billion, a PE ratio of 22.22, a price-to-earnings-growth ratio of 1.77 and a beta of 0.93. The firm has a 50 day simple moving average of $30.01 and a two-hundred day simple moving average of $30.79. Get Juniper Networks alerts: Juniper Networks Announces Dividend The company also recently disclosed a quarterly dividend, which will be paid on Friday, September 22nd. Investors of record on Friday, September 1st will be issued a dividend of $0.22 per share. This represents a $0.88 annualized dividend and a dividend yield of 3.22%. The ex-dividend date of this dividend is Thursday, August 31st. Juniper Networkss dividend payout ratio (DPR) is 70.40%. Analysts Set New Price Targets Several research firms have recently commented on JNPR. Needham & Company LLC decreased their target price on Juniper Networks from $41.00 to $33.00 and set a buy rating on the stock in a research report on Friday, July 28th. Barclays decreased their target price on Juniper Networks from $40.00 to $38.00 and set an overweight rating on the stock in a research report on Friday, July 28th. StockNews.com raised Juniper Networks from a hold rating to a buy rating in a research report on Thursday, August 3rd. Citigroup cut their price target on Juniper Networks from $38.00 to $35.00 and set a buy rating for the company in a research note on Friday, July 28th. Finally, BNP Paribas began coverage on Juniper Networks in a research report on Thursday, July 20th. They set a neutral rating and a $31.00 price target on the stock. Two analysts have rated the stock with a sell rating, four have assigned a hold rating and nine have assigned a buy rating to the stock. Based on data from MarketBeat, the company has an average rating of Hold and a consensus target price of $34.38. View Our Latest Stock Analysis on JNPR Institutional Investors Weigh In On Juniper Networks Several hedge funds have recently modified their holdings of JNPR. Clearstead Advisors LLC acquired a new stake in shares of Juniper Networks during the 1st quarter valued at $46,000. Capital Analysts LLC bought a new position in Juniper Networks during the 4th quarter worth $53,000. Tobam bought a new position in Juniper Networks during the 2nd quarter worth $55,000. West Tower Group LLC bought a new position in Juniper Networks during the 2nd quarter worth $57,000. Finally, Lazard Asset Management LLC raised its position in Juniper Networks by 2,224.7% during the 2nd quarter. Lazard Asset Management LLC now owns 1,976 shares of the network equipment providers stock worth $61,000 after purchasing an additional 1,891 shares during the last quarter. Institutional investors and hedge funds own 88.15% of the companys stock. Juniper Networks Company Profile (Get Free Report) Juniper Networks, Inc designs, develops, and sells network products and services worldwide. The company offers routing products, such as ACX series universal access routers to deploy high-bandwidth services; MX series Ethernet routers that function as a universal edge platform; PTX series packet transport routers; wide-area network SDN controllers; and session smart routers. Recommended Stories Receive News & Ratings for Juniper Networks Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Juniper Networks and related companies with MarketBeat.com's FREE daily email newsletter. Pinterest, Inc. (NYSE:PINS Get Free Report) SVP Naveen Gavini sold 9,598 shares of the stock in a transaction on Monday, August 14th. The shares were sold at an average price of $25.96, for a total value of $249,164.08. Following the completion of the transaction, the senior vice president now directly owns 227,806 shares in the company, valued at approximately $5,913,843.76. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. Naveen Gavini also recently made the following trade(s): Get Pinterest alerts: On Tuesday, July 18th, Naveen Gavini sold 52,189 shares of Pinterest stock. The shares were sold at an average price of $30.55, for a total value of $1,594,373.95. On Wednesday, July 12th, Naveen Gavini sold 9,598 shares of Pinterest stock. The shares were sold at an average price of $28.58, for a total value of $274,310.84. On Monday, June 12th, Naveen Gavini sold 11,523 shares of Pinterest stock. The shares were sold at an average price of $24.08, for a total value of $277,473.84. Pinterest Stock Performance NYSE:PINS traded down $0.45 during mid-day trading on Tuesday, reaching $25.71. The stock had a trading volume of 4,873,464 shares, compared to its average volume of 11,547,079. The firm has a market capitalization of $17.22 billion, a price-to-earnings ratio of -59.78 and a beta of 1.02. Pinterest, Inc. has a twelve month low of $20.39 and a twelve month high of $30.86. The business has a 50 day simple moving average of $26.87 and a 200 day simple moving average of $25.90. Institutional Investors Weigh In On Pinterest Pinterest ( NYSE:PINS Get Free Report ) last announced its quarterly earnings data on Tuesday, August 1st. The company reported ($0.04) EPS for the quarter, beating analysts consensus estimates of ($0.10) by $0.06. The firm had revenue of $708.03 million during the quarter, compared to the consensus estimate of $698.28 million. Pinterest had a negative net margin of 10.14% and a negative return on equity of 4.59%. As a group, sell-side analysts expect that Pinterest, Inc. will post -0.04 earnings per share for the current year. Hedge funds have recently added to or reduced their stakes in the company. Allspring Global Investments Holdings LLC lifted its position in shares of Pinterest by 2.8% during the 1st quarter. Allspring Global Investments Holdings LLC now owns 13,278 shares of the companys stock valued at $362,000 after acquiring an additional 363 shares during the period. Dfpg Investments LLC lifted its position in shares of Pinterest by 1.5% during the 1st quarter. Dfpg Investments LLC now owns 24,185 shares of the companys stock valued at $660,000 after acquiring an additional 369 shares during the period. Prospera Financial Services Inc lifted its position in shares of Pinterest by 1.7% during the 4th quarter. Prospera Financial Services Inc now owns 27,873 shares of the companys stock valued at $677,000 after acquiring an additional 474 shares during the period. New York Life Investment Management LLC lifted its position in shares of Pinterest by 4.3% during the 4th quarter. New York Life Investment Management LLC now owns 12,097 shares of the companys stock valued at $294,000 after acquiring an additional 494 shares during the period. Finally, Simon Quick Advisors LLC lifted its position in shares of Pinterest by 5.0% during the 1st quarter. Simon Quick Advisors LLC now owns 10,431 shares of the companys stock valued at $284,000 after acquiring an additional 497 shares during the period. Institutional investors and hedge funds own 75.66% of the companys stock. Wall Street Analyst Weigh In PINS has been the topic of several recent analyst reports. JPMorgan Chase & Co. increased their target price on Pinterest from $26.00 to $30.00 and gave the company a neutral rating in a report on Wednesday, August 2nd. Rosenblatt Securities raised Pinterest from a neutral rating to a buy rating and raised their price objective for the stock from $27.00 to $35.00 in a report on Wednesday, August 2nd. Stifel Nicolaus raised their price objective on Pinterest from $25.00 to $27.00 and gave the stock a hold rating in a report on Wednesday, August 2nd. Sanford C. Bernstein dropped their price objective on Pinterest from $28.00 to $23.00 and set a market perform rating on the stock in a report on Friday, April 28th. Finally, Bank of America dropped their price objective on Pinterest from $30.00 to $29.00 in a report on Friday, April 28th. Eleven equities research analysts have rated the stock with a hold rating and ten have assigned a buy rating to the companys stock. According to MarketBeat.com, the company has a consensus rating of Hold and a consensus price target of $30.61. View Our Latest Stock Analysis on Pinterest Pinterest Company Profile (Get Free Report) Pinterest, Inc operates as a visual discovery engine in the United States and internationally. The company's engine allows people to find ideas, such as recipes, home and style inspiration, and others; provides video, product, and idea pins; and offers organizing and planning tools. It shows organic recommendations and advertising engine based on pinners tastes and preferences; and enables pinners with shoppable product pins, which include price, color, and size that redirect to retailer websites. See Also Receive News & Ratings for Pinterest Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pinterest and related companies with MarketBeat.com's FREE daily email newsletter. a.k.a. Brands Holding Corp. (NYSE:AKA Get Free Report) was the target of a significant decline in short interest during the month of July. As of July 31st, there was short interest totalling 183,900 shares, a decline of 26.9% from the July 15th total of 251,500 shares. Based on an average trading volume of 242,100 shares, the short-interest ratio is currently 0.8 days. Currently, 1.3% of the companys stock are sold short. a.k.a. Brands Stock Performance NYSE:AKA traded down $0.03 during trading hours on Tuesday, reaching $0.36. The company had a trading volume of 108,518 shares, compared to its average volume of 243,343. The company has a debt-to-equity ratio of 0.49, a current ratio of 1.97 and a quick ratio of 0.67. The firm has a market cap of $46.42 million, a PE ratio of -0.25 and a beta of 1.24. The businesss 50 day moving average price is $0.46 and its 200-day moving average price is $0.67. a.k.a. Brands has a 1-year low of $0.30 and a 1-year high of $3.00. Get a.k.a. Brands alerts: Analyst Ratings Changes A number of brokerages have recently weighed in on AKA. Wells Fargo & Company dropped their price target on a.k.a. Brands from $3.00 to $0.75 and set an equal weight rating on the stock in a research note on Thursday, August 10th. Piper Sandler decreased their target price on a.k.a. Brands from $2.00 to $1.50 and set a neutral rating for the company in a report on Thursday, August 10th. Finally, Telsey Advisory Group decreased their target price on a.k.a. Brands from $2.00 to $1.00 and set a market perform rating for the company in a report on Thursday, August 10th. Six research analysts have rated the stock with a hold rating and one has issued a buy rating to the company. According to data from MarketBeat.com, the stock presently has an average rating of Hold and an average price target of $1.65. Institutional Inflows and Outflows Several large investors have recently made changes to their positions in AKA. JPMorgan Chase & Co. raised its stake in shares of a.k.a. Brands by 1,039.7% during the 1st quarter. JPMorgan Chase & Co. now owns 41,474 shares of the companys stock worth $184,000 after buying an additional 37,835 shares during the period. Bank of New York Mellon Corp increased its position in shares of a.k.a. Brands by 24.2% during the first quarter. Bank of New York Mellon Corp now owns 23,254 shares of the companys stock worth $103,000 after purchasing an additional 4,538 shares in the last quarter. BlackRock Inc. increased its position in shares of a.k.a. Brands by 1.7% during the first quarter. BlackRock Inc. now owns 362,482 shares of the companys stock worth $1,602,000 after purchasing an additional 6,231 shares in the last quarter. State Street Corp increased its position in shares of a.k.a. Brands by 31.0% during the first quarter. State Street Corp now owns 113,368 shares of the companys stock worth $501,000 after purchasing an additional 26,815 shares in the last quarter. Finally, PDT Partners LLC purchased a new stake in shares of a.k.a. Brands during the first quarter worth approximately $119,000. 60.01% of the stock is owned by institutional investors and hedge funds. About a.k.a. Brands (Get Free Report) a.k.a. Brands Holding Corp. operates a portfolio of online fashion brands in the United States, Australia, and internationally. It offers apparel, footwear, and accessories through its online stores under the Princess Polly, Culture Kings, Petal & Pup, and mnml brands, as well as operates physical stores under the Culture Kings brand name. Featured Articles Receive News & Ratings for a.k.a. Brands Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for a.k.a. Brands and related companies with MarketBeat.com's FREE daily email newsletter. American Money Management LLC reduced its stake in shares of iShares Russell Mid-Cap Growth ETF (NYSEARCA:IWP Free Report) by 33.4% in the first quarter, according to its most recent 13F filing with the Securities and Exchange Commission (SEC). The fund owned 2,247 shares of the companys stock after selling 1,125 shares during the quarter. American Money Management LLCs holdings in iShares Russell Mid-Cap Growth ETF were worth $205,000 as of its most recent SEC filing. A number of other hedge funds have also recently made changes to their positions in the stock. Wade G W & Inc. lifted its stake in shares of iShares Russell Mid-Cap Growth ETF by 1.3% during the 1st quarter. Wade G W & Inc. now owns 321,849 shares of the companys stock worth $29,304,000 after purchasing an additional 4,067 shares during the last quarter. BHK Investment Advisors LLC raised its stake in iShares Russell Mid-Cap Growth ETF by 17.2% in the first quarter. BHK Investment Advisors LLC now owns 10,900 shares of the companys stock valued at $992,000 after buying an additional 1,601 shares during the last quarter. Principal Financial Group Inc. raised its stake in iShares Russell Mid-Cap Growth ETF by 77.6% in the first quarter. Principal Financial Group Inc. now owns 39,131 shares of the companys stock valued at $3,563,000 after buying an additional 17,100 shares during the last quarter. Widmann Financial Services Inc. raised its stake in iShares Russell Mid-Cap Growth ETF by 2.2% in the first quarter. Widmann Financial Services Inc. now owns 34,008 shares of the companys stock valued at $3,096,000 after buying an additional 722 shares during the last quarter. Finally, Spire Wealth Management raised its stake in iShares Russell Mid-Cap Growth ETF by 168.9% in the first quarter. Spire Wealth Management now owns 16,638 shares of the companys stock valued at $1,515,000 after buying an additional 10,450 shares during the last quarter. Get iShares Russell Mid-Cap Growth ETF alerts: iShares Russell Mid-Cap Growth ETF Stock Performance iShares Russell Mid-Cap Growth ETF stock opened at $94.79 on Wednesday. The stock has a 50-day moving average of $96.40 and a two-hundred day moving average of $92.30. iShares Russell Mid-Cap Growth ETF has a one year low of $75.88 and a one year high of $100.93. The stock has a market cap of $12.87 billion, a PE ratio of 25.03 and a beta of 1.10. iShares Russell Mid-Cap Growth ETF Company Profile iShares Russell Mid-Cap Growth ETF, formerly iShares Russell Midcap Growth Index Fund (the Growth Fund), is an exchange-traded fund. The Fund seeks investment results that correspond generally to the price and yield performance, before fees and expenses, of the Russell Midcap Growth Index (the Growth Index). Further Reading Receive News & Ratings for iShares Russell Mid-Cap Growth ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Russell Mid-Cap Growth ETF and related companies with MarketBeat.com's FREE daily email newsletter. AZZ Inc. (NYSE:AZZ Get Free Report) was the recipient of a large growth in short interest in July. As of July 31st, there was short interest totalling 229,500 shares, a growth of 20.3% from the July 15th total of 190,700 shares. Based on an average daily volume of 103,000 shares, the days-to-cover ratio is currently 2.2 days. Currently, 0.9% of the companys shares are short sold. AZZ Price Performance NYSE:AZZ traded up $0.29 during trading hours on Tuesday, reaching $47.44. The company had a trading volume of 63,950 shares, compared to its average volume of 109,406. The stock has a 50-day moving average of $42.78 and a two-hundred day moving average of $40.35. AZZ has a one year low of $30.21 and a one year high of $47.57. The firm has a market capitalization of $1.19 billion, a PE ratio of -23.72 and a beta of 1.32. The company has a quick ratio of 1.46, a current ratio of 2.27 and a debt-to-equity ratio of 1.19. Get AZZ alerts: AZZ (NYSE:AZZ Get Free Report) last announced its earnings results on Monday, July 10th. The industrial products company reported $1.14 EPS for the quarter, missing the consensus estimate of $1.16 by ($0.02). AZZ had a positive return on equity of 11.93% and a negative net margin of 3.22%. The business had revenue of $390.87 million for the quarter, compared to the consensus estimate of $396.65 million. During the same quarter in the previous year, the company posted $1.40 EPS. AZZs revenue for the quarter was up 88.7% on a year-over-year basis. AZZ Announces Dividend Analyst Ratings Changes The company also recently disclosed a quarterly dividend, which was paid on Wednesday, July 26th. Investors of record on Wednesday, July 12th were issued a dividend of $0.17 per share. The ex-dividend date of this dividend was Tuesday, July 11th. This represents a $0.68 dividend on an annualized basis and a dividend yield of 1.43%. AZZs payout ratio is presently -34.00%. AZZ has been the topic of several research analyst reports. TheStreet raised AZZ from a c+ rating to a b- rating in a research note on Monday, July 10th. StockNews.com initiated coverage on AZZ in a research note on Thursday, May 18th. They issued a hold rating for the company. Read Our Latest Analysis on AZZ Institutional Inflows and Outflows A number of hedge funds have recently bought and sold shares of the stock. Vanguard Group Inc. lifted its holdings in AZZ by 2.4% during the 3rd quarter. Vanguard Group Inc. now owns 2,719,130 shares of the industrial products companys stock worth $99,276,000 after purchasing an additional 64,091 shares during the last quarter. T. Rowe Price Investment Management Inc. raised its holdings in AZZ by 0.6% in the 4th quarter. T. Rowe Price Investment Management Inc. now owns 2,482,627 shares of the industrial products companys stock valued at $99,802,000 after acquiring an additional 14,129 shares in the last quarter. Dimensional Fund Advisors LP raised its holdings in AZZ by 7.3% in the 1st quarter. Dimensional Fund Advisors LP now owns 1,243,000 shares of the industrial products companys stock valued at $59,963,000 after acquiring an additional 85,083 shares in the last quarter. Segall Bryant & Hamill LLC raised its holdings in AZZ by 4.3% in the 1st quarter. Segall Bryant & Hamill LLC now owns 927,071 shares of the industrial products companys stock valued at $38,232,000 after acquiring an additional 38,159 shares in the last quarter. Finally, State Street Corp increased its stake in shares of AZZ by 2.2% in the 2nd quarter. State Street Corp now owns 899,185 shares of the industrial products companys stock valued at $36,705,000 after purchasing an additional 19,257 shares during the last quarter. 87.56% of the stock is currently owned by institutional investors. AZZ Company Profile (Get Free Report) AZZ Inc provides hot-dip galvanizing and coil coating solutions in the United States, Canada, Brazil, China, the Netherlands, Poland, Singapore, and India. The company operates through AZZ Metal Coatings and AZZ Precoat Metals segments. The AZZ Metal Coatings segment offers metal finishing solutions for corrosion protection, including hot-dip galvanizing, spin galvanizing, powder coating, anodizing, and plating to steel fabrication and other industries. Read More Receive News & Ratings for AZZ Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AZZ and related companies with MarketBeat.com's FREE daily email newsletter. Gifford Fong Associates cut its position in Bank of America Co. (NYSE:BAC) by 40.0% in the 1st quarter, according to its most recent filing with the Securities and Exchange Commission. The institutional investor owned 332,901 shares of the financial services providers stock after selling 221,681 shares during the quarter. Bank of America accounts for about 2.5% of Gifford Fong Associates portfolio, making the stock its 5th largest holding. Gifford Fong Associates holdings in Bank of America were worth $9,521,000 at the end of the most recent reporting period. Other hedge funds and other institutional investors also recently made changes to their positions in the company. Tanglewood Legacy Advisors LLC bought a new stake in Bank of America during the 4th quarter worth approximately $26,000. Ahrens Investment Partners LLC bought a new stake in shares of Bank of America in the 1st quarter worth $31,000. Grey Fox Wealth Advisors LLC bought a new stake in shares of Bank of America in the 4th quarter worth $35,000. Mendota Financial Group LLC bought a new stake in shares of Bank of America in the 4th quarter worth $36,000. Finally, Stone House Investment Management LLC purchased a new stake in shares of Bank of America in the first quarter worth about $36,000. 67.34% of the stock is currently owned by institutional investors and hedge funds. Get Bank of America alerts: Bank of America Price Performance NYSE:BAC traded down $0.42 during trading hours on Wednesday, hitting $29.52. 7,978,222 shares of the stock traded hands, compared to its average volume of 50,533,984. Bank of America Co. has a 1 year low of $26.32 and a 1 year high of $38.60. The company has a market cap of $234.58 billion, a price-to-earnings ratio of 8.60, a price-to-earnings-growth ratio of 1.30 and a beta of 1.36. The stock has a 50 day moving average price of $29.99 and a 200 day moving average price of $30.28. The company has a quick ratio of 0.83, a current ratio of 0.83 and a debt-to-equity ratio of 1.12. Bank of America Increases Dividend Bank of America ( NYSE:BAC Get Free Report ) last released its earnings results on Tuesday, July 18th. The financial services provider reported $0.88 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.84 by $0.04. Bank of America had a net margin of 19.97% and a return on equity of 12.01%. The firm had revenue of $25.20 billion during the quarter, compared to analyst estimates of $24.98 billion. During the same quarter last year, the business earned $0.73 EPS. The businesss revenue was up 11.1% on a year-over-year basis. Sell-side analysts forecast that Bank of America Co. will post 3.4 EPS for the current fiscal year. The business also recently disclosed a quarterly dividend, which will be paid on Friday, September 29th. Investors of record on Friday, September 1st will be issued a dividend of $0.24 per share. This is a positive change from Bank of Americas previous quarterly dividend of $0.22. The ex-dividend date of this dividend is Thursday, August 31st. This represents a $0.96 dividend on an annualized basis and a yield of 3.25%. Bank of Americas dividend payout ratio (DPR) is presently 25.29%. Insider Activity at Bank of America In other news, insider James P. Demare sold 75,000 shares of the businesss stock in a transaction dated Tuesday, August 1st. The shares were sold at an average price of $31.53, for a total value of $2,364,750.00. Following the completion of the sale, the insider now owns 185,108 shares in the company, valued at approximately $5,836,455.24. The transaction was disclosed in a document filed with the SEC, which is available at the SEC website. In other news, insider James P. Demare sold 75,000 shares of the businesss stock in a transaction dated Tuesday, August 1st. The shares were sold at an average price of $31.53, for a total value of $2,364,750.00. Following the completion of the sale, the insider now owns 185,108 shares in the company, valued at approximately $5,836,455.24. The transaction was disclosed in a document filed with the SEC, which is available at the SEC website. Also, insider Dean C. Athanasia sold 77,806 shares of Bank of America stock in a transaction that occurred on Thursday, July 20th. The stock was sold at an average price of $31.48, for a total transaction of $2,449,332.88. Following the completion of the transaction, the insider now directly owns 353,971 shares in the company, valued at approximately $11,143,007.08. The disclosure for this sale can be found here. 0.27% of the stock is currently owned by company insiders. Wall Street Analyst Weigh In Several research analysts have recently issued reports on the stock. BMO Capital Markets cut their target price on shares of Bank of America from $41.00 to $40.00 in a report on Wednesday, July 19th. Royal Bank of Canada restated an outperform rating and issued a $35.00 target price on shares of Bank of America in a report on Friday, June 30th. UBS Group raised their target price on shares of Bank of America from $34.00 to $36.00 and gave the stock a buy rating in a report on Wednesday, April 19th. Evercore ISI cut their target price on shares of Bank of America from $36.00 to $35.00 in a report on Friday, May 12th. Finally, Morgan Stanley lifted their price target on shares of Bank of America from $32.00 to $33.00 in a report on Friday, July 7th. Two research analysts have rated the stock with a sell rating, seven have given a hold rating and eight have issued a buy rating to the stock. According to data from MarketBeat.com, the company presently has an average rating of Hold and a consensus target price of $36.26. Check Out Our Latest Analysis on Bank of America Bank of America Profile (Free Report) Bank of America Corporation, through its subsidiaries, provides banking and financial products and services for individual consumers, small and middle-market businesses, institutional investors, large corporations, and governments worldwide. Its Consumer Banking segment offers traditional and money market savings accounts, certificates of deposit and IRAs, noninterest-and interest-bearing checking accounts, and investment accounts and products; and credit and debit cards, residential mortgages, and home equity loans, as well as direct and indirect loans, such as automotive, recreational vehicle, and consumer personal loans. Featured Articles Want to see what other hedge funds are holding BAC? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Bank of America Co. (NYSE:BAC Free Report). Receive News & Ratings for Bank of America Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of America and related companies with MarketBeat.com's FREE daily email newsletter. Basilea Pharmaceutica AG (OTCMKTS:BPMUF Get Free Report)s share price reached a new 52-week high during mid-day trading on Tuesday . The stock traded as high as $50.00 and last traded at $50.00, with a volume of 0 shares changing hands. The stock had previously closed at $50.00. Basilea Pharmaceutica Price Performance The firms 50-day simple moving average is $50.00 and its 200-day simple moving average is $51.08. Basilea Pharmaceutica Company Profile (Get Free Report) Basilea Pharmaceutica AG, a commercial-stage biopharmaceutical company, focuses on the development of products that address the medical needs in the therapeutic areas of oncology and anti-infectives. It offers Cresemba, an intravenous and oral azole antifungal drug for the treatment of invasive aspergillosis and mucormycosis in the United States, and the European Union, as well as in Phase III clinical trials for invasive fungal infections in Japan. Featured Stories Receive News & Ratings for Basilea Pharmaceutica Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Basilea Pharmaceutica and related companies with MarketBeat.com's FREE daily email newsletter. Bessemer Group Inc. decreased its holdings in Howmet Aerospace Inc. (NYSE:HWM Free Report) by 0.6% in the first quarter, according to the company in its most recent 13F filing with the SEC. The fund owned 5,987,115 shares of the companys stock after selling 35,555 shares during the quarter. Bessemer Group Inc. owned 1.45% of Howmet Aerospace worth $253,674,000 at the end of the most recent quarter. A number of other hedge funds have also bought and sold shares of the business. LPL Financial LLC raised its position in Howmet Aerospace by 70.9% in the 1st quarter. LPL Financial LLC now owns 71,561 shares of the companys stock worth $3,032,000 after buying an additional 29,678 shares during the last quarter. iSAM Funds UK Ltd bought a new stake in shares of Howmet Aerospace during the 1st quarter valued at about $504,000. Meiji Yasuda Life Insurance Co grew its stake in shares of Howmet Aerospace by 2.1% during the 1st quarter. Meiji Yasuda Life Insurance Co now owns 25,843 shares of the companys stock valued at $1,095,000 after purchasing an additional 543 shares during the period. Kentucky Retirement Systems Insurance Trust Fund bought a new stake in shares of Howmet Aerospace during the 1st quarter valued at about $555,000. Finally, Pictet Asset Management SA grew its stake in shares of Howmet Aerospace by 4.4% during the 1st quarter. Pictet Asset Management SA now owns 176,212 shares of the companys stock valued at $7,466,000 after purchasing an additional 7,363 shares during the period. Hedge funds and other institutional investors own 87.98% of the companys stock. Get Howmet Aerospace alerts: Howmet Aerospace Price Performance HWM stock traded up $0.25 during midday trading on Wednesday, hitting $49.02. The companys stock had a trading volume of 803,063 shares, compared to its average volume of 2,849,802. Howmet Aerospace Inc. has a 12 month low of $30.59 and a 12 month high of $51.34. The company has a quick ratio of 1.00, a current ratio of 2.22 and a debt-to-equity ratio of 1.07. The firm has a market capitalization of $20.21 billion, a price-to-earnings ratio of 38.71, a price-to-earnings-growth ratio of 1.54 and a beta of 1.42. The company has a 50 day moving average of $48.65 and a two-hundred day moving average of $44.70. Howmet Aerospace Announces Dividend Analyst Upgrades and Downgrades The firm also recently declared a quarterly dividend, which will be paid on Friday, August 25th. Shareholders of record on Friday, August 4th will be paid a $0.04 dividend. The ex-dividend date of this dividend is Thursday, August 3rd. This represents a $0.16 annualized dividend and a yield of 0.33%. Howmet Aerospaces payout ratio is 12.70%. A number of research firms have issued reports on HWM. Benchmark restated a buy rating and set a $53.00 price target on shares of Howmet Aerospace in a research note on Wednesday, August 2nd. SpectralCast restated a maintains rating on shares of Howmet Aerospace in a research note on Tuesday, June 20th. JPMorgan Chase & Co. boosted their price target on Howmet Aerospace from $48.00 to $53.00 and gave the company an overweight rating in a research note on Wednesday, August 2nd. Truist Financial boosted their price target on Howmet Aerospace from $42.00 to $47.00 and gave the company a hold rating in a research note on Friday, July 21st. Finally, KeyCorp boosted their price objective on Howmet Aerospace from $50.00 to $52.00 and gave the company an overweight rating in a research note on Monday, August 7th. One equities research analyst has rated the stock with a hold rating and eleven have assigned a buy rating to the stock. According to MarketBeat.com, the stock presently has a consensus rating of Moderate Buy and an average target price of $52.08. Get Our Latest Research Report on Howmet Aerospace Insiders Place Their Bets In other Howmet Aerospace news, EVP Neil Edward Marchuk sold 80,000 shares of the companys stock in a transaction that occurred on Wednesday, August 9th. The stock was sold at an average price of $50.06, for a total value of $4,004,800.00. Following the completion of the transaction, the executive vice president now directly owns 261,291 shares in the company, valued at approximately $13,080,227.46. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at the SEC website. 1.23% of the stock is currently owned by corporate insiders. Howmet Aerospace Company Profile (Free Report) Howmet Aerospace Inc provides advanced engineered solutions for the aerospace and transportation industries in the United States, Japan, France, Germany, the United Kingdom, Mexico, Italy, Canada, Poland, China, and internationally. It operates through four segments: Engine Products, Fastening Systems, Engineered Structures, and Forged Wheels. Further Reading Want to see what other hedge funds are holding HWM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Howmet Aerospace Inc. (NYSE:HWM Free Report). Receive News & Ratings for Howmet Aerospace Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Howmet Aerospace and related companies with MarketBeat.com's FREE daily email newsletter. BlackRock Sustainable American Income Trust plc (LON:BRSA Get Free Report) declared a dividend on Thursday, August 3rd, Upcoming.Co.Uk reports. Shareholders of record on Thursday, August 17th will be paid a dividend of GBX 2 ($0.03) per share on Monday, October 2nd. This represents a yield of 1.07%. The ex-dividend date is Thursday, August 17th. The official announcement can be seen at this link. BlackRock Sustainable American Income Trust Stock Up 0.3 % BRSA opened at GBX 186 ($2.36) on Wednesday. BlackRock Sustainable American Income Trust has a fifty-two week low of GBX 179.50 ($2.28) and a fifty-two week high of GBX 215 ($2.73). The company has a debt-to-equity ratio of 2.25, a current ratio of 0.45 and a quick ratio of 0.10. The companys 50-day moving average is GBX 186.36 and its 200 day moving average is GBX 190.87. The firm has a market capitalization of 149.23 million, a P/E ratio of 1,236.67 and a beta of 0.67. Get BlackRock Sustainable American Income Trust alerts: About BlackRock Sustainable American Income Trust (Get Free Report) See Also BlackRock North American Income Trust plc is a closed-ended equity mutual fund launched by BlackRock Fund Managers Limited. The fund is managed by BlackRock Investment Management (UK) Limited. It invests in the public equity markets of the United States. The fund seeks to invest in stocks of companies operating across diversified sectors. Receive News & Ratings for BlackRock Sustainable American Income Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for BlackRock Sustainable American Income Trust and related companies with MarketBeat.com's FREE daily email newsletter. Shares of WESCO International, Inc. (NYSE:WCC Get Free Report) have earned a consensus rating of Buy from the seven ratings firms that are currently covering the company, MarketBeat Ratings reports. One equities research analyst has rated the stock with a hold rating, five have given a buy rating and one has given a strong buy rating to the company. The average 12-month price target among brokerages that have covered the stock in the last year is $181.75. A number of brokerages recently commented on WCC. Oppenheimer lowered their price target on shares of WESCO International from $190.00 to $185.00 and set an outperform rating for the company in a report on Friday, August 4th. Royal Bank of Canada lowered their price target on shares of WESCO International from $214.00 to $189.00 and set an outperform rating for the company in a report on Friday, August 4th. Loop Capital increased their price target on shares of WESCO International from $170.00 to $210.00 in a report on Wednesday, June 21st. Robert W. Baird raised shares of WESCO International from a neutral rating to an outperform rating and set a $180.00 price target for the company in a report on Friday, May 5th. Finally, StockNews.com started coverage on shares of WESCO International in a research note on Thursday, May 18th. They issued a hold rating for the company. Get WESCO International alerts: Read Our Latest Stock Report on WESCO International WESCO International Trading Down 1.6 % Shares of WESCO International stock opened at $154.39 on Wednesday. The firm has a market cap of $7.93 billion, a price-to-earnings ratio of 10.23, a PEG ratio of 1.01 and a beta of 2.00. The business has a 50-day moving average price of $168.81 and a 200-day moving average price of $154.54. The company has a quick ratio of 1.40, a current ratio of 2.40 and a debt-to-equity ratio of 1.15. WESCO International has a twelve month low of $112.08 and a twelve month high of $185.23. WESCO International (NYSE:WCC Get Free Report) last issued its quarterly earnings data on Thursday, August 3rd. The technology company reported $3.71 earnings per share for the quarter, missing analysts consensus estimates of $4.45 by ($0.74). The firm had revenue of $5.75 billion for the quarter, compared to analysts expectations of $5.94 billion. WESCO International had a net margin of 3.81% and a return on equity of 19.97%. The companys revenue for the quarter was up 4.5% on a year-over-year basis. During the same quarter in the previous year, the business earned $4.19 EPS. Equities research analysts anticipate that WESCO International will post 15.36 EPS for the current fiscal year. WESCO International Announces Dividend The business also recently declared a quarterly dividend, which was paid on Friday, June 30th. Stockholders of record on Thursday, June 15th were paid a dividend of $0.375 per share. This represents a $1.50 annualized dividend and a dividend yield of 0.97%. The ex-dividend date of this dividend was Wednesday, June 14th. WESCO Internationals dividend payout ratio is presently 9.94%. Insider Activity In other news, EVP Nelson John Squires III sold 3,491 shares of WESCO International stock in a transaction that occurred on Wednesday, June 28th. The shares were sold at an average price of $175.00, for a total transaction of $610,925.00. Following the completion of the sale, the executive vice president now owns 69,587 shares of the companys stock, valued at $12,177,725. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through this hyperlink. In other news, EVP Nelson John Squires III sold 3,491 shares of WESCO International stock in a transaction that occurred on Wednesday, June 28th. The shares were sold at an average price of $175.00, for a total transaction of $610,925.00. Following the completion of the sale, the executive vice president now owns 69,587 shares of the companys stock, valued at $12,177,725. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through this hyperlink. Also, Director Easwaran Sundaram sold 1,052 shares of WESCO International stock in a transaction that occurred on Wednesday, August 9th. The shares were sold at an average price of $152.83, for a total transaction of $160,777.16. Following the sale, the director now directly owns 9,461 shares of the companys stock, valued at approximately $1,445,924.63. The disclosure for this sale can be found here. Over the last quarter, insiders sold 15,720 shares of company stock valued at $2,579,626. Corporate insiders own 2.60% of the companys stock. Hedge Funds Weigh In On WESCO International Several institutional investors have recently bought and sold shares of WCC. MetLife Investment Management LLC increased its holdings in WESCO International by 55.8% during the first quarter. MetLife Investment Management LLC now owns 23,551 shares of the technology companys stock valued at $3,065,000 after buying an additional 8,430 shares during the period. Neuberger Berman Group LLC increased its holdings in WESCO International by 4.3% during the first quarter. Neuberger Berman Group LLC now owns 55,479 shares of the technology companys stock valued at $7,220,000 after buying an additional 2,304 shares during the period. UBS Asset Management Americas Inc. increased its holdings in WESCO International by 29.5% during the second quarter. UBS Asset Management Americas Inc. now owns 82,766 shares of the technology companys stock valued at $8,864,000 after buying an additional 18,860 shares during the period. Captrust Financial Advisors increased its holdings in WESCO International by 35.2% during the second quarter. Captrust Financial Advisors now owns 3,212 shares of the technology companys stock valued at $344,000 after buying an additional 837 shares during the period. Finally, BNP Paribas Arbitrage SNC increased its holdings in WESCO International by 33.0% during the third quarter. BNP Paribas Arbitrage SNC now owns 21,877 shares of the technology companys stock valued at $2,612,000 after buying an additional 5,424 shares during the period. 92.78% of the stock is owned by hedge funds and other institutional investors. About WESCO International (Get Free Report WESCO International, Inc provides business-to-business distribution, logistics services, and supply chain solutions in the United States, Canada, and internationally. It operates through three segments: Electrical & Electronic Solutions (EES), Communications & Security Solutions (CSS), and Utility and Broadband Solutions (UBS). Further Reading Receive News & Ratings for WESCO International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for WESCO International and related companies with MarketBeat.com's FREE daily email newsletter. Cavalier Investments LLC boosted its position in shares of Raymond James (NYSE:RJF Free Report) by 5.7% in the 1st quarter, according to its most recent filing with the Securities and Exchange Commission. The fund owned 5,984 shares of the financial services providers stock after purchasing an additional 322 shares during the quarter. Cavalier Investments LLCs holdings in Raymond James were worth $558,000 as of its most recent filing with the Securities and Exchange Commission. Other institutional investors also recently modified their holdings of the company. Wellington Management Group LLP grew its position in shares of Raymond James by 80.5% in the 1st quarter. Wellington Management Group LLP now owns 5,629,875 shares of the financial services providers stock valued at $618,780,000 after purchasing an additional 2,510,966 shares during the period. Norges Bank acquired a new position in shares of Raymond James in the 4th quarter valued at approximately $206,765,000. First Trust Advisors LP grew its position in shares of Raymond James by 552.2% in the 4th quarter. First Trust Advisors LP now owns 1,952,711 shares of the financial services providers stock valued at $208,652,000 after purchasing an additional 1,653,311 shares during the period. JPMorgan Chase & Co. grew its position in shares of Raymond James by 18.2% in the 4th quarter. JPMorgan Chase & Co. now owns 5,428,007 shares of the financial services providers stock valued at $579,984,000 after purchasing an additional 837,121 shares during the period. Finally, Morgan Stanley grew its position in shares of Raymond James by 35.1% in the 4th quarter. Morgan Stanley now owns 2,278,272 shares of the financial services providers stock valued at $243,433,000 after purchasing an additional 591,327 shares during the period. 74.14% of the stock is currently owned by institutional investors and hedge funds. Get Raymond James alerts: Raymond James Price Performance NYSE:RJF traded down $0.33 during trading hours on Wednesday, hitting $104.73. The companys stock had a trading volume of 131,672 shares, compared to its average volume of 1,314,865. The stock has a fifty day simple moving average of $104.28 and a 200-day simple moving average of $99.48. The company has a market capitalization of $21.87 billion, a P/E ratio of 13.27 and a beta of 1.05. The company has a debt-to-equity ratio of 0.38, a current ratio of 0.97 and a quick ratio of 0.95. Raymond James has a twelve month low of $82.00 and a twelve month high of $126.00. Raymond James Dividend Announcement Raymond James ( NYSE:RJF Get Free Report ) last posted its earnings results on Wednesday, July 26th. The financial services provider reported $1.85 EPS for the quarter, missing the consensus estimate of $2.10 by ($0.25). Raymond James had a net margin of 13.98% and a return on equity of 18.73%. The firm had revenue of $2.91 billion during the quarter, compared to the consensus estimate of $2.91 billion. During the same quarter in the prior year, the business posted $1.61 earnings per share. Raymond Jamess revenue for the quarter was up 7.0% compared to the same quarter last year. On average, analysts anticipate that Raymond James will post 8.5 EPS for the current fiscal year. The firm also recently announced a quarterly dividend, which was paid on Monday, July 17th. Shareholders of record on Monday, July 3rd were issued a $0.42 dividend. The ex-dividend date was Friday, June 30th. This represents a $1.68 dividend on an annualized basis and a yield of 1.60%. Raymond Jamess payout ratio is currently 21.21%. Insiders Place Their Bets In other Raymond James news, COO Jeffrey A. Dowdle sold 5,281 shares of the firms stock in a transaction that occurred on Wednesday, June 7th. The stock was sold at an average price of $96.36, for a total value of $508,877.16. Following the completion of the sale, the chief operating officer now owns 48,314 shares of the companys stock, valued at $4,655,537.04. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this hyperlink. In other Raymond James news, COO Jeffrey A. Dowdle sold 5,281 shares of the firms stock in a transaction that occurred on Wednesday, June 7th. The stock was sold at an average price of $96.36, for a total value of $508,877.16. Following the completion of the sale, the chief operating officer now owns 48,314 shares of the companys stock, valued at $4,655,537.04. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this hyperlink. Also, insider Horace Carter sold 3,753 shares of the firms stock in a transaction that occurred on Monday, July 31st. The shares were sold at an average price of $109.12, for a total value of $409,527.36. Following the completion of the sale, the insider now directly owns 19,515 shares of the companys stock, valued at approximately $2,129,476.80. The disclosure for this sale can be found here. 9.46% of the stock is currently owned by corporate insiders. Wall Street Analyst Weigh In A number of equities analysts have weighed in on the company. Jefferies Financial Group upped their price target on Raymond James from $112.00 to $120.00 in a research report on Monday, July 10th. StockNews.com assumed coverage on Raymond James in a research report on Thursday, May 18th. They set a hold rating for the company. Finally, Morgan Stanley reduced their price target on Raymond James from $112.00 to $110.00 and set an equal weight rating for the company in a research report on Monday, July 31st. Six investment analysts have rated the stock with a hold rating and two have given a buy rating to the companys stock. According to data from MarketBeat, the stock currently has a consensus rating of Hold and an average price target of $117.43. Read Our Latest Analysis on Raymond James Raymond James Company Profile (Free Report) Raymond James Financial, Inc, a financial holding company, through its subsidiaries, engages in the underwriting, distribution, trading, and brokerage of equity and debt securities, and the sale of mutual funds and other investment products in the United States, Canada, Europe, and internationally. The company operates through Private Client Group, Capital Markets, Asset Management, RJ Bank, and Other segments. Featured Stories Receive News & Ratings for Raymond James Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Raymond James and related companies with MarketBeat.com's FREE daily email newsletter. China Gold International Resources Corp. Ltd. (TSE:CGG Get Free Report)s stock price crossed below its two hundred day moving average during trading on Monday . The stock has a two hundred day moving average of C$5.40 and traded as low as C$4.92. China Gold International Resources shares last traded at C$4.92, with a volume of 92,653 shares traded. China Gold International Resources Stock Down 1.0 % The company has a quick ratio of 0.61, a current ratio of 1.09 and a debt-to-equity ratio of 45.84. The stock has a 50 day moving average of C$5.22 and a 200 day moving average of C$5.40. The firm has a market capitalization of C$1.87 billion, a price-to-earnings ratio of 6.36 and a beta of 2.04. Get China Gold International Resources alerts: China Gold International Resources (TSE:CGG Get Free Report) last issued its earnings results on Monday, May 15th. The company reported C$0.27 earnings per share (EPS) for the quarter. China Gold International Resources had a net margin of 21.76% and a return on equity of 12.70%. The firm had revenue of C$341.83 million for the quarter. As a group, analysts expect that China Gold International Resources Corp. Ltd. will post 6.2699998 earnings per share for the current fiscal year. China Gold International Resources Company Profile China Gold International Resources Corp. Ltd., a gold and base metal mining company, acquires, explores for, develops, and mines mineral properties in the People's Republic of China. It primarily holds 96.5% interest in the Chang Shan Hao gold mine located in Inner Mongolia; and holds interest in the Jiama copper-gold polymetallic mine that hosts copper, gold, molybdenum, silver, lead, and zinc metals located in Tibet. Featured Stories Receive News & Ratings for China Gold International Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for China Gold International Resources and related companies with MarketBeat.com's FREE daily email newsletter. Compania de Minas Buenaventura S.A.A. (NYSE:BVN Get Free Report) was the recipient of a large increase in short interest in the month of July. As of July 31st, there was short interest totalling 7,200,000 shares, an increase of 9.1% from the July 15th total of 6,600,000 shares. Currently, 2.8% of the companys shares are short sold. Based on an average daily trading volume, of 1,620,000 shares, the short-interest ratio is currently 4.4 days. Analyst Ratings Changes BVN has been the subject of a number of recent analyst reports. Morgan Stanley dropped their price target on shares of Compania de Minas Buenaventura S.A.A. from $9.30 to $8.60 in a research report on Wednesday, June 21st. StockNews.com raised shares of Compania de Minas Buenaventura S.A.A. from a sell rating to a hold rating in a research report on Friday, June 30th. Get Compania de Minas Buenaventura S.A.A. alerts: View Our Latest Stock Report on BVN Institutional Inflows and Outflows Compania de Minas Buenaventura S.A.A. Trading Up 0.1 % A number of institutional investors have recently made changes to their positions in BVN. Advisor Group Holdings Inc. boosted its position in Compania de Minas Buenaventura S.A.A. by 14,350.0% in the 1st quarter. Advisor Group Holdings Inc. now owns 7,225 shares of the mining companys stock valued at $73,000 after buying an additional 7,175 shares during the period. JPMorgan Chase & Co. boosted its holdings in Compania de Minas Buenaventura S.A.A. by 38.5% in the first quarter. JPMorgan Chase & Co. now owns 1,301,251 shares of the mining companys stock valued at $13,104,000 after purchasing an additional 361,466 shares during the period. Bank of New York Mellon Corp grew its stake in Compania de Minas Buenaventura S.A.A. by 1.2% during the 1st quarter. Bank of New York Mellon Corp now owns 386,131 shares of the mining companys stock worth $3,888,000 after purchasing an additional 4,760 shares in the last quarter. American Century Companies Inc. increased its holdings in Compania de Minas Buenaventura S.A.A. by 39.7% during the 1st quarter. American Century Companies Inc. now owns 126,411 shares of the mining companys stock worth $1,273,000 after purchasing an additional 35,902 shares during the period. Finally, Citigroup Inc. raised its holdings in Compania de Minas Buenaventura S.A.A. by 133.6% in the first quarter. Citigroup Inc. now owns 289,561 shares of the mining companys stock valued at $2,916,000 after acquiring an additional 165,613 shares in the last quarter. Shares of NYSE BVN traded up $0.01 during trading on Wednesday, reaching $7.77. The stock had a trading volume of 985,424 shares, compared to its average volume of 1,418,523. The company has a current ratio of 1.46, a quick ratio of 1.22 and a debt-to-equity ratio of 0.21. Compania de Minas Buenaventura S.A.A. has a fifty-two week low of $5.09 and a fifty-two week high of $8.73. The businesss 50-day moving average price is $7.56 and its 200-day moving average price is $7.61. Compania de Minas Buenaventura S.A.A. (NYSE:BVN Get Free Report) last announced its earnings results on Wednesday, July 26th. The mining company reported ($0.03) EPS for the quarter, missing analysts consensus estimates of $0.11 by ($0.14). The firm had revenue of $173.25 million for the quarter, compared to analysts expectations of $187.33 million. Compania de Minas Buenaventura S.A.A. had a net margin of 13.16% and a return on equity of 3.34%. During the same quarter last year, the business earned ($0.16) earnings per share. As a group, analysts forecast that Compania de Minas Buenaventura S.A.A. will post 0.57 earnings per share for the current year. About Compania de Minas Buenaventura S.A.A. (Get Free Report) Compania de Minas Buenaventura SAA. engages in the exploration, mining, concentration, smelting, and marketing of polymetallic ores and metals in Peru, the United States, Asia, and Europe. The company explores for gold, silver, lead, zinc, and copper metals. It operates operating mining units, including Tambomayo located in the Caylloma province, Orcopampa Unit located in the province of Castilla, Uchucchacua located in province of Oyon, Julcani located in province of Angaraes, Peru, as well as San Gabrie located in the province of General Sanchez Cerro, in the Moquegua region. Further Reading Receive News & Ratings for Compania de Minas Buenaventura S.A.A. Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Compania de Minas Buenaventura S.A.A. and related companies with MarketBeat.com's FREE daily email newsletter. Connor Clark & Lunn Investment Management Ltd. grew its stake in Aflac Incorporated (NYSE:AFL Free Report) by 182.3% in the first quarter, according to the company in its most recent filing with the Securities and Exchange Commission (SEC). The fund owned 569,907 shares of the financial services providers stock after acquiring an additional 368,051 shares during the quarter. Connor Clark & Lunn Investment Management Ltd. owned 0.09% of Aflac worth $36,770,000 at the end of the most recent quarter. A number of other institutional investors and hedge funds also recently modified their holdings of the stock. Principal Financial Group Inc. increased its stake in shares of Aflac by 0.9% in the 1st quarter. Principal Financial Group Inc. now owns 730,736 shares of the financial services providers stock valued at $47,147,000 after acquiring an additional 6,596 shares during the last quarter. Empirical Financial Services LLC d.b.a. Empirical Wealth Management increased its stake in shares of Aflac by 33.4% in the 1st quarter. Empirical Financial Services LLC d.b.a. Empirical Wealth Management now owns 6,495 shares of the financial services providers stock valued at $419,000 after acquiring an additional 1,625 shares during the last quarter. Great Lakes Retirement Inc. bought a new stake in shares of Aflac in the 1st quarter valued at about $395,000. Aptus Capital Advisors LLC increased its stake in shares of Aflac by 34.2% in the 1st quarter. Aptus Capital Advisors LLC now owns 10,245 shares of the financial services providers stock valued at $661,000 after acquiring an additional 2,612 shares during the last quarter. Finally, Tower Research Capital LLC TRC increased its stake in shares of Aflac by 47.4% in the 1st quarter. Tower Research Capital LLC TRC now owns 19,414 shares of the financial services providers stock valued at $1,253,000 after acquiring an additional 6,243 shares during the last quarter. 66.56% of the stock is owned by institutional investors. Get Aflac alerts: Aflac Stock Down 1.2 % Aflac stock opened at $75.70 on Wednesday. The company has a current ratio of 0.07, a quick ratio of 0.07 and a debt-to-equity ratio of 0.35. Aflac Incorporated has a 1-year low of $56.03 and a 1-year high of $78.43. The company has a fifty day simple moving average of $71.28 and a 200 day simple moving average of $68.26. The company has a market cap of $44.97 billion, a P/E ratio of 10.12, a price-to-earnings-growth ratio of 2.36 and a beta of 0.94. Aflac Dividend Announcement Analyst Ratings Changes The business also recently disclosed a quarterly dividend, which will be paid on Friday, September 1st. Stockholders of record on Wednesday, August 23rd will be issued a $0.42 dividend. This represents a $1.68 annualized dividend and a dividend yield of 2.22%. The ex-dividend date of this dividend is Tuesday, August 22nd. Aflacs payout ratio is 22.46%. A number of analysts have recently commented on the company. Wells Fargo & Company upped their price target on Aflac from $71.00 to $78.00 and gave the company an equal weight rating in a research note on Tuesday. Citigroup increased their target price on Aflac from $69.00 to $76.00 and gave the stock a neutral rating in a research note on Wednesday, August 9th. VNET Group reissued a maintains rating on shares of Aflac in a research note on Thursday, April 27th. 888 reissued a reiterates rating on shares of Aflac in a research note on Friday, June 9th. Finally, JPMorgan Chase & Co. increased their target price on Aflac from $72.00 to $74.00 and gave the stock a neutral rating in a research note on Wednesday, August 2nd. Six research analysts have rated the stock with a hold rating and four have given a buy rating to the stock. According to data from MarketBeat.com, the company currently has an average rating of Hold and an average target price of $75.90. Get Our Latest Stock Analysis on Aflac Insider Activity at Aflac In related news, Director Charles D. Lake II sold 16,040 shares of the stock in a transaction that occurred on Thursday, August 10th. The stock was sold at an average price of $75.86, for a total transaction of $1,216,794.40. Following the completion of the transaction, the director now directly owns 53,925 shares of the companys stock, valued at $4,090,750.50. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through the SEC website. In related news, Director Charles D. Lake II sold 16,040 shares of the businesss stock in a transaction on Thursday, August 10th. The shares were sold at an average price of $75.86, for a total transaction of $1,216,794.40. Following the transaction, the director now directly owns 53,925 shares in the company, valued at $4,090,750.50. The sale was disclosed in a filing with the SEC, which is available through this link. Also, insider Virgil Raynard Miller sold 2,626 shares of the stock in a transaction on Thursday, August 3rd. The shares were sold at an average price of $77.08, for a total transaction of $202,412.08. Following the completion of the sale, the insider now owns 2,181 shares of the companys stock, valued at approximately $168,111.48. The disclosure for this sale can be found here. Over the last quarter, insiders have sold 32,637 shares of company stock valued at $2,360,201. 0.90% of the stock is owned by insiders. Aflac Profile (Free Report) Aflac Incorporated, through its subsidiaries, provides supplemental health and life insurance products. It operates in two segments, Aflac Japan and Aflac U.S. The Aflac Japan segment offers cancer, medical, nursing care, work leave, GIFT, and whole and term life insurance products, as well as WAYS and child endowment plans under saving type insurance products in Japan. Recommended Stories Receive News & Ratings for Aflac Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Aflac and related companies with MarketBeat.com's FREE daily email newsletter. Connor Clark & Lunn Investment Management Ltd. raised its holdings in shares of The Travelers Companies, Inc. (NYSE:TRV Free Report) by 6,725.5% in the 1st quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The firm owned 151,800 shares of the insurance providers stock after buying an additional 149,576 shares during the period. Connor Clark & Lunn Investment Management Ltd. owned about 0.07% of Travelers Companies worth $26,020,000 at the end of the most recent reporting period. Other institutional investors and hedge funds have also recently modified their holdings of the company. Principal Financial Group Inc. increased its stake in Travelers Companies by 0.3% in the 1st quarter. Principal Financial Group Inc. now owns 272,276 shares of the insurance providers stock worth $46,671,000 after purchasing an additional 707 shares during the period. Empirical Financial Services LLC d.b.a. Empirical Wealth Management grew its stake in shares of Travelers Companies by 9.8% in the first quarter. Empirical Financial Services LLC d.b.a. Empirical Wealth Management now owns 30,370 shares of the insurance providers stock worth $5,206,000 after purchasing an additional 2,702 shares during the last quarter. Nuance Investments LLC raised its position in Travelers Companies by 6.3% during the 1st quarter. Nuance Investments LLC now owns 1,382,852 shares of the insurance providers stock valued at $237,035,000 after purchasing an additional 81,662 shares during the last quarter. Spire Wealth Management grew its position in shares of Travelers Companies by 36.8% in the 1st quarter. Spire Wealth Management now owns 2,849 shares of the insurance providers stock worth $488,000 after buying an additional 767 shares during the last quarter. Finally, PYA Waltman Capital LLC lifted its stake in Travelers Companies by 40.4% in the first quarter. PYA Waltman Capital LLC now owns 2,405 shares of the insurance providers stock worth $412,000 after acquiring an additional 692 shares during the period. 81.06% of the stock is owned by institutional investors. Get Travelers Companies alerts: Insiders Place Their Bets In other news, EVP Michael Frederick Klein sold 10,000 shares of the businesss stock in a transaction on Monday, July 24th. The shares were sold at an average price of $175.05, for a total transaction of $1,750,500.00. Following the completion of the sale, the executive vice president now owns 14,080 shares of the companys stock, valued at approximately $2,464,704. The sale was disclosed in a legal filing with the SEC, which is available at this hyperlink. Insiders own 1.29% of the companys stock. Analyst Upgrades and Downgrades A number of research analysts have weighed in on TRV shares. Wells Fargo & Company upped their target price on Travelers Companies from $183.00 to $185.00 in a report on Thursday, July 20th. Roth Capital restated a buy rating on shares of Travelers Companies in a report on Friday, April 21st. JPMorgan Chase & Co. raised their target price on shares of Travelers Companies from $185.00 to $194.00 in a research note on Thursday, July 6th. StockNews.com cut Travelers Companies from a buy rating to a hold rating in a research report on Monday, June 19th. Finally, Morgan Stanley reaffirmed an equal weight rating and set a $185.00 price objective on shares of Travelers Companies in a research report on Friday, July 21st. Eight research analysts have rated the stock with a hold rating, five have issued a buy rating and one has issued a strong buy rating to the company. According to MarketBeat.com, the company presently has a consensus rating of Moderate Buy and an average target price of $196.08. Check Out Our Latest Research Report on TRV Travelers Companies Price Performance NYSE TRV opened at $163.48 on Wednesday. The stock has a market capitalization of $37.43 billion, a price-to-earnings ratio of 17.41, a PEG ratio of 1.39 and a beta of 0.59. The Travelers Companies, Inc. has a 1-year low of $149.65 and a 1-year high of $194.51. The company has a debt-to-equity ratio of 0.37, a current ratio of 0.33 and a quick ratio of 0.33. The businesss fifty day moving average price is $171.89 and its 200-day moving average price is $175.84. Travelers Companies (NYSE:TRV Get Free Report) last posted its earnings results on Thursday, July 20th. The insurance provider reported $0.06 earnings per share for the quarter, missing analysts consensus estimates of $2.05 by ($1.99). The firm had revenue of $10.10 billion during the quarter, compared to the consensus estimate of $9.97 billion. Travelers Companies had a net margin of 5.77% and a return on equity of 10.75%. The firms revenue was up 10.5% compared to the same quarter last year. During the same period in the prior year, the company posted $2.57 EPS. On average, equities analysts predict that The Travelers Companies, Inc. will post 12.01 earnings per share for the current year. Travelers Companies announced that its board has initiated a stock buyback program on Wednesday, April 19th that permits the company to repurchase $5.00 billion in shares. This repurchase authorization permits the insurance provider to purchase up to 12.2% of its shares through open market purchases. Shares repurchase programs are generally a sign that the companys management believes its stock is undervalued. Travelers Companies Dividend Announcement The firm also recently announced a quarterly dividend, which will be paid on Friday, September 29th. Investors of record on Friday, September 8th will be given a dividend of $1.00 per share. The ex-dividend date of this dividend is Thursday, September 7th. This represents a $4.00 annualized dividend and a yield of 2.45%. Travelers Companiess dividend payout ratio (DPR) is 42.60%. About Travelers Companies (Free Report) The Travelers Companies, Inc, through its subsidiaries, provides a range of commercial and personal property, and casualty insurance products and services to businesses, government units, associations, and individuals in the United States and internationally. It operates through three segments: Business Insurance, Bond & Specialty Insurance, and Personal Insurance. Read More Want to see what other hedge funds are holding TRV? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Travelers Companies, Inc. (NYSE:TRV Free Report). Receive News & Ratings for Travelers Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Travelers Companies and related companies with MarketBeat.com's FREE daily email newsletter. Dufry AG (OTCMKTS:DFRYF Get Free Report) was the recipient of a large decrease in short interest in the month of July. As of July 31st, there was short interest totalling 190,600 shares, a decrease of 18.5% from the July 15th total of 234,000 shares. Based on an average trading volume of 200 shares, the short-interest ratio is currently 953.0 days. Dufry Stock Performance Shares of OTCMKTS:DFRYF remained flat at $47.80 during trading on Tuesday. The business has a 50-day moving average of $47.45 and a 200-day moving average of $45.96. Dufry has a 52 week low of $29.01 and a 52 week high of $50.87. Get Dufry alerts: Dufry Company Profile (Get Free Report) Further Reading Dufry AG operates as a travel retailer. The company's retail brands include general travel retail shops under the Dufry, World Duty Free, Nuance, Hellenic Duty Free, Colombian Emeralds, Duty Free Uruguay, Hudson, Duty Free Shop Argentina, RegStaer, Autogrill, Hellenic Duty Free, HMSHost, and World Duty Free brands; Dufry shopping stores; brand boutiques; convenience stores primarily under the Hudson brand; and specialized shops and theme stores. Receive News & Ratings for Dufry Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Dufry and related companies with MarketBeat.com's FREE daily email newsletter. First Trust Dynamic Europe Equity Income Fund (NYSE:FDEU Get Free Report) was the recipient of a significant decline in short interest during the month of July. As of July 31st, there was short interest totalling 12,800 shares, a decline of 12.3% from the July 15th total of 14,600 shares. Based on an average daily trading volume, of 39,500 shares, the days-to-cover ratio is presently 0.3 days. Hedge Funds Weigh In On First Trust Dynamic Europe Equity Income Fund A number of hedge funds and other institutional investors have recently added to or reduced their stakes in FDEU. CSS LLC IL acquired a new position in First Trust Dynamic Europe Equity Income Fund in the 2nd quarter worth approximately $5,376,000. Hunting Hill Global Capital LLC acquired a new position in First Trust Dynamic Europe Equity Income Fund in the 2nd quarter worth approximately $4,924,000. Sit Investment Associates Inc. boosted its stake in shares of First Trust Dynamic Europe Equity Income Fund by 19.7% during the 4th quarter. Sit Investment Associates Inc. now owns 1,401,886 shares of the companys stock valued at $15,813,000 after buying an additional 230,740 shares during the period. Wolverine Asset Management LLC acquired a new stake in shares of First Trust Dynamic Europe Equity Income Fund during the 1st quarter valued at $1,796,000. Finally, Clough Capital Partners L P acquired a new stake in shares of First Trust Dynamic Europe Equity Income Fund during the 1st quarter valued at $1,322,000. Get First Trust Dynamic Europe Equity Income Fund alerts: First Trust Dynamic Europe Equity Income Fund Trading Down 1.5 % First Trust Dynamic Europe Equity Income Fund stock traded down $0.20 during mid-day trading on Tuesday, hitting $12.94. The companys stock had a trading volume of 89,438 shares, compared to its average volume of 48,722. First Trust Dynamic Europe Equity Income Fund has a twelve month low of $9.27 and a twelve month high of $13.75. The stocks fifty day moving average price is $13.15 and its two-hundred day moving average price is $12.75. First Trust Dynamic Europe Equity Income Fund Dividend Announcement First Trust Dynamic Europe Equity Income Fund Company Profile The firm also recently declared a monthly dividend, which will be paid on Tuesday, August 15th. Shareholders of record on Wednesday, August 2nd will be given a $0.07 dividend. The ex-dividend date of this dividend is Tuesday, August 1st. This represents a $0.84 annualized dividend and a yield of 6.49%. (Get Free Report) First Trust Dynamic Europe Equity Income Fund is a close ended equity mutual fund launched and managed by First Trust Advisors L.P. The fund is co-managed by Henderson Global Investors (North America) Inc and Henderson Investment Management Limited. It invests in the public equity markets of Europe. The fund seeks to invest in stocks of companies operating across diversified sectors. See Also Receive News & Ratings for First Trust Dynamic Europe Equity Income Fund Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for First Trust Dynamic Europe Equity Income Fund and related companies with MarketBeat.com's FREE daily email newsletter. Forestar Group Inc. (NYSE:FOR Get Free Report) was the recipient of a significant decrease in short interest in the month of July. As of July 31st, there was short interest totalling 399,300 shares, a decrease of 13.5% from the July 15th total of 461,600 shares. Based on an average daily trading volume, of 188,700 shares, the days-to-cover ratio is presently 2.1 days. Currently, 2.2% of the companys shares are short sold. Institutional Investors Weigh In On Forestar Group A number of large investors have recently modified their holdings of the stock. Nuveen Asset Management LLC grew its stake in shares of Forestar Group by 111.7% in the second quarter. Nuveen Asset Management LLC now owns 102,428 shares of the oil and gas exploration companys stock valued at $2,310,000 after buying an additional 54,050 shares in the last quarter. Alliancebernstein L.P. grew its stake in shares of Forestar Group by 6.8% in the second quarter. Alliancebernstein L.P. now owns 20,653 shares of the oil and gas exploration companys stock valued at $466,000 after buying an additional 1,320 shares in the last quarter. Wells Fargo & Company MN grew its stake in shares of Forestar Group by 45.1% in the second quarter. Wells Fargo & Company MN now owns 19,908 shares of the oil and gas exploration companys stock valued at $449,000 after buying an additional 6,187 shares in the last quarter. Public Employees Retirement System of Ohio grew its stake in shares of Forestar Group by 121.0% in the second quarter. Public Employees Retirement System of Ohio now owns 15,725 shares of the oil and gas exploration companys stock valued at $355,000 after buying an additional 8,610 shares in the last quarter. Finally, Walleye Capital LLC purchased a new position in Forestar Group in the second quarter valued at about $500,000. Institutional investors and hedge funds own 35.37% of the companys stock. Get Forestar Group alerts: Analyst Upgrades and Downgrades Several research firms recently weighed in on FOR. Citigroup increased their target price on Forestar Group from $30.00 to $32.00 and gave the stock a buy rating in a research report on Monday, July 24th. BTIG Research upgraded Forestar Group from a neutral rating to a buy rating and set a $32.00 target price on the stock in a research report on Friday, July 21st. Finally, StockNews.com upgraded Forestar Group from a hold rating to a buy rating in a research note on Monday, July 24th. One investment analyst has rated the stock with a hold rating and three have assigned a buy rating to the companys stock. Based on data from MarketBeat.com, the company has a consensus rating of Moderate Buy and an average price target of $25.83. Forestar Group Trading Down 2.7 % NYSE:FOR traded down $0.82 on Wednesday, hitting $29.68. 123,978 shares of the stock were exchanged, compared to its average volume of 145,609. The stock has a market cap of $1.48 billion, a PE ratio of 10.48 and a beta of 1.65. The company has a current ratio of 1.88, a quick ratio of 1.88 and a debt-to-equity ratio of 0.55. The stock has a fifty day moving average of $24.98 and a 200-day moving average of $19.49. Forestar Group has a fifty-two week low of $10.28 and a fifty-two week high of $31.43. Forestar Group (NYSE:FOR Get Free Report) last posted its earnings results on Thursday, July 20th. The oil and gas exploration company reported $0.93 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.64 by $0.29. Forestar Group had a return on equity of 11.70% and a net margin of 11.45%. The business had revenue of $368.90 million during the quarter, compared to analyst estimates of $290.40 million. As a group, equities analysts expect that Forestar Group will post 2.83 earnings per share for the current fiscal year. About Forestar Group (Get Free Report) Forestar Group Inc operates as a residential lot development company in the United States. The acquires land and develops infrastructure for single-family residential communities. It sells its residential single-family finished lots to local, regional, and national homebuilders. The company is headquartered in Arlington, Texas. Featured Stories Receive News & Ratings for Forestar Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Forestar Group and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Fresenius Medical Care AG & Co. KGaA (ETR:FME Get Free Report) crossed above its 200-day moving average during trading on Monday . The stock has a 200-day moving average of 41.15 ($44.73) and traded as high as 47.94 ($52.11). Fresenius Medical Care AG & Co. KGaA shares last traded at 47.57 ($51.71), with a volume of 258,581 shares changing hands. Fresenius Medical Care AG & Co. KGaA Trading Up 0.6 % The stock has a market cap of $13.32 billion, a P/E ratio of 22.15, a PEG ratio of 1.77 and a beta of 0.96. The company has a current ratio of 1.26, a quick ratio of 0.80 and a debt-to-equity ratio of 87.51. The stocks fifty day moving average price is 45.20 and its 200 day moving average price is 41.33. About Fresenius Medical Care AG & Co. KGaA (Get Free Report) Fresenius Medical Care AG & Co KGaA provides dialysis and related services for individuals with renal diseases in Germany, North America, and internationally. The company offers dialysis treatment and related laboratory and diagnostic services through a network of outpatient dialysis clinics; materials, training, and patient support services comprising clinical monitoring, follow-up assistance, and arranging for delivery of the supplies to the patient's residence; and dialysis services under contract to hospitals in the United States for the hospitalized end-stage renal disease (ESRD) patients and for patients suffering from acute kidney failure. Featured Articles Receive News & Ratings for Fresenius Medical Care AG & Co. KGaA Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Fresenius Medical Care AG & Co. KGaA and related companies with MarketBeat.com's FREE daily email newsletter. Fresh Del Monte Produce Inc. (NYSE:FDP Get Free Report) was the recipient of a significant decline in short interest in July. As of July 31st, there was short interest totalling 360,700 shares, a decline of 10.6% from the July 15th total of 403,500 shares. Based on an average daily trading volume, of 184,500 shares, the short-interest ratio is presently 2.0 days. Approximately 1.0% of the shares of the stock are short sold. Fresh Del Monte Produce Price Performance Shares of FDP traded down $0.23 during mid-day trading on Wednesday, hitting $26.41. 33,677 shares of the company traded hands, compared to its average volume of 181,308. The company has a current ratio of 1.97, a quick ratio of 0.99 and a debt-to-equity ratio of 0.20. The stock has a market capitalization of $1.27 billion, a price-to-earnings ratio of 9.22 and a beta of 0.68. The business has a 50-day moving average of $26.54 and a 200 day moving average of $28.07. Fresh Del Monte Produce has a one year low of $23.09 and a one year high of $32.49. Get Fresh Del Monte Produce alerts: Fresh Del Monte Produce Announces Dividend The company also recently disclosed a quarterly dividend, which will be paid on Friday, September 8th. Shareholders of record on Thursday, August 17th will be given a $0.20 dividend. The ex-dividend date of this dividend is Wednesday, August 16th. This represents a $0.80 dividend on an annualized basis and a yield of 3.03%. Fresh Del Monte Produces payout ratio is 27.87%. Insider Buying and Selling at Fresh Del Monte Produce Institutional Investors Weigh In On Fresh Del Monte Produce In other news, Director Michael J. Berthelot sold 6,000 shares of the firms stock in a transaction that occurred on Monday, August 7th. The shares were sold at an average price of $28.47, for a total transaction of $170,820.00. Following the completion of the sale, the director now owns 13,859 shares of the companys stock, valued at $394,565.73. The transaction was disclosed in a document filed with the SEC, which is accessible through this link . In other Fresh Del Monte Produce news, SVP Jesus Rodriguez Calvo sold 1,605 shares of Fresh Del Monte Produce stock in a transaction on Friday, August 4th. The stock was sold at an average price of $28.00, for a total value of $44,940.00. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this hyperlink . Also, Director Michael J. Berthelot sold 6,000 shares of the stock in a transaction on Monday, August 7th. The stock was sold at an average price of $28.47, for a total value of $170,820.00. Following the sale, the director now owns 13,859 shares in the company, valued at approximately $394,565.73. The disclosure for this sale can be found here . Insiders have sold a total of 13,025 shares of company stock worth $367,196 over the last ninety days. Corporate insiders own 36.96% of the companys stock. Hedge funds and other institutional investors have recently made changes to their positions in the company. Goldman Sachs Group Inc. lifted its stake in shares of Fresh Del Monte Produce by 43.8% during the second quarter. Goldman Sachs Group Inc. now owns 156,941 shares of the companys stock valued at $4,035,000 after acquiring an additional 47,787 shares during the period. Point72 Middle East FZE lifted its position in Fresh Del Monte Produce by 118.9% during the 2nd quarter. Point72 Middle East FZE now owns 4,743 shares of the companys stock valued at $122,000 after purchasing an additional 2,576 shares during the period. Royal Bank of Canada boosted its stake in shares of Fresh Del Monte Produce by 57.4% in the 2nd quarter. Royal Bank of Canada now owns 5,062 shares of the companys stock valued at $130,000 after purchasing an additional 1,846 shares during the last quarter. State of Wyoming acquired a new stake in shares of Fresh Del Monte Produce during the 2nd quarter worth approximately $49,000. Finally, Tower Research Capital LLC TRC raised its stake in shares of Fresh Del Monte Produce by 199.1% during the second quarter. Tower Research Capital LLC TRC now owns 11,335 shares of the companys stock worth $291,000 after buying an additional 7,545 shares during the last quarter. 66.34% of the stock is owned by institutional investors and hedge funds. Wall Street Analysts Forecast Growth Separately, StockNews.com raised shares of Fresh Del Monte Produce from a hold rating to a buy rating in a report on Saturday, June 3rd. Read Our Latest Stock Analysis on FDP Fresh Del Monte Produce Company Profile (Get Free Report) Fresh Del Monte Produce Inc, through its subsidiaries, produces, markets, and distributes fresh and fresh-cut fruits and vegetables in North America, Europe, the Middle East, Africa, Asia, and internationally. It operates through three segments: Fresh and Value-Added Products, Banana, and Other Products and Services. Read More Receive News & Ratings for Fresh Del Monte Produce Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Fresh Del Monte Produce and related companies with MarketBeat.com's FREE daily email newsletter. Keeley Teton Advisors LLC grew its stake in FTI Consulting, Inc. (NYSE:FCN Free Report) by 9.5% during the first quarter, according to the company in its most recent disclosure with the Securities and Exchange Commission. The firm owned 4,600 shares of the business services providers stock after purchasing an additional 400 shares during the period. Keeley Teton Advisors LLCs holdings in FTI Consulting were worth $908,000 at the end of the most recent reporting period. A number of other institutional investors have also modified their holdings of FCN. Principal Financial Group Inc. boosted its stake in shares of FTI Consulting by 0.6% in the first quarter. Principal Financial Group Inc. now owns 136,247 shares of the business services providers stock valued at $26,888,000 after buying an additional 865 shares in the last quarter. Tower Research Capital LLC TRC boosted its stake in shares of FTI Consulting by 13.1% in the first quarter. Tower Research Capital LLC TRC now owns 917 shares of the business services providers stock valued at $181,000 after buying an additional 106 shares in the last quarter. Black Creek Investment Management Inc. boosted its stake in shares of FTI Consulting by 12.3% in the first quarter. Black Creek Investment Management Inc. now owns 524,136 shares of the business services providers stock valued at $103,438,000 after buying an additional 57,241 shares in the last quarter. US Bancorp DE boosted its stake in shares of FTI Consulting by 14.0% in the first quarter. US Bancorp DE now owns 7,855 shares of the business services providers stock valued at $1,550,000 after buying an additional 965 shares in the last quarter. Finally, Russell Investments Group Ltd. boosted its stake in shares of FTI Consulting by 3.5% in the first quarter. Russell Investments Group Ltd. now owns 35,069 shares of the business services providers stock valued at $6,921,000 after buying an additional 1,181 shares in the last quarter. Get FTI Consulting alerts: FTI Consulting Stock Up 1.2 % FCN opened at $192.43 on Wednesday. The businesss fifty day moving average is $190.52 and its two-hundred day moving average is $186.25. The company has a debt-to-equity ratio of 0.19, a quick ratio of 2.30 and a current ratio of 2.30. The company has a market cap of $6.54 billion, a price-to-earnings ratio of 29.29 and a beta of 0.21. FTI Consulting, Inc. has a one year low of $140.09 and a one year high of $205.63. Insider Buying and Selling FTI Consulting ( NYSE:FCN Get Free Report ) last issued its quarterly earnings data on Thursday, July 27th. The business services provider reported $1.75 earnings per share (EPS) for the quarter, beating the consensus estimate of $1.56 by $0.19. FTI Consulting had a return on equity of 14.12% and a net margin of 7.29%. The business had revenue of $864.59 million for the quarter, compared to the consensus estimate of $821.85 million. Analysts anticipate that FTI Consulting, Inc. will post 6.74 EPS for the current fiscal year. In other FTI Consulting news, Director Mark S. Bartlett sold 3,340 shares of FTI Consulting stock in a transaction dated Friday, August 11th. The stock was sold at an average price of $188.97, for a total value of $631,159.80. Following the completion of the sale, the director now owns 31,882 shares in the company, valued at approximately $6,024,741.54. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this link. In related news, Director Mark S. Bartlett sold 3,340 shares of the companys stock in a transaction that occurred on Friday, August 11th. The shares were sold at an average price of $188.97, for a total transaction of $631,159.80. Following the completion of the transaction, the director now owns 31,882 shares of the companys stock, valued at $6,024,741.54. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through the SEC website. Also, CEO Steven Henry Gunby sold 44,479 shares of FTI Consulting stock in a transaction on Thursday, August 10th. The shares were sold at an average price of $181.66, for a total transaction of $8,080,055.14. Following the completion of the transaction, the chief executive officer now owns 288,218 shares in the company, valued at approximately $52,357,681.88. The disclosure for this sale can be found here. Over the last ninety days, insiders have sold 81,978 shares of company stock worth $14,959,579. 3.34% of the stock is owned by company insiders. Analysts Set New Price Targets Separately, StockNews.com began coverage on FTI Consulting in a research report on Thursday, May 18th. They set a hold rating on the stock. Read Our Latest Stock Report on FTI Consulting About FTI Consulting (Free Report) FTI Consulting, Inc provides business advisory services to manage change, mitigate risk, and resolve disputes worldwide. The company operates through five segments: Corporate Finance & Restructuring, Forensic and Litigation Consulting, Economic Consulting, Technology, and Strategic Communications. Recommended Stories Receive News & Ratings for FTI Consulting Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for FTI Consulting and related companies with MarketBeat.com's FREE daily email newsletter. GDS Wealth Management lessened its stake in shares of Ingersoll Rand Inc. (NYSE:IR Free Report) by 8.3% in the first quarter, Holdings Channel reports. The institutional investor owned 104,751 shares of the industrial products companys stock after selling 9,476 shares during the quarter. GDS Wealth Managements holdings in Ingersoll Rand were worth $6,094,000 at the end of the most recent reporting period. A number of other hedge funds have also added to or reduced their stakes in the stock. Keybank National Association OH grew its holdings in Ingersoll Rand by 2.6% during the 4th quarter. Keybank National Association OH now owns 7,805 shares of the industrial products companys stock valued at $408,000 after buying an additional 195 shares in the last quarter. Sentry Investment Management LLC grew its holdings in Ingersoll Rand by 8.0% during the 4th quarter. Sentry Investment Management LLC now owns 2,671 shares of the industrial products companys stock valued at $140,000 after buying an additional 197 shares in the last quarter. Commonwealth of Pennsylvania Public School Empls Retrmt SYS grew its holdings in Ingersoll Rand by 0.3% during the 1st quarter. Commonwealth of Pennsylvania Public School Empls Retrmt SYS now owns 68,645 shares of the industrial products companys stock valued at $3,994,000 after buying an additional 199 shares in the last quarter. Field & Main Bank grew its holdings in Ingersoll Rand by 42.3% during the 1st quarter. Field & Main Bank now owns 673 shares of the industrial products companys stock valued at $39,000 after buying an additional 200 shares in the last quarter. Finally, CWM LLC boosted its position in shares of Ingersoll Rand by 2.2% during the 1st quarter. CWM LLC now owns 9,277 shares of the industrial products companys stock valued at $540,000 after acquiring an additional 202 shares during the last quarter. Hedge funds and other institutional investors own 94.73% of the companys stock. Get Ingersoll Rand alerts: Insiders Place Their Bets In other Ingersoll Rand news, insider Gary E. Gillespie sold 27,487 shares of Ingersoll Rand stock in a transaction that occurred on Monday, June 5th. The stock was sold at an average price of $60.73, for a total value of $1,669,285.51. Following the sale, the insider now owns 42,234 shares of the companys stock, valued at approximately $2,564,870.82. The transaction was disclosed in a filing with the SEC, which is accessible through this hyperlink. In other news, insider Gary E. Gillespie sold 27,487 shares of the businesss stock in a transaction that occurred on Monday, June 5th. The stock was sold at an average price of $60.73, for a total transaction of $1,669,285.51. Following the transaction, the insider now owns 42,234 shares of the companys stock, valued at approximately $2,564,870.82. The transaction was disclosed in a filing with the SEC, which is accessible through the SEC website. Also, insider Vicente Reynal sold 27,169 shares of the businesss stock in a transaction that occurred on Friday, June 16th. The shares were sold at an average price of $65.00, for a total value of $1,765,985.00. Following the transaction, the insider now directly owns 73,877 shares in the company, valued at approximately $4,802,005. The disclosure for this sale can be found here. Insiders have sold a total of 58,659 shares of company stock valued at $3,685,458 in the last quarter. 0.83% of the stock is currently owned by insiders. Analyst Ratings Changes A number of analysts have recently issued reports on the company. Morgan Stanley lifted their price target on Ingersoll Rand from $70.00 to $73.00 and gave the company an overweight rating in a research report on Friday, August 4th. Stifel Nicolaus lifted their price target on Ingersoll Rand from $61.00 to $71.00 and gave the company a hold rating in a research report on Wednesday, July 19th. Evercore ISI upgraded Ingersoll Rand from an in-line rating to an outperform rating and set a $71.00 price target for the company in a research report on Tuesday, May 9th. Wells Fargo & Company lifted their price target on Ingersoll Rand from $65.00 to $71.00 in a research report on Monday, July 10th. Finally, StockNews.com upgraded Ingersoll Rand from a hold rating to a buy rating in a research report on Friday, July 7th. Four equities research analysts have rated the stock with a hold rating and eight have given a buy rating to the stock. According to MarketBeat, the stock currently has a consensus rating of Moderate Buy and a consensus price target of $69.36. Read Our Latest Research Report on Ingersoll Rand Ingersoll Rand Stock Performance IR traded up $0.05 during midday trading on Wednesday, reaching $67.05. The company had a trading volume of 279,684 shares, compared to its average volume of 2,299,379. The company has a quick ratio of 1.62, a current ratio of 2.29 and a debt-to-equity ratio of 0.28. The business has a 50-day moving average of $65.22 and a two-hundred day moving average of $59.92. The company has a market cap of $27.12 billion, a P/E ratio of 38.95, a P/E/G ratio of 2.08 and a beta of 1.44. Ingersoll Rand Inc. has a 1 year low of $42.20 and a 1 year high of $68.92. Ingersoll Rand (NYSE:IR Get Free Report) last issued its earnings results on Wednesday, August 2nd. The industrial products company reported $0.65 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.54 by $0.11. The company had revenue of $1.69 billion for the quarter, compared to the consensus estimate of $1.58 billion. Ingersoll Rand had a return on equity of 11.20% and a net margin of 10.89%. As a group, equities research analysts predict that Ingersoll Rand Inc. will post 2.63 earnings per share for the current fiscal year. Ingersoll Rand Dividend Announcement The company also recently disclosed a quarterly dividend, which will be paid on Thursday, September 21st. Shareholders of record on Wednesday, August 23rd will be paid a $0.02 dividend. This represents a $0.08 dividend on an annualized basis and a yield of 0.12%. The ex-dividend date is Tuesday, August 22nd. Ingersoll Rands payout ratio is 4.65%. About Ingersoll Rand (Free Report) Ingersoll Rand Inc provides various mission-critical air, fluid, energy, specialty vehicle, and medical technologies in the United States, Europe, the Middle East, India, Africa, and the Asia Pacific. It operates through two segments, Industrial Technologies and Services, and Precision and Science Technologies. Featured Articles Want to see what other hedge funds are holding IR? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Ingersoll Rand Inc. (NYSE:IR Free Report). Receive News & Ratings for Ingersoll Rand Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Ingersoll Rand and related companies with MarketBeat.com's FREE daily email newsletter. Global X U.S. Infrastructure Development ETF (BATS:PAVE Get Free Report) reached a new 52-week high during trading on Monday . The company traded as high as $32.35 and last traded at $32.35, with a volume of 443917 shares changing hands. The stock had previously closed at $32.11. Global X U.S. Infrastructure Development ETF Stock Down 1.1 % The businesss fifty day moving average is $31.26 and its two-hundred day moving average is $29.23. The stock has a market capitalization of $5.02 billion, a PE ratio of 10.90 and a beta of 1.27. Get Global X U.S. Infrastructure Development ETF alerts: Hedge Funds Weigh In On Global X U.S. Infrastructure Development ETF Institutional investors and hedge funds have recently made changes to their positions in the business. Bank of Montreal Can bought a new stake in Global X U.S. Infrastructure Development ETF during the second quarter worth approximately $366,114,000. JPMorgan Chase & Co. increased its position in shares of Global X U.S. Infrastructure Development ETF by 1,795.9% during the 2nd quarter. JPMorgan Chase & Co. now owns 3,599,916 shares of the companys stock valued at $113,145,000 after purchasing an additional 3,410,033 shares during the last quarter. Goldman Sachs Group Inc. raised its holdings in Global X U.S. Infrastructure Development ETF by 7,372.5% during the 2nd quarter. Goldman Sachs Group Inc. now owns 1,122,215 shares of the companys stock valued at $25,553,000 after buying an additional 1,107,197 shares during the period. LPL Financial LLC lifted its position in Global X U.S. Infrastructure Development ETF by 34.7% in the first quarter. LPL Financial LLC now owns 3,886,383 shares of the companys stock worth $110,179,000 after buying an additional 1,002,106 shares during the last quarter. Finally, Congress Wealth Management LLC DE lifted its position in Global X U.S. Infrastructure Development ETF by 49.7% in the first quarter. Congress Wealth Management LLC DE now owns 2,511,885 shares of the companys stock worth $71,212,000 after buying an additional 834,330 shares during the last quarter. About Global X U.S. Infrastructure Development ETF The Global X U.S. Infrastructure Development ETF (PAVE) is an exchange-traded fund that mostly invests in stocks based on a particular theme. The fund tracks a market-cap-weighted index of US-listed companies that derive the majority of their revenue from or have a stated business purpose related to infrastructure development. Recommended Stories Receive News & Ratings for Global X U.S. Infrastructure Development ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Global X U.S. Infrastructure Development ETF and related companies with MarketBeat.com's FREE daily email newsletter. Great Lakes Advisors LLC cut its holdings in shares of PulteGroup, Inc. (NYSE:PHM Free Report) by 4.5% during the first quarter, according to its most recent 13F filing with the Securities and Exchange Commission. The fund owned 320,627 shares of the construction companys stock after selling 15,181 shares during the quarter. Great Lakes Advisors LLCs holdings in PulteGroup were worth $18,686,000 as of its most recent SEC filing. A number of other institutional investors have also made changes to their positions in the business. Van ECK Associates Corp increased its holdings in shares of PulteGroup by 0.5% during the 1st quarter. Van ECK Associates Corp now owns 34,563 shares of the construction companys stock worth $2,014,000 after acquiring an additional 157 shares during the last quarter. CENTRAL TRUST Co increased its holdings in shares of PulteGroup by 4.5% during the 1st quarter. CENTRAL TRUST Co now owns 4,293 shares of the construction companys stock worth $250,000 after acquiring an additional 183 shares during the last quarter. Northwestern Mutual Wealth Management Co. increased its holdings in shares of PulteGroup by 8.1% during the 4th quarter. Northwestern Mutual Wealth Management Co. now owns 2,523 shares of the construction companys stock worth $115,000 after acquiring an additional 190 shares during the last quarter. Massmutual Trust Co. FSB ADV grew its holdings in PulteGroup by 20.9% in the 1st quarter. Massmutual Trust Co. FSB ADV now owns 1,172 shares of the construction companys stock valued at $68,000 after buying an additional 203 shares in the last quarter. Finally, Evolution Wealth Advisors LLC grew its holdings in PulteGroup by 4.6% in the 1st quarter. Evolution Wealth Advisors LLC now owns 4,708 shares of the construction companys stock valued at $274,000 after buying an additional 208 shares in the last quarter. 90.24% of the stock is owned by institutional investors and hedge funds. Get PulteGroup alerts: PulteGroup Price Performance Shares of PHM stock opened at $84.32 on Wednesday. The company has a quick ratio of 0.92, a current ratio of 0.92 and a debt-to-equity ratio of 0.21. The company has a market cap of $18.50 billion, a P/E ratio of 6.96, a P/E/G ratio of 0.68 and a beta of 1.38. PulteGroup, Inc. has a twelve month low of $35.99 and a twelve month high of $86.15. The business has a fifty day simple moving average of $79.03 and a 200 day simple moving average of $66.95. PulteGroup ( NYSE:PHM Get Free Report ) last posted its earnings results on Tuesday, July 25th. The construction company reported $3.21 EPS for the quarter, beating the consensus estimate of $2.52 by $0.69. The firm had revenue of $4.19 billion during the quarter, compared to the consensus estimate of $4.01 billion. PulteGroup had a return on equity of 29.58% and a net margin of 16.37%. The businesss quarterly revenue was up 6.7% on a year-over-year basis. During the same period last year, the company earned $2.73 earnings per share. On average, sell-side analysts forecast that PulteGroup, Inc. will post 11.65 EPS for the current fiscal year. PulteGroup declared that its board has approved a stock repurchase plan on Tuesday, April 25th that permits the company to repurchase $1.00 billion in shares. This repurchase authorization permits the construction company to repurchase up to 6.9% of its stock through open market purchases. Stock repurchase plans are usually a sign that the companys management believes its shares are undervalued. Analyst Ratings Changes PHM has been the topic of a number of research reports. VNET Group reaffirmed a maintains rating on shares of PulteGroup in a report on Wednesday, April 26th. Bank of America upped their price objective on PulteGroup from $82.00 to $92.00 in a report on Wednesday, July 26th. Deutsche Bank Aktiengesellschaft initiated coverage on PulteGroup in a report on Wednesday, May 31st. They issued a buy rating and a $95.00 price objective on the stock. Credit Suisse Group upped their price objective on PulteGroup from $71.00 to $85.00 in a report on Wednesday, July 26th. Finally, Oppenheimer upped their target price on PulteGroup from $94.00 to $110.00 in a research report on Wednesday, July 26th. Three equities research analysts have rated the stock with a hold rating and fourteen have issued a buy rating to the companys stock. Based on data from MarketBeat, the stock currently has an average rating of Moderate Buy and a consensus target price of $86.27. Read Our Latest Report on PHM PulteGroup Company Profile (Free Report) PulteGroup, Inc engages in the homebuilding business. The firm is also involved in mortgage banking and title and insurance brokerage operations. It operates through the Homebuilding and Financial services business segments. The Homebuilding segment includes operations from Connecticut, Maryland, Massachusetts, New Jersey, New York, Pennsylvania, Virginia, Georgia, North Carolina, South Carolina, Tennessee, Florida, Illinois, Indiana, Kentucky, Michigan, Minnesota, Missouri, Ohio, Texas, Arizona, California, Nevada, New Mexico, and Washington. Featured Articles Want to see what other hedge funds are holding PHM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for PulteGroup, Inc. (NYSE:PHM Free Report). Receive News & Ratings for PulteGroup Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for PulteGroup and related companies with MarketBeat.com's FREE daily email newsletter. GXO Logistics, Inc. (NYSE:GXO Get Free Report) was the recipient of a significant drop in short interest during the month of July. As of July 31st, there was short interest totalling 5,180,000 shares, a drop of 11.9% from the July 15th total of 5,880,000 shares. Based on an average trading volume of 793,200 shares, the days-to-cover ratio is presently 6.5 days. Approximately 4.4% of the shares of the company are short sold. GXO Logistics Price Performance Shares of GXO Logistics stock traded down $0.20 during midday trading on Tuesday, hitting $63.41. 747,613 shares of the company traded hands, compared to its average volume of 810,019. The stock has a market cap of $7.54 billion, a price-to-earnings ratio of 37.97, a PEG ratio of 2.02 and a beta of 1.72. The company has a debt-to-equity ratio of 0.58, a quick ratio of 0.96 and a current ratio of 0.96. The firms fifty day moving average price is $63.38 and its 200-day moving average price is $55.95. GXO Logistics has a 12 month low of $32.10 and a 12 month high of $67.57. Get GXO Logistics alerts: GXO Logistics (NYSE:GXO Get Free Report) last announced its quarterly earnings results on Wednesday, August 2nd. The company reported $0.70 earnings per share (EPS) for the quarter, beating the consensus estimate of $0.61 by $0.09. GXO Logistics had a net margin of 2.10% and a return on equity of 12.25%. The company had revenue of $2.39 billion for the quarter, compared to analyst estimates of $2.38 billion. During the same period in the previous year, the firm posted $0.68 EPS. The firms revenue was up 11.0% on a year-over-year basis. As a group, sell-side analysts forecast that GXO Logistics will post 2.61 earnings per share for the current year. Analyst Ratings Changes A number of brokerages have commented on GXO. Stifel Nicolaus increased their price target on shares of GXO Logistics from $66.00 to $75.00 and gave the company a buy rating in a research note on Monday, August 7th. Credit Suisse Group restated an outperform rating and set a $81.00 target price on shares of GXO Logistics in a research note on Friday. TD Cowen raised their target price on shares of GXO Logistics from $64.00 to $77.00 and gave the stock an outperform rating in a research note on Friday, August 4th. Wells Fargo & Company raised their target price on shares of GXO Logistics from $67.00 to $75.00 and gave the stock an overweight rating in a research note on Friday, August 4th. Finally, Morgan Stanley raised their target price on shares of GXO Logistics from $66.00 to $78.00 and gave the stock an overweight rating in a research note on Monday, August 7th. One investment analyst has rated the stock with a sell rating, one has issued a hold rating and twelve have given a buy rating to the stock. According to data from MarketBeat, GXO Logistics currently has a consensus rating of Moderate Buy and a consensus price target of $66.41. View Our Latest Research Report on GXO Institutional Investors Weigh In On GXO Logistics A number of institutional investors have recently added to or reduced their stakes in the business. Alliancebernstein L.P. grew its holdings in GXO Logistics by 1.1% during the 2nd quarter. Alliancebernstein L.P. now owns 370,641 shares of the companys stock worth $23,284,000 after acquiring an additional 3,928 shares during the last quarter. Wells Fargo & Company MN grew its holdings in GXO Logistics by 8.8% during the 2nd quarter. Wells Fargo & Company MN now owns 1,009,932 shares of the companys stock worth $63,444,000 after acquiring an additional 81,960 shares during the last quarter. Public Employees Retirement System of Ohio grew its holdings in GXO Logistics by 0.8% during the 2nd quarter. Public Employees Retirement System of Ohio now owns 52,946 shares of the companys stock worth $3,326,000 after acquiring an additional 431 shares during the last quarter. Fairfield Bush & CO. grew its holdings in GXO Logistics by 8.2% during the 2nd quarter. Fairfield Bush & CO. now owns 7,897 shares of the companys stock worth $496,000 after acquiring an additional 600 shares during the last quarter. Finally, Natixis Advisors L.P. acquired a new position in shares of GXO Logistics in the 2nd quarter worth approximately $1,634,000. 99.47% of the stock is owned by institutional investors. GXO Logistics Company Profile (Get Free Report) GXO Logistics, Inc, together with its subsidiaries, provides logistics services worldwide. The company provides warehousing and distribution, order fulfilment, e-commerce, reverse logistics, and other supply chain services. As of December 31, 2022, it operated in approximately 979 facilities. The company serves various customers in the e-commerce, omnichannel retail, technology and consumer electronics, food and beverage, industrial and manufacturing, consumer packaged goods, and others. Further Reading Receive News & Ratings for GXO Logistics Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for GXO Logistics and related companies with MarketBeat.com's FREE daily email newsletter. Central Japan Railway (OTCMKTS:CJPRY Get Free Report) and Vossloh (OTCMKTS:VOSSF Get Free Report) are both transportation companies, but which is the superior business? We will compare the two companies based on the strength of their valuation, analyst recommendations, risk, dividends, earnings, institutional ownership and profitability. Dividends Central Japan Railway pays an annual dividend of $0.07 per share and has a dividend yield of 0.6%. Vossloh pays an annual dividend of $0.50 per share and has a dividend yield of 1.0%. Central Japan Railway pays out 7.2% of its earnings in the form of a dividend. Vossloh pays out -3.8% of its earnings in the form of a dividend. Both companies have healthy payout ratios and should be able to cover their dividend payments with earnings for the next several years. Vossloh is clearly the better dividend stock, given its higher yield and lower payout ratio. Get Central Japan Railway alerts: Valuation and Earnings This table compares Central Japan Railway and Vosslohs gross revenue, earnings per share (EPS) and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Central Japan Railway $10.36 billion N/A $1.62 billion $0.97 12.94 Vossloh N/A N/A N/A ($13.01) -3.94 Institutional and Insider Ownership Central Japan Railway has higher revenue and earnings than Vossloh. Vossloh is trading at a lower price-to-earnings ratio than Central Japan Railway, indicating that it is currently the more affordable of the two stocks. 33.5% of Vossloh shares are owned by institutional investors. Strong institutional ownership is an indication that large money managers, endowments and hedge funds believe a stock will outperform the market over the long term. Profitability This table compares Central Japan Railway and Vosslohs net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Central Japan Railway 17.60% 7.06% 2.80% Vossloh N/A N/A N/A Analyst Recommendations This is a breakdown of current recommendations for Central Japan Railway and Vossloh, as provided by MarketBeat. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Central Japan Railway 0 0 0 0 N/A Vossloh 0 0 0 0 N/A Summary Central Japan Railway beats Vossloh on 6 of the 9 factors compared between the two stocks. About Central Japan Railway (Get Free Report) Central Japan Railway Company engages in the railway and related businesses in Japan. The company operates through Transportation, Merchandise and Other, Real Estate, and Other segments. It primarily operates Tokaido Shinkansen, a transportation artery that links metropolitan areas of Tokyo, Nagoya, and Osaka, as well as a network of 12 conventional lines centered on the Nagoya and Shizuoka areas. The company also provides bus, logistics, travel agency, advertising, linen supply, track maintenance, construction and construction consulting, and contracted accounting and financial services; and manufactures and maintains railway rolling stock and machinery. In addition, it is involved in the operation of a department store; wholesale and retail sales business; sale of food and beverages; leasing and sale of real estate; hotel business; and development, improvement, and maintenance of computer systems. The company was incorporated in 1987 and is headquartered in Nagoya, Japan. About Vossloh (Get Free Report) Vossloh AG offers rail infrastructure products and services worldwide. It operates through three divisions: Core Components, Customized Modules, and Lifecycle Solutions. The Core Components division develops, produces, and markets rail fastening systems for heavy-haul and high-speed lines, as well as urban transport applications. This division also manufactures concrete ties, switch ties, and concrete elements for slab tracks and level crossing systems. The Customized Modules division manufactures, installs, and maintains switches and crossings, as well as related control and monitoring systems for light-rail and high-speed applications. The Lifecycle Solutions division engages in rail trading, long-rail unloading at construction sites, welding new rails, reconditioning old rails, on-site welding, rail replacement, rail grinding/milling, rail inspection, and construction site supervision activities. This division also organizes and monitors rail shipments to construction sites; and enables on-site availability of the unloading systems. The company was founded in 1883 and is headquartered in Werdohl, Germany. Receive News & Ratings for Central Japan Railway Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Central Japan Railway and related companies with MarketBeat.com's FREE daily email newsletter. Hess Midstream LP (NYSE:HESM Get Free Report) shares gapped down before the market opened on Wednesday . The stock had previously closed at $30.65, but opened at $28.96. Hess Midstream shares last traded at $29.04, with a volume of 776,763 shares trading hands. Wall Street Analysts Forecast Growth Several brokerages have recently issued reports on HESM. Morgan Stanley reissued an equal weight rating and set a $36.00 price target on shares of Hess Midstream in a report on Tuesday, August 1st. UBS Group initiated coverage on shares of Hess Midstream in a report on Wednesday, May 10th. They set a buy rating and a $37.00 price target for the company. Raymond James initiated coverage on shares of Hess Midstream in a report on Friday, April 21st. They issued an outperform rating and a $35.00 price objective for the company. Finally, Wells Fargo & Company upped their price objective on shares of Hess Midstream from $31.00 to $32.00 and gave the stock an equal weight rating in a report on Friday, July 28th. Two analysts have rated the stock with a hold rating and three have given a buy rating to the companys stock. According to data from MarketBeat.com, Hess Midstream currently has a consensus rating of Moderate Buy and an average target price of $34.80. Get Hess Midstream alerts: View Our Latest Report on Hess Midstream Hess Midstream Stock Down 5.8 % The company has a debt-to-equity ratio of 7.04, a quick ratio of 0.77 and a current ratio of 0.77. The company has a market capitalization of $1.64 billion, a price-to-earnings ratio of 14.55 and a beta of 1.59. The stocks fifty day simple moving average is $30.42 and its two-hundred day simple moving average is $29.12. Hess Midstream (NYSE:HESM Get Free Report) last issued its quarterly earnings results on Wednesday, July 26th. The company reported $0.50 earnings per share for the quarter, beating analysts consensus estimates of $0.49 by $0.01. Hess Midstream had a net margin of 7.10% and a return on equity of 18.95%. The company had revenue of $324.00 million during the quarter, compared to the consensus estimate of $325.31 million. During the same period in the prior year, the company earned $0.50 EPS. On average, sell-side analysts anticipate that Hess Midstream LP will post 2.04 EPS for the current year. Hess Midstream Increases Dividend The business also recently disclosed a quarterly dividend, which was paid on Monday, August 14th. Shareholders of record on Thursday, August 3rd were issued a dividend of $0.6011 per share. This represents a $2.40 annualized dividend and a yield of 8.33%. The ex-dividend date of this dividend was Wednesday, August 2nd. This is an increase from Hess Midstreams previous quarterly dividend of $0.59. Hess Midstreams payout ratio is presently 120.60%. Insiders Place Their Bets In other Hess Midstream news, Director Corp Hess sold 6,382,500 shares of the businesss stock in a transaction dated Friday, May 19th. The stock was sold at an average price of $26.12, for a total transaction of $166,710,900.00. The transaction was disclosed in a filing with the SEC, which is available at this hyperlink. Institutional Trading of Hess Midstream A number of hedge funds have recently made changes to their positions in HESM. Advisor Group Holdings Inc. lifted its position in shares of Hess Midstream by 43.0% in the first quarter. Advisor Group Holdings Inc. now owns 8,053 shares of the companys stock worth $241,000 after purchasing an additional 2,423 shares in the last quarter. Cambridge Investment Research Advisors Inc. purchased a new stake in shares of Hess Midstream in the first quarter worth approximately $244,000. Cetera Advisor Networks LLC purchased a new stake in shares of Hess Midstream in the first quarter worth approximately $445,000. Commonwealth of Pennsylvania Public School Empls Retrmt SYS purchased a new stake in shares of Hess Midstream in the first quarter worth approximately $1,556,000. Finally, BlackRock Inc. raised its position in Hess Midstream by 220.0% during the first quarter. BlackRock Inc. now owns 391,128 shares of the companys stock valued at $11,733,000 after acquiring an additional 268,914 shares in the last quarter. 84.63% of the stock is owned by hedge funds and other institutional investors. Hess Midstream Company Profile (Get Free Report) Hess Midstream LP owns, develops, operates, and acquires midstream assets. The company operates through three segments: Gathering; Processing and Storage; and Terminaling and Export. The Gathering segment owns natural gas gathering and compression systems; crude oil gathering systems; and produced water gathering and disposal facilities. Read More Receive News & Ratings for Hess Midstream Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Hess Midstream and related companies with MarketBeat.com's FREE daily email newsletter. ICW Investment Advisors LLC increased its stake in Yum! Brands, Inc. (NYSE:YUM Free Report) by 1.8% in the 1st quarter, according to its most recent disclosure with the SEC. The institutional investor owned 14,832 shares of the restaurant operators stock after acquiring an additional 266 shares during the period. ICW Investment Advisors LLCs holdings in Yum! Brands were worth $1,959,000 as of its most recent SEC filing. A number of other institutional investors have also added to or reduced their stakes in the company. RB Capital Management LLC raised its stake in shares of Yum! Brands by 9.7% during the first quarter. RB Capital Management LLC now owns 4,890 shares of the restaurant operators stock worth $580,000 after acquiring an additional 431 shares in the last quarter. Dimensional Fund Advisors LP lifted its stake in Yum! Brands by 0.4% in the first quarter. Dimensional Fund Advisors LP now owns 761,575 shares of the restaurant operators stock worth $90,284,000 after purchasing an additional 3,024 shares during the last quarter. Canada Pension Plan Investment Board purchased a new stake in Yum! Brands during the first quarter valued at about $664,000. Moors & Cabot Inc. grew its stake in shares of Yum! Brands by 7.4% during the first quarter. Moors & Cabot Inc. now owns 7,530 shares of the restaurant operators stock valued at $893,000 after buying an additional 517 shares during the last quarter. Finally, Brown Brothers Harriman & Co. increased its holdings in shares of Yum! Brands by 10.8% in the first quarter. Brown Brothers Harriman & Co. now owns 2,862 shares of the restaurant operators stock worth $339,000 after buying an additional 279 shares during the period. Institutional investors own 92.82% of the companys stock. Get Yum! Brands alerts: Yum! Brands Stock Performance Shares of YUM traded down $0.89 during mid-day trading on Wednesday, hitting $131.83. 857,583 shares of the stock were exchanged, compared to its average volume of 1,599,070. The firm has a 50-day moving average of $135.65 and a two-hundred day moving average of $133.36. Yum! Brands, Inc. has a 52-week low of $103.96 and a 52-week high of $143.24. The company has a market cap of $36.94 billion, a PE ratio of 26.86, a price-to-earnings-growth ratio of 2.06 and a beta of 1.01. Yum! Brands Dividend Announcement Yum! Brands ( NYSE:YUM Get Free Report ) last released its quarterly earnings results on Wednesday, August 2nd. The restaurant operator reported $1.41 EPS for the quarter, topping the consensus estimate of $1.24 by $0.17. Yum! Brands had a negative return on equity of 16.16% and a net margin of 20.31%. The company had revenue of $1.69 billion during the quarter, compared to analyst estimates of $1.75 billion. During the same quarter last year, the business posted $1.05 earnings per share. On average, sell-side analysts predict that Yum! Brands, Inc. will post 5.17 EPS for the current year. The firm also recently disclosed a quarterly dividend, which was paid on Friday, June 9th. Shareholders of record on Tuesday, May 30th were given a $0.605 dividend. This represents a $2.42 annualized dividend and a yield of 1.84%. The ex-dividend date of this dividend was Friday, May 26th. Yum! Brandss dividend payout ratio (DPR) is presently 48.99%. Insiders Place Their Bets In other news, CEO David W. Gibbs sold 3,858 shares of the companys stock in a transaction dated Tuesday, August 15th. The shares were sold at an average price of $134.81, for a total value of $520,096.98. Following the transaction, the chief executive officer now directly owns 57,325 shares in the company, valued at $7,727,983.25. The transaction was disclosed in a document filed with the SEC, which is available at the SEC website. In other Yum! Brands news, VP David Eric Russell sold 5,000 shares of the companys stock in a transaction on Monday, July 3rd. The stock was sold at an average price of $137.27, for a total value of $686,350.00. Following the completion of the sale, the vice president now directly owns 16,052 shares in the company, valued at approximately $2,203,458.04. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through this link. Also, CEO David W. Gibbs sold 3,858 shares of the companys stock in a transaction dated Tuesday, August 15th. The stock was sold at an average price of $134.81, for a total transaction of $520,096.98. Following the completion of the sale, the chief executive officer now directly owns 57,325 shares of the companys stock, valued at $7,727,983.25. The disclosure for this sale can be found here. Insiders have sold a total of 17,951 shares of company stock worth $2,444,113 over the last quarter. Company insiders own 0.31% of the companys stock. Wall Street Analyst Weigh In Several equities analysts recently issued reports on YUM shares. Morgan Stanley restated an overweight rating and set a $155.00 price target on shares of Yum! Brands in a research report on Thursday, August 3rd. BMO Capital Markets lifted their target price on shares of Yum! Brands from $140.00 to $144.00 in a research note on Monday, May 8th. Piper Sandler began coverage on shares of Yum! Brands in a research report on Tuesday, June 13th. They set a neutral rating and a $142.00 price target for the company. Royal Bank of Canada decreased their price objective on shares of Yum! Brands from $139.00 to $138.00 and set a sector perform rating on the stock in a research report on Thursday, August 3rd. Finally, Guggenheim dropped their target price on shares of Yum! Brands from $153.00 to $152.00 and set a buy rating for the company in a report on Tuesday, August 8th. Eight investment analysts have rated the stock with a hold rating and ten have given a buy rating to the stock. According to MarketBeat.com, Yum! Brands currently has a consensus rating of Moderate Buy and a consensus price target of $148.84. Read Our Latest Stock Analysis on YUM About Yum! Brands (Free Report) Yum! Brands, Inc, together with its subsidiaries, develops, operates, and franchises quick service restaurants worldwide. The company operates through four segments: the KFC Division, the Taco Bell Division, the Pizza Hut Division, and the Habit Burger Grill Division. It operates restaurants under the KFC, Pizza Hut, Taco Bell, and The Habit Burger Grill brands, which specialize in chicken, pizza, made-to-order chargrilled burgers, sandwiches, Mexican-style food categories, and other food products. Further Reading Want to see what other hedge funds are holding YUM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Yum! Brands, Inc. (NYSE:YUM Free Report). Receive News & Ratings for Yum! Brands Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Yum! Brands and related companies with MarketBeat.com's FREE daily email newsletter. ICW Investment Advisors LLC grew its position in shares of Canadian National Railway (NYSE:CNI Free Report) (TSE:CNR) by 2.9% during the first quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The fund owned 13,848 shares of the transportation companys stock after buying an additional 394 shares during the quarter. ICW Investment Advisors LLCs holdings in Canadian National Railway were worth $1,634,000 as of its most recent filing with the Securities & Exchange Commission. Other institutional investors and hedge funds also recently added to or reduced their stakes in the company. Vanguard Group Inc. raised its position in shares of Canadian National Railway by 2.8% during the 1st quarter. Vanguard Group Inc. now owns 20,007,681 shares of the transportation companys stock valued at $2,683,831,000 after purchasing an additional 554,280 shares during the period. Caisse DE Depot ET Placement DU Quebec increased its holdings in Canadian National Railway by 5.3% in the 1st quarter. Caisse DE Depot ET Placement DU Quebec now owns 12,333,700 shares of the transportation companys stock valued at $1,655,948,000 after acquiring an additional 625,000 shares during the last quarter. Norges Bank bought a new stake in Canadian National Railway in the 4th quarter valued at $727,713,000. Fiera Capital Corp increased its holdings in Canadian National Railway by 0.8% in the 4th quarter. Fiera Capital Corp now owns 5,132,783 shares of the transportation companys stock valued at $610,168,000 after acquiring an additional 38,281 shares during the last quarter. Finally, Morgan Stanley increased its holdings in Canadian National Railway by 16.9% in the 4th quarter. Morgan Stanley now owns 2,342,900 shares of the transportation companys stock valued at $278,524,000 after acquiring an additional 339,397 shares during the last quarter. Hedge funds and other institutional investors own 64.89% of the companys stock. Get Canadian National Railway alerts: Analyst Upgrades and Downgrades A number of analysts have issued reports on the stock. Citigroup reduced their price target on shares of Canadian National Railway from $125.00 to $122.00 in a report on Tuesday, July 11th. Argus cut shares of Canadian National Railway from a buy rating to a hold rating in a research note on Tuesday, August 1st. National Bank Financial dropped their price objective on shares of Canadian National Railway from C$173.00 to C$171.00 in a research note on Tuesday, July 25th. Desjardins dropped their price objective on shares of Canadian National Railway from C$184.00 to C$181.00 in a research note on Wednesday, July 26th. Finally, Barclays dropped their price objective on shares of Canadian National Railway from $122.00 to $119.00 in a research note on Wednesday, July 26th. One investment analyst has rated the stock with a sell rating, ten have issued a hold rating and four have assigned a buy rating to the stock. According to MarketBeat, Canadian National Railway has a consensus rating of Hold and a consensus price target of $145.71. Canadian National Railway Trading Down 0.2 % CNI stock traded down $0.21 during mid-day trading on Wednesday, reaching $113.72. The company had a trading volume of 329,389 shares, compared to its average volume of 1,122,804. The company has a debt-to-equity ratio of 0.78, a current ratio of 0.93 and a quick ratio of 0.72. The company has a market capitalization of $74.65 billion, a PE ratio of 19.50, a price-to-earnings-growth ratio of 3.12 and a beta of 0.90. The firm has a 50 day moving average of $117.88 and a 200 day moving average of $117.84. Canadian National Railway has a 12 month low of $103.79 and a 12 month high of $129.89. Canadian National Railway (NYSE:CNI Get Free Report) (TSE:CNR) last issued its earnings results on Tuesday, July 25th. The transportation company reported $1.31 EPS for the quarter, missing analysts consensus estimates of $1.37 by ($0.06). The company had revenue of $3.02 billion during the quarter, compared to the consensus estimate of $3.13 billion. Canadian National Railway had a return on equity of 24.62% and a net margin of 30.21%. On average, equities analysts predict that Canadian National Railway will post 5.62 earnings per share for the current fiscal year. Canadian National Railway Increases Dividend The business also recently announced a quarterly dividend, which will be paid on Friday, September 29th. Shareholders of record on Friday, September 8th will be paid a $0.5996 dividend. This is an increase from Canadian National Railways previous quarterly dividend of $0.58. This represents a $2.40 dividend on an annualized basis and a yield of 2.11%. The ex-dividend date is Thursday, September 7th. Canadian National Railways dividend payout ratio is currently 40.58%. Canadian National Railway Company Profile (Free Report) Canadian National Railway Company, together with its subsidiaries, engages in rail and related transportation business. The company offers rail services, which include equipment, custom brokage services, transloading and distribution, business development and real estate, and private car storage services; and intermodal services including temperature controlled cargo, port partnership, transloading and distribution, logistic parks, customs brokerage, trucking, and moving grains in containers. Recommended Stories Want to see what other hedge funds are holding CNI? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Canadian National Railway (NYSE:CNI Free Report) (TSE:CNR). Receive News & Ratings for Canadian National Railway Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Canadian National Railway and related companies with MarketBeat.com's FREE daily email newsletter. Inovalis Real Estate Investment Trust (TSE:INO.UN Get Free Report) passed above its fifty day moving average during trading on Monday . The stock has a fifty day moving average of C$3.47 and traded as high as C$3.57. Inovalis Real Estate Investment Trust shares last traded at C$3.56, with a volume of 12,676 shares. Analyst Upgrades and Downgrades Separately, National Bankshares reduced their price objective on shares of Inovalis Real Estate Investment Trust from C$3.75 to C$3.50 in a research report on Tuesday, July 18th. Get Inovalis Real Estate Investment Trust alerts: View Our Latest Stock Analysis on INO.UN Inovalis Real Estate Investment Trust Price Performance Inovalis Real Estate Investment Trust Company Profile The stock has a market cap of C$111.12 million, a PE ratio of -2.98 and a beta of 1.55. The stocks fifty day moving average is C$3.47 and its 200-day moving average is C$3.68. The company has a debt-to-equity ratio of 78.91, a current ratio of 1.10 and a quick ratio of 0.32. (Get Free Report) Inovalis Real Estate Investment Trust is an unincorporated, open-ended real estate investment trust established pursuant to a declaration of trust under the laws of the Province of Ontario. The REIT has been created for the purpose of acquiring and owning office properties primarily located in France and Germany but also opportunistically in other European countries where assets meet the REIT's investment criteria. See Also Receive News & Ratings for Inovalis Real Estate Investment Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Inovalis Real Estate Investment Trust and related companies with MarketBeat.com's FREE daily email newsletter. SolarWinds Co. (NYSE:SWI Get Free Report) Director Easwaran Sundaram sold 40,000 shares of the businesss stock in a transaction that occurred on Monday, August 14th. The shares were sold at an average price of $10.88, for a total value of $435,200.00. Following the transaction, the director now owns 32,284 shares of the companys stock, valued at approximately $351,249.92. The transaction was disclosed in a legal filing with the SEC, which is available through the SEC website. SolarWinds Price Performance Shares of SWI remained flat at $11.13 during midday trading on Wednesday. The stock had a trading volume of 439,809 shares, compared to its average volume of 405,349. SolarWinds Co. has a 12 month low of $7.51 and a 12 month high of $12.22. The company has a quick ratio of 0.76, a current ratio of 0.76 and a debt-to-equity ratio of 0.85. The firm has a 50 day moving average of $10.48 and a 200 day moving average of $9.51. The firm has a market cap of $1.83 billion, a P/E ratio of -5.86 and a beta of 0.98. Get SolarWinds alerts: Wall Street Analyst Weigh In A number of research analysts recently issued reports on SWI shares. Royal Bank of Canada boosted their price objective on SolarWinds from $11.00 to $13.00 and gave the company a sector perform rating in a research report on Friday, August 4th. VNET Group reaffirmed a maintains rating on shares of SolarWinds in a research note on Friday, April 28th. Morgan Stanley lifted their target price on SolarWinds from $11.00 to $12.00 and gave the company an equal weight rating in a research note on Friday, August 4th. Finally, Truist Financial lifted their target price on SolarWinds from $9.00 to $11.00 in a research note on Friday, August 4th. Institutional Trading of SolarWinds A number of hedge funds and other institutional investors have recently modified their holdings of SWI. HarbourVest Partners LLC bought a new stake in shares of SolarWinds in the 1st quarter worth $31,989,000. AlpInvest Partners B.V. bought a new stake in shares of SolarWinds in the 4th quarter worth $23,210,000. Wellington Management Group LLP grew its holdings in shares of SolarWinds by 98.3% in the 1st quarter. Wellington Management Group LLP now owns 1,244,390 shares of the software makers stock worth $10,702,000 after acquiring an additional 616,939 shares during the last quarter. Dimensional Fund Advisors LP grew its holdings in shares of SolarWinds by 113.1% in the 1st quarter. Dimensional Fund Advisors LP now owns 1,088,937 shares of the software makers stock worth $14,494,000 after acquiring an additional 577,938 shares during the last quarter. Finally, Voss Capital LLC acquired a new position in shares of SolarWinds in the 2nd quarter worth $5,669,000. Institutional investors own 65.03% of the companys stock. SolarWinds Company Profile (Get Free Report) SolarWinds Corporation provides information technology (IT) management software products in the United States and internationally. The company offers a portfolio of solutions to technology professionals for monitoring, managing, and optimizing networks, systems, desktops, applications, storage, databases, website infrastructures, and IT service desks. Recommended Stories Receive News & Ratings for SolarWinds Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for SolarWinds and related companies with MarketBeat.com's FREE daily email newsletter. iShares Convertible Bond ETF (BATS:ICVT Get Free Report)s share price reached a new 52-week high during mid-day trading on Monday . The stock traded as high as $76.22 and last traded at $76.22, with a volume of 90964 shares traded. The stock had previously closed at $76.09. iShares Convertible Bond ETF Stock Performance The businesss 50 day simple moving average is $76.39 and its 200 day simple moving average is $73.81. Get iShares Convertible Bond ETF alerts: iShares Convertible Bond ETF Dividend Announcement The company also recently declared a dividend, which was paid on Monday, July 10th. Stockholders of record on Wednesday, July 5th were given a dividend of $0.0785 per share. The ex-dividend date of this dividend was Monday, July 3rd. Institutional Inflows and Outflows iShares Convertible Bond ETF Company Profile Several hedge funds have recently added to or reduced their stakes in ICVT. Envestnet Asset Management Inc. grew its holdings in shares of iShares Convertible Bond ETF by 0.5% during the 4th quarter. Envestnet Asset Management Inc. now owns 4,332,164 shares of the companys stock worth $300,955,000 after purchasing an additional 20,242 shares during the period. LPL Financial LLC raised its stake in shares of iShares Convertible Bond ETF by 0.6% in the 4th quarter. LPL Financial LLC now owns 1,045,181 shares of the companys stock valued at $72,609,000 after acquiring an additional 6,440 shares during the period. 1832 Asset Management L.P. lifted its holdings in shares of iShares Convertible Bond ETF by 1.1% in the 1st quarter. 1832 Asset Management L.P. now owns 944,540 shares of the companys stock worth $68,592,000 after acquiring an additional 10,294 shares during the last quarter. Jane Street Group LLC boosted its position in shares of iShares Convertible Bond ETF by 604.6% during the 1st quarter. Jane Street Group LLC now owns 915,343 shares of the companys stock worth $66,472,000 after purchasing an additional 785,426 shares during the period. Finally, AMG National Trust Bank increased its holdings in iShares Convertible Bond ETF by 503.3% in the 4th quarter. AMG National Trust Bank now owns 896,332 shares of the companys stock valued at $62,268,000 after purchasing an additional 747,768 shares during the last quarter. (Get Free Report) The iShares Convertible Bond ETF (ICVT) is an exchange-traded fund that mostly invests in broad credit fixed income. The fund tracks an index of USD-denominated convertible bonds weighted by market value. The index contains only cash-pay convertibles and excludes mandatory and preferred convertibles. Read More Receive News & Ratings for iShares Convertible Bond ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares Convertible Bond ETF and related companies with MarketBeat.com's FREE daily email newsletter. Agilent Technologies (NYSE:A Get Free Report) had its price target reduced by JPMorgan Chase & Co. from $155.00 to $150.00 in a report released on Wednesday, FlyOnTheWall reports. JPMorgan Chase & Co.s price objective points to a potential upside of 19.38% from the stocks previous close. Other analysts also recently issued reports about the company. Citigroup decreased their price objective on Agilent Technologies from $150.00 to $130.00 and set a neutral rating for the company in a report on Wednesday, May 24th. Barclays decreased their price objective on Agilent Technologies from $115.00 to $110.00 in a report on Wednesday. Robert W. Baird decreased their price objective on Agilent Technologies from $144.00 to $140.00 in a report on Wednesday. Wells Fargo & Company decreased their price objective on Agilent Technologies from $170.00 to $160.00 and set an overweight rating for the company in a report on Wednesday, May 24th. Finally, Evercore ISI decreased their price objective on Agilent Technologies from $150.00 to $126.00 and set an outperform rating for the company in a report on Wednesday, May 24th. One equities research analyst has rated the stock with a sell rating, two have assigned a hold rating and eleven have assigned a buy rating to the companys stock. According to data from MarketBeat.com, Agilent Technologies has an average rating of Moderate Buy and an average price target of $149.65. Get Agilent Technologies alerts: View Our Latest Stock Analysis on A Agilent Technologies Price Performance Agilent Technologies stock opened at $125.65 on Wednesday. The company has a market capitalization of $37.11 billion, a price-to-earnings ratio of 27.68, a PEG ratio of 2.06 and a beta of 1.02. Agilent Technologies has a 12 month low of $113.28 and a 12 month high of $160.26. The company has a current ratio of 2.37, a quick ratio of 1.71 and a debt-to-equity ratio of 0.47. The stocks 50 day moving average is $121.52 and its 200-day moving average is $131.67. Agilent Technologies (NYSE:A Get Free Report) last issued its quarterly earnings results on Tuesday, August 15th. The medical research company reported $1.43 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $1.36 by $0.07. The business had revenue of $1.67 billion for the quarter, compared to the consensus estimate of $1.66 billion. Agilent Technologies had a return on equity of 30.11% and a net margin of 19.19%. The firms quarterly revenue was down 2.7% compared to the same quarter last year. During the same quarter in the prior year, the business posted $1.34 earnings per share. Equities research analysts expect that Agilent Technologies will post 5.61 EPS for the current year. Insider Buying and Selling In related news, CEO Michael R. Mcmullen sold 944 shares of Agilent Technologies stock in a transaction that occurred on Thursday, July 27th. The shares were sold at an average price of $130.00, for a total value of $122,720.00. Following the completion of the transaction, the chief executive officer now directly owns 260,869 shares in the company, valued at approximately $33,912,970. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this link. Institutional Inflows and Outflows A number of hedge funds have recently bought and sold shares of A. Bank Julius Baer & Co. Ltd Zurich grew its position in shares of Agilent Technologies by 13,422.2% during the 2nd quarter. Bank Julius Baer & Co. Ltd Zurich now owns 37,574,064 shares of the medical research companys stock valued at $4,518,281,000 after purchasing an additional 37,296,195 shares in the last quarter. BlackRock Inc. grew its position in shares of Agilent Technologies by 1.1% during the 2nd quarter. BlackRock Inc. now owns 31,853,176 shares of the medical research companys stock valued at $3,830,344,000 after purchasing an additional 349,651 shares in the last quarter. Vanguard Group Inc. grew its position in shares of Agilent Technologies by 1.1% during the 1st quarter. Vanguard Group Inc. now owns 24,531,170 shares of the medical research companys stock valued at $3,246,209,000 after purchasing an additional 261,435 shares in the last quarter. State Street Corp grew its position in shares of Agilent Technologies by 2.0% during the 2nd quarter. State Street Corp now owns 13,214,595 shares of the medical research companys stock valued at $1,591,990,000 after purchasing an additional 253,729 shares in the last quarter. Finally, Price T Rowe Associates Inc. MD grew its position in shares of Agilent Technologies by 6.6% during the 1st quarter. Price T Rowe Associates Inc. MD now owns 8,218,926 shares of the medical research companys stock valued at $1,137,008,000 after purchasing an additional 511,874 shares in the last quarter. About Agilent Technologies (Get Free Report) Agilent Technologies, Inc provides application focused solutions to the life sciences, diagnostics, and applied chemical markets worldwide. The Life Sciences and Applied Markets segment offers liquid chromatography systems and components; liquid chromatography mass spectrometry systems; gas chromatography systems and components; gas chromatography mass spectrometry systems; inductively coupled plasma mass spectrometry instruments; atomic absorption instruments; microwave plasma-atomic emission spectrometry instruments; inductively coupled plasma optical emission spectrometry instruments; raman spectroscopy; cell analysis plate based assays; flow cytometer; real-time cell analyzer; cell imaging systems; microplate reader; laboratory software; information management and analytics; laboratory automation and robotic systems; dissolution testing; vacuum pumps, and measurement technologies. Recommended Stories Receive News & Ratings for Agilent Technologies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Agilent Technologies and related companies with MarketBeat.com's FREE daily email newsletter. Keppel REIT (OTCMKTS:KREVF Get Free Report) was the recipient of a significant growth in short interest in July. As of July 31st, there was short interest totalling 925,000 shares, a growth of 8.4% from the July 15th total of 853,000 shares. Based on an average daily volume of 1,200 shares, the short-interest ratio is presently 770.8 days. Keppel REIT Stock Performance Keppel REIT stock remained flat at $0.65 during trading on Tuesday. Keppel REIT has a 12 month low of $0.58 and a 12 month high of $0.82. The stocks 50 day moving average price is $0.68 and its 200-day moving average price is $0.69. Get Keppel REIT alerts: Wall Street Analyst Weigh In KREVF has been the subject of a number of recent research reports. The Goldman Sachs Group upgraded shares of Keppel REIT from a sell rating to a neutral rating in a research note on Wednesday, May 17th. HSBC upgraded shares of Keppel REIT from a reduce rating to a hold rating in a research note on Wednesday, May 17th. Keppel REIT Company Profile (Get Free Report) Listed by way of an introduction on 28 April 2006, Keppel REIT is one of Asia's leading real estate investment trusts with a portfolio of prime commercial assets in Asia Pacific's key business districts. Keppel REIT's objective is to generate stable income and sustainable long-term total return for its Unitholders by owning and investing in a portfolio of quality income-producing commercial real estate and real estate-related assets in Asia Pacific. Featured Articles Receive News & Ratings for Keppel REIT Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Keppel REIT and related companies with MarketBeat.com's FREE daily email newsletter. Kojamo Oyj (OTCMKTS:KOJAF Get Free Report) was the recipient of a significant growth in short interest in July. As of July 31st, there was short interest totalling 1,754,200 shares, a growth of 14.5% from the July 15th total of 1,532,100 shares. Based on an average daily trading volume, of 0 shares, the short-interest ratio is currently days. Kojamo Oyj Price Performance OTCMKTS:KOJAF remained flat at $24.62 during trading hours on Tuesday. Kojamo Oyj has a 12-month low of $23.11 and a 12-month high of $24.72. The business has a 50 day simple moving average of $24.62 and a two-hundred day simple moving average of $24.62. Get Kojamo Oyj alerts: Analyst Ratings Changes Separately, Barclays downgraded shares of Kojamo Oyj from an overweight rating to an underweight rating in a report on Wednesday, June 21st. About Kojamo Oyj (Get Free Report) Kojamo Oyj, a private residential real estate company, provides rental apartments and housing services in Finland. It rents and manages apartments under the Lumo brand name. The company was formerly known as VVO Group plc and changed its name to Kojamo Oyj in March 2017. Kojamo Oyj was incorporated in 1969 and is headquartered in Helsinki, Finland. Further Reading Receive News & Ratings for Kojamo Oyj Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Kojamo Oyj and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Magna International Inc. (NYSE:MGA Get Free Report) (TSE:MG) have been assigned a consensus rating of Moderate Buy from the fourteen research firms that are covering the stock, MarketBeat.com reports. Six investment analysts have rated the stock with a hold recommendation and eight have assigned a buy recommendation to the company. The average 12 month target price among brokers that have issued ratings on the stock in the last year is $67.14. A number of analysts recently weighed in on MGA shares. StockNews.com raised shares of Magna International from a buy rating to a strong-buy rating in a report on Tuesday, August 8th. CIBC lifted their target price on shares of Magna International from $72.00 to $73.00 and gave the company an outperform rating in a research note on Tuesday, August 8th. Barclays boosted their price objective on shares of Magna International from $60.00 to $63.00 and gave the stock an equal weight rating in a research note on Monday, August 7th. TheStreet raised shares of Magna International from a c rating to a b rating in a report on Friday. Finally, JPMorgan Chase & Co. increased their price target on Magna International from $63.00 to $67.00 and gave the company an overweight rating in a report on Thursday, July 20th. Get Magna International alerts: View Our Latest Stock Analysis on Magna International Institutional Inflows and Outflows Magna International Trading Down 1.1 % A number of hedge funds and other institutional investors have recently made changes to their positions in MGA. Bartlett & Co. LLC purchased a new position in shares of Magna International during the 2nd quarter valued at approximately $28,000. Tradition Wealth Management LLC raised its stake in Magna International by 664.3% in the 1st quarter. Tradition Wealth Management LLC now owns 535 shares of the companys stock valued at $29,000 after purchasing an additional 465 shares during the last quarter. Jones Financial Companies Lllp raised its stake in Magna International by 96.1% in the 1st quarter. Jones Financial Companies Lllp now owns 706 shares of the companys stock valued at $38,000 after purchasing an additional 346 shares during the last quarter. Hollencrest Capital Management acquired a new position in Magna International in the 4th quarter valued at $43,000. Finally, GPS Wealth Strategies Group LLC acquired a new position in Magna International in the 1st quarter valued at $45,000. Hedge funds and other institutional investors own 60.13% of the companys stock. MGA opened at $56.79 on Wednesday. Magna International has a 1-year low of $45.58 and a 1-year high of $68.92. The company has a current ratio of 1.12, a quick ratio of 0.77 and a debt-to-equity ratio of 0.36. The company has a market cap of $16.25 billion, a P/E ratio of 17.53, a price-to-earnings-growth ratio of 0.55 and a beta of 1.62. The company has a fifty day moving average price of $58.34 and a two-hundred day moving average price of $55.64. Magna International (NYSE:MGA Get Free Report) (TSE:MG) last released its quarterly earnings results on Friday, August 4th. The company reported $1.50 earnings per share for the quarter, topping the consensus estimate of $1.23 by $0.27. The business had revenue of $10.98 billion for the quarter, compared to analysts expectations of $10.45 billion. Magna International had a net margin of 2.30% and a return on equity of 11.63%. The firms revenue for the quarter was up 17.3% compared to the same quarter last year. During the same period in the previous year, the company earned $0.83 earnings per share. Analysts predict that Magna International will post 5.3 EPS for the current fiscal year. Magna International Dividend Announcement The firm also recently announced a quarterly dividend, which will be paid on Friday, September 1st. Stockholders of record on Friday, August 18th will be given a dividend of $0.46 per share. The ex-dividend date is Thursday, August 17th. This represents a $1.84 dividend on an annualized basis and a dividend yield of 3.24%. Magna Internationals dividend payout ratio (DPR) is 56.79%. Magna International Company Profile (Get Free Report Magna International Inc designs, engineers, and manufactures components, assemblies, systems, subsystems, and modules for original equipment manufacturers of vehicles and light trucks worldwide. It operates through four segments: Body Exteriors & Structures, Power & Vision, Seating Systems, and Complete Vehicles. Further Reading Receive News & Ratings for Magna International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Magna International and related companies with MarketBeat.com's FREE daily email newsletter. Marble Harbor Investment Counsel LLC cut its stake in AvalonBay Communities, Inc. (NYSE:AVB Free Report) by 20.0% during the 1st quarter, Holdings Channel.com reports. The firm owned 1,400 shares of the real estate investment trusts stock after selling 350 shares during the period. Marble Harbor Investment Counsel LLCs holdings in AvalonBay Communities were worth $235,000 as of its most recent filing with the Securities and Exchange Commission (SEC). Other institutional investors and hedge funds have also modified their holdings of the company. Massmutual Trust Co. FSB ADV grew its stake in shares of AvalonBay Communities by 290.0% in the first quarter. Massmutual Trust Co. FSB ADV now owns 156 shares of the real estate investment trusts stock valued at $26,000 after acquiring an additional 116 shares in the last quarter. Creative Financial Designs Inc. ADV boosted its stake in shares of AvalonBay Communities by 2,142.9% in the 1st quarter. Creative Financial Designs Inc. ADV now owns 157 shares of the real estate investment trusts stock valued at $26,000 after purchasing an additional 150 shares in the last quarter. Brown Brothers Harriman & Co. acquired a new stake in shares of AvalonBay Communities in the 1st quarter valued at approximately $69,000. Focused Wealth Management Inc bought a new position in AvalonBay Communities in the 1st quarter valued at approximately $50,000. Finally, Machina Capital S.A.S. bought a new position in AvalonBay Communities in the 1st quarter valued at approximately $50,000. 87.85% of the stock is currently owned by institutional investors and hedge funds. Get AvalonBay Communities alerts: Insider Buying and Selling at AvalonBay Communities In related news, EVP Joanne M. Lockridge sold 3,331 shares of the companys stock in a transaction that occurred on Friday, August 11th. The stock was sold at an average price of $186.25, for a total transaction of $620,398.75. Following the completion of the transaction, the executive vice president now owns 21,558 shares in the company, valued at $4,015,177.50. The sale was disclosed in a filing with the SEC, which is available through this hyperlink. In other news, CIO Matthew H. Birenbaum sold 4,000 shares of the firms stock in a transaction on Monday, May 22nd. The stock was sold at an average price of $176.10, for a total transaction of $704,400.00. Following the completion of the transaction, the executive now directly owns 62,559 shares in the company, valued at $11,016,639.90. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available through this hyperlink. Also, EVP Joanne M. Lockridge sold 3,331 shares of the firms stock in a transaction on Friday, August 11th. The shares were sold at an average price of $186.25, for a total value of $620,398.75. Following the transaction, the executive vice president now owns 21,558 shares of the companys stock, valued at $4,015,177.50. The disclosure for this sale can be found here. Corporate insiders own 0.42% of the companys stock. AvalonBay Communities Stock Down 1.3 % Shares of NYSE AVB opened at $180.36 on Wednesday. AvalonBay Communities, Inc. has a 12 month low of $153.07 and a 12 month high of $220.42. The company has a current ratio of 2.49, a quick ratio of 2.49 and a debt-to-equity ratio of 0.68. The firm has a market capitalization of $25.61 billion, a price-to-earnings ratio of 20.29, a PEG ratio of 3.00 and a beta of 0.93. The business has a 50-day moving average of $189.42 and a 200-day moving average of $178.97. AvalonBay Communities (NYSE:AVB Get Free Report) last issued its earnings results on Tuesday, August 1st. The real estate investment trust reported $2.59 earnings per share (EPS) for the quarter, missing the consensus estimate of $2.60 by ($0.01). The firm had revenue of $690.86 million during the quarter, compared to analysts expectations of $675.67 million. AvalonBay Communities had a return on equity of 11.01% and a net margin of 46.32%. During the same period in the prior year, the company earned $2.43 EPS. Sell-side analysts expect that AvalonBay Communities, Inc. will post 10.53 earnings per share for the current fiscal year. AvalonBay Communities Announces Dividend The business also recently disclosed a quarterly dividend, which was paid on Monday, July 17th. Shareholders of record on Friday, June 30th were given a $1.65 dividend. The ex-dividend date of this dividend was Thursday, June 29th. This represents a $6.60 annualized dividend and a yield of 3.66%. AvalonBay Communitiess payout ratio is currently 74.24%. Wall Street Analysts Forecast Growth Several research analysts have issued reports on the stock. Mizuho raised their price target on shares of AvalonBay Communities from $188.00 to $190.00 in a report on Friday, May 19th. BMO Capital Markets lifted their price target on shares of AvalonBay Communities from $200.00 to $215.00 in a research report on Tuesday, July 18th. Piper Sandler raised shares of AvalonBay Communities from an underweight rating to a neutral rating and lifted their price objective for the stock from $160.00 to $193.00 in a report on Monday, May 1st. TheStreet raised shares of AvalonBay Communities from a c+ rating to a b rating in a report on Wednesday, April 19th. Finally, 888 reiterated a maintains rating on shares of AvalonBay Communities in a research note on Friday, May 19th. One investment analyst has rated the stock with a sell rating, ten have issued a hold rating and six have given a buy rating to the companys stock. Based on data from MarketBeat.com, the stock presently has a consensus rating of Hold and an average target price of $203.50. Get Our Latest Research Report on AVB AvalonBay Communities Profile (Free Report) As of June 30, 2023, the Company owned or held a direct or indirect ownership interest in 294 apartment communities containing 88,659 apartment homes in 12 states and the District of Columbia, of which 18 communities were under development and one community was under redevelopment. The Company is an equity REIT in the business of developing, redeveloping, acquiring and managing apartment communities in leading metropolitan areas in New England, the New York/New Jersey Metro area, the Mid-Atlantic, the Pacific Northwest, and Northern and Southern California, as well as in the Company's expansion regions of Raleigh-Durham and Charlotte, North Carolina, Southeast Florida, Dallas and Austin, Texas, and Denver, Colorado. Recommended Stories Want to see what other hedge funds are holding AVB? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for AvalonBay Communities, Inc. (NYSE:AVB Free Report). Receive News & Ratings for AvalonBay Communities Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for AvalonBay Communities and related companies with MarketBeat.com's FREE daily email newsletter. Meiji Yasuda Life Insurance Co lifted its position in Morgan Stanley (NYSE:MS Free Report) by 0.7% in the first quarter, Holdings Channel.com reports. The institutional investor owned 30,979 shares of the financial services providers stock after purchasing an additional 218 shares during the period. Meiji Yasuda Life Insurance Cos holdings in Morgan Stanley were worth $2,720,000 as of its most recent filing with the Securities and Exchange Commission. A number of other institutional investors and hedge funds have also modified their holdings of the company. WealthPLAN Partners LLC acquired a new position in Morgan Stanley during the 1st quarter valued at $50,000. Kentucky Retirement Systems Insurance Trust Fund acquired a new position in Morgan Stanley during the 1st quarter valued at $4,082,000. Great Lakes Advisors LLC acquired a new position in Morgan Stanley during the 1st quarter valued at $289,000. Marble Harbor Investment Counsel LLC acquired a new position in Morgan Stanley during the 1st quarter valued at $635,000. Finally, Palisade Asset Management LLC raised its stake in Morgan Stanley by 0.6% during the 1st quarter. Palisade Asset Management LLC now owns 29,802 shares of the financial services providers stock valued at $2,617,000 after purchasing an additional 186 shares during the last quarter. 84.48% of the stock is currently owned by institutional investors and hedge funds. Get Morgan Stanley alerts: Analyst Ratings Changes A number of equities analysts have recently issued reports on MS shares. JPMorgan Chase & Co. dropped their price objective on shares of Morgan Stanley from $97.00 to $91.00 in a research note on Friday, July 7th. StockNews.com started coverage on shares of Morgan Stanley in a research note on Thursday, May 18th. They issued a hold rating for the company. BMO Capital Markets increased their price objective on shares of Morgan Stanley from $100.00 to $103.00 in a research note on Wednesday, July 19th. Citigroup dropped their price objective on shares of Morgan Stanley from $100.00 to $95.00 and set a neutral rating for the company in a research note on Thursday, April 20th. Finally, Oppenheimer upped their target price on shares of Morgan Stanley from $95.00 to $103.00 and gave the stock an outperform rating in a report on Thursday, April 20th. One analyst has rated the stock with a sell rating, six have assigned a hold rating, nine have given a buy rating and one has assigned a strong buy rating to the stock. According to data from MarketBeat.com, Morgan Stanley has an average rating of Moderate Buy and an average price target of $95.94. Insider Buying and Selling at Morgan Stanley In related news, CEO James P. Gorman sold 125,000 shares of the stock in a transaction that occurred on Monday, July 24th. The shares were sold at an average price of $95.19, for a total value of $11,898,750.00. Following the sale, the chief executive officer now directly owns 1,011,345 shares in the company, valued at approximately $96,269,930.55. The sale was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this hyperlink. In other news, insider Edward N. Pick sold 100,000 shares of the firms stock in a transaction that occurred on Monday, July 24th. The shares were sold at an average price of $94.33, for a total transaction of $9,433,000.00. Following the completion of the transaction, the insider now directly owns 604,845 shares of the companys stock, valued at approximately $57,055,028.85. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is available through this hyperlink. Also, CEO James P. Gorman sold 125,000 shares of the firms stock in a transaction that occurred on Monday, July 24th. The stock was sold at an average price of $95.19, for a total transaction of $11,898,750.00. Following the transaction, the chief executive officer now directly owns 1,011,345 shares of the companys stock, valued at $96,269,930.55. The disclosure for this sale can be found here. In the last three months, insiders have sold 1,418,989 shares of company stock worth $44,556,447. Company insiders own 0.24% of the companys stock. Morgan Stanley Trading Up 0.1 % Morgan Stanley stock traded up $0.09 during trading hours on Wednesday, reaching $86.25. 1,478,823 shares of the companys stock traded hands, compared to its average volume of 7,407,428. The company has a debt-to-equity ratio of 2.68, a quick ratio of 0.78 and a current ratio of 0.78. Morgan Stanley has a fifty-two week low of $74.67 and a fifty-two week high of $100.99. The firm has a market capitalization of $142.91 billion, a price-to-earnings ratio of 15.19, a PEG ratio of 2.39 and a beta of 1.33. The firms 50 day moving average is $87.87 and its 200-day moving average is $88.92. Morgan Stanley (NYSE:MS Get Free Report) last released its earnings results on Tuesday, July 18th. The financial services provider reported $1.24 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $1.20 by $0.04. Morgan Stanley had a net margin of 12.19% and a return on equity of 10.99%. The company had revenue of $13.46 billion for the quarter, compared to the consensus estimate of $13.02 billion. During the same period in the prior year, the company posted $1.44 EPS. The companys revenue was up 2.3% compared to the same quarter last year. On average, analysts predict that Morgan Stanley will post 5.83 earnings per share for the current year. Morgan Stanley Increases Dividend The firm also recently announced a quarterly dividend, which was paid on Tuesday, August 15th. Shareholders of record on Monday, July 31st were given a dividend of $0.85 per share. The ex-dividend date was Friday, July 28th. This is an increase from Morgan Stanleys previous quarterly dividend of $0.78. This represents a $3.40 dividend on an annualized basis and a yield of 3.94%. Morgan Stanleys dividend payout ratio is presently 59.96%. Morgan Stanley declared that its Board of Directors has authorized a stock repurchase program on Friday, June 30th that allows the company to buyback $20.00 billion in shares. This buyback authorization allows the financial services provider to purchase up to 12.7% of its shares through open market purchases. Shares buyback programs are often a sign that the companys leadership believes its shares are undervalued. About Morgan Stanley (Free Report) Morgan Stanley, a financial holding company, provides various financial products and services to corporations, governments, financial institutions, and individuals in the Americas, Europe, the Middle East, Africa, and Asia. It operates through Institutional Securities, Wealth Management, and Investment Management segments. Featured Articles Want to see what other hedge funds are holding MS? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Morgan Stanley (NYSE:MS Free Report). Receive News & Ratings for Morgan Stanley Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Morgan Stanley and related companies with MarketBeat.com's FREE daily email newsletter. Morguard North American (TSE:MRG Get Free Report) announced a monthly dividend on Tuesday, August 15th, Zacks reports. Shareholders of record on Thursday, August 31st will be paid a dividend of 0.06 per share on Friday, September 15th. This represents a $0.72 dividend on an annualized basis and a yield of . The ex-dividend date is Wednesday, August 30th. Morguard North American Price Performance Morguard North American has a twelve month low of C$13.17 and a twelve month high of C$16.21. Get Morguard North American alerts: Morguard North American (TSE:MRG Get Free Report) last released its earnings results on Wednesday, July 26th. The company reported C$1.39 earnings per share (EPS) for the quarter. The business had revenue of C$83.33 million during the quarter. About Morguard North American Morguard North American Residential Real Estate Investment Trust (the Trust) is a real estate investment trust (REIT). The Trusts investment objectives are to generate stable and growing cash distributions on a tax-efficient basis; enhance the value of the REITs assets and maximize long-term Unit value through active asset and property management, and expand the asset base of the REIT and increase adjusted funds from operations per Unit primarily through acquisitions and improvement of its properties through targeted deployed capital expenditures. Further Reading Receive News & Ratings for Morguard North American Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Morguard North American and related companies with MarketBeat.com's FREE daily email newsletter. Pandora A/S (OTCMKTS:PANDY Get Free Report) announced its earnings results on Tuesday. The company reported $0.32 EPS for the quarter, missing analysts consensus estimates of $0.36 by ($0.04), MarketWatch Earnings reports. Pandora A/S Stock Performance Shares of PANDY traded up $0.59 during mid-day trading on Wednesday, hitting $25.29. 3,671 shares of the company traded hands, compared to its average volume of 5,035. Pandora A/S has a 1-year low of $11.68 and a 1-year high of $25.95. The business has a 50 day moving average of $23.20 and a 200 day moving average of $22.71. Get Pandora A/S alerts: Pandora A/S Company Profile (Get Free Report) Read More Pandora A/S designs, manufactures, and markets hand-finished and contemporary jewelry. The company offers charms, bracelets, rings, earrings, necklaces, and pendants. Its jewelry material includes silver, gold with gemstones, stones, cultured pearls, and glass. The company sells its products through physical stores, online stores, and wholesale and third-party distribution in Denmark, the United States, China, the United Kingdom, Italy, Australia, France, Germany, and internationally. Receive News & Ratings for Pandora A/S Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Pandora A/S and related companies with MarketBeat.com's FREE daily email newsletter. Riskified (NYSE:RSKD Get Free Report) had its price target decreased by equities research analysts at Piper Sandler from $8.00 to $7.00 in a report released on Wednesday, Benzinga reports. The firm presently has an overweight rating on the stock. Piper Sandlers price objective would suggest a potential upside of 46.75% from the companys previous close. Separately, The Goldman Sachs Group reduced their target price on Riskified from $6.00 to $5.50 in a research note on Thursday, July 13th. One research analyst has rated the stock with a hold rating and four have given a buy rating to the company. According to MarketBeat, the stock has a consensus rating of Moderate Buy and an average price target of $6.50. Get Riskified alerts: Check Out Our Latest Analysis on Riskified Riskified Price Performance Shares of RSKD opened at $4.77 on Wednesday. The stock has a 50-day moving average of $4.73 and a 200-day moving average of $5.16. The company has a market cap of $782.09 million, a PE ratio of -9.17 and a beta of 1.20. Riskified has a one year low of $3.43 and a one year high of $6.73. Riskified (NYSE:RSKD Get Free Report) last issued its earnings results on Wednesday, May 17th. The company reported ($0.09) EPS for the quarter, beating the consensus estimate of ($0.14) by $0.05. The company had revenue of $68.91 million during the quarter, compared to the consensus estimate of $68.54 million. Riskified had a negative return on equity of 16.85% and a negative net margin of 32.69%. Analysts expect that Riskified will post -0.41 EPS for the current fiscal year. Hedge Funds Weigh In On Riskified Several hedge funds have recently modified their holdings of RSKD. Envestnet Asset Management Inc. bought a new position in shares of Riskified during the 1st quarter worth approximately $109,000. Swiss National Bank raised its holdings in shares of Riskified by 24.1% in the 1st quarter. Swiss National Bank now owns 53,000 shares of the companys stock worth $320,000 after purchasing an additional 10,300 shares during the period. Bank of New York Mellon Corp bought a new stake in shares of Riskified in the 1st quarter worth approximately $9,587,000. BlackRock Inc. raised its holdings in shares of Riskified by 15.2% in the 1st quarter. BlackRock Inc. now owns 371,296 shares of the companys stock worth $2,244,000 after purchasing an additional 49,124 shares during the period. Finally, Lord Abbett & CO. LLC purchased a new stake in shares of Riskified during the first quarter worth $2,325,000. Institutional investors own 30.02% of the companys stock. About Riskified (Get Free Report) Riskified Ltd. develops and offers an e-commerce risk management platform that allows online merchants to create trusted relationships with their consumers in the United States, Europe, the Middle East, Africa, the Asia-Pacific, and internationally. It offers Chargeback Guarantee that approves or denies online orders; Policy Protect and Account Secure, which identifies and blocks consumers that may be taking advantage of the merchant's terms and conditions or that may be trying to gain unauthorized access to another consumer's account; and PSD2, an optimize product` that help merchants to avoid bank authorization failures and abandoned shopping carts resulting from the secure customer authentication process. Read More Receive News & Ratings for Riskified Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Riskified and related companies with MarketBeat.com's FREE daily email newsletter. The Charles Schwab Co. (NYSE:SCHW Free Report) Equities researchers at William Blair decreased their Q3 2023 EPS estimates for Charles Schwab in a research report issued to clients and investors on Monday, August 14th. William Blair analyst J. Schmitt now expects that the financial services provider will earn $0.77 per share for the quarter, down from their previous estimate of $0.78. The consensus estimate for Charles Schwabs current full-year earnings is $3.28 per share. William Blair also issued estimates for Charles Schwabs Q2 2024 earnings at $1.13 EPS and Q3 2024 earnings at $1.24 EPS. Get Charles Schwab alerts: Charles Schwab (NYSE:SCHW Get Free Report) last announced its quarterly earnings results on Tuesday, July 18th. The financial services provider reported $0.75 EPS for the quarter, beating analysts consensus estimates of $0.71 by $0.04. The firm had revenue of $4.66 billion during the quarter, compared to analysts expectations of $4.61 billion. Charles Schwab had a net margin of 33.15% and a return on equity of 27.54%. The companys revenue for the quarter was down 8.6% compared to the same quarter last year. During the same quarter last year, the company posted $0.97 earnings per share. A number of other brokerages have also recently commented on SCHW. UBS Group increased their price target on shares of Charles Schwab from $67.00 to $80.00 and gave the company a buy rating in a research note on Monday, July 24th. Barclays increased their price objective on shares of Charles Schwab from $62.00 to $70.00 in a research report on Wednesday, July 19th. Argus increased their price objective on shares of Charles Schwab from $70.00 to $81.00 in a research report on Wednesday, July 19th. StockNews.com lowered shares of Charles Schwab from a hold rating to a sell rating in a research report on Friday, August 4th. Finally, JMP Securities increased their price objective on shares of Charles Schwab from $73.00 to $77.00 in a research report on Wednesday, July 19th. Three investment analysts have rated the stock with a sell rating, two have assigned a hold rating and thirteen have assigned a buy rating to the companys stock. According to MarketBeat, the stock currently has a consensus rating of Moderate Buy and a consensus price target of $72.09. View Our Latest Analysis on Charles Schwab Charles Schwab Stock Performance Shares of SCHW stock opened at $60.98 on Wednesday. The stock has a market cap of $107.94 billion, a P/E ratio of 17.78, a P/E/G ratio of 3.55 and a beta of 0.91. The company has a debt-to-equity ratio of 0.80, a current ratio of 0.39 and a quick ratio of 0.39. The company has a fifty day moving average price of $59.89 and a two-hundred day moving average price of $60.37. Charles Schwab has a one year low of $45.00 and a one year high of $86.63. Charles Schwab Announces Dividend The company also recently disclosed a quarterly dividend, which will be paid on Friday, August 25th. Shareholders of record on Friday, August 11th will be paid a dividend of $0.25 per share. The ex-dividend date of this dividend is Thursday, August 10th. This represents a $1.00 dividend on an annualized basis and a yield of 1.64%. Charles Schwabs payout ratio is 29.15%. Insiders Place Their Bets In other Charles Schwab news, Chairman Charles R. Schwab sold 72,047 shares of the stock in a transaction dated Monday, July 24th. The shares were sold at an average price of $66.66, for a total transaction of $4,802,653.02. Following the sale, the chairman now directly owns 59,748,538 shares in the company, valued at $3,982,837,543.08. The sale was disclosed in a filing with the Securities & Exchange Commission, which is available at this link. In other news, Chairman Charles R. Schwab sold 72,047 shares of the firms stock in a transaction that occurred on Monday, July 24th. The shares were sold at an average price of $66.66, for a total value of $4,802,653.02. Following the sale, the chairman now directly owns 59,748,538 shares in the company, valued at approximately $3,982,837,543.08. The transaction was disclosed in a filing with the SEC, which is available at the SEC website. Also, Chairman Charles R. Schwab sold 75,760 shares of Charles Schwab stock in a transaction that occurred on Wednesday, July 26th. The stock was sold at an average price of $66.60, for a total transaction of $5,045,616.00. Following the sale, the chairman now owns 59,672,778 shares in the company, valued at $3,974,207,014.80. The disclosure for this sale can be found here. Insiders have sold 225,447 shares of company stock worth $13,866,915 over the last ninety days. Corporate insiders own 6.60% of the companys stock. Institutional Inflows and Outflows Several hedge funds have recently added to or reduced their stakes in the company. Circle Wealth Management LLC raised its holdings in Charles Schwab by 2.8% during the 4th quarter. Circle Wealth Management LLC now owns 4,811 shares of the financial services providers stock worth $401,000 after purchasing an additional 131 shares during the last quarter. Trustcore Financial Services LLC increased its stake in shares of Charles Schwab by 3.1% in the 4th quarter. Trustcore Financial Services LLC now owns 4,395 shares of the financial services providers stock valued at $366,000 after acquiring an additional 134 shares in the last quarter. CVA Family Office LLC increased its stake in shares of Charles Schwab by 26.8% in the 4th quarter. CVA Family Office LLC now owns 638 shares of the financial services providers stock valued at $53,000 after acquiring an additional 135 shares in the last quarter. Regal Investment Advisors LLC increased its stake in shares of Charles Schwab by 3.6% in the 4th quarter. Regal Investment Advisors LLC now owns 3,918 shares of the financial services providers stock valued at $326,000 after acquiring an additional 135 shares in the last quarter. Finally, Signet Financial Management LLC increased its stake in shares of Charles Schwab by 0.3% in the 4th quarter. Signet Financial Management LLC now owns 49,378 shares of the financial services providers stock valued at $4,111,000 after acquiring an additional 139 shares in the last quarter. Institutional investors own 82.77% of the companys stock. About Charles Schwab (Get Free Report) The Charles Schwab Corporation, together with its subsidiaries, operates as a savings and loan holding company that provides wealth management, securities brokerage, banking, asset management, custody, and financial advisory services. The company operates in two segments, Investor Services and Advisor Services. Featured Articles Receive News & Ratings for Charles Schwab Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Charles Schwab and related companies with MarketBeat.com's FREE daily email newsletter. Saul Centers, Inc. (NYSE:BFS Free Report) Equities researchers at B. Riley lifted their Q3 2023 earnings per share (EPS) estimates for shares of Saul Centers in a research note issued on Friday, August 11th. B. Riley analyst C. Kucera now anticipates that the real estate investment trust will post earnings per share of $0.78 for the quarter, up from their previous forecast of $0.77. B. Riley currently has a Neutral rating and a $41.00 target price on the stock. The consensus estimate for Saul Centers current full-year earnings is $3.07 per share. B. Riley also issued estimates for Saul Centers Q4 2023 earnings at $0.77 EPS, FY2023 earnings at $3.12 EPS, Q1 2024 earnings at $0.83 EPS and FY2024 earnings at $3.23 EPS. Get Saul Centers alerts: Separately, StockNews.com raised Saul Centers from a hold rating to a buy rating in a report on Tuesday, August 8th. Saul Centers Stock Performance Shares of BFS stock opened at $37.65 on Monday. The stock has a fifty day moving average price of $37.54 and a 200 day moving average price of $37.58. The stock has a market cap of $901.34 million, a PE ratio of 22.96 and a beta of 1.18. Saul Centers has a 1 year low of $32.13 and a 1 year high of $49.59. The company has a debt-to-equity ratio of 3.93, a quick ratio of 1.17 and a current ratio of 1.17. Institutional Investors Weigh In On Saul Centers A number of hedge funds have recently bought and sold shares of the business. Alliancebernstein L.P. grew its position in shares of Saul Centers by 16.1% in the 2nd quarter. Alliancebernstein L.P. now owns 9,760 shares of the real estate investment trusts stock worth $359,000 after acquiring an additional 1,350 shares in the last quarter. Wells Fargo & Company MN grew its position in shares of Saul Centers by 4.5% in the 2nd quarter. Wells Fargo & Company MN now owns 54,430 shares of the real estate investment trusts stock worth $2,005,000 after acquiring an additional 2,366 shares in the last quarter. Lazard Asset Management LLC grew its position in shares of Saul Centers by 10.8% in the 2nd quarter. Lazard Asset Management LLC now owns 42,417 shares of the real estate investment trusts stock worth $1,562,000 after acquiring an additional 4,133 shares in the last quarter. Royal Bank of Canada grew its position in shares of Saul Centers by 7.0% in the 2nd quarter. Royal Bank of Canada now owns 38,081 shares of the real estate investment trusts stock worth $1,402,000 after acquiring an additional 2,485 shares in the last quarter. Finally, Nuveen Asset Management LLC boosted its position in Saul Centers by 2.6% during the 2nd quarter. Nuveen Asset Management LLC now owns 57,581 shares of the real estate investment trusts stock valued at $2,121,000 after purchasing an additional 1,473 shares during the period. Institutional investors and hedge funds own 45.33% of the companys stock. Insider Transactions at Saul Centers In other news, CEO B Francis Saul II acquired 3,558 shares of the businesss stock in a transaction dated Thursday, August 10th. The shares were bought at an average cost of $38.14 per share, for a total transaction of $135,702.12. Following the acquisition, the chief executive officer now directly owns 125,188 shares in the company, valued at approximately $4,774,670.32. The purchase was disclosed in a filing with the SEC, which is available through this link. Company insiders own 50.50% of the companys stock. Saul Centers Dividend Announcement The company also recently disclosed a quarterly dividend, which was paid on Monday, July 31st. Stockholders of record on Monday, July 17th were issued a $0.59 dividend. The ex-dividend date was Friday, July 14th. This represents a $2.36 dividend on an annualized basis and a dividend yield of 6.27%. Saul Centerss dividend payout ratio (DPR) is 143.90%. About Saul Centers (Get Free Report) Saul Centers, Inc is a self-managed, self-administered equity REIT headquartered in Bethesda, Maryland, which currently operates and manages a real estate portfolio of 61 properties, which includes (a) 50 community and neighborhood shopping centers and seven mixed-use properties with approximately 9.8 million square feet of leasable area and (b) four land and development properties. Further Reading Receive News & Ratings for Saul Centers Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Saul Centers and related companies with MarketBeat.com's FREE daily email newsletter. First Quantum Minerals (TSE:FM Get Free Report) had its target price upped by research analysts at Raymond James from C$32.00 to C$35.00 in a research note issued to investors on Wednesday, BayStreet.CA reports. Raymond James price target would suggest a potential upside of 2.91% from the stocks current price. Several other research analysts have also recently commented on FM. TD Securities raised their target price on First Quantum Minerals from C$41.00 to C$44.00 and gave the stock a buy rating in a research report on Thursday, July 27th. Jefferies Financial Group raised their target price on First Quantum Minerals from C$38.00 to C$45.00 in a research report on Wednesday, July 26th. BMO Capital Markets reduced their target price on First Quantum Minerals from C$36.00 to C$35.00 in a research report on Wednesday, July 26th. Scotiabank dropped their price objective on First Quantum Minerals from C$41.00 to C$40.00 in a research note on Wednesday, June 28th. Finally, CIBC increased their price objective on First Quantum Minerals from C$29.00 to C$34.00 in a research note on Wednesday, July 26th. Two research analysts have rated the stock with a sell rating, two have issued a hold rating and five have issued a buy rating to the company. According to MarketBeat.com, the stock has an average rating of Hold and an average target price of C$34.56. Get First Quantum Minerals alerts: Read Our Latest Research Report on FM First Quantum Minerals Stock Performance Shares of FM stock traded up C$0.80 during trading hours on Wednesday, reaching C$34.01. 1,007,358 shares of the companys stock were exchanged, compared to its average volume of 2,234,981. First Quantum Minerals has a fifty-two week low of C$20.11 and a fifty-two week high of C$39.13. The stock has a market capitalization of C$23.58 billion, a P/E ratio of 45.27, a P/E/G ratio of -1.28 and a beta of 2.20. The business has a fifty day moving average price of C$33.83 and a 200-day moving average price of C$31.49. The company has a quick ratio of 1.41, a current ratio of 1.70 and a debt-to-equity ratio of 65.56. First Quantum Minerals (TSE:FM Get Free Report) last issued its quarterly earnings results on Tuesday, July 25th. The company reported C$0.15 EPS for the quarter, missing analysts consensus estimates of C$0.20 by C($0.05). First Quantum Minerals had a return on equity of 3.29% and a net margin of 5.88%. The business had revenue of C$2.22 billion for the quarter, compared to analyst estimates of C$2.27 billion. Analysts predict that First Quantum Minerals will post 1.2760473 EPS for the current fiscal year. First Quantum Minerals Company Profile (Get Free Report) First Quantum Minerals Ltd., together with its subsidiaries, engages in the exploration, development, and production of mineral properties. It primarily explores for copper, nickel, pyrite, silver, gold, and zinc ores, as well as produces acid. The company has operating mines located in Zambia, Panama, Finland, Turkey, Spain, Australia, and Mauritania, as well as a development project in Zambia. Featured Articles Receive News & Ratings for First Quantum Minerals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for First Quantum Minerals and related companies with MarketBeat.com's FREE daily email newsletter. CAE Inc. (TSE:CAE Free Report) (NYSE:CAE) National Bank Financial upped their FY2025 EPS estimates for CAE in a research report issued on Wednesday, August 9th. National Bank Financial analyst C. Doerksen now anticipates that the company will post earnings of $1.55 per share for the year, up from their prior estimate of $1.53. The consensus estimate for CAEs current full-year earnings is $1.18 per share. Get CAE alerts: CAE (TSE:CAE Get Free Report) (NYSE:CAE) last announced its quarterly earnings data on Wednesday, May 31st. The company reported C$0.35 EPS for the quarter, hitting analysts consensus estimates of C$0.35. The business had revenue of C$1.26 billion for the quarter, compared to analyst estimates of C$1.21 billion. CAE had a net margin of 6.62% and a return on equity of 6.78%. CAE has been the topic of several other reports. CIBC upped their price target on shares of CAE from C$37.00 to C$38.00 in a research note on Thursday, August 10th. BMO Capital Markets raised their price target on shares of CAE from C$35.00 to C$37.00 in a report on Thursday, August 10th. Royal Bank of Canada raised their price target on shares of CAE from C$35.00 to C$37.00 and gave the stock an outperform rating in a report on Thursday, August 10th. TD Securities raised their price objective on shares of CAE from C$37.00 to C$39.00 and gave the stock a buy rating in a research report on Thursday, August 10th. Finally, National Bankshares raised their price objective on shares of CAE from C$37.00 to C$38.00 in a research report on Thursday, August 10th. Five investment analysts have rated the stock with a buy rating, According to MarketBeat, CAE presently has an average rating of Buy and a consensus price target of C$37.38. View Our Latest Research Report on CAE CAE Stock Performance TSE CAE opened at C$31.30 on Monday. The company has a current ratio of 1.03, a quick ratio of 0.71 and a debt-to-equity ratio of 72.45. The firms fifty day moving average price is C$29.21 and its two-hundred day moving average price is C$29.85. CAE has a 1 year low of C$20.90 and a 1 year high of C$32.68. The firm has a market capitalization of C$9.96 billion, a P/E ratio of 44.08, a price-to-earnings-growth ratio of 1.76 and a beta of 1.99. CAE Company Profile (Get Free Report) CAE Inc, together with its subsidiaries, provides simulation training and critical operations support solutions in Canada, the United States, the United Kingdom, Europe, Asia, Oceania and Africa, and Rest of Americas. It operates through three segments: Civil Aviation, Defense and Security, and Healthcare. See Also Receive News & Ratings for CAE Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CAE and related companies with MarketBeat.com's FREE daily email newsletter. Valvoline Inc. (NYSE:VVV Free Report) Stock analysts at Seaport Res Ptn lifted their FY2023 earnings per share estimates for shares of Valvoline in a research report issued on Thursday, August 10th. Seaport Res Ptn analyst M. Harrison now forecasts that the basic materials company will post earnings per share of $1.23 for the year, up from their prior forecast of $1.15. Seaport Res Ptn currently has a Neutral rating on the stock. The consensus estimate for Valvolines current full-year earnings is $1.15 per share. Get Valvoline alerts: Other analysts also recently issued research reports about the stock. JPMorgan Chase & Co. dropped their target price on shares of Valvoline from $39.00 to $36.00 and set a neutral rating for the company in a report on Thursday, August 10th. TheStreet cut Valvoline from a b rating to a c rating in a research note on Wednesday, August 9th. Citigroup decreased their price target on shares of Valvoline from $41.00 to $38.00 and set a neutral rating for the company in a research note on Thursday, August 10th. Stephens initiated coverage on shares of Valvoline in a research note on Friday, June 23rd. They set an overweight rating and a $43.00 target price for the company. Finally, Morgan Stanley started coverage on Valvoline in a research report on Monday, June 12th. They set an equal weight rating and a $40.00 price objective for the company. Five equities research analysts have rated the stock with a hold rating and two have assigned a buy rating to the company. According to data from MarketBeat.com, the stock presently has an average rating of Hold and an average target price of $40.00. Valvoline Stock Performance NYSE VVV opened at $34.69 on Monday. The stock has a fifty day simple moving average of $37.08 and a 200-day simple moving average of $36.06. Valvoline has a twelve month low of $24.40 and a twelve month high of $39.67. The company has a current ratio of 2.68, a quick ratio of 2.59 and a debt-to-equity ratio of 5.49. The stock has a market cap of $4.81 billion, a PE ratio of 3.93 and a beta of 1.32. Valvoline (NYSE:VVV Get Free Report) last posted its quarterly earnings results on Wednesday, August 9th. The basic materials company reported $0.43 earnings per share for the quarter, beating the consensus estimate of $0.37 by $0.06. Valvoline had a net margin of 110.05% and a return on equity of 42.99%. The business had revenue of $376.20 million during the quarter, compared to analysts expectations of $367.53 million. During the same quarter in the prior year, the company posted $0.58 EPS. The businesss revenue for the quarter was up 18.5% on a year-over-year basis. Institutional Trading of Valvoline Institutional investors and hedge funds have recently added to or reduced their stakes in the stock. Raymond James Financial Services Advisors Inc. grew its position in Valvoline by 15.9% in the 1st quarter. Raymond James Financial Services Advisors Inc. now owns 9,875 shares of the basic materials companys stock valued at $312,000 after buying an additional 1,355 shares during the last quarter. American Century Companies Inc. lifted its stake in shares of Valvoline by 6.9% in the first quarter. American Century Companies Inc. now owns 57,072 shares of the basic materials companys stock valued at $1,801,000 after purchasing an additional 3,687 shares during the period. US Bancorp DE increased its holdings in Valvoline by 47.0% in the first quarter. US Bancorp DE now owns 21,610 shares of the basic materials companys stock valued at $682,000 after buying an additional 6,914 shares in the last quarter. HighTower Advisors LLC boosted its stake in shares of Valvoline by 42.8% during the 1st quarter. HighTower Advisors LLC now owns 34,130 shares of the basic materials companys stock worth $1,075,000 after acquiring an additional 10,224 shares in the last quarter. Finally, PNC Financial Services Group Inc. increased its stake in Valvoline by 5.7% in the 1st quarter. PNC Financial Services Group Inc. now owns 26,908 shares of the basic materials companys stock valued at $849,000 after purchasing an additional 1,456 shares in the last quarter. 93.20% of the stock is owned by hedge funds and other institutional investors. Insiders Place Their Bets In related news, insider Julie Marie Odaniel sold 3,087 shares of the companys stock in a transaction on Friday, August 11th. The shares were sold at an average price of $34.67, for a total value of $107,026.29. Following the completion of the sale, the insider now owns 16,230 shares in the company, valued at approximately $562,694.10. The transaction was disclosed in a legal filing with the SEC, which can be accessed through the SEC website. 0.99% of the stock is currently owned by corporate insiders. About Valvoline (Get Free Report) Valvoline Inc provides automotive services through retail stores in the United States and Canada. It offers cabin air filter, battery replacement, and tire rotation services for various vehicles. As of September 30, 2022, it operated 1,700 system-wide service center stores. The company was founded in 1866 and is headquartered in Lexington, Kentucky. See Also Receive News & Ratings for Valvoline Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Valvoline and related companies with MarketBeat.com's FREE daily email newsletter. Chatham Lodging Trust (NYSE:CLDT Get Free Report) saw a significant growth in short interest in July. As of July 31st, there was short interest totalling 387,300 shares, a growth of 9.1% from the July 15th total of 354,900 shares. Based on an average daily volume of 219,100 shares, the days-to-cover ratio is currently 1.8 days. Wall Street Analysts Forecast Growth Several analysts have recently issued reports on the company. B. Riley decreased their price objective on Chatham Lodging Trust from $15.00 to $14.00 in a report on Monday, August 7th. StockNews.com assumed coverage on Chatham Lodging Trust in a research report on Thursday, May 18th. They issued a hold rating for the company. Barclays cut their price target on shares of Chatham Lodging Trust from $16.00 to $14.00 and set an overweight rating on the stock in a report on Thursday, July 27th. Finally, Oppenheimer lowered Chatham Lodging Trust from an outperform rating to a market perform rating and set a $14.00 target price on the stock. in a research note on Thursday, July 20th. Get Chatham Lodging Trust alerts: Read Our Latest Report on CLDT Chatham Lodging Trust Stock Up 0.2 % Chatham Lodging Trust Dividend Announcement Shares of CLDT stock traded up $0.02 on Wednesday, hitting $9.18. The stock had a trading volume of 16,311 shares, compared to its average volume of 256,142. The company has a quick ratio of 1.78, a current ratio of 1.78 and a debt-to-equity ratio of 0.46. Chatham Lodging Trust has a one year low of $9.02 and a one year high of $14.38. The business has a 50 day moving average price of $9.52 and a 200 day moving average price of $10.49. The company has a market cap of $448.53 million, a PE ratio of 65.43 and a beta of 1.77. The firm also recently disclosed a quarterly dividend, which was paid on Monday, July 17th. Investors of record on Friday, June 30th were issued a dividend of $0.07 per share. This represents a $0.28 annualized dividend and a yield of 3.05%. The ex-dividend date of this dividend was Thursday, June 29th. Chatham Lodging Trusts payout ratio is 200.00%. Insider Activity at Chatham Lodging Trust In other Chatham Lodging Trust news, Director Edwin B. Brewer, Jr. bought 8,800 shares of the firms stock in a transaction on Thursday, June 1st. The stock was acquired at an average price of $18.95 per share, with a total value of $166,760.00. Following the transaction, the director now owns 18,800 shares in the company, valued at $356,260. The acquisition was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this link. 5.80% of the stock is currently owned by corporate insiders. Institutional Trading of Chatham Lodging Trust A number of hedge funds have recently bought and sold shares of the stock. Lazard Asset Management LLC purchased a new stake in shares of Chatham Lodging Trust during the 1st quarter worth $29,000. Tower Research Capital LLC TRC boosted its holdings in shares of Chatham Lodging Trust by 94.6% in the 3rd quarter. Tower Research Capital LLC TRC now owns 3,353 shares of the real estate investment trusts stock valued at $33,000 after buying an additional 1,630 shares in the last quarter. Quarry LP raised its stake in shares of Chatham Lodging Trust by 146.1% during the 2nd quarter. Quarry LP now owns 4,235 shares of the real estate investment trusts stock worth $40,000 after acquiring an additional 2,514 shares in the last quarter. Captrust Financial Advisors acquired a new stake in Chatham Lodging Trust in the second quarter valued at approximately $51,000. Finally, UBS Group AG boosted its position in shares of Chatham Lodging Trust by 156.3% in the 3rd quarter. UBS Group AG now owns 8,840 shares of the real estate investment trusts stock worth $87,000 after purchasing an additional 5,391 shares in the last quarter. Hedge funds and other institutional investors own 92.68% of the companys stock. Chatham Lodging Trust Company Profile (Get Free Report) Chatham Lodging Trust is a self-advised, publicly traded real estate investment trust (REIT) focused primarily on investing in upscale, extended-stay hotels and premium-branded, select-service hotels. The company owns 39 hotels totaling 5,915 rooms/suites in 16 states and the District of Columbia. Recommended Stories Receive News & Ratings for Chatham Lodging Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Chatham Lodging Trust and related companies with MarketBeat.com's FREE daily email newsletter. Eldorado Gold Co. (NYSE:EGO Get Free Report) (TSE:ELD) was the target of a significant growth in short interest during the month of July. As of July 31st, there was short interest totalling 3,150,000 shares, a growth of 9.0% from the July 15th total of 2,890,000 shares. Currently, 1.6% of the shares of the company are sold short. Based on an average trading volume of 1,390,000 shares, the short-interest ratio is currently 2.3 days. Eldorado Gold Stock Down 0.3 % NYSE:EGO traded down $0.03 during trading hours on Wednesday, hitting $8.81. 1,166,273 shares of the stock traded hands, compared to its average volume of 1,636,983. The firm has a market cap of $1.80 billion, a price-to-earnings ratio of 109.64, a PEG ratio of 0.43 and a beta of 1.21. The firm has a 50 day moving average price of $10.03 and a 200 day moving average price of $10.11. The company has a current ratio of 3.75, a quick ratio of 2.67 and a debt-to-equity ratio of 0.16. Eldorado Gold has a fifty-two week low of $5.06 and a fifty-two week high of $12.11. Get Eldorado Gold alerts: Analysts Set New Price Targets Several brokerages have commented on EGO. National Bank Financial upgraded shares of Eldorado Gold from a sector perform rating to an outperform rating in a research note on Wednesday, June 7th. Stifel Nicolaus downgraded shares of Eldorado Gold from a buy rating to a hold rating in a research report on Thursday, April 20th. StockNews.com lowered shares of Eldorado Gold from a buy rating to a hold rating in a research note on Wednesday, August 2nd. TD Securities lowered their price target on Eldorado Gold from $12.00 to $11.00 and set a hold rating on the stock in a research report on Monday, July 31st. Finally, BMO Capital Markets initiated coverage on Eldorado Gold in a report on Friday, June 9th. They issued an outperform rating and a C$17.00 price objective for the company. One analyst has rated the stock with a sell rating, four have issued a hold rating and two have issued a buy rating to the company. According to MarketBeat, the company has a consensus rating of Hold and an average price target of $12.99. Institutional Investors Weigh In On Eldorado Gold Hedge funds have recently bought and sold shares of the business. Helikon Investments Ltd boosted its holdings in shares of Eldorado Gold by 13.6% during the second quarter. Helikon Investments Ltd now owns 28,936,990 shares of the basic materials companys stock worth $292,264,000 after purchasing an additional 3,464,840 shares during the period. Van ECK Associates Corp grew its holdings in shares of Eldorado Gold by 3.6% during the 1st quarter. Van ECK Associates Corp now owns 20,631,141 shares of the basic materials companys stock valued at $213,739,000 after acquiring an additional 722,413 shares in the last quarter. BlackRock Inc. increased its stake in shares of Eldorado Gold by 1.4% in the first quarter. BlackRock Inc. now owns 10,321,583 shares of the basic materials companys stock worth $115,706,000 after acquiring an additional 141,298 shares during the last quarter. Donald Smith & CO. Inc. raised its holdings in shares of Eldorado Gold by 1.3% during the first quarter. Donald Smith & CO. Inc. now owns 9,925,322 shares of the basic materials companys stock worth $102,826,000 after acquiring an additional 130,846 shares in the last quarter. Finally, Vanguard Group Inc. grew its stake in Eldorado Gold by 2.9% in the third quarter. Vanguard Group Inc. now owns 6,261,799 shares of the basic materials companys stock valued at $37,758,000 after purchasing an additional 175,914 shares in the last quarter. 59.09% of the stock is owned by hedge funds and other institutional investors. Eldorado Gold Company Profile (Get Free Report) Eldorado Gold Corporation, together with its subsidiaries, engages in the mining, exploration, development, and sale of mineral products primarily in Turkey, Canada, Greece, and Romania. The company primarily produces gold, as well as silver, lead, and zinc. It holds a 100% interest in the Kisladag and Efemcukuru gold mines located in western Turkey; Lamaque gold mines located in Canada; and Olympias, Stratoni, Skouries, Perama Hill, and Sapes gold mines located in Greece, as well as the 80.5% interest in Certej development projects located in Romania. Recommended Stories Receive News & Ratings for Eldorado Gold Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eldorado Gold and related companies with MarketBeat.com's FREE daily email newsletter. Idaho Strategic Resources, Inc. (NYSEAMERICAN:IDR Get Free Report) saw a significant decline in short interest during the month of July. As of July 31st, there was short interest totalling 14,600 shares, a decline of 15.6% from the July 15th total of 17,300 shares. Approximately 0.1% of the companys shares are short sold. Based on an average trading volume of 10,800 shares, the days-to-cover ratio is currently 1.4 days. Wall Street Analyst Weigh In Separately, TheStreet cut shares of Idaho Strategic Resources from a c- rating to a d+ rating in a research report on Friday, June 9th. Get Idaho Strategic Resources alerts: View Our Latest Report on IDR Idaho Strategic Resources Stock Performance Shares of Idaho Strategic Resources stock traded up 0.12 ($0.13) on Wednesday, reaching 5.45 ($5.92). 12,867 shares of the company were exchanged, compared to its average volume of 12,267. Idaho Strategic Resources has a one year low of 4.47 ($4.86) and a one year high of 6.38 ($6.93). The company has a current ratio of 2.40, a quick ratio of 2.08 and a debt-to-equity ratio of 0.06. Idaho Strategic Resources (NYSEAMERICAN:IDR Get Free Report) last announced its quarterly earnings data on Monday, May 15th. The company reported 0.01 ($0.01) EPS for the quarter, beating the consensus estimate of (0.02) (($0.02)) by 0.03 ($0.03). The business had revenue of 3.34 million during the quarter, compared to the consensus estimate of 3.10 million. Idaho Strategic Resources had a negative net margin of 7.42% and a negative return on equity of 4.89%. On average, equities research analysts predict that Idaho Strategic Resources will post 0.03 earnings per share for the current year. Institutional Investors Weigh In On Idaho Strategic Resources Several hedge funds have recently modified their holdings of the company. Renaissance Technologies LLC acquired a new stake in Idaho Strategic Resources in the second quarter valued at $57,000. BlackRock Inc. lifted its position in shares of Idaho Strategic Resources by 2.0% during the 2nd quarter. BlackRock Inc. now owns 145,378 shares of the companys stock valued at $776,000 after acquiring an additional 2,865 shares during the period. Geode Capital Management LLC grew its holdings in shares of Idaho Strategic Resources by 15.2% during the second quarter. Geode Capital Management LLC now owns 81,581 shares of the companys stock valued at $436,000 after buying an additional 10,768 shares during the last quarter. JW Asset Management LLC raised its holdings in shares of Idaho Strategic Resources by 25.1% in the first quarter. JW Asset Management LLC now owns 271,697 shares of the companys stock valued at $1,323,000 after purchasing an additional 54,546 shares during the last quarter. Finally, UBS Group AG raised its holdings in shares of Idaho Strategic Resources by 8,404.5% in the 3rd quarter. UBS Group AG now owns 18,965 shares of the companys stock worth $90,000 after purchasing an additional 18,742 shares during the period. Institutional investors and hedge funds own 8.41% of the companys stock. About Idaho Strategic Resources (Get Free Report) Idaho Strategic Resources, Inc, a resource-based company, engages in exploring for, developing, and extracting gold, silver, and base metal mineral resources in the Greater Coeur d'Alene Mining District of North Idaho. Its portfolio of mineral properties includes the Golden Chest Mine, a producing gold mine located in the Murray Gold Belt (MGB) of North Idaho; approximately 1,500 acres of patented mineral property and approximately 5,000 acres of nearby and adjacent un-patented mineral property located within the MGB; rare earth element projects located in the Idaho REE-Th Belt near Salmon, Idaho; and early-stage exploration properties in Central Idaho. Read More Receive News & Ratings for Idaho Strategic Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Idaho Strategic Resources and related companies with MarketBeat.com's FREE daily email newsletter. The TJX Companies, Inc. (NYSE:TJX Get Free Report) was the recipient of unusually large options trading on Wednesday. Stock investors purchased 10,838 call options on the stock. This is an increase of 41% compared to the average daily volume of 7,686 call options. Insider Buying and Selling In other news, EVP Scott Goldenberg sold 26,271 shares of the businesss stock in a transaction on Thursday, May 18th. The stock was sold at an average price of $78.91, for a total value of $2,073,044.61. Following the completion of the transaction, the executive vice president now directly owns 72,580 shares of the companys stock, valued at $5,727,287.80. The transaction was disclosed in a legal filing with the SEC, which is accessible through this hyperlink. 0.13% of the stock is currently owned by insiders. Get TJX Companies alerts: Hedge Funds Weigh In On TJX Companies Institutional investors have recently made changes to their positions in the business. Panagora Asset Management Inc. boosted its holdings in TJX Companies by 0.5% during the second quarter. Panagora Asset Management Inc. now owns 23,720 shares of the apparel and home fashions retailers stock valued at $2,011,000 after purchasing an additional 117 shares in the last quarter. Adero Partners LLC raised its position in shares of TJX Companies by 4.5% during the 2nd quarter. Adero Partners LLC now owns 2,807 shares of the apparel and home fashions retailers stock valued at $238,000 after acquiring an additional 121 shares during the last quarter. First Business Financial Services Inc. raised its position in shares of TJX Companies by 0.7% during the 2nd quarter. First Business Financial Services Inc. now owns 18,064 shares of the apparel and home fashions retailers stock valued at $1,532,000 after acquiring an additional 121 shares during the last quarter. Kornitzer Capital Management Inc. KS increased its position in shares of TJX Companies by 1.6% in the 4th quarter. Kornitzer Capital Management Inc. KS now owns 8,077 shares of the apparel and home fashions retailers stock valued at $643,000 after buying an additional 125 shares in the last quarter. Finally, Chatham Capital Group Inc. increased its position in shares of TJX Companies by 1.7% in the 2nd quarter. Chatham Capital Group Inc. now owns 7,627 shares of the apparel and home fashions retailers stock valued at $647,000 after buying an additional 125 shares in the last quarter. 92.26% of the stock is currently owned by institutional investors. TJX Companies Stock Up 4.9 % TJX Companies stock traded up $4.17 during mid-day trading on Wednesday, hitting $89.94. 7,398,351 shares of the companys stock were exchanged, compared to its average volume of 4,886,773. TJX Companies has a 1-year low of $59.78 and a 1-year high of $90.19. The stock has a 50 day moving average of $83.96 and a two-hundred day moving average of $80.02. The company has a current ratio of 1.20, a quick ratio of 0.58 and a debt-to-equity ratio of 0.45. The stock has a market cap of $103.36 billion, a P/E ratio of 27.30, a P/E/G ratio of 2.30 and a beta of 0.92. TJX Companies (NYSE:TJX Get Free Report) last posted its earnings results on Wednesday, August 16th. The apparel and home fashions retailer reported $0.85 earnings per share for the quarter, beating the consensus estimate of $0.77 by $0.08. TJX Companies had a return on equity of 62.78% and a net margin of 7.56%. The company had revenue of $12.76 billion for the quarter, compared to analyst estimates of $12.45 billion. During the same period last year, the company earned $0.69 earnings per share. The businesss revenue was up 7.7% compared to the same quarter last year. As a group, analysts anticipate that TJX Companies will post 3.57 EPS for the current fiscal year. TJX Companies Announces Dividend The firm also recently disclosed a quarterly dividend, which will be paid on Thursday, August 31st. Stockholders of record on Thursday, August 10th will be paid a $0.3325 dividend. The ex-dividend date of this dividend is Wednesday, August 9th. This represents a $1.33 dividend on an annualized basis and a dividend yield of 1.48%. TJX Companiess payout ratio is presently 40.92%. Analysts Set New Price Targets A number of equities research analysts have recently commented on the company. Loop Capital raised TJX Companies from a hold rating to a buy rating and lifted their price objective for the company from $75.00 to $95.00 in a research report on Wednesday, July 12th. StockNews.com assumed coverage on TJX Companies in a research report on Thursday, May 18th. They set a buy rating for the company. Deutsche Bank Aktiengesellschaft boosted their price target on TJX Companies from $85.00 to $86.00 in a research report on Thursday, May 18th. Telsey Advisory Group restated an outperform rating and set a $95.00 price objective on shares of TJX Companies in a research note on Wednesday. Finally, Morgan Stanley boosted their price target on TJX Companies from $90.00 to $93.00 in a research note on Thursday, May 18th. Three investment analysts have rated the stock with a hold rating and sixteen have assigned a buy rating to the company. According to data from MarketBeat.com, TJX Companies currently has a consensus rating of Moderate Buy and a consensus target price of $90.00. Check Out Our Latest Stock Analysis on TJX Companies About TJX Companies (Get Free Report) The TJX Companies, Inc, together with its subsidiaries, operates as an off-price apparel and home fashions retailer in the United States, Canada, Europe, and Australia. It operates through four segments: Marmaxx, HomeGoods, TJX Canada, and TJX International. The company sells family apparel, including footwear and accessories; home fashions, such as home basics, furniture, rugs, lighting products, giftware, soft home products, decorative accessories, tabletop, and cookware, as well as expanded pet, kids, and gourmet food departments; jewelry and accessories; and other merchandise. Read More Receive News & Ratings for TJX Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for TJX Companies and related companies with MarketBeat.com's FREE daily email newsletter. Swiss National Bank lowered its position in shares of EOG Resources, Inc. (NYSE:EOG Free Report) by 2.1% during the first quarter, HoldingsChannel reports. The firm owned 2,331,100 shares of the energy exploration companys stock after selling 50,800 shares during the period. Swiss National Banks holdings in EOG Resources were worth $267,214,000 as of its most recent SEC filing. Other hedge funds also recently made changes to their positions in the company. Connor Clark & Lunn Investment Management Ltd. acquired a new stake in shares of EOG Resources during the first quarter worth approximately $9,551,000. BHK Investment Advisors LLC boosted its holdings in EOG Resources by 62.4% in the first quarter. BHK Investment Advisors LLC now owns 4,529 shares of the energy exploration companys stock worth $519,000 after purchasing an additional 1,740 shares during the period. Altman Advisors Inc. boosted its holdings in EOG Resources by 2.4% in the first quarter. Altman Advisors Inc. now owns 13,111 shares of the energy exploration companys stock worth $1,337,084,000 after purchasing an additional 307 shares during the period. Souders Financial Advisors boosted its holdings in EOG Resources by 49.6% in the first quarter. Souders Financial Advisors now owns 5,014 shares of the energy exploration companys stock worth $575,000 after purchasing an additional 1,663 shares during the period. Finally, Spire Wealth Management boosted its holdings in EOG Resources by 13.1% in the first quarter. Spire Wealth Management now owns 5,237 shares of the energy exploration companys stock worth $600,000 after purchasing an additional 607 shares during the period. Hedge funds and other institutional investors own 89.58% of the companys stock. Get EOG Resources alerts: Insider Transactions at EOG Resources In other news, COO Lloyd W. Helms, Jr. sold 5,000 shares of the stock in a transaction that occurred on Wednesday, June 7th. The shares were sold at an average price of $115.87, for a total value of $579,350.00. Following the completion of the sale, the chief operating officer now directly owns 149,689 shares of the companys stock, valued at $17,344,464.43. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available through the SEC website. In related news, COO Lloyd W. Helms, Jr. sold 5,000 shares of the stock in a transaction that occurred on Wednesday, June 7th. The stock was sold at an average price of $115.87, for a total value of $579,350.00. Following the transaction, the chief operating officer now owns 149,689 shares in the company, valued at $17,344,464.43. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at this link. Also, EVP Jeffrey R. Leitzell sold 2,031 shares of the stock in a transaction that occurred on Friday, July 7th. The stock was sold at an average price of $117.26, for a total value of $238,155.06. Following the completion of the transaction, the executive vice president now owns 37,607 shares in the company, valued at approximately $4,409,796.82. The disclosure for this sale can be found here. 0.40% of the stock is owned by insiders. Wall Street Analysts Forecast Growth A number of analysts have recently weighed in on the stock. Royal Bank of Canada reduced their price target on shares of EOG Resources from $150.00 to $145.00 in a research note on Wednesday, July 12th. Raymond James cut their target price on EOG Resources from $150.00 to $140.00 and set a strong-buy rating for the company in a research report on Monday, July 24th. UBS Group initiated coverage on EOG Resources in a research report on Wednesday, April 19th. They set a buy rating and a $152.00 price target on the stock. Mizuho upped their price objective on EOG Resources from $146.00 to $155.00 and gave the company a buy rating in a report on Wednesday. Finally, Stifel Nicolaus lifted their target price on EOG Resources from $141.00 to $143.00 and gave the stock a buy rating in a report on Monday, July 24th. Five research analysts have rated the stock with a hold rating, eighteen have assigned a buy rating and one has assigned a strong buy rating to the companys stock. According to MarketBeat, the company has an average rating of Moderate Buy and a consensus price target of $145.76. View Our Latest Stock Analysis on EOG Resources EOG Resources Stock Down 1.8 % Shares of EOG Resources stock opened at $129.10 on Wednesday. The company has a current ratio of 2.39, a quick ratio of 2.02 and a debt-to-equity ratio of 0.14. EOG Resources, Inc. has a 1 year low of $98.52 and a 1 year high of $150.88. The stock has a 50-day moving average price of $120.22 and a 200 day moving average price of $117.71. The company has a market cap of $75.17 billion, a P/E ratio of 8.70, a P/E/G ratio of 0.43 and a beta of 1.55. EOG Resources (NYSE:EOG Get Free Report) last posted its quarterly earnings results on Thursday, August 3rd. The energy exploration company reported $2.49 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $2.28 by $0.21. The business had revenue of $5.57 billion during the quarter, compared to analyst estimates of $4.74 billion. EOG Resources had a net margin of 33.58% and a return on equity of 28.52%. The firms revenue was down 24.8% compared to the same quarter last year. During the same period in the prior year, the firm earned $2.74 earnings per share. As a group, analysts expect that EOG Resources, Inc. will post 10.66 EPS for the current fiscal year. EOG Resources Announces Dividend The business also recently declared a quarterly dividend, which will be paid on Tuesday, October 31st. Stockholders of record on Tuesday, October 17th will be given a $0.825 dividend. This represents a $3.30 dividend on an annualized basis and a dividend yield of 2.56%. The ex-dividend date of this dividend is Monday, October 16th. EOG Resourcess dividend payout ratio (DPR) is 22.24%. EOG Resources Company Profile (Free Report) EOG Resources, Inc, together with its subsidiaries, explores for, develops, produces, and markets crude oil, and natural gas and natural gas liquids. Its principal producing areas are in New Mexico and Texas in the United States; and the Republic of Trinidad and Tobago. The company was formerly known as Enron Oil & Gas Company. Further Reading Want to see what other hedge funds are holding EOG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for EOG Resources, Inc. (NYSE:EOG Free Report). Receive News & Ratings for EOG Resources Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for EOG Resources and related companies with MarketBeat.com's FREE daily email newsletter. New South Capital Management Inc. lowered its stake in shares of Vertiv Holdings Co (NYSE:VRT Free Report) by 3.8% during the first quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The fund owned 9,877,432 shares of the companys stock after selling 393,310 shares during the period. Vertiv accounts for approximately 6.4% of New South Capital Management Inc.s portfolio, making the stock its 3rd biggest holding. New South Capital Management Inc. owned 2.60% of Vertiv worth $141,346,000 at the end of the most recent reporting period. A number of other hedge funds and other institutional investors have also recently added to or reduced their stakes in the stock. Advisor Group Holdings Inc. grew its stake in Vertiv by 11.5% in the 1st quarter. Advisor Group Holdings Inc. now owns 26,749 shares of the companys stock worth $375,000 after acquiring an additional 2,756 shares during the period. Raymond James Financial Services Advisors Inc. grew its position in shares of Vertiv by 17.6% in the first quarter. Raymond James Financial Services Advisors Inc. now owns 15,756 shares of the companys stock valued at $221,000 after purchasing an additional 2,361 shares during the period. US Bancorp DE increased its stake in Vertiv by 216.7% in the first quarter. US Bancorp DE now owns 21,756 shares of the companys stock valued at $304,000 after purchasing an additional 14,886 shares during the last quarter. Citigroup Inc. lifted its position in Vertiv by 40.2% during the first quarter. Citigroup Inc. now owns 66,068 shares of the companys stock worth $925,000 after buying an additional 18,935 shares during the period. Finally, Bank of Montreal Can boosted its stake in Vertiv by 450.1% in the 1st quarter. Bank of Montreal Can now owns 461,842 shares of the companys stock worth $6,333,000 after buying an additional 377,884 shares during the last quarter. Hedge funds and other institutional investors own 88.40% of the companys stock. Get Vertiv alerts: Insider Activity In other news, Director Vpe Holdings, Llc sold 20,000,000 shares of the companys stock in a transaction on Wednesday, August 9th. The shares were sold at an average price of $34.91, for a total value of $698,200,000.00. Following the completion of the transaction, the director now directly owns 17,955,215 shares in the company, valued at $626,816,555.65. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available through the SEC website. In other Vertiv news, Director Vpe Holdings, Llc sold 20,000,000 shares of the businesss stock in a transaction on Wednesday, August 9th. The shares were sold at an average price of $34.91, for a total value of $698,200,000.00. Following the transaction, the director now owns 17,955,215 shares in the company, valued at approximately $626,816,555.65. The sale was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this link. Also, EVP Stephen Liang acquired 35,001 shares of the firms stock in a transaction on Friday, June 9th. The stock was purchased at an average cost of $21.18 per share, with a total value of $741,321.18. Following the completion of the transaction, the executive vice president now owns 105,814 shares in the company, valued at $2,241,140.52. The disclosure for this purchase can be found here. Insiders have sold 25,496,348 shares of company stock worth $842,690,097 over the last 90 days. Company insiders own 5.62% of the companys stock. Analyst Upgrades and Downgrades A number of research analysts have recently commented on VRT shares. The Goldman Sachs Group upped their price objective on shares of Vertiv from $29.00 to $38.00 and gave the company a buy rating in a research note on Friday, August 4th. TD Cowen upped their price target on shares of Vertiv from $40.00 to $41.00 and gave the stock an outperform rating in a research report on Friday, August 11th. Bank of America upgraded shares of Vertiv from an underperform rating to a buy rating and lifted their price target for the company from $14.00 to $40.00 in a report on Wednesday, August 2nd. Mizuho upped their price objective on Vertiv from $20.00 to $32.00 and gave the stock a neutral rating in a report on Thursday, August 3rd. Finally, 22nd Century Group reaffirmed a maintains rating on shares of Vertiv in a research report on Thursday, June 29th. One equities research analyst has rated the stock with a hold rating and ten have assigned a buy rating to the stock. According to data from MarketBeat.com, the stock currently has an average rating of Moderate Buy and a consensus target price of $28.00. Read Our Latest Analysis on Vertiv Vertiv Stock Down 2.0 % NYSE VRT traded down $0.68 during trading hours on Wednesday, hitting $34.05. The company had a trading volume of 2,553,692 shares, compared to its average volume of 4,681,863. The firms 50 day moving average is $26.43 and its 200 day moving average is $19.00. The company has a debt-to-equity ratio of 1.77, a current ratio of 1.64 and a quick ratio of 1.20. Vertiv Holdings Co has a fifty-two week low of $9.48 and a fifty-two week high of $36.69. The company has a market capitalization of $12.96 billion, a price-to-earnings ratio of 72.21 and a beta of 1.48. Vertiv (NYSE:VRT Get Free Report) last posted its earnings results on Wednesday, August 2nd. The company reported $0.46 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $0.29 by $0.17. The business had revenue of $1.73 billion during the quarter, compared to analyst estimates of $1.62 billion. Vertiv had a return on equity of 31.02% and a net margin of 2.84%. The companys revenue for the quarter was up 23.9% compared to the same quarter last year. During the same quarter last year, the firm earned $0.10 earnings per share. Sell-side analysts anticipate that Vertiv Holdings Co will post 1.59 earnings per share for the current fiscal year. Vertiv Company Profile (Free Report) Vertiv Holdings Co, together with its subsidiaries, designs, manufactures, and services critical digital infrastructure technologies and life cycle services for data centers, communication networks, and commercial and industrial environments in the Americas, the Asia Pacific, Europe, the Middle East, and Africa. Featured Stories Want to see what other hedge funds are holding VRT? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Vertiv Holdings Co (NYSE:VRT Free Report). Receive News & Ratings for Vertiv Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Vertiv and related companies with MarketBeat.com's FREE daily email newsletter. Valero Energy Co. (NYSE:VLO Free Report) Investment analysts at Zacks Research cut their Q4 2023 EPS estimates for shares of Valero Energy in a research report issued on Thursday, August 10th. Zacks Research analyst U. Dutta now anticipates that the oil and gas company will earn $3.24 per share for the quarter, down from their prior estimate of $3.25. The consensus estimate for Valero Energys current full-year earnings is $23.01 per share. Zacks Research also issued estimates for Valero Energys Q1 2024 earnings at $2.93 EPS, Q2 2024 earnings at $3.38 EPS and Q1 2025 earnings at $2.59 EPS. Get Valero Energy alerts: A number of other analysts have also weighed in on the stock. JPMorgan Chase & Co. decreased their target price on shares of Valero Energy from $172.00 to $171.00 in a report on Friday, July 7th. Bank of America lowered shares of Valero Energy from a buy rating to a neutral rating and decreased their target price for the stock from $160.00 to $152.00 in a report on Tuesday. Piper Sandler upped their target price on shares of Valero Energy from $150.00 to $159.00 in a report on Friday, August 4th. Royal Bank of Canada decreased their target price on shares of Valero Energy from $159.00 to $151.00 in a report on Friday, April 28th. Finally, Morgan Stanley reaffirmed an overweight rating and set a $160.00 target price on shares of Valero Energy in a report on Wednesday, July 19th. One analyst has rated the stock with a sell rating, two have assigned a hold rating, twelve have given a buy rating and one has issued a strong buy rating to the company. According to data from MarketBeat.com, the stock currently has an average rating of Moderate Buy and an average price target of $151.38. Valero Energy Stock Performance Shares of VLO stock opened at $131.13 on Monday. The stock has a market capitalization of $46.31 billion, a P/E ratio of 4.52, a PEG ratio of 1.01 and a beta of 1.66. The company has a current ratio of 1.59, a quick ratio of 1.12 and a debt-to-equity ratio of 0.36. The firms 50 day moving average is $119.78 and its 200 day moving average is $123.48. Valero Energy has a 12 month low of $97.73 and a 12 month high of $150.39. Valero Energy (NYSE:VLO Get Free Report) last announced its quarterly earnings results on Thursday, July 27th. The oil and gas company reported $5.40 EPS for the quarter, beating analysts consensus estimates of $5.08 by $0.32. The company had revenue of $34.51 billion for the quarter, compared to analysts expectations of $34.37 billion. Valero Energy had a net margin of 6.96% and a return on equity of 42.31%. Valero Energys revenue was down 33.2% compared to the same quarter last year. During the same period in the prior year, the company posted $11.36 EPS. Valero Energy Announces Dividend The business also recently announced a quarterly dividend, which will be paid on Tuesday, September 5th. Stockholders of record on Thursday, August 3rd will be issued a $1.02 dividend. This represents a $4.08 annualized dividend and a dividend yield of 3.11%. The ex-dividend date of this dividend is Wednesday, August 2nd. Valero Energys dividend payout ratio (DPR) is presently 14.05%. Institutional Investors Weigh In On Valero Energy Several large investors have recently bought and sold shares of the business. Nelson Van Denburg & Campbell Wealth Management Group LLC raised its holdings in Valero Energy by 110.8% during the 2nd quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC now owns 215 shares of the oil and gas companys stock valued at $25,000 after buying an additional 113 shares during the period. Western Pacific Wealth Management LP bought a new stake in shares of Valero Energy in the 4th quarter worth about $26,000. Live Oak Investment Partners purchased a new position in shares of Valero Energy during the 4th quarter worth about $26,000. Stone House Investment Management LLC bought a new position in Valero Energy during the 1st quarter valued at about $27,000. Finally, Sageworth Trust Co raised its position in Valero Energy by 716.7% in the 1st quarter. Sageworth Trust Co now owns 196 shares of the oil and gas companys stock worth $27,000 after purchasing an additional 172 shares during the period. Institutional investors own 78.00% of the companys stock. Valero Energy Company Profile (Get Free Report) Valero Energy Corporation manufactures, markets, and sells transportation fuels and petrochemical products in the United States, Canada, the United Kingdom, Ireland, Latin America, and internationally. It operates through three segments: Refining, Renewable Diesel, and Ethanol. The company produces California Reformulated Gasoline Blendstock for Oxygenate Blending and Conventional Blendstock for Oxygenate Blending gasolines, CARB diesel, diesel, jet fuel, and asphalt; aromatics; and sulfur crude oils. Further Reading Receive News & Ratings for Valero Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Valero Energy and related companies with MarketBeat.com's FREE daily email newsletter. The BJP's Central Election Committee, whose members include Prime Minister Narendra Modi and other senior leaders, will meet on Wednesday evening to hold deliberations on the upcoming state assembly polls, party leaders said. The CEC members are expected to take stock of the ongoing poll preparations, gather feedback and shape the party's strategy, including for selecting candidates, in the meeting, sources said. Besides Modi, the CEC includes party president J P Nadda, and Union ministers Rajnath Singh and Amit Shah among other leaders. The party's decision to hold the meeting so early - the CEC usually meets only after poll dates are announced, underlines the significance it has attached to the five state elections, the last round of assembly contest before the all-important Lok Sabha polls. It also indicates a greater involvement of the central leadership in overseeing the state poll campaign, sources noted. Five states, Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram, are expected to go to the polls in November-December. The BJP is in power in only Madhya Pradesh and is running an intense campaign to oust the Congress government in Rajasthan and Chhattisgarh, and the BRS in Telangana. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept With mounting signs that the Federal Trade Commission is preparing to file a lawsuit against Amazon for violating antitrust laws, a group of booksellers, authors and antitrust activists are urging the government to investigate the companys domination of the book market. On Wednesday, the Open Markets Institute, an antitrust think tank, along with the Authors Guild and the American Booksellers Association, sent a letter to the Justice Department and the Federal Trade Commission, calling on the government to curb Amazons monopoly in its role as a seller of books to the public. The groups are pressing the Justice Department to investigate not only Amazons size as a bookseller, but also its sway over the book market especially its ability to promote certain titles on its site and bury others, said Barry Lynn, the executive director of the Open Markets Institute, a research and advocacy group focused on strengthening anti-monopoly policies. What we have is a situation in which the power of a single dominant corporation is warping, in the aggregate, the type of books that were reading, Lynn said in an interview. This kind of power concentrated in a democracy is not acceptable. The letter, addressed to Lina Khan, the chair of the Federal Trade Commission, and Jonathan Kanter, who leads the Justice Departments Antitrust Division, comes as the FTC appears to be closing in on its decision to bring an antitrust case against Amazon. Amazon representatives are expected to meet this week with members of the commission to discuss the possible suit, a sign that legal action may be imminent. Amazon did not immediately respond to a request for comment. It is still unclear whether the governments case will scrutinize Amazons role as a bookseller as part of its investigation of the company. While Amazon got its start nearly 30 years ago as a scrappy online bookstore, it has since mushroomed into a retail giant that has gained a foothold in other industries, with its expansion into cloud computing and its purchase of the grocery chain Whole Foods and the movie studio Metro-Goldwyn-Mayer. Even as books have become a smaller slice of the company, Amazon has become an overwhelming force in the book market. It accounts for at least 40% of physical books sold in the U.S., and more than 80% of e-books sold, according to an analysis released by WordsRated, a research data and analytics group. With its purchase of Audible in 2008, Amazon has also become one of the largest audiobook producers and retailers. The effects of the sites rise have been profound, Open Markets Institute and the other groups argued, contributing to a steep decline in the number of physical bookstores across the United States, and leaving publishers and authors beholden to the site. Amazon also has influenced which books readers are exposed to and buy, and has made it more challenging for lesser-known authors to gain exposure on the site, while blockbuster authors and celebrities whose books are likely to sell well are prominently featured. Some antitrust experts are skeptical that Amazons role as a bookseller merits government scrutiny. Erik Gordon, a professor of business at the University of Michigan who studies antitrust, said that while the companys dominance in the book world might be an element of an overall antitrust suit, the FTC will likely focus elsewhere. Theres not a great case against Amazon with respect to their book-selling practices, he said. Many publishers and authors are making more money than they would have without Amazon. Amazon has already been a target of the Biden administrations stringent regulatory efforts, as it has sought to reign in tech giants like Amazon, Google and Meta. In June, the FTC brought a separate case against Amazon that argued the company had manipulated users into signing up for its Prime membership program and made it hard for them to get out of it. The Justice Department has also shown an interest in preventing the consolidation in the book market. Last year, a judge sided with the Biden administration in an antitrust case and blocked Penguin Random House from acquiring its smaller rival Simon & Schuster. After the deal collapsed, some in the industry saw Amazon as the next logical antitrust target. Allison Hill, chief executive of the American Booksellers Association, said that she was hopeful that the government was taking a serious look at Amazons role in the book world. Amazon has been unchecked for so long that our fight for a level playing field has become moot, she said. Amazon owns the playing field. This article originally appeared in The New York Times. By Alexandra Alter American aerospace major Boeing on Wednesday said it is starting production of the Apache choppers to be delivered to the Indian Army. The company will deliver a total of six AH-64E Apaches to the Indian Army. The AH-64 Apache is one of the world's most advanced multi-role combat helicopters and is flown by the US Army. "Boeing is starting production of the Indian Army's Apaches in Mesa, Arizona," the company said. Earlier this year, Tata Boeing Aerospace Limited (TBAL) delivered the Indian Army's first AH-64 Apache fuselage from its advanced facility in Hyderabad. "We are pleased to reach yet another significant milestone, highlighting Boeing's unwavering commitment to support India's defence capabilities," said Salil Gupte, president of Boeing India. "The advanced technology and proven performance of the AH-64 will enhance the Indian Army's operational readiness and strengthen its defense capabilities," he said. In 2020, Boeing completed delivery of 22 E-model Apaches to the Indian Air Force and signed a contract to produce six AH-64Es for the Indian Army. The delivery of the Indian Army's Apaches is scheduled for 2024. "The AH-64E continues to be the world's premier attack helicopter," said Christina Upah, vice president of attack helicopter programmes and senior executive at Boeing's Mesa site. "The AH-64 provides customers with unparalleled lethality and survivability, and we are thrilled to provide those capabilities to the Indian Army," the official said. The IAF had signed a multi-billion dollar contract with the US government and Boeing Ltd in September 2015 for 22 Apache helicopters. Additionally, the Defence Ministry in 2017 approved the procurement of six Apache helicopters along with weapons systems from Boeing at a cost of Rs 4,168 crore for the Army. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept The Union Cabinet has approved the PM e-Bus Seva Scheme, Minister of Information and Broadcasting Anurag Thakur said at a press briefing on August 16. A total of Rs 57,613 crore has been allotted to the scheme. Of this, the Centre will provide Rs 20,000 crore and the balance will be paid for by the state governments. As part of the scheme, "around 10,000 new electric buses will be provided across the country", Thakur told reporters in New Delhi. The buses will be rolled out in a total of 100 cities, he added. Key updates from the post-Cabinet meet press briefing The cities where the buses will be rolled out are to be selected through a "challenging method", and one of the selection criteria is that their population should be above 3 lakh, the minister noted. The scheme will be executed under the public private partnership model (PPP), Thakur further said, adding that the government will support the operation of e-buses "for 10 years". Among the leading private players in the country's e-bus mobility segment are Tata Motors, Ashok Leyland, Olectra Greentech and JBM Auto. "This is a momentous decision that sees e-mobility as a necessity for much of India and especially at a time when the country has committed towards being Net Zero by 2070 and is presiding G-20...The momentum gained from this move will catapult India as the EV capital of the world," JBM Auto managing director Nishant Arya said. Also Read | Rs 13,000 crore-PM Vishwakarma scheme gets cleared "Under the scheme, states/cities shall be responsible for running the bus services and making payments to the bus operators. The central government will support these bus operations by providing subsidy to the extent specified in the proposed scheme," an official release noted. The e-bus project is also expected to generate "45,000 to 55,000 direct jobs", it added. The Cabinet, in the meeting held on August 16, also gave its nod to seven railway projects worth Rs 32,500 crore. These projects are estimated to add 2,339 km to the existing network of Indian Railways, and the states that will be benefitted include Uttar Pradesh, Bihar, Telangana, Gujarat, Odisha and West Bengal. Electric bike startup eBikeGo on Wednesday said it plans to launch its Muvi brand of e-scooters in the international market in the next financial year. eBikeGo has strategically handpicked Virginia, Barcelona and Spain as the pivotal locations for its international operations, which will commence from fiscal year April 2024, the company said in a statement. These regions have been carefully selected for their burgeoning demand for eco-friendly transportation alternatives and their strategic significance in reaching out to a broad spectrum of environmentally conscious consumers, eBikeGo said. The company had in 2021 acquired the manufacturing and marketing rights for the European e-scooter brand Muvi from Spanish automotive company Torrot. Having a presence in India through its rental business, eBikeGo is now geared up to introduce its electric two-wheelers to consumers across the United States and European Union, the statement added. "The US and EU markets present an exhilarating opportunity, and we firmly believe that the Muvi will capture the hearts of consumers in these regions," Irfan Khan, CEO at eBikeGo said. eBikeGo said it is confident that Muvi's value proposition will set it apart in the fiercely competitive electric scooter landscapes. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Even as grounded airline Go First struggles to restart operations, it faces another roadblock as employees, upset at not having received their pay for three months, have started looking for jobs elsewhere. "Employees are frustrated as salaries have not been paid for May, June and July, despite promises of retention bonuses and a quick restart," a senior executive working with the airline told Moneycontrol. He added that after the initial rush for the exit May, there was a tapering off in the attrition rate. "Around 20 people would quit every week, but that was expected. Most employees with five-plus years of experience with the airline were standing with the airline," the executive said. But since the beginning of this month, he said, employee dissatisfaction has only grown and there has been an increase in resignations. "Around 150 employees, including 30 pilots, 50 cabin crew members and 50 ground handling and engineering employees, have put in their papers in the last two weeks," another executive working with the airline said. The second executive said that employee sentiment has been badly hit after the Supreme Court on August 7 refused to entertain Go First's appeal against a Delhi High Court order that allowed lessors to inspect and carry out maintenance work on their 30 leased aircraft twice a month. Emails sent to Go First remained unanswered at the time of publishing. A third executive said that if salaries are not paid to employees soon, a rash of resignations is expected. "None of the departments has been paid for three months now, employee sentiments are at an all-time low and a mass exodus is expected," this executive said. The airline's resolution professional had earlier this month sent an email to employees saying that Go First's cash flows have been impacted due to challenging circumstances. We are working tirelessly to resolve these challenges and restore normalcy as early as possible," Shailendra Ajmera said in the email. Similarly, Go First Chief Executive Officer Kaushik Khona had said that salaries would be paid by August 10 but employees Moneycontrol spoke to confirmed that salaries have not been paid. During the employee interaction earlier this month, Khona noted the challenges faced by the airline in getting its funds parked with banks. He pointed out that Go First has been unable to access Rs 5 crore and Rs 35 lakh from the Central Bank of India and IDBI Bank, respectively. Khona also said the airline was lucky to secure Rs 56 crore in the past few months despite zero operations and this amount was used to pay insurance premiums and salary advances. On June 26, the Committee of Creditors (CoC), which include Central Bank of India , Bank of Baroda, Deutsche Bank, and IDBI Bank approved the request for additional funding worth Rs 400 crore. Last week, stressed unpaid employees who were left with doubts went to the Andheri East, Mumbai headquarters of Go First to seek some answers but Ajmera was not in office. The beleaguered low-cost airline has also sought emergency funding of Rs 100 crore from its lenders to keep itself afloat and to meet mandatory liabilities such as insurance. The funding will be used by the airline for critical expenses, Ajmera told the committee of creditors. Go First on May 24 informed its employees that the April salary would be fully paid to them before the carrier restarts operations. "The CEO has assured that the salary for the month of April will be credited to your account before the commencement of operations. Furthermore, from the coming month, the salary will be paid in the 1st week of every month," Captain Rajit Ranjan, Go First vice president, flight operations, had said in an email sent to the employees. Go First had around 7,000 employees at the time it declared voluntary bankruptcy on May 2. The Wadia Group-owned airline halted operations and applied for insolvency resolution citing a financial crunch due to the absence of engines and spares that have grounded half of its fleet. The airline also sought interim directions to restrain lessors from taking back aircraft and the regulator from taking adverse action against it. Khona had in May told Moneycontrol that Go First was burning about Rs 200 crore every month since November and could no longer afford it and had to file for insolvency before the National Company Law Tribunal. The airline hopes to resume operations as soon as possible. Khona said Go First needs at least 20 aircraft to return to service and break even on daily operations. The airline blamed Pratt & Whitney for supplying faulty engines and failing to replace them in a timely manner, resulting in half of its 54 aircraft fleet being grounded. In May the airline had moved a plea before a court in Delaware, United States, seeking enforcement of an order issued by the Singapore International Arbitration Commission (SIAC) against American aerospace manufacturer Pratt & Whitney. Go First, in the emergency petition moved before the Delaware Federal Court on April 28, called for a legal order to force Pratt & Whitney to comply with SIAC's two arbitral awards, issued on March 30 and April 15. The SIAC had, on March 30, ordered Pratt & Whitney to provide Go First with at least 10 serviceable engines by April 27, 2023, and the remainder by the year-end. Go First will be able to return to full-scale operations by September 2023 if Pratt & Whitney provides the airline with the engines, as stipulated in an arbitration order, Khona noted. Now Moneycontrol can help you instantly find your latest credit score, analyse your credit mix and download your credit report for free. Check here for details India, along with the World Health Organisation (WHO), will launch the Global Initiative on Digital Health, which will aim to converge efforts and investments in the digital health space around the globe, the Union Health Ministry said on August 16. According to the officials, the initiative on Digital Health, a first of its kind, was introduced at the first Health Working Group meeting held in January this year, after India took over the G20 presidency. "Most of the work being done in the area of digital health is currently taking place in silos. There are different pilot projects launched, but they are hardly scaled further. This initiative will help the health sectors technologies converge," said Lav Agarwal, Additional Secretary, Union Health Ministry. He further explained that the global initiative, to be launched on August 19, will help in converging digital health innovations and solutions to improve healthcare service delivery. The initiative has also found funding from global partners, he added. Agarwal said that the G20 summit is also working on bringing in the crucial interim medical countermeasures (MCMs), which is a network of networks approach', before the next health emergency hits us, and India, in collaboration with WHO, is leading the advocacy. "The global digital platform will include an investment tracker, an ask tracker (to understand who needs what kind of products and services), and a library of existing digital health platforms," he added. The additional secretary further said that India has focused on affordability, accessibility, and usability as the main aspects of the health sector. "The G20 co-branded event on Advantage Health Care India 2023 will focus on global collaborations and partnerships for building resilient healthcare systems," he added. India assumed the presidency of the G20 on December 1 last year and is currently part of the G20 troika, which comprises Indonesia, India, and Brazil. Indias G20 Presidency marks the first time that the troika consists of three developing and emerging economies. Agarwal said India will continue to utilise its G20 Presidency to voice the concerns of the global south. Commenting on the concerns about citizens data and its security, Agarwal said India has always followed the policy of having data owned by the common people. "This is not the practice that we find across the world. India has spoken to its partners about the India format, which has been endorsed by partners," he explained. The global platform for data sharing will offer no data about its users but will share analyses and work at the inter-operational ability of data. Agarwal said the G20 Health Ministers meeting will focus on three key priorities: health emergency prevention, preparedness, and response with a focus on anti-microbial resistance and the One Health framework; Strengthening cooperation in the pharmaceutical sector with a focus on access and availability to safe, effective, quality, and affordable medical countermeasures (vaccines, therapeutics, and diagnostics); and Digital Health innovations and solutions to aid universal health coverage and improve healthcare service delivery. The summit is also working to garner support for setting up a Climate and Health Initiative (CHI) in India in collaboration with the Asian Development Bank (ADB) and the launch of a patient and healthcare workforce mobility portal. The Himachal Pradesh rain fury has hit the supply and prices of fruits, especially apples in various wholesale markets across the national capital region. Sajjat, owner of the shop Member Fruits, in Okhla Sabzi Mandi, said rain in Himachal Pradesh is always bad news for the markets. According to him, Himachal Pradesh is one of the biggest suppliers of plums, apples and apricots to the wholesale markets in the Delhi-NCR region. Apple supply is the worst hit. Earlier, trucks would come regularly. But the roads are closed now. They had to return with the supply. Normally, one box of apples would cost around Rs 1, 000 but now due to the rain it is Rs 2,000-3,500, said Sajjat. He said due to the ongoing situation, other fruits from the region are not being sent in separate trucks. Farmers are trying to pack plums and apricots are in the same truck as apples. Mohammad Mohabbat from Mohabbat Fruits in Keshopur Mandi said he usually buys one box of apples weighing 25 kg at Rs 2,000-2,500 but now with the Himachal situation, the same costs Rs 4,000-5,000. Previously 40-50 trucks would arrive from Himachal. But now since the road is damaged and rivers are overflowing, only seven to eight arrive, he said. Mohammad Imran, the owner of a fruit shop in Azadpur Mandi, said his existing stocks of apples are almost over. We have informed the Himachal suppliers. They had tried to deliver too but they had to return midway. The fruits had gotten rotten." He said even other fruits from the region are seeing an increase in price by Rs 100/200 per kg. Mohammed Saddam, owner of Saddam Fruits in West Delhis Khera Khurd Village explained how the lack of supply raises the prices. As the supply is falling short of demand, the prices have risen from Rs 60/70 to 110/120 per kg, he said. Dharamvir, a fruit seller from Dwarka, said Himachal apples are very popular but the price rise deters customers from buying them. Per kilogram now costs Rs 120 instead of Rs 60/70. So customers avoid buying them, he said. Meanwhile, the death toll in Himachal Pradesh climbed to 60 on August 16 due to landslides and flooding. According to PTI, Chief Minister Sukhvinder Singh Sukhu said the estimated cost of the destruction incurred during two severe spells of heavy rainfall, one in the current week and another in July, stood at Rs 10,000 crore. In today's competitive business landscape, small and medium-sized enterprises (SMEs) must constantly seek innovative ways to improve employee productivity and problem-solving abilities. When resources are limited, it is even more critical to find solutions that are out of the box and impactful. The assumption that tech will solve all such issues is a myth. We have all seen how expensive CRM solutions almost never delivered the best customer experiences, ERP solutions did not solve all the supply chain hassles and digitization didnt result in seamless marketing solutions. Surprisingly, one effective and often overlooked method lies in incorporating games and play into the workplace. Something even big companies have not used much in the face of economic setbacks or the pandemic. Playful activities, both physical and digital, have proven to ignite creativity, collaboration, and critical thinking among employees. Let us see how playing games can significantly enhance employee productivity and problem-solving abilities in SMEs. 1 Boosting employee engagement and motivation Engaged and motivated employees are more likely to be productive, and will contribute positively to an organisation's success. Games, whether in the form of team-building exercises, board games, or digital simulations, provide an enjoyable and immersive experience that captures employees' attention and increases their engagement levels. For example, a company may organise a team-building day with interactive games that encourage collaboration and communication, leading to strengthened relationships among employees. 2 Building creativity and innovation Games often require creative thinking and out-of-the-box solutions to overcome challenges and achieve objectives. When employees engage in playful activities, they are encouraged to think creatively, which can be carried over into problem-solving scenarios within the workplace. For instance, a design company hosted a game-based brainstorming session to generate fresh ideas for a client project. 3 Enhancing communication and collaboration Multiplayer games, both physical and digital, promote teamwork and collaboration. Playing together helps employees understand each other's strengths and weaknesses, leading to improved communication and more effective collaboration on projects. For example, a tech startup has been organising regular video-game nights, encouraging employees to form teams and strategise together to achieve common goals. 4 Developing critical thinking skills Many games, such as puzzles, strategy games, and escape rooms, require participants to think critically and analyse various situations. These skills are transferable to real-life problem-solving scenarios within the workplace. For instance, an advertising agency might arrange an escape-room challenge for its employees, helping them develop critical thinking skills and the ability to work under pressure. And todays workplace is always under pressure! 5 Managing stress and burnout Employee wellbeing plays a crucial role in maintaining productivity and problem-solving abilities. Playful activities provide a much-needed break from work-related stress and help prevent burnout. When employees are relaxed and rejuvenated, they can approach their tasks with greater focus and efficiency. A financial services firm introduced a game area in the office, where employees could unwind during breaks. 6 Encouraging continuous learning Many games involve a learning curve, and players often need to adapt and improve their strategies to succeed. Playing games brings forth a growth mindset and encourages employees to embrace challenges and continually seek improvement. As an example, a sales team can use gamified simulations to enhance negotiation and persuasion skills. Here are some real life examples of game-based approaches: Google's internship programme often includes "Google Games" where interns work on team-building activities and collaborative challenges. This playful approach encourages interns to learn from one another, build camaraderie, and develop creative solutions. Zappos, the online shoe and clothing retailer, offers "Tour and Play" training sessions for new employees. During these sessions, employees tour the headquarters and engage in interactive games and activities that promote the company's core values and culture. Closer home, HCL implemented "MAD JAM," a gamified innovation programme that encourages employees to collaborate and contribute innovative ideas to the company. Employees can pitch their ideas in a competitive format, fostering creativity and problem solving. ICICI Bank had a gamified training platform called "iRevise," which offered personalised learning paths for employees. Gamification elements encourage employees to complete modules and tests, improving their knowledge and skills. Incorporating games into the workplace can have a profound impact on SME employee productivity and problem-solving abilities. The elements of enjoyment, teamwork, creativity, and skill development present in games create a positive and engaging environment. As businesses continue to explore new ways to improve workplace dynamics, incorporating games and play into the culture can be a transformative strategy. Public sector Indian Bank on Wednesday said it has set up 10 startup cells across different centres in the country to serve its specialised banking requirements. Accordingly, the startup cells have been set up in Ahmedabad, Bengaluru, Coimbatore, Chennai, New Delhi, Gurgaon, Guwahati, Hyderabad, Kanpur and Mumbai. Under the initiative, the Chennai-based public sector bank said a bouquet of tailor-made banking products and services were designed for the startups keeping in mind their unique and specialised banking requirements. It includes offering payment gateways, corporate credit cards and credit facilities apart from the existing products of the bank, a press release said. "The launch of the startup cells is a major milestone in Indian Bank's journey to support the growth of the startup ecosystem in India," Indian Bank MD and CEO S L Jain said after inauguration of the centre in Chennai. After the opening, Jain also virtually inaugurated nine startup cells in the different cities commemorating the bank's 117th Foundation Day. The startup cell branches would have dedicated relationship managers, who would partner with them and build lifecycle engagement with the start-ups. Indian Bank said it has launched 'IND SPRINGBOARD' -- a customised loan product for startups to address their unique financial challenges. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept SBFC Finance, an MSME-focussed non-banking finance company, made a healthy debut, despite weakness and consolidation in equity markets, on August 16. Most experts advised holding the stock for the long term in view of the potential in the MSME sector on the back of the government's Make-in-India initiative, the Atmanirbhar Bharat mission, robust business model, strong financial performance, and stable asset quality. They advised partial profit-booking in case someone wants to ride the rally. The first day first trade in the stock began at Rs 82 on the NSE, up nearly 44 percent over the issue price of Rs 57, which was largely on expected lines. Analysts were expecting a 40 percent listing premium. The stock climbed up to Rs 94.60 (up 66 percent) intraday. At 12:19pm, it was trading with 62.46 percent gains at Rs 92.60, with a volume of 16.8 crore shares, while the trading price on the BSE was Rs 92.72, with volume 91.90 lakh shares. "We advise investors to remain invested for the long term as NBFCs are gaining importance in the financial sector ecosystem of late and the prospects of the company growing exponentially remains intact," Shreyansh Shah, research analyst at StoxBox said. He believes the market participants seem to be banking upon the management's vast experience, an HDFC background and an in-house sales team. "The lender has a strategic focus on diversifying its loan portfolio across states and we remain comfortable on the credit profiling and corporate governance front," he said. The professionally managed NBFC, focussing more on MSME loans with over 80 percent contribution to business, is backed by the marquee institutional investors such as private equity company Clermont Group, investment bank Arpwood Group, and the Malabar Group. Gold loans segment contributed over 17 percent to its business. Also read: Happy Forgings files draft papers with Sebi to raise funds through IPO The company with operations in 120 cities across 16 states and 2 union territories with 152 branches, has recorded robust growth in the business with a 44 percent CAGR in assets under management (AUM) and 40 percent CAGR in disbursements during FY19-FY23. At the end of March FY23, SBFC Finance had an AUM of Rs 4,943 crore, which is well diversified across India, with 31 percent in the North, 38 percent in the South, and the remaining in the West and East. "We continue to remain optimistic on the sector and MSME-focused business model which can deliver decent ROI (return on investment) in the medium to long term. Hence, we recommend allotted investors to remain invested for the long term," Prashanth Tapse, research analyst and Senior VP - Research at Mehta Equities, said. If investors wish to buy SBFC Finance, "I would recommend them to wait and watch for some kind of profit booking attempts post listing and look to buy around Rs 80 levels," Tapse said. The non-deposit-taking non-banking finance company with a pan-India presence recorded a 49 percent on-year growth in net interest income at Rs 379 crore with advances growing 48 percent YoY to Rs 4,415.3 crore, and massive 132 percent increase in profit at Rs 150 crore for the year ended March FY23. Also read: Cello World files draft IPO papers with Sebi to raise Rs 1,750 crore Net interest margin declined to 9.32 percent for FY23, down from 9.39 percent for FY22, while return on assets jumped to 2.92 percent from 1.48 percent during the same period. SBFC has seen consistent improvement in its asset quality, with the gross non-performing assets in FY23 falling 31 bps on-year to 2.43 percent, and net NPA declining 22 bps to 1.41 percent compared to previous year. Astha Jain, senior research analyst at Hem Securities, recommended partial profit-booking in the counter and holding partial allotment for the long term as the company has diversified its pan-India presence with an extensive network to cater to target customer segment. She believes the company has comprehensive credit assessment, underwriting and risk management framework with extensive on-ground collections infrastructure leading to maintenance of robust asset quality. "The company has a healthy liability franchise with low cost of funds. The company has shown consistent financial performance backed by profitable growth and experienced, cycle-tested and professional management team with good corporate governance backed by marquee investors," she said. SBFC Finance has raised Rs 1,025 crore from the public issue at Rs 57 per share, comprising a fresh issue of Rs 600 crore and an offer for sale of Rs 425 crore by Arpwood Group. Disclaimer: The views and investment tips expressed by investment experts on Moneycontrol.com are their own and not those of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. SBFC Finance, which is backed by private equity firm Clermont Group and investment bank Arpwood Group, has started off the first day of trading with 43.8 percent premium on August 16. This was above the analysts expectation of 35-40 percent. The stock opened the day at Rs 81.99 on the BSE, against the issue price of Rs 57 per share. We have seen some impact of recent correction and consolidation of the equity markets, on the listing premium. The benchmark indices fell nearly 3 percent after hitting record highs on July 20. The professionally managed non-deposit-taking non-banking finance company had seen a strong response to its maiden public issue which was subscribed 70.16 times during August 3-7, given the robust business model with strong financial performance and stable asset quality. Qualified institutional buyers (QIBs) had taken the lead amongst investors, buying 192.89 times the portion set aside for them and the high net-worth individuals had bid 49.09 times the reserved portion. The parts allotted for retail investors and employees were subscribed 10.99 times and 5.87 times, respectively. SBFC Finance raised Rs 1,025 crore via public issue last week, comprising a fresh issue of Rs 600 crore and an offer for sale of Rs 425 crore by Arpwood Group. The price band for the offer was Rs 54-57 per share. Most analysts had a positive view of the IPO, and hence advised subscribing to the offer. Also read: Cello World files draft IPO papers with Sebi to raise Rs 1,750 crore "At the higher price band of Rs 57, demanded valuation at Rs 6,066 crore is derived at P/BV (price-to-book-value) of 2.5x on post issues trailing BV basis (after considering fresh issue proceed) which seems on the higher side. Mentioned peers in RHP are mainly affordable housing finance companies that cant be compared on apple- to-apple basis. Meanwhile, Ugro Capital, having a similar business to SBFC, is currently trading at a P/BV of 1.9x. Thus considering the above parameters, we assign subscribe with caution rating to the issue," Choice Broking had said. On financial performance, SBFC Finance witnessed a 30 percent CAGR in net interest income and a 33 percent CAGR in profit during FY21-FY23. Net interest margin stood at 9.3 percent with yield of loans at 15.9 percent. Assets quality remained stable with gross non-performing assets and net NPAs at 2.4 percent and 1.4 percent in FY23, falling from 2.7 percent and 1.6 percent in FY22, respectively. Also read: Happy Forgings files draft papers with Sebi to raise funds through IPO The primary focus of SBFC Finance was on MSME loans, which accounted for over 80 percent of their business, followed by gold loans contributing approximately 17 percent. With a footprint in 120 cities with 152 branches in India, the company focuses more on loans, with ticket sizes in the range of Rs 5 lakh to Rs 30 lakh, which accounts for over 87 percent of its total assets under management in FY23. Considering the growth opportunities in the company and strong fundamentals, Ventura Securities recommended a subscribe rating on the IPO. Click Here To Read All IPO News SBFC has registered growth at a 44 percent CAGR in AUM during FY19-FY23 and disbursements growth at a CAGR of 40 percent during the same period. Its AUM is well-diversified across different regions, with around 31 percent in the North, 39 percent in the South, and the rest in the West and East combined as of March 2023. The company will be utilising the fresh issue proceeds towards augmenting its capital base to meet the future capital requirements arising out of the growth of the business and assets. Disclaimer: The views and investment tips expressed by investment experts on Moneycontrol.com are their own and not those of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. When Zepto launched in 2021, it came with a big promise 10-minute grocery deliveries run by two teenagers who had dropped out of Stanford. But, the big proposition came with a big price tag. The company has deep pockets, having raised over $360 million so far. Its been in existence for a little over two years and continues to need the cash tap running. While it is looking to bag its fifth funding round at a valuation that would make it a unicorn, investors are thoroughly vetting its claims and numbers, especially after Dunzo, a competitor, has been reeling under a cash crunch. Zeptos need for money is understandable. It is up against Zomato-owned Blinkit, Swiggys Instamart, BigBasket's BBNow from Tata and Reliance-backed Dunzo. Among these players, Zepto is also the only one to exclusively focus on quick commerce grocery delivery to make its cash registers ring which makes the job tougher. In its pitch deck to investors, shared around May, which Moneycontrol has reviewed, Zepto said it continues to make headway and leave legacy players behind. The deck not only sheds light on granular details about Zepto but also gives colour on the buzzy but intensely competitive quick-commerce space. Financial health While some of Zeptos dark stores had turned positive on an Earnings Before Income, Taxes, Depreciation and Amortisation (EBITDA) basis, albeit at a low margin of around 2 percent, the larger company still has a negative EBITDA margin. Zeptos EBITDA margin stood between -15 and -16 percent in April 2023, an improvement from around -26 percent in January 2023. It was as low as -278.6 percent in January 2022, when it went big on discount coupons and other discounts to acquire customers and make space for itself in a new market. Zepto declined to comment on the story. It also told investors that its monthly cash burn had reduced from around Rs 90 crore in September 2022 to about Rs 55 crore in April 2023. At the same time, Zepto was doing around Rs 370-380 crore in total sales in April 2023, which translates to an annualised revenue run rate of Rs 4,440-4,560 crore. The total sales was a sharp jump from a monthly sales of Rs 200 crore that Zepto was clocking in September 2022, as per its presentation. A bulk of that improvement in financial health was supported by increasing advertising income for Zepto. The company was now earning around Rs 10-12 crore via ads each month, a significant jump from Rs 2 crore that it was earning in July 2022. Zepto's performance was also better because it was now taking longer to complete deliveries. Delay in deliveries Zepto admitted that its median delivery timeline has now moved from 10 minutes, to a more realistic 13 minutes. In the past several large players like Flipkart and BigBasket have said 10-minute deliveries are unsustainable and loss-making, but Zepto had refuted those claims. Zeptos 13-minute deliveries compare with Blinkits 14-15 minutes and 19-21 minutes for BB Now. Zepto said Swiggy Instamarts 20-22 minute delivery was the slowest in the industry. Zepto leads peers in terms of delivery time, Blinkit close second, while Instamart's delivery time is 8-9 min longer and at a higher last mile costZepto (also) leads peers in terms of share of its customers with a low average delivery time, one of the slides read. As per the presentation, Zepto also has the lowest delivery fee per order of Rs 9, which compares with roughly Rs 11 for both Swiggy Instamart and Blinkit, showing there was not a big difference among players. Helped with that, Zeptos total costs were also sharply lower than the other players, it claimed. Per order, Zepto spends Rs 40-45 as last mile cost, Rs 28-30 as dark store cost, Rs 18-20 as distribution centre cost and finally Rs 9-10 of wastage per order. Those add up to a total cost of Rs 95-105 per order for Zepto, which is about 40-45 percent lesser than Swiggy Instamarts Rs 140-145 and about 30-35 percent lesser than Rs 130-135 that Blinkit incurs as cost per order. Zepto said it had 6,690 stock keeping units (SKUs), and claimed it was highest in the industry, which is more than double of BB Now which has 3,000 SKUs. Even the larger players, like Blinkit and Swiggy Instamart had 4,000 SKUs and 4,750 SKUs, respectively, as per Zeptos pitch. At the same time, Zepto said its market share in the quick-commerce space had jumped from around 13 percent in March 2022 to about 24 percent in March 2023. The presentation showed Zepto gained primarily at the expense of BigBasket (BB Now) which has seen its share reduce from 21 percent in March last year to around 11 percent as of March 2023. As per estimates, which were prepared along with Boston Consulting Group (BCG), Blinkit was the market leader with a 33 percent share. Swiggy Instamart was a close second with a 32 percent share. Both Blinkit and Swiggy keep swapping spots as the market leader frequently, showing they have not ceded much ground to the newcomer. In none of the 30 odd slides has Zepto considered or mentioned Dunzo as a competitor in the space. Market shares were calculated on the basis of order volumes as of March 2023. To be sure, these were estimates that Zepto prepared internally along with BCG, which pushed some industry players to dismiss the market share split because they were naturally tipped in Zepto's favour. The market shares may move slightly if other metrics are used, they said. Zepto clearly seems to have shown an improvement in performance. But I would also be a tad bit cautious because which company would not paint a rosy picture in its pitch deck? a person who closely tracks the quick-commerce space said. Blinkit was doing around 4-4.2 lakh orders a day, just ahead of 3.7-3.9 lakh orders that Swiggy Instamart was clocking. While Zeptos 2.7-2.8 lakh daily orders were far from those, it was more than double of BB Now which delivers 1.2-1.3 lakh orders a day, it told its prospective investors in a slide. Industry watchers said all companies have grown from there, including BB Now which was doing around 1.8 lakh orders currently. Concerns flagged Zepto operates about 220 dark stores in total and continues to open about 10-15 stores each quarter but its business is still heavily reliant on existing stores to drive scale. The company said about 90-95 percent of its total sales came from existing stores while new ones contributed just 5-10 percent. Zeptos new stores accounting for just 5-10 percent of its overall sales is not a healthy sign. When a business is as new as Zeptos, the newer stores should be responsible for a much larger chunk. Newer stores being responsible for a larger share of total sales means that the business is adding new customers, and its reach is increasing, but that does not look like the case with Zepto, an investor in the quick-commerce space said. The over dependence on existing has to be reduced, especially when the number of dark stores Zepto has is half of what the others operate, the analyst added. Zepto agreed that it did not service too many new pincodes. The company said it had almost no new geographic expansion and spent limited money on marketing in the year. The Mumbai-based startup added that it was growing 7-10 percent per month, driven largely by its loyal customer base. Since Zepto has been depending too much on select pincodes for now, tomorrow another player can slash prices in those areas and take away market share that poses a big business risk for Zepto, an internet sector analyst said. In fact, Zeptos fixed costs which includes dark store rents, security, housekeeping and the like also hardly moved on a month-on-month (MoM) basis, likely signifying that it was going slow on its dark store expansion. Its fixed costs totaled to around Rs 20 crore in April 2023, almost flat from Rs 18 crore in September 2022. Typically, fixed costs and order volume growth are both inversely proportional. As order volumes grow, store-level fixed costs tend to reduce on the back of a higher denominator but Zepto has not seen that happen in the recent months. It was likely because Zepto was still investing in growing its business. Zeptos approach is in stark contrast to the route market leaders are taking. During its earnings call this month, Blinkit said it would be opening 100 new stores this financial year, a majority of which will be in existing locations, further cementing its leadership position. Zepto has been engaging with investors for over three months now and is expected to close its fundraise this month which will increase its valuation from around $900 million likely putting an end to the unicorn drought that the Indian startup ecosystem is currently experiencing. Founded by Aadit Palicha and Kaivalya Vohra, Zepto counts Nexus Venture Partners, Y Combinator, Contrary Capital, Glade Brook Capital, 2am VC and Lachy Groom as its investors. Shares of Hindustan Copper tanked 6 percent in trade on August 16, after the company posted weak first quarter numbers. At 11:35 am, Hindustan Copper stock was trading 6.69 percent lower at Rs 142.20 on the NSE. State-owned Hindustan Copper Ltd on August 14 posted a 17.54 per cent decline in net profit to Rs 47.28 crore for the June quarter on account of higher expenses. The companys total income, however, increased to Rs 384.73 crore in the first quarter of the current fiscal from Rs 359.13 crore a year ago jumping nearly by 7 percent. The expenses rose to Rs 322.53 crore in the June quarter as against Rs 280.76 crore in the year-ago period. Moving ahead Copper prices will likely be volatile on weak global demand and the US dollars movement, though they are expected to improve by the end of 2023. Follow our live blog for all the market action Shareholding Pattern Promoters i.e., the President of India has a 66.14 percent stake in the company followed by 16.18 percent stake of the public. DIIs and FIIs have the remaining 15.89 percent and 1.80 percent stake respectively. Stock Performance Hindustan Copper stock has given a return of 39.57 percent over the last six months. Consequently, the stock has outperformed the benchmark Nifty50 index that has given a return of 7.76 percent over the same duration. Disclaimer: The views and investment tips expressed by experts on Moneycontrol.com are their own and not those of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Mahindra & Mahindra has unveiled a new logo for its range of Born Electric vehicles, signalling a shift the company is targeting lifestyle customers over economy, its top executives have said. "We expect people to buy Born Electric models because they want to express their identity, they are bold yet playful. They are tech-obsessed and endlessly curious," Rajesh Jejurikar, Executive Director & CEO- Auto & Farm Sectors, Mahindra & Mahindra, said. The company will offer BE, Thar.e, XUV.e, Bolero.e and Scorpio.e under the Born Electric range. At present, M&M has only one electric SUV the XUV400 in its portfolio. The XUV400 is built on the GNCAP 5-star rated XUV300 platform and will continue to sport the logo for the ICE vehicles. The Born Electric SUVs will get the new infinite possibilities logo and the revenue recognition for these will be in the subsidiary Mahindra Electric Automobile Ltd (MAEL). The new brand identity comes two weeks after Temasek Holdings signed a binding agreement to invest Rs 1,200 crore in MAEL, which will see it picking a 1.49-2.97 percent stake. Also Read: Mahindra unveils concept of Thar.e, its first 'offroad-friendly' electric SUV The pipeline Among the Born Electric vehicles, the Thar.e concept was unveiled on August 15 in Cape Town in South Africa but the Bolero.e and Scorpio.e are still some time away. Thar.e, made on the "INGLO-born electric platform", will have a longer wheelbase and provide shorter overhangs, the company's officials said during the concept unveiling event. The e-SUV will also come with increased ground clearance. While the Thar.e's launch timeline has not been revealed, the company said it is on-track to meet the timelines for XUV.e8 (December 2024), XUV.e9 (April 2025), BE.05 (October 2025) and BE.07 (October 2026). These Born Electric SUVs will be manufactured at the companys Chakan plant in Pune, which has an annual capacity of 200,000 vehicles. The automaker had earlier announced plans to invest Rs 10,000 crore over the next eight years to develop and manufacture electric vehicles in Pune. "The distribution and dealership strategy for the Born Electric vehicles is still a work in progress. As for charging infra, we are looking at more and partnerships," said Veejay Nakra, President Automotive Sector, M&M. In December, ahead of XUV400 launch, the company entered into a partnership with Charge+Zone. M&M has tied up with music maestro AR Rahman to "design and build" 75 meticulously-crafted sounds for the Born Electric range. M&M has also tied up with Dolby ATMOS and Harman Kardon to bring 3D immersive sound experience, with the aim of making the EVs "a concert hall on wheels", it said. Also Read: M&M aims to double tractor exports by FY26, hitches ride on OJA range Apart from the update on its EV range, M&M also unveiled the Global Pik-Up and OJA tractor range on August 15. With the OJA range, it aims to double its tractor exports in three years from about 18,000 in FY23. At 11.47 am, M&M stock was trading at Rs 1,541.30 on the National Stock Exchange, down 0.36 percent. Japanese apparel retailer Uniqlo now plans to enter the southern market to open its stores as part of the next phase of offline expansion in India. Uniqlo India on Wednesday announced to launch its second store in Mumbai as part of the strategic expansion of its retail network in the country. The retailer, which forayed into the Indian market in October 2019, has a substantial presence in North Indian cities like Delhi-NCR, where it operates 8 stores. It is also present in Lucknow and Chandigarh. The company is also on track to achieve 30 per cent domestic sourcing, as per the current FDI policy, with the help of a local supplier. It now works in India with 17 sewing factories and 6 fabric mills, Uniqlo India CEO Tomohiko Sei said. Uniqlo had announced to enter Mumbai earlier this year. Its first store in Mumbai at Phoenix Marketcity, Kurla, will open on October 6, and the second store at Goregaon East is scheduled to be launched on October 20, he said. Besides, the company, which is expanding in the country in a phased manner, is very positive for Southern Markets from where it gets a good demand on its e-commerce website. When asked about entering in Southern markets, Tomohiko Sei told PTI: "Yes, the southern market is an important market and is on our radar. We also see a very positive and good demand from this region through our e-commerce website." However, at the moment, the aim now is to establish our presence in Mumbai to provide quality services to our customers here, he added. As part of its strategy, Uniqlo is focussing on the northern markets, and after establishing itself in the region, it will move to the western part, which will take its total store count to 12 by the end of 2023. When asked about expansion plans, Sei said: "Right now, we are focused on two stores in Mumbai, but of course, we are looking for the opportunity for better cities, maybe like Bangalore, Hyderabad, Kolkata, Chennai. There are so many Metro cities in India". Besides, Uniqlo India is also scaling its online presence, which currently contributes around 15 per cent of its total sales. With the expansion of its brick-and-mortar stores, it expects to maintain the current ratio, going forward, Sei said. "We set and achieved our target of 15 per cent when launched our e-commerce platform in 2021. With the expansion of our brick-and-mortar stores, we still aim to maintain the online store portion at the same level. This, of course, differs from month-on-month basis seasonality and store opening," he added. Besides, Uniqlo is on track to achieve 30 per cent domestic sourcing from India as mandated by the government under the FDI policy for single-brand retailing. "We are actively growing local suppliers to deliver quality products for our customers. For example, now there are a total of 17 sewing factories and 6 fabric mills we work within India. From 2023 Spring/Summer, we are pleased to see some Indian factories started to contribute to the production of our innovative product, with our strategic partner, TORAY Industries," he said. Under the current norms, 100 per cent FDI is permitted in the single-brand retail trade with a rider of 30 per cent mandatory local sourcing to promote micro, small & medium enterprises (MSMEs). Uniqlo is a brand of Fast Retailing, a leading Japanese retail holding company with global headquarters in Tokyo, Japan. It is the largest of eight brands in the Fast Retailing Group, which clocked global sales of approximately USD 16.6 billion in the 2022 fiscal, ending August 31, 2022.UNIQLO has more than 2,400 stores, including in Japan, Asia, Europe and North America. Mahindra & Mahindra expects electric vehicle production at its upcoming plant in Chakan in Maharashtra to hit peak production mark of 2 lakh units per annum between 2027 and 2029, according to company President -Automotive Sector Veejay Nakra. The company estimates that EVs would account for 30 per cent of the overall volumes by 2030. In January this year, the auto major received an approval from the Maharashtra government to set up a Rs 10,000-crore electric vehicle plant in Chakan near Pune. The company, through its subsidiary, will make this investment over a period of 7-8 years for setting up the manufacturing facility, development and production of its upcoming Born Electric (BE) models. "We are looking at doing a number of 2 lakh units (at Chakan plant) between 2027 and 2029," Nakra said here. The first product under the BE range is expected to hit the markets by end of next year and the production at Chakan plant is expected to begin 4-5 months prior to that, he added. M&M sells a single electric model -- XUV400 -- in the passenger vehicle segment which is rolled out from its Nashik plant in Maharashtra. It however is the leading player in the domestic electric three-wheeler space with over 70 per cent market share. Based on the INGLO EV Platform, the automaker plans to introduce five electric SUV models under two brands -- XUV and the all-new electric-only brand called BE. Legacy brands will come under XUV brand while the all new electric model would be rolled out under the BE lineage. Mahindra had showcased some of the battery electric vehicle concepts last August in Oxfordshire, UK. When asked about the percentage of sales the company expects from EVs as compared to the internal combustion engine portfolio, Nakra said:" About 30 per cent of our portfolio would be from electric vehicles between 2027 and 2030." When asked about the percentage of sales the company expects from EVs as compared to the internal combustion engine portfolio, Nakra said:" Replying to a query regarding separate showrooms for BE products in India, Nakra said it "is a work in progress." He further said: "We are at the moment defining the customer journey and the experience we want to give the customer and then from there we will draw back what's going to be our point of contact or channels." Earlier this month, Singapore's sovereign investment firm Temasek announced an investment of Rs 1,200 crore in M&M's four-wheeler passenger electric vehicles arm -- Mahindra Electric Automobile Ltd (MEAL). In July last year, M&M had announced an investment of up to Rs 1,925 crore by British International Investment (BII) in MEAL. M&M is also looking to scale up its electric vehicle play in the international markets. The auto major said it plans to sell its BE products in advanced markets like Europe and the UK. With EVs, new pick-up truck and its existing SUV line-up, M&M is looking at significant jump in sales in the overseas markets. The company already sells SUVs in markets like Australia, New Zealand and South Africa. "So let's call the current phase as phase one, which is where we've taken our authentic SUVs into multiple markets like Australia, New Zealand, South Africa.. We could be playing a very small game, we could be at a 1- 2 per cent share with these products. We will target a much higher market share, which itself is a very, very significant part of the global strategy," Nakra said. There is a large market where the company is present and it aims to have a "respectable market shares" in these markets with introduction of new products in the second phase, he noted. "A double-digit market share is what is a good aspiration that we are setting for ourselves for these markets," he noted. On Tuesday, Mahindra unveiled a pick-up concept which it plans to introduce in various international markets and India as well. The company also showcased the Vision Thar.e", an electric version of its SUV Thar. MEAL also unveiled a new visual identity for its forthcoming range of BE vehicles. M&M launched a brand anthem conceived in collaboration with music maestro A R Rahman. It also unveiled a sonic identity in collaboration with Rahman. The sonic identity extends far beyond the brand anthem, encompassing over 75 unique sounds tailored for various aspects of Mahindra's forthcoming all-new portfolio of global electric SUVs. These sounds include interior and exterior drive sounds, experience zone modes, infotainment cues, and functional signals such as seat-belt alerts and turn indicators. Two things are fundamental in a democracy. It is the governments job to govern while it is the Oppositions task not to indulge in destructive debate about every action of the administration. The current debate which has been raised over protecting indigenous electronic industry by regulating the import of items, is being painted as protectionism and xenophobia. The truth is the exact opposite. The new rules could make India an IT hardware exporter instead of being just a net importer since reasonable import restrictions and a strong domestic manufacturing base guarantees domestic growth and further fuels Indias growing economy, which is seen as a threat by China. The modification of the import policy will not only reduce huge import billsIndia's electronic imports stood at $17.7 billion from April to June 2023but also bring in foreign investment, as it happened in mobile phone manufacturing. Reducing dependency on foreign manufacturers and encouraging domestic innovation and production are at the core of the Modi government's Aatma Nirbhar Bharat. The government aims to further the objectives by implementing policies that support local manufacturing and provide incentives to domestic companies and domestic manufacturing. All corporations, local and foreign, are encouraged to contribute to the growth of the nation and strengthen its self-reliance, unlike in protectionism which restricts and even penalises foreign companies doing business. There are several strategic reasons for the government's recent decision to put the import of laptops, tablets, all-in-one personal computers, ultra-small form factor computers, and servers falling under HSN 8741 under the "Restricted" category. National security is one of the main reasons. As more citizens go online for personal use, financial transactions, the availing of government services, etc., electronic devices must be secure enough to protect users and prevent harm. Sophisticated cyber-attacks do not happen only through networks, software, or applications. Hardware devices such as laptops, tablets, servers etc. are prone to vulnerability at the production stage. The inbuilt security loopholes, for example in hardware backdoors, firmware, malware, etc. in machines may potentially endanger sensitive personal and enterprise information. As such, there is a strong need to check that devices entering India are safe and secure from outside breaches. For this reason, a new channel has been established to ensure that safe devices reach our citizens. The import licensing procedure on security grounds is also a WTO (World Trade Organization)-compatible procedure. All developed and developing countries adopt various forms of automatic and non-automatic licensing procedures. Most of these aim to protect human, animal and plant life, national health, and safeguard the environment in compliance with international obligations in the interest of security. To clarify, there is no ban whatsoever on the import of laptops and tablets, which could trigger an immediate shortage. All hardware consignments currently in transit, are allowed to enter India seamlessly. Companies can also continue importing devices after obtaining a license online. And there is enough capability, capacity and resources for domestic manufacturing to meet any shortages. Therefore, the impact of the new rule on the supply chain is expected to be minimal. The IT Hardware PLI (Production Linked Incentives) scheme, which seeks to boost domestic production and reduce dependency on imports, has already started showing positive results. Since PLI 2.0 was launched in May 2023, 48 companies have registered. The PLI Scheme 2.0 for IT Hardware is expected to result in the broadening and deepening of the IT manufacturing ecosystem by encouraging the localisation of components and sub-assemblies and allowing a longer duration to develop the supply chain within the country. Additionally, the scheme provides increased flexibility and options for applicants and is tied to incremental sales and investment thresholds to further incentivise growth. Furthermore, semiconductor design, IC manufacturing and packaging are also included as incentivised components of the PLI Scheme 2.0 for IT Hardware. China, Singapore, and Hong Kong currently dominate Indias IT imports. In the last five years, the country has relied heavily on hardware imports from specific Asian countries such as China (58 percent), Singapore (16 percent), and Hong Kong (9 percent)which is largely coming from mainland China. Hence placing restrictions on the import of laptops, tablets, all-in-one PCs, servers and so on, in tandem with the Production-Linked Incentive (PLI) Scheme, should be seen as the government encouraging the domestic manufacturing industry to grow. Indias IT hardware manufacturing capability and capacity is underutilised. Any improvement in capacity utilisation would help boost the scale of manufacturing and ultimately lower costs for the Indian consumer. Additionally, buyers spend a significant part of their earnings buying electronic devices. Allowing the domestic industry to tap into this demand not only promotes indigenous manufacturing but also strengthens the economy by retaining a significant share of this expenditure within the country. The grand success of the PLI scheme in mobile manufacturing could be replicated in the IT hardware sector. Major smartphone companies like Apple vendor Foxconn, Wistron, and Pegatron have already established manufacturing operations in India to produce 99 percent of smart phones within the country itself. The production of mobile phones has risen from about six crore handsets in 201415 to around 45 crore in 202223. India exported Rs 90,000 crore worth of mobile phones in FY 202223. This growth in mobile phone production and exports has significantly contributed to the country's treasury. Improved local manufacturing has also made smartphones cheaper and affordable for a large part of the population. A similar upward trend is expected in the domestic sale of electronic devices once their production ecosystem is fully established. While there is no customs duty levied on IT hardware (as per the WTO ITA-1 agreement), their prices in India are higher as compared to various other countries. With increasing economies of scale in domestic manufacturing, the cost to the consumer should come down from the current levels. A spurt in local manufacturing activities will also generate a spurt in skilled and unskilled jobs. The IT hardware manufacturing industry in India is expected to create close to three lakh jobs (direct and Indirect) over the coming years. Moreover, with the government's focus on promoting Make in India initiatives, the IT hardware industry is likely to attract more foreign direct investments, further boosting job creation and technological advancements in the sector. Hence, any hyperbole by partisan political interests should be seen only as an attempt to obstruct the Amrit Kaal of the development and empowerment of India. Amit Malviya is the national head of BJPs Information & Technology and Sah Prabhari of West Bengal Bengaluru-based real estate developer Century Real Estate plans to invest Rs 3,400 crore to launch 9 million square feet (msf) of projects, including office spaces and residential launches, in Bengaluru with a potential revenue of Rs 9,000 crore by the end next financial year. The company also plans to launch 7 msf of an integrated business park in north Bengaluru, with smaller portions of residential and retail segments within the next 3-5 years, Ravindra Pai, Managing Director of Century Real Estate told Moneycontrol. "To date, we have delivered close to over 3 msf of residential projects, translating to about 4,000-4,500 apartments. Additionally, we have constructed over 3,000-3,500 plots spread across 800 acres of development," Pai added. The company is targeting Rs 1,000 crore in revenues in FY24, almost double the last year's. Bullish residential pipeline Currently, Century has about 3.5 msf of residential space under development at various stages. For residential, the company is looking to launch a villa development (the second phase of the existing villa project Century Wintersun), another plotted development and a luxury apartment project. The upcoming launches will include about four apartment projects at prime central and prime suburban locations, two villa developments and a couple of plotted developments within this financial year. The upcoming launches this year will be about 1.5 msf, Pai said. He added that real estate in Bengaluru is currently witnessing strong demand in the mid-market segment. "However we have product offerings in the luxury side. Considering these are the two segments where the demand is currently rising, we will continue to have residential product developments in these two segments," he said. For residential launches, Century is largely targeting northern Bengaluru and the central business district. The company does not plan to foray into a new city any time soon. The city-centric projects will be launched at a price range of about Rs 4.5 crore to Rs 5 crore. The company is also looking to launch a luxury villa development in north Bengaluru's Doddabalapur and another luxury project in Indiranagar. Another residential project close to the eastern IT corridor of Marathahalli is under the planning stage and will be launched within FY25. Plotted development For plotted development, the company has already launched about 4,000 plots in the sizes of 30x40 and 40x60 sold in ticket sizes of about Rs 35 lakh to about Rs 60 lakh. "In the next quarter, we're looking to launch one more large plotted development, close to the airport, in northern Bengaluru, spread across 35 acres," Pai said. Our total plotted development portfolio for this year comes up to around 800 plots, he added. Among the upcoming launches, the land has already been acquired, and the plots will be launched within 18-24 months. Integrated business parks Century Real Estate currently has 5 msf of commercial launches under development. And the company is looking at another 7 msf of business parks in northern Bengaluru with office spaces, residential and retail. Pai said the construction will commence next year and will take about 5-7 years to complete the development. For the ongoing office developments, Century has entered into a joint venture with Prestige Group close to Outer Ring Road, which is about 2.4 msf. The company has also partnered with Godrej Fund Management for another commercial development of about 2.5 msf. For the Prestige Group partnership, Pai said the sanctions have already been received and the company hopes to commence construction within 3-4 months. Geojit's report on Agri Picks The US Department of Agriculture has projected global oilseed output for 2023-24 (May-Apr) at 663.7 million tonnes, down from 667.3 million tonnes forecast in July. Production of oilseeds in the US has been scaled down by 3.6 million tonnes to 124.01 million tonnes this month, according to the agency's report. The agency has projected global soybean output at 402.79 million tonnes, lower from 405.31 million tonnes estimated in the previous forecast. Brazil's soybean crop is estimated at a record 163.0 million tons, unchanged from last month, and Argentina's is seen at 48.0 million tons, also unchanged, according to the report. The agency has estimated soybean production in the US at 114.45 million tons, lower from 117.03 million tonnes last month. Brazil is the world's top producer of soybean followed by the US and Argentina. "The US season-average soybean price for 2023/24 is forecast at $12.70 per bushel, up $0.30 from last month," the agency said in its report. The estimate for global soyoil production for 2023-24 has been further revised downwards this month to 61.96 million tonnes from 62.07 million tonnes projected in July. The estimate for global soyoil exports in 2023-24, too has been marginally revised lower to 11.61 million tonnes from 11.62 million tonnes. The department projected global soymeal output for 2023-24 at 258.66 million tonnes, down from 259.23 million tonnes estimated last month. Soymeal is a derivative of soybean, manufactured by crushing the oilseed, and is mainly used in producing poultry feed. The US Department of Agriculture expects global cotton production in 2023-24 (Aug-Jul) to fall by 2.72 million bales to 114.12 million bales. The decline in output is due to extreme heat, reduced yield, and higher abandonment of cotton cultivation in the US and Uzbekistan, said the report. The agency has projected global cotton consumption to rise by 490,000 bales to 116.94 million bales due to increases in China and Turkey. Consumption in China is seen rising 500,000 bales to 37.5 million bales and in Turkey it is seen up 100,000 at 8.0 million bales. As a result, the global ending stock is seen lower by 2.9 million bales at 91.60 million bales, it said. Cotton production in India and Brazil in 2023-24 is seen steady at 25.5 million bales and 13.2 million bales, respectively. Production is Pakistan too is seen steady at 6.5 million bales. Consumption in India and Brazil is estimated to be steady at 24.5 million bales and 3.3 million bales, respectively. Consumption in Pakistan is also seen steady at 10.0 million bales. World trade is seen higher by 390,000 bales at 43.88 million bales due to increases in imports by China and Turkey. Exports are also projected to rise by 350,000 bales to 43.86 million bales as increases in exports from Brazil and Australia. The USDA has projected cotton exports from India in 2023-24 steady at 2.2 million bales from the previous month's projections. Cotton ending stocks in India is seen higher at 12.0 million bales in 2023-24, up from the previous projection of 11.9 million bales, the report said. For all commodities report, click here Disclaimer: The views and investment tips expressed by investment experts/broking houses/rating agencies on moneycontrol.com are their own, and not that of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. 16082023 - comm Pennar Industries shares gained 8 percent to touch a 52-week high of Rs 93.95 in the early trade on August 16 after the company bagged orders worth Rs 702 crore across various business verticals. The orders are expected to be executed within the next two quarters. The share price rose 145 percent in the last one year. Catch all the market action on our live blog In the pre-engineered buildings (PEB) category, the company has won orders from top firms including Tata Electronics, SKB Builders, Anthea Pharma, Powertech, Godrej Boyce, Radiant Vyapaar, Revolve and Indospace. Hyderabad-based Pennar has also secured orders from Ashok Leyland, Yamaha, Emerson, Endurance and Hydraulics, among others, in the ICD business vertical, a media release said. In the railways business, the company has added ICF, Rites, Orienteal, Wabtec, SCR, ECR, Titagarh, Daneili and Texmaco to its list of clients. In the steel segment, the entities that have issued orders to Pennar include Tata Power, Saint Gobain, Waree, Thermax, VECV, IFB Industries, Johnson Lifts and Bimetal Bearings, among others. Pennar has also bagged orders in the tubes business vertical from an array of companies including ALF Engineering, Kirloskar Toyota, Hindalco, Oriental Electrical, LMW and Nash Industries, among others. The company had reported 54.9 percent jump in its June quarter net profit at Rs 21.81 crore, while revenue increased by 7 percent at Rs 748.89 crore. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept UFlex shares were down 7 percent in the morning trade on August 16 after the packaging company reported a loss of Rs 416.18 crore in the June quarter against a profit of Rs 374.49 crore in the year-ago period. It was a loss-making quarter due to an exceptional expense of Rs 381.55 crore incurred due to changes in the Central Bank of Nigerias (CBN) forex policy, the company said on August 14. In June 2023, the reintroduction of the willing buyer and willing seller model at the importers and exporters window had led to devaluation of Nigerian Naira by 62 percent against the US dollar. This according to the company, which has a manufacturing facility in Nigeria, resulted in forex losses. The company reported a 19 percent year-on-year (YoY) decline in revenue at Rs 3,219.90 crore. Operating profit margins contracted by 900 basis points to 9 percent. One basis point is one-hundredth of a percentage point. Sales volume for the quarter declined 7.5 percent YoY to 1,43,159 tons, the company said. Segment-wise, the companys major revenue-generating flexible packaging segment reported a 19 percent YoY decline in the quarterly revenue at Rs 3194.40 crore. Revenue from the engineering activities was down 7.93 percent at Rs 81.99 crore. Follow our live blog for all the market action "While the packaging films business in India and globally continues to remain sluggish, UFlex's flexible packaging and aseptic packaging business continues to perform well. We have witnessed 7 percent YoY increase in sales volume in the packaging business for the quarter," chief financial officer Rajesh Bhatia said. "By debottlenecking the existing aseptic packaging plant at Sanand, Gujarat, we intend to achieve a capacity of about 12 billion packs per annum from April 2024 onwards." Uflex Limited operates in the flexible packaging industry. It manufactures a diverse range of flexible packaging solutions, including films, laminates and pouches and serves various sectors such as food, beverage, pharmaceuticals, personal care, and agriculture. Other than India, the company has manufacturing facilities in Nigeria, the UAE, Mexico, Egypt, the US, Poland, Russia and Hungary. At 10 am, UFlex was quoting at Rs 376.35 on the National Stock Exchange, down 6.76 percent from the previous close. Disclaimer: The views and investment tips expressed by experts on Moneycontrol.com are their own and not those of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. Information Technology services major Wipro on August 16 announced that is launching a centre of excellence on Generative Artificial Intelligence (AI) at the Yardi School of Artificial Intelligence (ScAI) at IIT Delhi. As a part of this partnership with the university, Wipro said it will support foundational and applied research, nurture talent, and expand the state of the art in this critical field. In July, Wipro said it will be investing $1 billion in AI over the next three years, as part of which it also planned to train its 2.5 lakh employees on AI. The centre of excellence is a part of this $1 billion commitment, Wipro said in a statement. In a statement, Wipro said the centre will be a hub for research and development and will bring Wipro researchers with ScAI faculty members and graduate students to address at-scale real-world problems. Teams at the centre will jointly work on building solutions using AI, ML (machine learning), and other technologies. "This collaboration will not only enhance our research & development capabilities in emerging areas, such as generative AI but will also connect us with a talent pool that will help us build advanced solutions to address existing and emerging business problems, said Subha Tatavarti, Wipros Chief Technology Officer. Professor Mausam, Head of the Yardi School of AI at IIT Delhi, said: "We are delighted to collaborate with Wipro to create new learning and growth opportunities for our students. Through the creation of this Center of Excellence, our students will gain valuable insight into problems of relevance to industry and will learn first-hand how their technical know-how transfers to commercial environments. Ultimately, our partnership with Wipro will be a win-win for everyone, and significantly contribute to Indias advancement in these critical new technology fields. Wipro has previously said that it has been investing in a generative AI centre of excellence, as well as conducting research with leading academic institutions for over two years. The improved financial performance of new-age tech companies, which led to a surge in their stock prices, has boosted the confidence of private equity and venture capital investors in the prospects of successful exits through public listings. Zomato achieved its first-ever quarterly profit after tax, while companies like Delhivery, PB Fintech (Policybazaar), and One97 Communications (Paytm), notably reduced losses in the June quarter. As a result, the stock prices of these firms have surged by anywhere between 26-61 percent this year as public shareholders acknowledged their improved financial performance, giving some of their investors, like SoftBank, handsome returns. Take a look at this. SoftBank, a common investor in the four companies mentioned above (Zomato through Blinkit) is alone sitting on gains of close to $550 million in the first six months of 2023. Paytm, in fact, also turned into a breakeven investment for SoftBank for the first time since its listing, Moneycontrol reported in July. With Paytms share price rising even further, it will turn into a profitable bet for the Japanese investor. IdeaForge, meanwhile, a VC-backed drone manufacturer, saw a blockbuster debut on the Indian bourses. The company, which also counts Infosys as a backer, saw its stock listing at a premium of over 90 percent, giving its investors robust returns, which further instilled confidence among a slew of start-up investors. Ideaforge is a profitable start-up and in FY23, the company saw its revenue rising close to 16 percent to Rs 186 crore. Its profit meanwhile was about Rs 32 crore for the period. The bull run is significant because it follows a long bearish period when public shareholders questioned the profitability of these companies, which raised concerns about the acceptance of high-growth tech firms on India's stock exchanges. Public markets in our country are mature and deeply value fundamentals. They value real growth along with profitability. When a company delivers on the above promise, they are rewarded by the Indian public markets and vice versa, said Navjot Kaur, Associate Director at Epiq Capital, a VC which has backed Lenskart, among other late-stage startups. As private investors we believe that if a company can deliver on fundamentals, they will continue to perform well in public markets, which in turn is a path to liquidity for venture capital investors, she added. Getting IPO ready The bull run has also prompted late-stage companies considering IPOs (initial public offerings) in the near-term to focus more on their financials. For instance, Meesho, another SoftBank-backed unicorn, had initially expressed interest to go public in FY24 (2023-24), the current financial year. But the company pushed back the plan. Meesho has reduced its monthly burn significantly over the past year and in fact, in July, it turned profitable, but its profit after tax (PAT) number was in low single digits, Moneycontrol had reported earlier. While we hit the profitability goal earlier than our expectations, we still want to have a long enough track record of profitability before we go to the public markets, Dhiresh Bansal, CFO, Meesho told Moneycontrol. From a scale and growth perspective, today we are in a position where we could decide to go public but we want to be profitable for a reasonable period of time and grow simultaneously, before testing the public markets. For us that period could be 12-18 months or so, but then it is also a question of how the public markets behave at that point in time, he added. It is not just Meesho, SoftBank is readying another four of its portfolio companiesOf Business, Swiggy, Firstcry and Lenskart-- for IPOs very soon, Navneet Govil, managing partner and CFO of SoftBank Vision Fund told The Economic Times newspaper in an interview last week. We are seeing a promising pipeline of potential IPO candidates in the tech space. The pause in Tech IPOs has given a very good opportunity for the founders to focus on building businesses and undertake cap table clean-up. Apart from the market timing, the companies are also building towards certain financial milestones and more predictable revenue and earnings. We expect a large number of Tech IPOs in India in the latter half of 2024 and 2025, said Varun Gupta, Managing Director, Digital & Technology Investment Banking, Avendus Capital. The recent slowdown in fund-raising has also pushed companies to put a lot more focus on economics and profitability, Gupta added. Already, Honasa Consumer Ltd, the parent company of Mamaearth, has got approval from Indias market regulator for its IPO. Honasa Consumer had filed IPO papers with Sebi in December last year. Overall the environment has turned far more positive over the last six months with stronger FPI inflows and improved performance by companies, Ghazal Alagh, co-founder, Mamaearth, told Moneycontrol. I am certain well see more start-ups coming in with IPOs, the current fundraising environment has led to companies becoming more efficient and delivering plans ahead of time. Companies will need to continue and focus on strong governance, profitability and predictable business delivery to be rewarded during such times, Alagh added. Meanwhile, Ola Electric is looking to list early next year and has appointed investment banks Goldman Sachs and Kotak for the same, Moneycontrol had reported exclusively in May. Startups have also started acknowledging the need to improve certain compliance and governance-related practices like appointing experts as top managers, especially following a series of lapses that came to the fore over the past 15 months. For instance, cloud-kitchen startup Curefoods hired Mallika T Lakshmanan as head of finance to test the IPO waters. Lakshmanan was earlier CFO at Sapphire Foods India, a listed entity, which operates KFC, Pizza Hut and Taco Bell in India. The idea is to start behaving like a listed company, including compliances, closing accounting books within 2-3 days after the end of month. A public listed startup should have very strong controls and processes in place because they need to be showing a consistent improvement in financial performance quarter after quarter after being listed, Ankit Nagori, founder, Curefoods told Moneycontrol. Valuations a hurdle As tech companies prepare for potential listings on the public market and actively work towards that, investors believe that valuations could remain a challenge. Companies might need to adjust their pricing or accelerate their growth to reach valuations that justify a premium compared to their prices in the private market. The previous start-up IPOs had inflated valuations and thought of retail investors as the greater fools. If start-ups do not repeat that ideology and their valuations are correct, allowing some room for retail investors to also make money, start-up IPOs should do well, said Devina Mehra, Chairperson and Managing Director, First Global. Already, in the recent past, US-based asset management companies (AMCs) have marked down the fair values of a number of unicorns including Swiggy, Byjus, Meesho, Eruditus, and Pine Labs among others. In some cases like for Byjus and Swiggy, these AMCs have marked down the valuations by more than half. PharmEasy, meanwhile, has been involved in discussions with prospective investors to raise fresh funds at a fraction of its last private market valuation. If the company goes ahead with the funding, it will be one of the biggest down rounds in India from $5.6 billion to $730 million. Valuations have also come under fire with a few investors raising concerns over the actual market size of India. SoftBanks Rajeev Misra, in an interview with Moneycontrol in July, had said that he felt Indias market was definitely overestimated. Even PhonePes founder Sameer Nigam, Zerodhas Nithin Kamath, and Cuemaths Manan Khurma corroborated the sentiment. But all of them were bullish on the country in the long-term. When public markets are corrected, private markets too get corrected. In that sense, late stage companies also experience a value correction which is important for both late stage investors and also the companies, to prepare them better for the public markets, for those who are planning an IPO, Kaur of Epia Capital said. India: a long-term story While valuations of tech companies in India have come under fire, investors are extremely bullish on the country, and some recent developments highlight this. Sample this. PhonePe, Indias most-valued fintech start-up, moved its domicile from Singapore to India. Sameer Nigam, its Co-founder and CEO also said that the company would be listing in India. We are a Made in India company. Every office, data centre, and employee of ours is here. There is no reason why we should not contribute to wealth creation in this market," he had said in an episode of CNN News18s Bits to Billions. PhonePe also paid close to $1 billion in tax for shifting its base to India. Just as PhonePe, Razorpay, Indias second-most valued fintech start-up, backed by marquee investors like Peak XV Partners (formerly Sequoia Capital India) and Y Combinator, has also begun the process to move its parent entity to India from the US, ahead of its plans to list in the Indian bourses. Another media report said that Groww, another fintech unicorn, was looking to shift its domicile. The recent wave of companies flipping their domicile to India is an indication of the rising stock of Indian capital markets for Tech IPOs, said Gupta of Avendus. Corroborating Gupta, Devina Mehra of First Global said that she remains bullish on Indian equities primarily because they have underperformed for the past decade or so and still have more legs to run. India had a very long period of underperformance from 2010 to 2020 because during that decade, the equity market only compounded 8.5 percent as against a long-term average of around 15 percent, Mehra said. The countrys current demographic dividend will keep the country growing for the next 30 years, Additional Secretary to the Ministry of Commerce, Rajesh Agrawal said speaking with Moneycontrol. India is a young country with our population averaging at an age of 29 years. This is one period of time where people who are earning will be more than dependents. This part of the time in any countrys history is a period of growth, he said. India is now home to 1.4 billion people, recently surpassing China to become the worlds most populous country, according to United Nations estimates. More than 600 million people are aged between 18 and 35 with the demographic dividend expected to persist at least until 205556. Also read: Bullish on India: Political stability is key for growth, says senior advocate Rakesh Dwivedi India has been projected to remain the largest provider of human resources in the world. About 24.3 percent of the incremental global workforce over the next decade will come from India. This is significant considering the rapidly ageing population in the developed world, creating potential challenges to labour supply in various sectors of the global economy, Ernst & Young said in its report India@100. The UN estimates add that Indias population will not begin to decline for another four decades. Thus despite global headwinds and economic slowdown in developed countries, India will continue to grow for the next 30 years with our economic activity being driven by the purchasing power of our own population, Agrawal said. Also read: Bullish on India: IPOs become attractive exit option again as tech stocks soar Fastest-growing economy It is pertinent to note that while the most developed economies of the world face an economic slowdown, the Reserve Bank of India has projected 6.5 percent growth for this fiscal for the country while the World Bank's projection is 6.3 percent. India has emerged as one of the fastest growing economies in the world despite significant challenges in the global environment, including renewed disruptions of supply lines following the rise in geopolitical tensions, the synchronised tightening of global monetary policies, and inflationary pressures, the World Bank has noted. GMM Pfaudler, with more than 50 percent market share of the glass-lined equipment market, is a dominant player in the industry. Apart from supplying equipment and systems for critical applications like lab and process glass and gas-lined technology, its engineering division also offers project design, implementation, and support services. Armed with years of expertise, GMM has plenty of levers for growth. Marcellus Investment Manager believes the companys profit outlook is buoyant as the world is pivoting away from China and towards India for the manufacture of pharma and speciality chemicals. As per company disclosure on August 14. GMMs market share in global glass-lined reactors stands at 55 percent. As Western nations grow more concerned about their reliance on China for active pharmaceutical ingredients (APIs), India naturally emerges as a solid alternative due to several key factors. First, theres already substantial production capacity within the country. Second, India has proven expertise in process chemistry. Third, in terms of large-scale API production, only India and China are significant players on the global stage. Process chemistry is the development, optimisation, and scaling up of production processes for compounds used in the pharma sector. Furthermore, besides partially replacing China as the preferred supplier of APIs to Western markets, India can also reduce its own dependence on China for these essential ingredients. Follow our market blog for all the live action What's aiding its growth? Superior technology: GMM benefits from a substantial stake held by Pfaudler, which gives it a technological and competitive edge in the Indian market. Pfaudler, the pioneer of glass-lining technology, remains at the forefront of industry innovation and advancement. An example of this is the Pharma Glass it has created, which is a patented enamel that's used to line the equipment in which APIs, vitamins, and similar substances are produced. This innovation become an industry standard. Consistency: GMM Pfaudler has been operating in India for close to five decades and has been a reliable supplier to most of the large Indian companies in the pharmaceuticals, chemicals, and petrochemical sectors. Its high-quality reactors have won repeat orders from all key customers. Some customers in the pharma and chemicals space Marcellus spoke to indicate that for many years now, GMM has been their first choice for glass-lined vessels. GMM accounts for over 90 percent of the installed equipment base in these companies, the report added. Mindful capital allocation: the management team at GMM has consistently demonstrated prudent allocation of capital. The company has placed emphasis on organic expansion, and effectively employed cash flows to enhance capacity by more than 50 percent over the past three years. Acquisitions: the company has pursued strategic acquisitions, each designed to broaden its range of products and capture a larger share of the customers capex. Its first acquisition, in 2008, was Mavag AG, a Swiss-based manufacturer of equipment utilised in downstream processes in the chemicals and pharmaceutical sectors. GMM has now embarked on a more ambitious path by acquiring the global business of Pfaudler. This gives GMM access to Pfaudlers technology and innovation capabilities. It also enables the group to optimise manufacturing between GMMs India factories and Pfaudlers global facilities, which can help extract maximum value from all parts of the production process, Marcellus said in its report. As per the company guidance, GMM plans to deliver about 15 percent CAGR growth in revenue and 25 percent CAGR growth in EBITDA. Post 2025, the management expects revenue growth to continue in the range of 13 percent to 15 percent and EBITDA growth in the range of 18 percent to 20 percent. Broking firm JM Financial has said that the CAGR will be driven by scale-up in non-glass line segments, new acquisitions and reduction in key raw material prices. Disclaimer: The views and investment tips expressed by experts on Moneycontrol.com are their own and not those of the website or its management. Moneycontrol.com advises users to check with certified experts before taking any investment decisions. The Union Cabinet on August 16 approved the extension of the Digital India project with an outlay of Rs 14,903 crore for a period of five years starting from 2021-2022 to 2025-2026, Meity Minister Ashwini Vaishnaw said. Prime Minister Narendra Modi, at the cabinet meeting, has approved the Digital India programme with an outlay of Rs 14,903 crore, Vaishnaw said. "A lot of work has already happened in this regard. Since we were working on molding these schemes, modifying the existing schemes to make them better and relevant for today's requirements, that's why the scheme has been extended today," he said. This comes at a time when the Digital India Programme received a reduced budget estimate in Budget 2023-2024, when compared to the 2022-23 revised estimate. While in 2022-23, the revised estimate for Digital India stood at Rs 7,603 crore for Budget 2023-24, the estimate was lowered to Rs 4,795 crore in the last Budget. The Union minister added that the extended Digital India project will add to the work done under the previous version of the scheme. Under the project, 5.25 lakh IT professionals will be reskilled and upskilled and 2.65 lakh persons will be trained in information technology. Further, under the extended Digital India project, nine more supercomputers will be added under the National Supercomputing Mission (NCM). So far, 18 supercomputers have already been deployed under the mission. Also read: Generative AI has huge potential to bridge digital divide in India, says MeitY official Cyber security Information security programmes will also get a push, with 2.65 lac people being trained, so that corporate offices, govt organisations, or any set-up where cyber security professionals are required, can tap into their services. He also said that 12 crore citizens will be given training in cyber security, and will be given certificates after the completion of such awareness programmes. Apart from that in the area of cybersecurity, Vaishnaw said that new tools will be depoyed. "CERT-In (Indian Computer Emergency Response Team) which takes up cyber forensics, emergency response and cyber diagnosis will be massively expanded," Vaishnaw said. Digilocker and startups Vaishnaw also announced Digilocker for MSMEs, so that such businesses can keep their confidential documents in safe and private manner. "You can undertake digital verification, and other features such as, there is a lot of work being taken up in the digital credit area. And for digital credit, document verficiation is mandatory. One can take up document verification through Digilocker. He also announced that Cabinet has taken decision to fund 1,200 startups in Tier -2 and Tier-3 cities. Bhashini and Umang Under the programme that was launched in 2015 by PM Modi, Bhashini, an artificial intelligence language tool launched by the Ministry of Electronics and Information Technology (MeitY), will also get a massive rollout, Vaishnaw said. Additionally, three centres of excellence will be developed for advancing artificial intelligence (AI) in the sectors of health and agriculture. Further, the unified Umang platform, which provides 1,700 services and has a commendable rural outreach, will start providing 540 additional services. India is eyeing newer markets, like Japan, South Korea and key nations in Latin America such as Brazil, Argentina and Chile, to tackle the lukewarm demand from traditional buyers of India's gems and jewellery, a government official said. The recent slowdown in Indias top export markets, including the US, European Union and Hong Kong has necessitated the need to diversify and scout for fresh markets as New Delhi looks to resuscitate demand for this crucial sector, the official said. The choice of destinations depends on the appetite of these nations and the potential for more exports. New Delhi is also banking on the India-Australia Economic Cooperation and Trade Agreement (ECTA) that came into force on December 29, 2022, to boost exports, on the back of the preferential zero duty market access to Australia for 100 percent of its tariff lines, benefiting the labour-intensive gems and jewellery sector. What led to the fall? Led by a decline in petroleum products, gems and jewellery and engineering goods, India's exports saw a nine-month low in July, registering a fall of 16 percent, following a lacklustre demand for Indian goods from major trading partners, including China and the US, government data released on August 14 showed. Imports witnessed an equally steep fall last month, dropping 17 percent on year. To arrest the impact of global headwinds, India is looking at a number of measures, including diversifying its export basket by scouting for newer markets as well as non-tariff barriers to push local manufacturing at the same time, Moneycontrol reported on August 14, citing a senior government official. At present, countries like Japan, South Korea and Latin American nations cited above import either a minimal or no amount of gems and jewellery from India. Also read: India devises strategy to boost exports in 2023 How bad does it mean for India? The decline in outbound shipments of these products is worrying as a large part of India's micro, small and medium enterprises (MSMEs), which makes up almost 50 percent of the countrys overall exports, is involved in the making of gems and jewellery. Though India's overall gems and jewellery exports for the first four months of 2023-24 fell 3.68 percent on year, a closer look at the data reveals a more dire picture. In the first quarter of the current fiscal, outbound shipments to the US and Europe fell 28 percent and nearly 21 percent, respectively. Outbound shipments to Hong Kong, which clocks a massive 82.6 percent in terms of the share of gems and jewellery in overall exports from India to this region, contracted 35.11 percent in April-June, latest data show. Overall gems and jewellery exports fell 25 percent during the first quarter of the current financial year, official data showed. The outlook is grim, with the Gems and Jewellery Export Promotion Council (GJEPC) predicting a decline of about 10-15 percent in overall exports for the current fiscal. According to Dr A Sakthivel, President of the Federation of Indian Export Organisations (FIEO), though the decline in imports is a good sign for the country, it has also led to a fall in key export sectors like petroleum products, gems and jewellery, and organic and inorganic chemicals, among others. Also read: MSMEs hit as exports slump but no job losses yet Two European defense manufacturing giants are seeking to win a 400 billion rupee ($4.8 billion) order to build submarines in India as the South Asian nation looks to strengthen its navy to counter Chinas expanding naval presence in the Indo-Pacific region. Indian officials are currently evaluating competing bids to build six of the vessels in the country, senior Indian officials aware of the developments said, asking not to be named because discussions are private. One bid is from Germanys Thyssenkrupp AG along with Mumbai-based Mazagon Dock Shipbuilders Limited, with the other was submitted by Spains Navantia in partnership with private shipyard Larsen & Toubro, the people said. Indias Navy will go for the best and largest transfer of technology that is being offered, the people said. When announcing the tender in July, the Ministry of Defense said it expected substantial technology transfer to Indian shipyards apart from providing the submarines with air independent propulsion a technology that helps conventional vessels stay underwater for longer. India is looking for a reliable alternative for military hardware as supplies from Russia, its biggest source of weapons, is mired in a protracted war in Ukraine and faces sanctions from the US and its allies. India, which is part of the so-called Quad grouping that includes Japan, US and Australia, is also projecting itself as a manufacturing hub and pushing for technology transfers to build complex defense platforms such as fighter aircraft and submarines. Indias Ministry of Defense, Larsen & Toubro, and MDL did not respond to requests for comment. Thyssenkrupp confirmed the bid and referred to a June 7 statement while Navantia didnt respond to an emailed request for comment. As Beijing has ramped up its naval capacity in recent years, Indias aging fleet of submarines is no longer seen as enough to discourage Chinas presence in the Indian Ocean. Indias navy needs a minimum of 24 conventional submarines, the government in New Delhi has said, but currently has only has 16. Of these, most are more than 30 years old and likely to be decommissioned in the next few years. Last July, Indias Ministry of Defense issued a tender inviting global defense manufacturers to design, develop and manufacture conventional diesel-electric-powered submarines with either government-owned Mazagon Dock Shipyard Limited or Larsen & Toubro, one of Indias biggest construction companies. The aim is to progressively build homemade capabilities to manufacture complex weapon systems, Indias Ministry of Defense Ministry said when announcing the global tenders. The tourism sector in Himachal has also been negatively impacted by the erratic weather. Due to the large number of travellers who are cancelling their travels, hotel occupancy in the state has fallen to a record low of around 10%. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept The Nehru Memorial Museum and Library (NMML) has officially been renamed as the Prime Ministers Museum and Library (PMML) Society with effect from August 14. "Nehru Memorial Museum and Library (NMML) is now Prime Ministers Museum and Library (PMML) Society w.e.f August 14, 2023 in tune with the democratisation and diversification of the remit of the society. Happy Independence Day! @narendramodi, @rajnathsingh @MinOfCultureGoI, PMML vice-chairman A Surya Prakash wrote on X formerly known as Twitter. The final call on the renaming was taken in mid-June at a special meeting of the Memorial Museum and Library Society. The decision has surprised many since NMML has always been associated with independent Indias first Prime Minister Jawaharlal Nehru. It was his official residence for 16 years until his death in 1964. The building was later dedicated to the by then President S Radhakrishnan who inaugurated the Memorial Museum. The iconic Teen Murti Bhavan was built in 1929-30 as the residence of the Commander-in-Chief of the British Army in India. The autonomous institution under the Ministry of Culture was aimed at promoting advanced research on modern and contemporary India. At present, it has four major constituents, namely, a Memorial Museum, a library on modern India, a Centre for Contemporary Studies and the Nehru Planetarium. Apart from showcasing the life and contributions of Nehru, NMML reportedly has over 500,000 books and journals, 150,000 photographs, 1,000 collections of private papers, 800,000 hours of recorded interviews on the freedom movement. It even has letters of Mahatma Gandhi, Raja Gopalachari and Shyama Prasad Mukherjee among others. In 2016, the Centre proposed establishing a new museum dedicated to all the prime ministers of India on the Teen Murti premises. The Pradhan Mantri Sangrahalaya was inaugurated in 2022. The Pradhanmantri Sangrahalaya is a tribute to every Prime Minister of India since Independence, and a narrative record of how each one has contributed to the development of our nation over the last 75 years, stated its website.delhi Here is a collection of our top stories this afternoon: SBFC Finance surges 66% on debut: Should you book profits or hold the stock? SBFC Finance, an MSME-focussed non-banking finance company, made an impressive debut on August 16 despite weakness and consolidation in the equity markets. Read more Patanjali Foods on course for Rs 7,000 crore FMCG revenue this fiscal, says CEO Confident about Patanjali Foods' FMCG business, chief executive officer Sanjeev Asthana said the company is pretty much on course to achieve revenue growth of Rs 7,000 crores for FY24 in the FMCG segment. Read more Indian banks see better environment as Covid-linked economic risks reduce: Fitch Fitch Ratings on August 16 said the operating environment for Indian banks has strengthened as economic risks associated with the Covid-19 pandemic have reduced. Read more Bullish on India: IPOs become attractive exit option as tech stocks soar The improved financial performance of new-age tech companies, which led to a surge in their stock prices, has boosted the confidence of private equity and venture capital investors in the prospect of successful exits through public listings. Read more India looks to Japan, Latin America to arrest the fall in gems, jewellery exports India is eyeing newer markets like Japan, South Korea and Latin American nations such as Brazil, Argentina and Chile to tackle the lukewarm demand from traditional buyers of India's gems and jewellery, a government official said. Read more Hyundai inks agreement to acquire General Motors' Talegaon plant Hyundai Motor India on August 16 said it has signed an asset purchase agreement to acquire and assign identified assets related to General Motors India's Talegaon plant in Maharashtra. Read more USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Ahead of the 12th round of the India-UK free trade agreement (FTA) negotiations starting in New Delhi on Wednesday, the Indian High Commissioner to the UK has expressed optimism that officials on both sides will be "able to get something working" and find a "right fit" towards a mutually beneficial pact. High Commissioner Vikram Doraiswami told PTI that he is positive as both countries seem keen to make necessary adjustments, recognising the complexities involved with the very different structures of the two similar-sized economies. On the wider bilateral partnership, he expressed similar optimism around an "obvious synergy" across different sectors. "I'm positive about it (FTA)" My intention is that to the extent we can, we would like a mutually beneficial forward-looking FTA to be concluded," said the High Commissioner. "I believe both sides are keen on making the necessary adjustments. Even though we're both similar-sized economies, we are dissimilar in the structures of our economies and the complexities of our economies. So, getting the right fit together is very important," he said. The senior diplomat closely involved with the FTA negotiations, which began in January last year, noted that it is important that the UK side recognises some of the complexities of the structure of the Indian economy. "It can't be the same as a free trade agreement with a peer-developed country. On the other hand, we too have to take into account the fact that the UK hasn't actually negotiated many free trade agreements in recent years when it was part of the European Union. So, there are those things that have to be adjusted. But overall, the trend line seems very positive," he said. The 11th round of negotiations concluded on July 18, with a joint outcome statement saying it covered detailed draft treaty text discussions across nine policy areas. According to official UK government statistics, the bilateral trade partnership was worth around GBP 36 billion in 2022 and an FTA is set to significantly enhance that relationship. "I think our government has been very clear that we want the best possible partnership with the UK and, I believe, from what I hear from the UK leadership on both sides of the political aisle as well as from the senior leadership of the government here, that they too want a forward-looking partnership with us," said Doraiswami. India's Commerce Secretary Sunil Barthwal has said the 11th round of talks in London was "very intense" and many issues got closed. Out of the total 26 chapters in the proposed FTA, 19 have been closed. Investment is being negotiated as a separate agreement (bilateral investment treaty) between India and the UK. "Now, there are only a few issues left. The UK team is coming to India during the Trade and Investment Working Group meeting (in Jaipur) and we are hoping that we would be closing those remaining issues. "So, our target is that we close the issues with the UK when the UK team visits us in India and we are very hopeful that the issues will be sorted out," Barthwal told reporters in New Delhi on Monday. Noting that India-UK history is a complex one, High Commissioner Doraiswami stressed the importance of the bilateral partnership after 76 years of Independence from colonial rule to be informed by what happened in the past but not allow "ourselves to become prisoners of it". "It's important that we build a relationship that touches upon our respective strengths. London is still one of the world's great capitals of finance, for instance. India is the future direction of global growth. There is an obvious synergy between the need for high-quality, well-priced finance for our infrastructure rollout, for our green transitions. And, there is obviously a need for quality finance to find the best possible rewards in terms of places to go to invest. Both of these obviously speak for themselves," he said. Asked about the recent visit to India of UK Security Minister Tom Tugendhat, who announced a GBP 95,000 fund to tackle Pro-Khalistan Extremism in the wake of an attack on the High Commission in London in March, the High Commissioner said it marked a milestone moment in enhancing the security pillar of the bilateral partnership. "We live in an uncertain and often challenging world, increasingly so" It makes absolute sense for countries like the UK and India to work much more closely together to recognise that there are more complex challenges than the simple ones that people talk about. It's not just nation-states alone. There are challenges in terms of what is happening among communities, how communities are being radicalised, how it is changing the shape of domestic politics," he said. "In all of this, it is important for countries like the UK to work with us because we too have an understanding of how some of this happens. So, the visit of Security Minister Tugendhat last week is a very important milestone and I think he would have come back with a strong sense of how keen we are to make a proper, viable functioning security pillar part of our partnership," he added. On the business aspect of the relationship, the envoy pointed to how Indian businesses have built a huge presence in the UK market and stressed that "it is really now time that we got more British businesses into India as well". The fraction of enterprise value of large US companies represented by tangible assets things like real estate and inventory has fallen from 50 percent to 20 percent over the past 15 years. Moreover, only about 25 percent of enterprise value is in identifiable intangible assets such as patents, copyrights, trademarks, customer lists and data files. The remaining 55 percent is in assets too intangible to even identify clearly things like technical knowledge, corporate culture, going-concern value and customer relationships. A recent article in Business Law Today calls attention to something less tangible still the economics of negative information assets. How do you assess and safeguard corporate know-how about products that didnt work, dead-end research or failed experiments at a time of heightened staff mobility? For companies with little in the way of tangible assets or recurring revenue ones valued primarily on expected future products and services gauging the worth of what a company knows is key, and much of that knowledge is about approaches that dont work. Moreover, that knowledge only has value if the company can protect it. Just as normal matter exists in a sea of dark matter and dark energy that make up 95 percent of the universe, positive business information (such as product specifications) exists in a much larger sea of negative information (such as ideas that dont work). Advertisers work to create brand names positive information assets but also to warn against an innumerable list of dangers from head (dandruff) to toe (athletes foot), or make wheres the beef criticism of competitors products. Such negative information assets increase the value of a product by making the alternative seem worse. Employee handbooks list a few positive admonitions, and much longer lists of what not to do. Not only are intangible assets especially negative information assets growing rapidly in economic value, they are also getting harder to protect. Employee mobility has grown since 2008, especially in technology fields; and the government is working to increase it further with Federal Trade Commission efforts to ban non-compete clauses and the proposed Workforce Mobility Act of 2023. Courts are getting more reluctant to grant patents and other intellectual protection, especially on software. Work-from-home means information spills out to uncontrolled locations, and of course the Internet makes transmitting information far easier than in the past. From an investors perspective, this means that only about 20 percent of what you pay for an average stock covers assets that can be easily insured and protected against theft or damage; and that creditors can seize and sell in a bankruptcy with some hope of recovery. Stock investors are hoping for future cash flows to be generated mostly by intangible assets, in many cases assets that cannot even be identified clearly and may be negative. Creditors can look to only a small portion of enterprise value to secure loans. At least with positive information there is some hope of tracking down theft and suing for recovery. If an employee goes to a competitor who soon launches a product similar to yours, you will notice. You can demonstrate the similarities in court, and perhaps refer to patent or other protection. But what if an employee goes to a competitor and designs a different product from yours, and the research effort is sped up because your former employee learned on your dime what dead ends to avoid? What will you point to in court to prove the theft? What kind of patents protect failed ideas? Most of my professional experience is in finance. A hedge fund employee who copies her former employers strategy can be identified. But behind every implemented strategy are hundreds or thousands of alternatives that were tested and rejected. An employee who knows those results can find a good new strategy much faster than a researcher starting from scratch. One prominent example of this is the high-profile lawsuit by Alphabet Incs Waymo alleging that its former engineer Anthony Levandowski took to Uber Technologies Inc. information about dead-end designs and approaches unsuited to market that saved Uber substantial time and money, and were critical to its efforts to develop self-driving cars. Moving from an investors to a social perspective, intellectual protection and trade secret law attempts to balance the social good of encouraging research and development, with the other social good of competition. Patents force applicants to reveal their secrets in return for 20 years of protection. Companies are allowed to take some measures to protect trade secrets, but not to prevent former employees from making a living in their fields. Negative information does not fit into either model. You cant force former employees to remake mistakes they identified while working for you. You cant get a patent on a failed idea, one that forces other people to pay you money to avoid the failure. From a social standpoint these dont balance any considerations, they just impose perverse costs. There is a related issue in academic research its rare to publish negative results. A vast amount of negative information knowledge is systematically excluded from the literature in many fields. If the trend toward increasing negative information asset value and decreasing ability to protect it continues, it should lead to fragmentation in research. If every company pursues one idea and liquidates if its idea fails, negative information becomes public, and no entity has to protect it. Negative information assets are a problem only for large companies that survive the failure of many research paths, and thereby accumulate large negative information assets that cannot be insured or protected. One solution for a large company is to put researchers in siloes, so individual employees have knowledge of at most one dead-end. But this eliminates valuable cross-fertilisation of research and gets in the way of rational employee development. Many hedge funds do this, but it is a problematic solution in fields like information and medical technology where the scientific culture is more open, and there is more communication between academic and for-profit activities and more diverse specialists are needed for projects. I dont know how this will play out, but I think it represents one of the biggest challenges to big tech company valuations. With increasing employee mobility and weakening non-compete protections, big tech is losing the ability to protect its fastest-growing type of asset. I cant see any good solution either in research strategy or law but its something investors should consider seriously. Aaron Brown is a former managing director and head of financial market research at AQR Capital Management. Credit: Bloomberg USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept The tech sector has seen the rise of giant software services companies five out of top ten and three of top five software services companies globally in market cap are Indian. Sixty percent of global outsourcing comes to India. 5.5 million people work in this sector today. By 2026, India could host more software engineers than the US. With this domination, India exported nearly $200 billion gross value of software in FY23 with $135 billion in inbound cash from such exports as well as $100 billion in remittances. The increased profits in the sector and the large employment counts have created the human capital for India's booming tech innovation startup sector. The ecosystem with nearly 100,000 startups and a total investment of $140 billion from 2014 till date has yielded 108 unicorns and $500 billion in value created. This is expected to grow to 250 unicorns and $1.5 trillion in value by 2026. Creating Jobs All of these factors have converged in a significant increase in employment in India. EPFO data shows 1.5+ crore new subscribers joined in FY23. Of this, 52 percent were in the age group of 18-25, indicating that most of these are first time jobs. Even if we attribute a portion of the 25+ age group to formalisation, at least 1 crore new jobs are being formed every year in the formal sector. In fact, many areas in the South are actually facing labour shortages due to the decline in fertility and increase in per-capita income. Auto industry sales also indicate a spurt in employment 5.2 million four wheelers, 800,000+ LCV + MCV + HCV, 500,000+ three-wheelers, 19 million two-wheelers and 900,000+ tractors with these many vehicles sold annually, at least 25 lakh jobs are being created annually in using them. India isnt facing the problem of less jobs, rather of low-paying jobs where 70 percent of jobs pay less than Rs 25,000 per month. Higher growth will create more high-paying and specialised jobs to meet the aspirations of the workforce. Improving Socio-Economic Indicators Social indicators have also improved tremendously across India. As per NFHS 5, surveyed in 2019-21, India today has 1,020 females per 1,000 males. People are living longer and healthier, infant mortality and maternal mortality rates have lowered, the gender ratio at birth has increased to 935 females per 1000 males and fertility has reduced to 2.0. The 60+ population is 130 million, amounting to 8 percent+ of the total, which is expected to reach 200 million by 2030. India must create a social security net for its ageing population with policies for comprehensive pension and elderly care. Educational access has grown tremendously. India hosts one of the largest education systems in the world, by far. Over 265 million children are in school. Of 100 children entering Class I today, 85+ complete Class X and 65 complete Class XII. Retention is consistently improving. In higher education, India has 1,200+ universities, 56,000+ colleges and 43 million+ students. Gross Enrollment Ratio in college in the age group of 18-23 is 28, with women GER clocking a higher rate than mens since 2019. GER has increased across all social groups. Having built capacity at scale, India must now focus on improving the quality of education. Poverty has decisively reduced across the country. The latest NITI-Aayog study shows 14.9 percent of Indias population is currently multidimensionally poor, compared to 24.8 percent in 2016. This is largely the fruit of the slew of welfare schemes launched by the NDA government. Public Goods Gamechanger As a result, by 2024, almost all Indians are expected to have a roof over their heads, water in the tap, power in the switch, a toilet in the house, a gas stove, food on the table, a bank account with money, a mobile phone, internet connection, education for their children, health insurance for the family and some social security for the elderly and the vulnerable. The next challenge is reducing the inequity in growth across the country for example, Bihars per-capita income (Rs 75,000) is 1/5th that of Karnatakas (Rs 3,83,000). More Aspirational District Programmes that focus on district level growth are required. India is the world's third-largest digital power at a crucial point when the digital revolution is afoot. The nation pioneered population-scale Digital Public Goods like Aadhaar and UPI that form the foundation for digital and financial inclusion of India's 1.4 billion-strong population, continued public-facing innovation like ONDC and Account Aggregator on top of India Stack, and waves of private innovation by allowing startups to plug into the system via open-APIs. India Stack is unique in creating population-scale platforms that are publicly owned, accessible by all citizens and allowing greater innovation to flourish at some of the lowest costs in the world. The huge quantum of data augurs well for India in the AI age. With substantial growth, significant investments and infrastructure spending, rising consumption indicated by GST, a robust banking system with adequate capital to meet the needs of the growing economy, healthy ForEx reserves, increased formalisation and job creation, increased productivity with reduced logistics costs, and a highly matured tech services and innovative startup ecosystem, there is no better time than today for an Indian to look to the future with great optimism. (This is the concluding article in a two-part series) Mohandas Pai is Chairman, Aarin Capital, and Nisha Holla is Technology Fellow, C-CAMP. Views are personal, and do not represent the stand of this publication. The Congress on Wednesday targeted the Modi government over the border situation with China, asking when will it travel beyond the rhetoric to protect 'Bharat Mata' and when will status quo ante be restored at the border. Citing media reports, Congress general secretary Randeep Surjewala said, "19 round of talks with China fail, talks fail every time for last 3 Years". In two-day military talks, India and China agreed to resolve the remaining issues along the Line of Actual Control (LAC) in eastern Ladakh in an expeditious manner besides maintaining peace and tranquillity in the border areas, a joint statement said on Tuesday. The readout on the 19th round of Corps Commander-level meeting described the talks as "positive, constructive and in-depth" but it did not indicate any immediate breakthrough in the disengagement of troops in the remaining friction points. Surjewala said, "Status Quo Ante as in April 2020 not restored for three Years and three Months. "Indian Forces can't 'Petrol 26 out of 65 Petroling Points' in Depsang Plains near the strategic DBO Airstrip or CNN Junction near Demchok! "Chinese continue to Block Indian Soldiers at the Y Junction called 'Bottleneck', inside our territory. Access to Petroling Points 10, 11, 11A, 12, 13 blocked by the Chinese," the Congress leader said on X, formerly Twitter. Questioning the Modi government, Surjewala asked, "When will Indian Territory brazenly occupied by the Chinese be vacated and Chinese Army pushed back?" Has Modi Government reconciled to "ceding of nearly 1,000 square km of Indian Territory occupied by the Chinese," he asked. "When will Status Quo Ante as on April 2020 be restored by showing 'Red Eyes' to China? Does PM Modi still maintain that 'no one entered Indian territory' as he told the All Party Meeting on 20th June, 2020 or Did he mislead the Nation," the Congress Rajya Sabha MP asked. Surjewala said if no one entered Indian Territory, why are the talks being held with the Chinese and is the Army Chief wrong to say that Chinese have illegally occupied Indian Territory. "When will the Modi Government travel beyond the rhetoric to protect BHARAT MATA," he also asked. The Congress has been questioning the government over the border situation with China. As India talks about 'One Earth, One Family, One Future -- the theme of the ongoing G20 Presidency -- the nation is losing its credibility before the world, as one of its states is burning, Congress leader and MP Shashi Tharoor said here on Wednesday. Tharoor said that while India's leaders talk about 'Vasudhaiva Kutumbakam' -- which means 'One Earth-One Family-One Future' in their speeches, at the same time "what credibility would we have when one of our own states is burning"? The MP from Thiruvananthapuram said that people across the world who would be reading about India would say that humanity and harmony are required here first. "Therefore, I would request (Prime Minister) Modi ji to take some action to at least salvage our global credibility," Tharoor, also a former minister of state for external affairs, said. He was speaking here after releasing the cover of a book titled 'Manipur FIR' penned by Delhi-based journalist George Kallivayalil. CPI(M) leader and Rajya Sabha MP John Brittas was also among the dignitaries who attended the function organised by the Kerala Media Academy. In his speech, the Congress MP described violence in Manipur as a "slow burning horror" and said that when violence broke out in the northeastern state in May, he had suggested that President's Rule be imposed there. "That way, the Army and the Governor could have taken decisions on maintaining law and order without all the political nonsense. "However, till now, it has not been done, and I do not think it will be done as this government (at the Centre) has decided that if the BJP has to continue in power there, the same CM should continue. So they do not want President's Rule there," Tharoor said, severely criticising both the BJP-led state and central governments. Questioning the attitude of the government on the matter, he wondered how a government that was not ready to acknowledge the reality on the ground could "bring a solution" for the Manipur problem. Later talking to PTI, Tharoor alleged that the Union government was showing an amazing lack of will to resolve the Manipur crisis. "It is, after all, the responsibility of both the state and the central government, both ruled by the BJP, to give a greater priority to bringing about not just peace but also restoring harmony and reconciliation between the communities. "Right now, whatever little peace there is, is the peace of the graveyard. The community is completely divided -- and no Kukis in the Meitei areas and Meitis in Kuki areas. We cannot have a country on that kind of basis. It will be shocking," Tharoor said and urged the Union government to act in a proactive manner. Several people have been killed in violent clashes between tribes living in the Manipur hills and the majority Meitei community residing in the Imphal Valley over the latter's demand for Scheduled Tribe (ST) status. Maharashtra Congress president Nana Patole has said they not approve of meetings taking place "secretly" between NCP chief Sharad Pawar and state Deputy Chief Minister Ajit Pawar and that it is a matter of concern for his party. Sharad Pawar is part of the Maha Vikas Aghadi (MVA) alliance of Shiv Sena (UBT), Congress and NCP, while his nephew Ajit Pawar split the NCP last month to join the Eknath Shinde-led Shiv Sena-BJP government. Asked by reporters on Tuesday about Pawar senior meeting his nephew in Pune on Saturday, Patole said, "It is a matter of concern for us and we do not approve of the meetings taking place between the Pawars secretly." "However, this matter will be discussed by the top Congress leaders. The (opposition) INDIA alliance will also discuss it, so it would not be appropriate for me to discuss it further," he said. Patole said the Congress has decided to join hands with everyone who is willing to oppose the BJP. "There is no grain of truth in the speculation that the Congress is thinking of contesting the Lok Sabha elections without taking Sharad Pawar along," he stated. Meanwhile, speaking in his hometown Baramati, Sharad Pawar said some people in the party have taken a different path, but "once they realise the situation, their stand may change." "Whether they change their stand or not, we will not deviate from the path we have chosen," he told the gathering. "I have told Maharashtra (voters) to vote for someone. And now, I can not tell them to vote for someone whom we have opposed all along," he added. Sharad Pawar announced he will be holding a public rally in Beed on Thursday. In a bizarre incident from the Scottish town of Greenock, Inverclyde, 17 sets of twins are ready to enroll at a primary school this fall, the New York Post reported. The town has aptly been named Twinverclyde due to the massive co-incidence. St. Patricks and Ardgowan Primary are welcoming three sets of twins each into primary one this fall, marking a record year for twin intake the second highest on record. It has become an annual tradition in Inverclyde, or Twinverclyde as weve become known, to welcome our twins into primary one, Graeme Brooks, the depute provost at St Patricks, told Southwest News Service, adding that the community is lucky to have so many incredible schools. The primary school held a dress rehearsal for the upcoming batch ahead of the academic year. But schools are nothing without the children, staff and families who make them and its great to see some of the next generation coming through here today and I wish them all the very best as they embark on the next stage of their education journey, Brooks quoted further. According to the New York Post, Inverclyde has always been known for its high rates of twins, with 147 sets attending the local schools in the past decade. In 2015, a whopping 19 pairs of twins began school at the same time. Arlene Fulton, a local, is sending her 5-year-old twins to King Oaks Primary this month. Seventeen sets of twins is something else, it must be something in the water, she said. Its such a big day, after a long wait for them to start its come in too quickly almost, she added. William Vanderbloemen has interviewed over 30,000 job candidates throughout his career. The CEO of executive search firm Vanderbloemen Search Group found that there are ways that job recruiters may not find you suitable for a role even though you are qualified for it. To capture a hiring managers attention, you need to project a friendly, confident, and professional demeanor from the onset, he told CNBC Make It. Here are his three tips to instantly impress a hiring manager during the job interview: 1.) Dress for the occasion Dressing down for online meetings has become the norm since the pandemic, but a casual outfit may not impress a prospective employer during a job interview -- even if its on Zoom, Vanderbloemen told the publication. Things may get worse if the recruiters catch a glimpse of the casual clothes you wear at home even if you are dressed professionally up to your torso while facing the camera. "Some interviewers might even ask you to stand up during a video call, to check that youre wearing professional attire," Vanderbloemen said. I know that sounds like old curmudgeon stuff but if you want to impress a recruiter, you really need to dress for the job, he added. Its an important sign that shows youre taking this opportunity seriously. 2.) Do comprehensive research about the company Most candidates people will do basic research on the job theyre interviewing for, Vanderbloemen said, but only a few will go the extra mile and look into the companys latest developments or gather information about the interviewer. The CEO told CNBC Make It that hes always impressed when job seekers have done their homework about the recruiter and interviewer and are updated on the companys latest news. If you can show the interviewer in the first 5-10 minutes of the conversation that you invested some time studying this company, youre going to go a long way, Vanderbloemen said. Theres always so much change happening in the labour market that the people who are curious and constantly learning are the ones that are going to be successful. 3.) Know when to ask about the salary Asking about the salary within the first few minutes of a job interview is one of the quickest ways to start an interview off on the wrong foot, Vanderbloemen said, adding that a lot of candidates will make this mistake. Doing so sounds grabby and entitled, he said. You should wait for the hiring manager to bring it up, but if you get to a second or third-round interview and the salary and compensation still havent been mentioned, then you can broach the subject toward the end of the conversation. | While pay is important, talking less about it during an interview might work in your favour, Vanderbloemen noted. If a candidate expresses more interest in the mission of a company, its goals, and the workplace culture than in compensation, that would just make me do backflips and pay them the highest salary we can offer," he said. Read more: 80% of bosses regret initial plans of asking employees to return to office: Report Top executives of companies cannot possibly have a 50-50 work-life balance, believes Anna Lundstrom the CEO of Nespresso coffee in the UK and Ireland. Instead, she favours fluidity and prefers her work to weave its way in and out of her day. Speaking to Fortune, Lundstrom said she doesnt think its necessarily possible to switch off from the top job. I think when you have this kind of responsibility, of course, you have to always be on. But I quite enjoy that, she told the publication. And I think you almost have to enjoy that kind of activity to do this job. Last year, Lundstrom became the CEO of the British arm of a coffee conglomerate that manufactures coffee machines and has a retail presence in 81 countries. She moved from Switzerland to London, now currently manages 650 team members, and has formed grand partnerships, including one with Starbucks, Fortune reported. Her role also means being accountable for hundreds of workers who have needs around the clock, which is why she prefers work-life fluidity. I think fluid is a great word, Lundstrom told the publication, adding that she reaches for her phone as soon as she wakes up. I think Id always rather know something than not, she said explaining why she needs to be always available. Lundstrom added that although work can be delegated and the right team can tackle tasks while the top executive is not available, she finds joy in being on the pulse of her workforce. My biggest fear is externally that the brand isnt relevant. So thats why Im always trying to make sure that were continuously moving forward, she said. "And, internally I would hate to miss something, to not be aware of something or to be tone deaf, you know? So thats why Im somebody who likes to be active and actively communicate because I feel like that that feeds me with information. The CEO added that for her, having that steady stream of real-time knowledge at her fingertips gives her more energy than its draining. Read more: Reddit co-founder says theres no such thing as perfect work-life balance A woman living alone in Chinas Beijing recently dialled the local authorities after detecting mysterious movements under her bed. Her heart racing, she placed the call while disguising her concerns by pretending to place a food order, all while suspecting a potential intruder lurking beneath her very mattress. "Are you in danger?" questioned a police officer at the other end of the line. The woman's tense voice trembled as she replied, "Yes." Two police officers promptly responded to her call for help. As they arrived at her residence, they embarked on a search mission, only to unravel a twist that left everyone in disbelief. Instead of uncovering an elusive perpetrator, they unveiled an unexpected revelation a slight earthquake had rumbled through the area, causing the unsettling vibrations that had stirred the woman's fears. Nobody? Why was the bed shaking? There wasnt any earthquake? the woman asked. No, a slight earthquake just happened earlier, one officer replied. Likely relieved, the woman then started to cry prompting the officers to calm her down. The incident, reported by Star Video, shows the womans story of the fearful night that turned out to be a minor quake. Read: Posh hotel in China sparks outrage for charging extra fee for second shower The story ignited a dialogue social media and many had applause as well as criticism for the woman. While some praised the woman's courage and the promptness of the police, highlighting the importance of remaining vigilant in the face of uncertainty, others raised concerns about the allocation of police resources, questioning whether the woman's apprehensions were indeed a prudent use of public manpower. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Mumbai's culinary scene took an alarming turn when a distressing discovery marred what was meant to be a pleasant dining experience at Papa Pancho Da Dhaba, a well-known eatery known for its Punjabi fare in Bandra. Anurag Singh, a bank executive from Goregaon, recounted his shock while he was eating when he made a grim discovery a baby rat cooked with the chicken curry on his plate. This stomach-churning incident has thrust the restaurant into the limelight and has led to the arrest of three people. Singh, who was at the restaurant with a friend after a shopping spree, was taken aback when his palate registered an unfamiliar taste in his chicken thali. The piece also looked lighter in colour and upon subsequent investigation he found a tiny rat concealed within the dish. By then, he had already, unknowingly, consumed some of the cooked rat. The horror didn't end there. Upon voicing his concern, Singh allegedly faced a seemingly indifferent restaurant manager who vehemently denied any wrongdoing. He reportedly came out to address the issue 45 minutes later and defended the establishment by saying something like this has not happened in 22 years. The manager and two cooks were subsequently arrested after the customer lodged a police complaint. The patrons also went to a doctor after the ordeal who prescribed them medicines. The manager, however, had a different version of events. He claimed that both patrons were heavily drunk and were drinking on the premises, prohibited in the restaurant. After being asked to stop, the men allegedly cooked up this story to extort money from the restaurant. He also said the restaurant has thorough checks by multiple staff members to prevent such incidents. Also read: Dead rat on food table at IKEA Bengaluru: Swedish chain apologises after viral pic Advocate Devraj Gore, representing the restaurant, accused the complainants of having malicious reasons behind the complaint. He claimed the customers had almost finished their meal before pointing out the rat, following an altercation with the staff regarding their alcohol consumption. Indian Space Research Organisation's (ISRO) Chandrayaan-3 mission conducted the final lunar-bound manoeuvre at 8.30 am on August 16, the space agency announced on X, ahead of the lander's separation from the propulsion module which is scheduled for August 17. The spacecraft has now entered into an orbit of 153 km x 163 km as per plan, according to the space agency. "Its time for preparations as the propulsion module and the lander module gear up for their separate journeys," ISRO said. Chandrayaan-3 Mission: Todays successful firing, needed for a short duration, has put Chandrayaan-3 into an orbit of 153 km x 163 km, as intended. With this, the lunar bound maneuvres are completed. Its time for preparations as the Propulsion Module and the Lander Module pic.twitter.com/0Iwi8GrgVR ISRO (@isro) August 16, 2023 Chandrayaan-3, which entered into the lunar orbit on August 5, has now entered the most challenging part of the mission - attempting a soft landing on the Moon's south pole. It entered the orbit circularisation phase on August 14 at around 11.50 am, according to a statement released by ISRO. The spacecraft achieved a near-circular orbit by lowering to a a 150 km x 177 km orbit. Thus, Chandrayaan-3 has now launched itself onto a path which is nearly round in shape - indicating its orbit around the moon is now almost round. Chandrayaan-3 Mission: Orbit circularisation phase commences Precise maneuvre performed today has achieved a near-circular orbit of 150 km x 177 km The next operation is planned for August 16, 2023, around 0830 Hrs. IST pic.twitter.com/LlU6oCcOOb ISRO (@isro) August 14, 2023 ISRO has been conducting planned orbit reduction manoeuvre of Chandrayaan-3 through retrofiring of engines, gradually inching closer to the moon. On the scheduled completion of the planned orbit reduction manoeuvre, a spot will be picked on the south pole of the Moon where the spacecraft is anticipated to attempt a soft landing. The lunar south pole has intrigued space agencies and scientists for years now, owing to the presence of water ice which could provide key support for establishing a future space station. Chandrayaan-3: The future of Indias lunar and space exploration hinges on this mission After a 40-day journey, which commenced on July 14, Chandrayaan-3 is projected to touch down on the Moon on August 23. Ever since its take off from the Satish Dhawan Space Centre in Sriharikota, all eyes have been on ISRO's moon mission, the completion of which will make India the fourth nation to have made a controlled lunar landing after the US, China, and Russia. Also Read Chandrayaan-3 decoded: The 40-day journey to the moon & thereafter The success of Chandrayaan-3 mission is critical to India's global position in the space exploration sector. The mission's successful completion will not just give India an edge over other nations in the world, but also open up a plethora of avenues for lunar and space explorations. Will they or wont they? At this point, its safe to assume they probably wont. We are talking, of course, about the much-discussed cage fight between Elon Musk and Mark Zuckerberg that has yet to materialise, despite dozens of increasingly-bizarre posts from the two billionaires. For some background: the idea of the two billionaires engaging in a bout took root when Elon Musk, 52, challenged Mark Zuckerberg, 39, to a fight on X (formerly Twitter). Zuckerberg responded on Instagram by writing, Send me the location. This exchange in June set off a war of words which still has no end in sight, despite the fact that Musks first tweet, by his own admission, was a joke. Soon afterward challenging Zuckerberg, Twitter owner Musk tweeted that he was up for a cage match in Las Vegas with the CEO of Meta, who has trained in jiu-jitsu. Over the next few weeks, the two billionaires shared photos from training sessions. In July, Musk tweeted that the fight may take place at the historic Colosseum in Rome. In never did, of course, but the tweets got more and more bizarre. Some chance fight happens in Colosseum Elon Musk (@elonmusk) June 30, 2023 In early August, Musk claimed that the much-awaited fight with Zuckerberg would be live-streamed on X (formerly known as Twitter) on August 26 and proceeds from the fight would be donated to charity. He later backed down saying he needed an MRI for his neck and upper back. Exact date is still in flux. Im getting an MRI of my neck & upper back tomorrow. May require surgery before the fight can happen. Will know this week," he wrote on August 7. Exact date is still in flux. Im getting an MRI of my neck & upper back tomorrow. May require surgery before the fight can happen. Will know this week. Elon Musk (@elonmusk) August 7, 2023 After this, he revived speculation about the fight himself by tweeting, on August 11, that the fight would take place at an epic location in Italy and would be managed by my and Zucks foundations (not UFC). Livestream will be on this platform and Meta. Everything in camera frame will be ancient Rome, so nothing modern at all. I spoke to the PM of Italy and Minister of Culture. They have agreed on an epic location, Musk wrote. The fight will be managed by my and Zucks foundations (not UFC). Livestream will be on this platform and Meta. Everything in camera frame will be ancient Rome, so nothing modern at all. I spoke to the PM of Italy and Minister of Culture. They have agreed on an epic location. Elon Musk (@elonmusk) August 11, 2023 Then, Musks biographer Walter Isaacson shared a screenshot of the text the Tesla owner sent Zuckerberg, telling him that they should do a practice bout at Zuckerbergs Palo Alto residence. Zuckerberg declined according to the screenshot, whose authenticity was confirmed by news website Variety. I got this text message from Elon Musk at 4:44am CT showing a screenshot of some text messages in which he tells Mark Zuckerberg they should fight this Monday at Zuckerbergs home in Palo Alto. pic.twitter.com/krgRsH5P5G Walter Isaacson (@WalterIsaacson) August 13, 2023 Things reached a head when earlier this week, an exasperated Zuckerberg declared that Musk was not serious about engaging in the bout. If Elon ever gets serious about a real date and official event, he knows how to reach me. Otherwise, time to move on, Zuckerberg posted Sunday on Metas Threads. Im going to focus on competing with people who take the sport seriously. Musks response to this was particularly unhinged, even by his standards. The Tesla CEO on Monday tweeted that he would be visiting Zuckerbergs home uninvited. He also said he would broadcast the drive on X so viewers can monitor our adventure in real-time! If we get lucky and Zuck actually answers the door, the fight is on! For the Tesla FSD test drive in Palo Alto tonight, I will ask the car to drive to @finkds house. Will also test latest X livestream video, so you can monitor our adventure in real-time! If we get lucky and Zuck my actually answers the door, the fight is on! Elon Musk (@elonmusk) August 14, 2023 According to Variety, this claim was obviously false since Musks private jet landed in Cleveland on Monday. Meanwhile, a spokesperson for Meta confirmed that Zuckerberg was travelling on Monday and not at home in Palo Alto. So whats the latest on the Elon Musk-Mark Zuckerberg saga? Well, as of a few hours ago, Musk is still tweeting about the fight that few believe will actually take place. Hes scared, hes like the kid who wants to fight in the playground but when the time comes, he runs away, he posted, referring to the Meta CEO. Fight Recap: I joked on X about fighting Zuck Zuck then said SEND ME LOCATION Italy graciously offered a Colosseum Zuck declined I suggested his home as safe space Tragically, he was ahem traveling Is there anywhere he will fight? https://t.co/gpcRLW49fv Elon Musk (@elonmusk) August 15, 2023 The two tech tycoons, who have occasionally jousted from afar, became direct competitors after Zuckerberg's Meta launched its Twitter-like Threads platform in early July. (With inputs from agencies) In a world where flying business class often seems reserved for the elite, one Australian traveller has cracked the code to attain luxury travel without breaking the bank. Meet Steph Georgiou, a psychologist whose ingenious approach has helped others take a crack at luxurious flying. Georgiou's journey of upgraded travel began on a regional flight from Melbourne to the Gold Coast with Australian airline Rex. The traveller snagged an upgrade for a mere $17, and her account of the experience went viral on TikTok. Georgiou recounted how she managed to achieve the coveted upgrade thrice within the span of a year, employing a method she now swears by. The first instance unfolded unexpectedly at the check-in counter. "I asked the man at the desk at check-in, 'how is business class looking,'" Georgiou recalled. "He said there is a seat available but you need to bid online. This was about 2 to 3 hours before my flight." Now, she swears by this technique. Her approach involves bidding the lowest possible amount close to takeoff, a tactic that's delivered undeniable results. For Georgiou, this tactic yielded two domestic upgrades, and a third on an international flight. Undeterred by her initial unsuccessful attempt, Georgiou's determination paid off when she secured a last-minute business class upgrade for her Bali-bound flight with Virgin Australia. "I am going to fly business class today," she confidently declared to her partner before the trip. Her bid was accepted the morning of the flight. Passengers can place bids within specified ranges for their flights, with the higher bids increasing the likelihood of an upgrade. This gamble comes with no risk, as unsuccessful bids do not incur charges and travellers retain their original seats. The Delhi High Court on Wednesday sought the response of the CBI on appeals by ex-coal secretary H C Gupta and former public servant K S Kropha challenging their conviction and three-year sentence in a case related to irregularities in coal block allocation in Chhattisgarh. Justice Dinesh Kumar Sharma admitted the appeals and said the two convicts, who were granted bail by the trial court, will remain out till the pendency of their appeals on furnishing a personal bond of Rs 1 lakh each. CBI counsel Tarannum Cheema accepts notice. The appeals are admitted for consideration and will come for a hearing in due course, the judge said. The trial court had in July convicted and awarded three-year jail terms to Gupta, Kropha and former senior public servant K C Samria in the case. They were, however, granted bail by the trial court to enable them to challenge their conviction and punishment before the high court. Besides, the trial court had also convicted and sentenced to four-year imprisonment ex-Rajya Sabha MP Vijay Darda, his son Devender and businessman Manoj Kumar Jayaswal in the case. After spending two days in jail, the Dardas and Jayaswal were granted interim bail by the high court on July 28. The high court had also issued notice and sought CBIs reply on their appeals challenging the conviction and sentence and seeking suspension of their punishment. The trial court had also imposed a fine of Rs 50 lakh on JLD Yavatmal Energy Private Limited, which was also convicted in the case. It had imposed a fine of Rs 15 lakh each on the Dardas and Jayaswal. The other three convicts were directed to pay a fine of Rs 20,000 each. During the hearing on Wednesday, the counsel for Gupta and Kropha argued there was no allegation of monetary gain to the accused and no quid pro quo in the case. The high court was also informed that both the convicts have already deposited the fine of Rs 20,000 each as imposed on them by the trial court. On the application seeking suspension of sentence awarded to Gupta and Kropha, their counsel submitted they have been sentenced to three-year imprisonment and were earlier also convicted in other cases in which they remained on bail and were never arrested. In its verdict, the trial court had said, "The present case relates to allocation of a coal block. The convicts had obtained the said block by committing cheating with the government of India. The prosecution is justified in saying that the loss to the nation was huge." In the 13th conviction in the coal scam, which rocked the erstwhile Manmohan Singh government, the trial court had on July 13 held the seven accused guilty under sections 120-B (criminal conspiracy) and 420 (cheating) of the Indian Penal Code (IPC) and relevant provisions of the Prevention of Corruption Act. The trial court had on November 20, 2014, refused to accept a closure report submitted by the CBI in the case and directed the agency to investigate it afresh, stating that Vijay Darda had "misrepresented" facts in his letters to then prime minister Manmohan Singh, who held the coal portfolio. Vijay Darda, the chairperson of the Lokmat Group, had done so to secure the Fatehpur (East) coal block in Chhattisgarh for JLD Yavatmal Energy Private Limited, it had said. The offence of cheating was committed by private parties in furtherance of a conspiracy hatched between them and public servants, it had said. The Lokmat Group is a multi-platform media company based in Maharashtra. JLD Yavatmal Energy Private Limited was allotted the Fatehpur (East) coal block by the 35th Screening Committee. The CBI had alleged in its FIR that JLD Yavatmal had wrongfully concealed the previous allocation of four coal blocks to its group companies between 1999 and 2005. However, in a closure report filed later, it said no undue benefit was extended to JLD Yavatmal by the coal ministry in the allocation of coal blocks. The CAG had initially estimated that the coal scam caused a massive loss of Rs 10.6 lakh crore to the exchequer, but its final report tabled in Parliament put the figure at Rs 1.86 lakh crore. The Union government has drawn up a Standard Operating Procedure (SOP) to provide for the appearance of government officials, in their official capacity, in court proceedings pertaining to government. The SOP comes at a time when both High Courts and the Supreme Court have been summoning government officials for non-compliance with their orders or to find out details pertaining to a case. Recently, the Supreme Court summoned the Director General of Manipur to find out what had been done to curtail the violence in the state. It may also be noted that the Calcutta High Court in early August suspended the Chief Secretary of Andaman and Nicobar Islands over non-compliance with an order. Since some High Courts have started live streaming their proceedings, clips of judges pulling up government officials have also gone viral on the internet. Where does it apply? The SOP is applicable to all court proceedings of government-related matters at the Supreme Court, High Courts and all other courts. It also covers contempt of court. What is its objective? According to the document, the SOP aims to create a more congenial and conducive environment between judiciary and government with a view to improve the overall quality of compliance of court orders by the government, thereby minimising the scope for contempt of court. It also intends to address issues related to appearance of government officials in courts. The SOP also aims at saving time and resources for both the court and the government by permitting the government official to appear online. What does the SOP say? The SOP lays down five suggested points of action when a government official is summoned A government official should be summoned to the court only in exceptional cases and not as a matter of routine. The court should practise necessary restraint while summoning government officials. Under exceptional circumstances when a government official must necessarily appear in the court, advanced notice must be given to them. They must also be given sufficient time to appear in court. Courts must give the officials an option to appear through virtual hearing links. Such links must be sent to the official at least one day in advance. Summoning a government official in cases where the government is not the contesting party, must be avoided. Comments on the dress/physical appearance/educational and social background of the government official appearing before of the court should be refrained. During his Red Fort address on Indias 77th Independence Day on August 15, 2023, Prime Minister Narendra Modi hailed Chief Justice of India (CJI) DY Chandrachuds efforts to translate important judgments of the Supreme Court (SC) to regional languages. Speaking at the flag-hoisting ceremony at the SC, the CJI said that, up to now, 9,423 judgments of the top court have been translated into regional languages. This is not the only move by the SC to improve access to justice. Over the last two years, and under the aegis of four CJIs, it has introduced a slew of measures. They include live-streaming of constitution bench cases, e-filing to reduce the use of paper, and electronic SC reports to ensure that judgments are accessed by all free of cost, easy access to the court through its portal and live transcription of arguments using artificial intelligence (AI). In the recent past, several steps have been taken to ensure easier access to justice and they have greatly benefited lawyers and litigants, said Misha Rohatgi Mohta from the law chambers of Nakul Mohta and Misha Rohatgi Mohta. What are these steps? Virtual hearing Indias SC is one of the first apex courts in the world to hold regular virtual hearings. Though it was introduced during the pandemic when Justice SA Bobde was the CJI, the move was the brainchild of DY Chandrachud, who continues to head the e-committee of the court. The court continued hearing cases through the virtual mode even after the pandemic ended. DY Chandrachud improvised on virtual hearings and added more infrastructure in the court halls, so that the hearings could be conducted in a seamless manner. Virtual hearings have improved the prospects of lawyers across the country as appearing in the apex court, which was at one point an expensive affair, could now be done at the click of a button. Lawyers from outside Delhi say that the SC continuing to permit them to appear online through videoconferencing links has made the court more democratic and it must not be discontinued. Not just lawyers, litigants who intend to participate in SC hearings can now log in to the courts designated hearing as opposed to traveling all the way to Delhi. We have already seen the most important development in the form of virtual hearings in the SC. Now, no one can say that they dont know what happens inside courtrooms, said Sameer Jain, managing partner at PSL Advocates and Solicitors. Suswagatham project Earlier, litigants who wished to attend court hearings had to stand in a long queue outside the court to get security clearance. This process was more cumbersome on days when fresh cases were being heard. Recently, the SC launched Suswagatham, a web portal through which litigants and lawyers can obtain entry passes. Commenting on this, lawyer Ekta Rai said: The Suswagatam portal will enable lawyers and litigants to apply for the entry pass online, saving not only the precious time of both lawyers as well as litigants but also significantly reducing crowd at the court premises early in the morning. Electronic Supreme Court Reports Launched in January 2023, the Electronic Supreme Court Reports (E-SCRs) provide free digital access to the official law reports of the apex court's reported judgments. This project enhances access to justice as a systemised version of SC judgments could earlier be accessed only through voluminous books or through paid services. The entire gamut of judgment of the apex court, starting from 1950, has now been digitised and made available for free. A lawyer or a litigant wishing to read the judgment could access the same by visiting the SC website. This facility reduces heavy costs incurred by lawyers in subscribing to various search engines. Another initiative of the SC is the neutral citation, which ensures easy accessibility of judgments, immediate publication and reduction of subscription barriers,Misha Rohatgi Mohta said. Translation of judgments The SC started translating judgments into vernacular languages in 2019 when Ranjan Gogoi was the CJI. What started off as a small project eventually snowballed into a big one. Most of these judgments pertained to local laws in the state whose language it was translated into, and thus it came in handy to many. In January 2023, the CJI appointed a committee led by Justice Abhay Oka to translate judgments to regional languages, thus ensuring that they are done at regular intervals. Judicial precedent stands as a crucial legal foundation in our nation. Numerous lawyers, especially those practising in trial courts, present their cases in native languages. Having access to a precedent in their mother tongue will strengthen their arguments, said Kartik Yadav, Partner, DSK Legal. Utsav Trivedi, Partner, TAS Law, noted that the introduction of regional languages/ translations of legal documents will not only allow common citizens to easily understand their rights and obligations, but also end the hegemony of a few select lawyers. Erasing regional barriers to the legal system will be a big step towards achieving the Bar and Benchs decades-long strife to promote meritocracy over favouritism in the profession, he said. Live-streaming and live transcription In October 2022, when UU Lalit was the CJI, the SC decided to live-stream constitution bench hearings on YouTube. This was a big step as the hearings in the top court of the country came to be live-streamed for free to the entire nation. This was also the first time that many in the country saw how proceedings take place in the SC. Though the court chose to live-stream only constitution bench proceedings, CJI DY Chandrachud has hinted at extending it to all court proceedings, going forward. Live transcription with the aid of AI was primarily used in commercial arbitrations abroad. However, the SC decided to introduce the same to its constitution bench proceedings. The court installed a monitor inside the court halls that transcribe the arguments of lawyers as they speak. These arguments are then uploaded to the apex courts website. This year, the Parsi community has geared up for a glorious and culturally-rich celebration of the Parsi New Year on August 16. Also known as Navroz and Nowroz, the day marks the beginning of the Iranian calendar year. Navroz is derived from two words Nav and Roz which translate to New Day. The day, also called the Persian New Year, is celebrated in many countries with Persian influence such as India, Iran, Afghanistan and parts of Central Asia. Navroz marks the commencement of the new year as per the Shahenshahi calendar. On this day, Parsis across the world meet each other to commemorate the special day. They also visit the sacred fire temples to offer prayers. It is believed that the souls of the departed visit their family and loved ones during this time to bless them. People clean and decorate their houses, wear new clothes and prepare delicious food to share with friends, family and the community. In India, Navroz is celebrated in the states of Gujarat and Maharashtra. Navroz wishes, greetings, SMS, WhatsApp messages to share with your loved ones: Navroz Mubarak to all those celebrating the Parsi New Year. May this day, you and your loved ones receive an abundance of joy, positivity and love. Happy Parsi New Year! Warm greetings on the joyous occasion of Navroz. This day symbolises new beginnings and may peace and prosperity prevail upon you and your loved ones. May this Navroz be a day of new beginnings and a start to only positivity and light in your life. On the glorious occasion of Navroz, I wish you a year full of happiness, good health and joy. Out with the old and in with the new. Embrace this New Year with open arms and only manifest positivity in your life. The Parsi New Year is a festival of faith and joy. I wish that you succeed in everything that you do and achieve everything that you aspire for. On this Navroz, I pray that may everyday be a celebration for you and your loved ones. May love surround you whenever you need it. I wish you have plenty of things to be grateful for. Navroz Mubarak. A high-end hotel in China has ignited a firestorm of criticism and controversy for its unusual policy of charging patrons an additional fee for taking a second bath or shower during their stay. The upscale establishment, which boasts rooms that come with a hefty price tag of 2,500 yuan (Rs 28,850) per night, has found itself at the centre of a heated debate over its water-saving initiative. The controversy came to light when an unidentified Chinese woman, who had booked a two-night stay at the hotel, stumbled upon an unexpected sign. The sign bluntly stated that guests would incur an extra charge for indulging in a second shower or bath. She shared a photo of the sign on social media that drew sharp criticism against the establishment. An unnamed staff member at the hotel in Yunnan province explained the rationale behind this eyebrow-raising policy, revealing that the establishment aimed to curb what it deemed "excessive water consumption" by guests who took multiple showers or baths. This water-saving initiative, according to the staff member, was especially crucial during the bustling summer holiday season when demand for water soared. The sign, which had been conspicuously displayed for a month, remained a mere warning as no guest had been slapped with the additional fee as of yet. The public's reaction to the hotel's unorthodox approach was swift and fierce. Online commentators wasted no time in expressing their incredulity, with one individual rhetorically questioning whether the hotel planned to charge for air conditioning usage next. Another skeptic pondered the implications of the policy for rooms housing two occupants. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept UK Prime Minister Rishi Sunak attended a 'Ram Katha' by spiritual preacher Morari Bapu at Cambridge University on Tuesday. He said that he attended the event not in his capacity as the British prime minister but as a Hindu. Sunak also commented on the significance of the katha (religious storytelling) coinciding with Indias Independence Day. It is truly an honour and pleasure to be here today at Morari Bapu's Ram Kahtha at the University of Cambridge on Indian Independence Day. Bapu, I am here today not as a Prime Minister but as a Hindu, Sunak said when invited to speak onstage at the event. He began his short speech with Jai Siya Ram and was seen greeting and felicitating the spiritual leader with a shawl before taking the stage. Rishi Sunak, the first Indian-origin prime minister of the UK, is a practicing Hindu. While speaking at the Ram Katha, he said his faith guides him in every aspect of life. For me, faith is very personal. It guides me in every aspect of my life. Being prime minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength and resilience to do the best that I can for our country, he said to rousing applause from the audience. Sunak said it was a wonderful and special moment for him to light diyas outside 10 Downing Street on Diwali back when he was the Chancellor. Just like Bapu has a Golden Hanuman in his background, I am proud that a Golden Ganesha sits gleefully on my desk at 10 Downing Street, he added. I leave here today remembering the Ramayana that Bapu speaks on, but also the Bhagavad Gita and the Hanuman Chalisa. And for me, Lord Ram will always be an inspirational figure to face life's challenges with courage, to govern with humility, and to work selflessly. Ghent University in Belgium is poised to launch an unprecedented literature course delving into the artistic depth of US popstar Taylor Swift's discography. Titled "Literature: Taylors Version," a nod to the artist's re-recorded album titles, the course is set to debut this autumn under the guidance of esteemed assistant professor Elly McCausland. McCausland, renowned for her blog "Swifterature," which dissects Swift's themes, imagery, and linguistic nuances by juxtaposing them with literary giants like Sylvia Plath, Charles Dickens, and William Shakespeare, brings her expertise to a academic exploration. "Highly prolific and autobiographical in her songwriting, Swift makes frequent allusions to canonical literary texts in her music," the class syllabus attests. "Using Swifts work as a springboard, we will explore, among other topics, literary feminism, ecocriticism, fan studies, and tropes such as the anti-hero. Swifts enduring popularity stems, at least in part, from the heavily intertextual aspect of her work, and this course will dig deeper to explore its literary roots." McCausland's syllabus extends a warm invitation to all, including those who may never have encountered Swift's music. The essence of the course lies in its capacity to unravel the intricate layers of Swift's artistry, employing her music as an entry point into a tapestry of literature that could have influenced her creative journey. McCausland is a distinguished academic with a Bachelor's and Master's degrees from Oxford University and a Ph.D. from the University of York. Swift's songwriting had been brewing in her mind as a subject worthy of comprehensive analysis for some time, crystallizing with the release of Swift's latest album, "Midnights. Students are set to engage in a multifaceted evaluation process. This includes a "reflection report," which might even take the form of a song, and a 4,000-word essay analysing the significance of a chosen text from the course within the broader literary canon. "Ill be delighted with everything that happens during this course," McCausland told CNN. "Im really excited to see what the students come up with. Notable institutions such as Stanford, New York University, Arizona State University, Berklee College of Music, and Rice University have introduced courses that delve into Swift's lyrical evolution, her impact on feminism, gender studies, and even American nationalism. Read: Taylor Swift fans cause seismic activity equivalent to 2.3-magnitude earthquake Swift is not the first popstar to get a course in colleges. In 2016, the University of Texas pioneered an English Literature course dissecting Beyonce's visual album "Lemonade" and its profound interplay with Black feminism. The subsequent year saw the University of Copenhagen unveiled a course: "Beyonce, Gender, and Race." Online travel aggregator Thomas Cook recorded its best-ever quarter in the April-May-June period of the financial year 2024 with full recovery in most of its business. However, one segment the travel services managed to reach 77 percent of the pre-pandemic level. The company attributed the delay in recovery in the segment to visa delays. The company's travel services segment comprises leisure and corporate travel along with destination management services (DMS) in India and globally. Its India travel segment has been affected due to visa delays and rejections. Visa issues "While the world has opened up, visas are still not easy to get. So, we can't offer package deals to those, who don't have visas with them. Schengen visa is not easy to get and the rejection rate is as high as 18 percent, which used to be 2-3 percent in pre-Covid days. We have had several cases in the past couple of months when visas were rejected due to which travel plans got cancelled," Debasis Nandy, President & Group Chief Financial Officer, Thomas Cook (India) told Moneycontrol. He said that in the case of families even if the visa of one person is rejected the travel plan for the entire family gets cancelled. "This has severely affected the number of tourists we can send overseas. Also, airfares continue to be high. For an average traveller, it gets expensive as a round trip ticket to Europe in 2019 that was costing Rs 65,000, today costs Rs 85,000. This is a 60 percent increase in prices and because of this we see some reluctance for foreign travel." The company still managed large travel groups and about 18,000 customers travelled to Europe via Thomas Cook and its subsidiary SOTC. It expects the number to reach about 25,000 customers by the end of the year. In addition, for the Cherry Blossoms tour that it launched, it reported close to about 2,000 customers that had booked the tour. There is gradual improvement in the visa situation for Indian travellers, Nandy said. "The visas scenario as compared to the last six months is a lot better today. We expect things will get normalised by the end of December 2023. This segment (travel services - India) will be back to 2019 levels by the last quarter of FY24." The company's international holiday volume recovered about 50 percent to the prepandemic level in the June quarter of FY24 while its domestic holiday business reported a 72 percent recovery. Go First impact The recovery in domestic holiday business was subdued after May when Go Airways announced the withdrawal of its flights on May 3 this year that dented the demand on the domestic side because the airlines connected to some of the ports that used to be in the top five destinations that the company sold on the domestic side, Thomas Cook's management said in Q1 FY24 earnings call. The travel operator registered a lot of cancellations and 35 percent of cancellations came in because customers did not want to travel by paying a higher price as airfares had gone up after Go First halted operations. Nandy said that for Go First a lot will depend on how they get back consumer confidence whenever they resume operations. Indias aviation regulator, Directorate General of Civil Aviation (DGCA) has conditionally allowed Go First to resume operations after carrying out a multi-location audit in July. "They will have to do certain things to build consumer confidence. It is also the question of when will they refund the money of all (travellers and travel agents) whose flights were cancelled when they (Go First) halted their operations. It will not be easy given the way they abruptly stopped operations. However, if they do come back we will certainly see a more balanced airfare at least on the domestic front because today Indigo runs 60 percent market share and it is becoming more like a monopoly. Getting additional flights will be beneficial and Go First's revival will be good for consumers as well as travel companies," he said. Foreign tourist arrivals While domestic and international holidays by Indian travellers have been affected, foreign tourists coming to India or the inbound business for Thomas Cook have seen a full recovery. "There have been a 104 percent recovery versus pre-pandemic level," Nandy said. He added that on an industry level, volumes for the foreign tourist business started reviving in January to March period and the volumes reached 50 percent of the pre-Covid level. "April to September is a dry period. So, in the second half of FY24, we expect bookings to pick up." G20 boosts business India hosting the G20 summit this year boosted Thomas Cook's Meetings, incentives, conferences and exhibitions tourism (MICE) business. "This year has been great for MICE travel. We are one of the three parties who have assisted the government for the G20 event and the additional business from that has been Rs 125-130 crore this year," Nandy said. The MICE travel segment reported a 105 percent recovery versus the pre-pandemic level. "The G20 Summit has been planned in a way that meetings took place in all major tourist destinations of India and not just in select metro cities. Because of this more interest will be generated for these destinations. Tourism contributes 10 percent to our (India's) GDP (Gross Domestic Product) and the government is trying to make sure it grows." Thomas Cook reported Rs 91.4 crore in consolidated operating profits in the June quarter of FY24 as against Rs 3.3 crore in Q1 FY23. It said that the strong order book is signalling robust business for the September quarter in FY24. New Delhi (India): Utilizing inhouse advanced marketing algorithm, the organization is committed to empowering brands and custodians equally by fostering enriched experiences, cultivating engaged communities, and implementing effective digital distribution models. Collectively, these initiatives contribute to the growth of key business metrics such as top- and bottom-line figures. Under the leadership of industry veteran Agam Chaudhary, two99.org has been orchestrating conversion successes for its clients across seven countries. Its raison d'etre is to guide enterprises to prosperity in the contemporary business environment, even in the face of competition from corporate behemoths. Mr. Chaudhary conceived of two99.org with the intention of assisting businesses in developing sustainable digital expansion and conversion strategies. At its core, the concept aims to anchor a brand's online presence on the sturdy triad of customer experience, community development/management, and customized distribution platforms tailored to each company's unique identity. "In the course of my work, I not only exchange the promise of tomorrow for today's value, but also work to make that promise today. Two99.org's Founder, Agam Chaudhary The Baseline: Over the decades, the industry has struggled to fathom the necessary path to success for both agencies and brands. A labyrinthine maze of random marketing and advertising efforts frequently led to a lack of clarity, resulting in haphazard agency reorganizations (and concomitant job insecurity) and business closures (signifying a loss of employment opportunities and economic momentum). Two99.org aims to reconcile this fractured landscape with its existence. To start a journey to build a successful and long-lasting online business, go to two99.org. About: Two99.org is an innovative online business optimization firm with a global presence. The company employs proven and bespoke business models in an effort to propel businesses to profitable and sustained online prominence. Two99 offers business growth and GTM solutions, along with the ability to integrate technology and other marketing channels, with one aim - your growth! Team Two99 can be reached at hello@two99.org & Agam Chaudhary at https://www.linkedin.com/in/agamchaudhary/ Moneycontrol Journalists are not involved in creation of this article. Blinkit founder Albinder Dhindsa has revealed that the sale of Band-Aids increased significantly on August 15 perhaps the fallout of people flying kites on Independence Day. Kite flying is a popular activity associated with Independence Day, which is celebrated on August 15 every year. The tradition of flying kites has its roots in the pre-Independence era when the Indian freedom movement was gaining momentum. Kites became a symbol of Indias soaring ambitions and even today, citizens celebrate Independence Day by flying kites. While its an activity that brings people together with kite fighting and is enjoyed by kids and grown-ups alike, flying kites is not without its own drawbacks, the biggest being kite string injuries. In fact, string-induced cuts rose on Independence Day 2023 as evidenced by the rise in the sales of Band-Aids on quick-commerce platform Blinkit. According to Albinder Dhindsa, the founder and CEO of Blinkit, by 12.15 pm on Tuesday, August 15, the sale of Band-Aids has increased 1.5 times as compared to an ordinary Tuesday. Its just 12:15 pm & Band-Aid sales today are already 1.5x more than what we sell on a regular Tuesday. Reminder to cut kites folks, not your fingers! he posted on X, the platform formerly known as Twitter. Its just 12:15 pm & Band-Aid sales today are already 1.5x more than what we sell on a regular Tuesday. Reminder to cut kites folks, not your fingers! Albinder Dhindsa (@albinder) August 15, 2023 Dhindsa also shared some other interesting insights on Independence Day orders on Blinkit. He revealed that every one in five orders in Delhi NCR yesterday contained a kite and a saddhi (ordinary string). Indians also bought the tricolour in droves to celebrate Independence Day. According to the CEO of Blinkit, by 11.20 am on the morning of August 15, 2023, they had already sold twice the number of flags than they did during the whole of Independence Day in 2022. A Twitter user shared her experience of going to IKEA and buying everything but the one thing that she needed the most. Sameera Khan shared a picture of herself at the Swedish brands store in Hyderabad and the bill that was generated was nearly as tall as her. Khan, the head of People Success at inFeedo, also runs a cafe named Goldspot in Goa. In her tweet that has gone viral, she said that she had gone to buy one lamp from IKEA. Instead of buying that, she bought a whole lot of other things from the furniture store. She shared a picture of herself while posing with the bill. The piece of paper appeared taller than her. Went to IKEA to buy ONE lamp. Forgot to buy the lamp, read the caption of the post. Went to IKEA to buy ONE lamp. Forgot to buy the lamp. pic.twitter.com/drnz1hi7wb Sameera (@sameeracan) August 10, 2023 The post went viral and social media users shared their amusing thoughts in the comments section. Haters gonna hate but this legit happens, a user wrote. Another user commented, IKEA and DMart are such places. You forget to buy the one thing you wanted, end up buying everything else. I have an unused lamp which I bought from IKEA. You can take that for discount, a third user chimed in. A fourth user remarked, Wow, the bill is actually taller than you. Some users also termed the same as rich people problems. This is not the first time that IKEA has come in the light on the microblogging platform. A YouTube content creator named Ishan Sharma went to IKEA Bengaluru for just five items but ended up spending Rs 80,000 after buying 78 items. He then went on to justify his shopping spree by putting it on the Swedish furniture giants tricks. Alibaba Group's work communication and collaboration platform DingTalk will split from the company's cloud division, according to two sources close to the company. The sources could not confirm the exact timing of the split and also did not confirm news reported by Chinese media outlet Caixin on Wednesday that DingTalk would pursue its own IPO in the near future. Alibaba's Cloud Intelligence Business Group did not immediately reply to a request for comment on the matter. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept Intel said on Wednesday it has terminated its plans to acquire Israeli contract chipmaker Tower Semiconductor as it was unable to get timely regulatory approvals for the $5.4 billion deal. Intel, which signed a deal to buy Tower last year, will pay a termination fee of $353 million to the latter, according to a statement. Intel, however, did not mention the details about the regulatory approvals. Reuters had reported late on Tuesday citing sources that Intel did not secure approval from Chinese regulators for the acquisition on time as required under the contract. USER CONSENT We at moneycontrol use cookies and other tracking technologies to assist you with navigation and determine your location. We also capture cookies to obtain your feedback, analyse your use of our products and services and provide content from third parties. By clicking on 'I Accept', you agree to the usage of cookies and other tracking technologies. For more details you can refer to our cookie policy. *We collect cookies for the functioning of our website and to give you the best experience. This includes some essential cookies. Cookies from third parties which may be used for personalization and determining your location. By clicking 'I Accept', you agree to the usage of cookies to enhance your personalized experience on our site. For more details you can refer to our cookie policy *I agree to the updated privacy policy and I warrant that I am above 16 years of age I agree to the processing of my personal data for the purpose of personalised recommendations on financial and similar products offered by MoneyControl I agree personalized advertisements and any kind of remarketing/retargeting on other third party websites I agree to receive direct marketing communications via Emails and SMS Please select (*) all mandatory conditions to continue. I Accept The decades-long ambitious gas pipeline project between Iran and Pakistan might conclude after Pakistan hinted "active engagement" with Iran. On August 9, Pakistan announced that they are actively engaged in talks with Iran to prevent international arbitration over the construction of a pipeline. While Iran has moved forward with its portion of the project, Pakistan is facing pressure from the US and Saudi Arabia and must prioritise its energy security. Nonetheless, as per recent reports, negotiations are ongoing. History The pipeline, worth $7.5 billion, with a capacity to carry 750 million cubic feet of natural gas per day was expected to be operational by 2014. However, the project has been under discussion between Iran and Pakistan since 1994. Iran signed a preliminary agreement with Pakistan in 1995. Later, on Irans suggestion, the countries planned an extension of the pipeline from Pakistan to India. Agreed upon, the countries signed a preliminary agreement with India in February 1999. The project was termed as Iran-Pakistan-India (IPI) Gas Pipeline and many experts described it as a 'Peace and Prosperity Gas Pipeline'. The three countries held several meetings and agreed on prices and other related issues. Fear of sanctions In 2008, India signed a nuclear deal with the US and the next year it withdrew from the project over pricing and security issues. In January 2010, the United States asked Pakistan to abandon the pipeline project as Iran is considered to be the geopolitical foe of the US. According to reports, the Obama administration assisted Pakistan from the US with the construction of a liquefied natural gas terminal and importing electricity from Tajikistan through Afghanistans Wakhan Corridor if they abandon the project. Moreover, in 2012, Saudi Arabia tried to pressure Pakistan to abandon the gas pipeline and offered oil supplies and also an oil facility and an oil terminal. Iran is under three types of international sanctions regarding its pursuit of nuclear activities. One set of sanctions is imposed by the UN while the other set is US-backed sanctions, which have full support from the European Union. In 2014, Pakistan gave the idea that the gas pipeline issue was off the table due to international sanctions on Iran. Pakistan acknowledged that the US and the UN sanctions on Iran had deterred cash-strapped Pakistan from laying the pipeline from the Iranian border to Nawabshah in southern Sindh province since signing the agreement with the Iranian government in 2013. According to the initial bilateral agreement, if Islamabad does not complete its part of the project by the end of 2014, it would have had to pay a daily penalty of $1 million to Iran until its completion. Earlier this year, Tehran warned Islamabad that if it failed to complete its section of the project by March 2024, it would be required to pay a penalty of about $18 billion. The first 902-kilometre (560 mi) part of this section runs from Asalouyeh to Iranshahr. The second 270-kilometre (170 mi) part runs from Iranshahr to the IranPakistan border. In Pakistan, the length of the pipeline is 785 kilometres (488 mi). Now what? During his recent visit to Washington, Pakistan's Minister of State for Petroleum Musadik Malik brought up the crucial issue with US officials. As per a report in Dawn citing diplomatic sources, Malik emphasised that his country is obligated to finish the project by March 2024 or incur hefty penalties amounting to billions of dollars. According to these sources, Washington is still reviewing the request. "We are using all of our creative thinking, as well as the legal instruments available, as well as the foreign policy instruments available, to make sure that Pakistan under no circumstances comes under sanctions," said Malik. "Pakistan was committed to the Pak Stream Pipeline project. The two sides are negotiating outstanding issues," the minister said, according to Dawn. Iranian Foreign Minister Hossein Amirabdollahian, who was on a three-day visit to Islamabad, spoke on the matter during a televised news conference with his Pakistani counterpart and host Bilawal Bhutto Zardari. We do believe that the completion of the Iran-Pakistan gas pipeline is definitely going to serve the national interests of our two countries, Amirabdollahian said. The World Food Programme (WFP) has complimented India for providing humanitarian assistance including food to people of Afghanistan that has been reeling under a humanitarian crisis since the Taliban seized power in Kabul two years ago. India continues to supply humanitarian assistance including medical and food aid for the Afghan people. "For the first half of this year, 16 million people in #Afghanistan received life-saving food from WFP. We are grateful for generous donors like #India who make that happen," the WFP said on X (formerly Twitter). In this endeavour, India has partnered with the United Nations World Food Programme (UNWFP) for the internal distribution of wheat within Afghanistan. Under this partnership, India supplied a total of 47,500 metric tonnes of wheat assistance to UNWFP centres in Afghanistan. The recent ongoing shipments are being sent through Chabahar Port and being handed over to UNWFP at Herat in Afghanistan. India has so far supplied almost 200 tons of medical assistance including essential medicines, COVID-19 vaccines and equipment like pediatric stethoscope and infusion pump. India has also continued its support for the Habibia school, Kabul and has sent assistance of winter clothing and stationary items for the primary students, people familiar with the matter said. Recently, India also partnered with the United Nations Office on Drugs and Crimes (UNODC) in Afghanistan to provide humanitarian assistance for the welfare of the Afghan drug user population, they said. Under this partnership, India has supplied 1100 units of female hygiene kits and blankets and medical assistance to UNODC, Kabul, they said. These items will be used by UNODC in their female drug rehabilitation camps across Afghanistan. India would be providing medical assistance for these rehabilitation camps, one of the persons said. India has been pitching for providing unimpeded humanitarian aid to Afghanistan to address the unfolding humanitarian crisis in the country following the capture of power by the Taliban. India has not recognised the new regime in Afghanistan and has been pitching for the formation of a truly inclusive government in Kabul besides insisting that Afghan soil must not be used for any terrorist activities against any country. The WFP is an international organisation within the United Nations that provides food assistance worldwide. Containment, suppression cannot halt China's development 08:47, August 16, 2023 By Zhong Sheng ( People's Daily In the early morning of August 10, Beijing time, the White House issued an executive order on addressing investment, restricting U.S. entities from investing in China's semiconductor, microelectronic, quantum information technology and artificial intelligence sectors. The executive order, which has long been in the making, has been widely questioned, criticized and opposed for its negative consequences. Due to its wrong China policy, the U.S. has failed to restrain its impulse for economic coercion and technological hegemony. This once again shows that the U.S., obsessed with maintaining hegemony for selfish interests, has become a saboteur of international economic and trade order and the stability of global industrial and supply chains. The U.S. has introduced the executive order to maintain its hegemonic status by tripping up China. In recent years, China-U.S. economic ties have faced serious obstacles. The root cause lies in the U.S. attempt to deprive China of its right to develop, handle economic issues with a zero-sum mentality, and undermine normal economic and trade exchanges with political manipulation. The current U.S. administration has not only continued imposing tariffs on Chinese exports, but also intensified the blockade and containment of China, repeatedly upgrading export controls and stirring up investment reviews against China. The U.S. attempts of "decoupling and breaking the chain," building "exclusive yards with high walls" and "de-risking" seriously violate the principles of market economy and fair competition. Ironically, while introducing the investment review, the U.S. has claimed it will maintain a long-term commitment to open investment. Such self-contradictory rhetoric only exposes its hypocrisy, and can by no means cover up its attempt to hinder open cooperation or appease U.S. companies suffering losses due to the executive order. In whitewashing the investment review, the U.S. once again brought up the excuse of "protecting national security." But facts in recent years have made it clear that the so-called "national security threats" referred to by the U.S. are a catch-all excuse with blurred boundaries. Under U.S. political manipulation, a globally popular video-sharing app, cargo handling equipment operating at ports, U.S. companies exploring the Chinese market, or Chinese enterprises investing in the U.S., have all been labeled as "national security threats." Even Chinese companies sharing technologies with U.S. partners have been depicted as causing U.S. "reliance" on Chinese technologies, and thus seen as threats. The U.S.' pan-securitization and pan-politicization essentially amounts to deglobalization and "de-sinicization." By constantly politicizing, instrumentalizing and weaponizing tech and trade issues in China-U.S. economic relations, the U.S. has not only damaged the interests of both countries, but also seriously disrupted international economic and trade order and destabilized global industrial and supply chains, harming the interests of the whole world. Currently, the global economic recovery faces formidable difficulties. The U.S. has obstructed global economic and trade exchanges and cooperation, and coerced its allies to join its technology blockade against China. Its rampant black hand of hegemony has aroused strong concerns and high alert in the international community. The International Monetary Fund (IMF) has warned that "a fragmented world is likely to be a poorer one." The U.S. believes that by restricting high-tech investment in China, it can hinder China's sci-tech innovation and impede the latter's development and progress. Such thinking is too naive. In today's world of close global economic and technological connections, no country can monopolize sci-tech innovation and development advantages. China has become a global highland of sci-tech innovation, and its confidence in accelerating self-reliance in high-level science and technology remains firm. The more sanctions the U.S. imposes on China, the harder China will strive to accelerate its own technological progress. With the advantage of the super-sized market, China adheres to expanding high-level opening up, and constantly optimizes the business environment, making it more attractive than ever to foreign investors. According to a report by the United Nations Conference on Trade and Development (UNCTAD), foreign direct investment (FDI) flows to China hit a record $189.1 billion in 2022. Against the continued downward pressure on global FDI this year, the overall scale of China's actual use of foreign investment has remained stable in the first half, with improved quality of investment. The U.S. restriction on its own entities' investment in China is forcing them to give up opportunities in China, a move that is doomed to be unpopular. Containment and suppression cannot halt China's development. Disrupting normal international economic and trade cooperation will only exacerbate its own problems and challenges facing the world. To find the right approach to handling China-U.S. economic ties, the U.S. must break mental shackles and abandon the distorted mentality of viewing China's development as a threat. It should earnestly fulfill its commitment of no intention to "decouple" from or impede China's economic development, and stop politicizing, instrumentalizing and weaponizing economic, trade and technology issues. Only in this way can a sound environment be created for China-U.S. economic and trade cooperation. (Zhong Sheng is a pen name often used by People's Daily to express its views on foreign policy and international affairs.) (Web editor: Chang Sha, Hongyu) Kim & Corbett - Hilary Barry's first meeting with Gary McCormick was the most Gary thing ever More FM's former newsreader Hilary Barry still remembers the first time she met Gary on an April Fool's gag 00:00 / 00:54 "It was an amazing opportunity for me because I had never been part of a breakfast morning show. I'd sit there between the news bulletins and if the guys wanted to ask for a female point of view, I'd be there to contribute. I learnt so much from the three of them. It was such an education for me as a broadcaster." The seal of the Office of the Attorney General of Guam is shown May 4, 2023, in Tamuning. Anti-abortion demonstrators protest outside the U.S. Supreme Court after the leak of a draft majority opinion written by Justice Samuel Alito preparing for a majority of the court to overturn the landmark Roe v. Wade abortion rights decision later this year, in Washington, D.C., U.S., May 3, 2022. REUTERS/Elizabeth Frantz/File Photo Republican U.S. Rep. Don Bacon of Nebraska, running for re-election to the U.S. House of Representatives in the 2022 U.S. midterm elections, appears in an undated handout photo provided October 11, 2022. /U.S House of Representatives/Handout via REUTERS /File Photo U.S. Senator Dianne Feinstein (D-CA) attends a Senate Judiciary Committee executive business meeting to vote on legislation and pending nominations before the committee, on Capitol Hill in Washington, U.S., May 11, 2023. REUTERS/Kevin Lamarque/File Photo U.S. Secretary of State Antony Blinken meets with Iranian-American writer Roya Hakakian, Iranian-American activist Sherry Hakimi and British-Iranian actress and activist Nazanin Boniadi to discuss the recent protests in Iran at the U.S. State Department in Washington, U.S., October 14, 2022. REUTERS/Leah Millis/File Photo Reporter Andrew Roberto was raised his whole life on Saipan. He graduated from Saipan Southern High School, holds a degree from Northern Marianas College, and a BA in English from the University of Guam. He once worked for KUAM, UNO Magazine, and the Guam Daily Post. Joyner Scott Sked, pictured Aug. 15, 2022, in the Superior Court of Guam in Hagatna, was given a life sentence for the murder of former Humatak Mayor Daniel Sanchez in April 2021. Prosecutors weigh second gun analysis in fatal shooting of cinematographer by Alec Baldwin View Photo SANTA FE, N.M. (AP) Prosecutors have received a second expert analysis of the revolver fired in the fatal shooting of a cinematographer by Alec Baldwin on the set of a Western film in New Mexico, as they weigh whether to refile charges against the actor. Baldwin has said the gun fired accidentally after he followed instructions to point it toward cinematographer Halyna Hutchins, who was behind the camera in rehearsal. Baldwin said he pulled back the hammer but not the trigger and the gun fired, fatally wounding Hutchins on Oct. 21, 2021, at a movie ranch on the outskirts of Santa Fe. Special prosecutors dismissed an involuntary manslaughter charge against Baldwin in April, saying they were informed the gun might have been modified before the shooting and malfunctioned. They commissioned a new analysis of the gun, along with other weapons and ammunition from the set of the movie, Rust, which moved filming from New Mexico to Montana. The new gun analysis from experts in ballistics and forensic testing based in Arizona and New Mexico relied on replacement parts to reassemble the gun fired by Baldwin after parts of the pistol were broken during earlier testing by the FBI. The new report examines the gun and markings it left on a spent cartridge to conclude that the trigger had to have been pulled or depressed. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver, states the analysis led by Lucien Haag of Forensic Science Services in Arizona. An attorney for Hannah Gutierrez-Reed, the weapons supervisor on the movie set, disclosed the report in a court filing Tuesday. Gutierrez-Reed has pleaded not guilty to involuntary manslaughter and evidence tampering in the case. Her trial is scheduled to begin in December. Defense attorneys for Baldwin did not immediately reply to an email Tuesday seeking comment on the gun analysis. A publicist declined comment. Special prosecutor Kari Morrissey said in an email Tuesday that a formal announcement on whether to refile any charges against Baldwin is forthcoming but didnt say how soon. In an early June court filing, prosecutors gave themselves 60 days to renew a case against Baldwin, contingent on a determination that the gun did not malfunction. A possible malfunction of the gun significantly effects causation with regard to Baldwin, they wrote. Authorities have not specified exactly how live ammunition found its way on set and into the .45-caliber revolver made by an Italian company that specializes in 19th century reproductions. The company Rust Movie Productions has paid a $100,000 fine to state workplace safety regulators following a scathing narrative of safety failures in violation of standard industry protocols, including testimony that production managers took limited or no action to address two misfires on set before the fatal shooting. An August FBI report on the agencys analysis of the gun found that, as is common with firearms of that design, it could go off without pulling the trigger if force was applied to an uncocked hammer such as by dropping the weapon. The only way the testers could get it to fire was by striking the gun with a mallet while the hammer was down and resting on the cartridge, or by pulling the trigger while it was fully cocked. The gun eventually broke during the testing. In Tuesdays court filing, Gutierrez-Reeds attorneys asked for new safeguards at trial to ensure the movie armorer cant be convicted if negligence by any other person was the only significant cause of death or changed the course of events in unforeseeable ways. Morrissey criticized the defenses request for special jury instructions as premature and a bid for media attention. Defense attorneys said they plan to present evidence that Gutierrez-Reed asked assistant director and safety coordinator David Halls to call her back into rehearsal if Baldwin was going to use the gun. She said that didnt happen before Hutchins was shot. In March, Halls pleaded no contest to a conviction for unsafe handling of a firearm and received a suspended sentence of six months of probation. He agreed to cooperate in the investigation of the shooting that also wounded director Joel Souza. Jason Bowles, an attorney for Gutierrez-Reed, said the new analysis of the gun that was fired at Hutchins supports the idea that there was no modification to the gun prior to the fatal shooting and that it fired as designed when broken parts were replaced. The new firearms report contains images of the broken, disassembled gun as delivered in July, along with images taken from a video of Baldwin in rehearsal prior to the fatal shooting, with his finger apparently resting on the trigger of the pistol. From an examination of the fired cartridge case and the operationally restored evidence revolver, this fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger, the report from Haag states. The only conceivable alternative to the foregoing would be a situation in which the trigger was already pulled or held rearward while retracting the hammer to its full cock position. By MORGAN LEE Associated Press Indiana revokes licenses of funeral home and director after decomposing bodies and cremains found JEFFERSONVILLE, Ind. (AP) The licenses of a southern Indiana funeral home and its director have been revoked following an investigation that found 31 decomposing bodies and 17 cremains being stored at the facility, the states attorney general announced Tuesday. Some of the corpses inside the Lankford Funeral Home and Family Center in Jeffersonville, which is owned by Randy Lankford, had been awaiting cremation for months, Attorney General Todd Rokita said. The investigation started in July 2022 after a coroners office reported a strong odor emanating from the building. The unrefrigerated bodies were found in various states of decomposition. An administrative complaint was filed earlier this year with the State Board of Funeral and Cemetery Service, and Lankford and his funeral home were charged with professional incompetence, failure to dispose of the 31 bodies in a timely manner, storing cremains at the facility beyond the legally permitted period and other charges, Rokitas office said. The funeral homes license and Lankfords funeral director license were permanently revoked on Aug. 3. The Associated Press left a message Tuesday morning seeking comment from Lankfords attorney. Lankford pleaded guilty in May to more than 40 counts of felony theft. He was charged with theft for failing to complete the funeral services for which he was paid. He also has to pay restitution to 53 families totaling $46,000. As part of his plea, Lankford was given a 12-year sentence split between time served, house arrest and probation. Jeffersonville is along the Ohio River, north of Louisville. North Korea offers the first official confirmation that it has detained US soldier Travis King North Korea offers the first official confirmation that it has detained US soldier Travis King View Photo SEOUL, South Korea (AP) North Korea on Wednesday offered its first official confirmation that it had detained a U.S. soldier who bolted into its country last month, releasing a statement through its state media attributing statements to the Army private that criticized the United States. One expert called the announcement 100% North Korean propaganda. There was no immediate verification that Pvt. Travis King actually made any of the comments about his home country. King, who had served in South Korea and sprinted into North Korea while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. The official Korean Central News Agency, citing an investigation, said King told them he decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is the official voice of leader Kim Jong Uns government, and its content is carefully calibrated to reflect North Koreas official line that the United States is an evil adversary. The report said North Koreas investigation into Kings illegal entry would continue. Verifying the authenticity of the comments attributed to King in North Koreas state media is impossible. WHAT MIGHT HAPPEN NEXT The United States, South Korea and others have accused North Korea of using foreign detainees in the past to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A U.S. Defense Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the U.S. had no way to verify North Koreas claims about King. The official said the Pentagon was working through all available channels to bring King back to the U.S. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didnt immediately express its intention to accept King as a refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Koreas description of King as an illegal entrant, rather than someone who voluntarily entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he wouldnt provide it with high-profile U.S. intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. THE BIGGER DIPLOMATIC PICTURE North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. King, 23, was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. U.S. officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonorable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden has provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. ___ Associated Press writers Lolita C. Baldor and Eric Tucker in Washington, D.C., contributed to this report. By HYUNG-JIN KIM Associated Press Indonesia burns marijuana plantation that was discovered by drones View Photo TEUPIN REUSEUP, Indonesia (AP) Indonesian authorities on Wednesday burned a marijuana plantation in the northern province of Aceh after it was discovered by drones. A joint team of the National Narcotic Agency, known as BNN, and the National Research and Innovation Agency using drones detected 4.5 hectares (11 acres) of land with an estimated 21,100 cannabis plants ready for harvest, said Wayan Sugiri, the deputy for eradication at BNN. The aerial operation was conducted from Aug. 3 to 13 in Teupin Reuseup village in North Aceh district. More than 150 officers from the police, customs and BNN were deployed to uproot the 20 tons of marijuana for burning Wednesday, Sugiri said. This is a form of the governments firmness against illegal drugs and their circulation, Sugiri said. The burning was the fifth this year, he said. In March, authorities burned 43 hectares (106 acres) with an estimated 190,000 marijuana plants. Indonesia, the worlds most populous Muslim-majority nation, adamantly prohibits the consumption of marijuana, even for medical treatment. Some countries, including Southeast Asian neighbor Thailand, allow its use for medical purposes, and others have decriminalized its recreational use. Last year, Indonesias Constitutional Court rejected a judicial review of the countrys narcotics law that would have paved the way for legalizing marijuana for medicinal use. The 2019 Global Drug Survey says cannabis is the worlds most commonly used drug after alcohol and tobacco. It is also the most used illicit drug in Indonesia, according to 2022 data from BNN. The agency estimates there are 4.8 million drug users in the country of more than 270 million people. The United Nations Office on Drugs and Crime says Indonesia is a major smuggling hub despite having some of the strictest drug laws in the world, in part because international drug syndicates target its young population. By RAHMAT MIRZA Associated Press Guatemalas veterans about face to support Sandra Torres for president View Photo GUATEMALA CITY (AP) Guatemalas largest military veteran organization endorsed presidential candidate Sandra Torres Tuesday after battling her during her first two bids for the presidency, showing just how far her progressive opponents surprise place in the runoff has shaken the countrys politics. Torres and her hosts at the Guatemalan Military Veterans Association painted her opponent Bernardo Arevalo as a threat to the countrys democracy and families. As she did during their first debate the previous night, Torres criticized Arevalo for not really knowing his country because he was born in Uruguay when his father, former President Juan Jose Arevalo, was in exile after the CIA-backed overthrow of his successor Jacobo Arbenz. Today more than ever Guatemala is in danger, Torres said, warning that Arevalo would dissolve the army, legalize same-sex marriage and expropriate private property. We dont want communism in Guatemala. Arevalo, a lawmaker, academic and former diplomat, has not said he would do any of those things, but the threat played well with the veterans in the crowd, who cheered and chanted in response. Arevalo shocked observers when he won the second spot in the runoff during a first round of voting June 25, because his Seed Movement party had been polling at less than 3%. Guatemalans will vote Sunday for Torres, who lost in runoffs twice already, or for the newcomer Arevalo. Retired Col. Edwin Gonzalez said the association represents some 380,000 veterans across Guatemala. Were talking about an important electoral force, he said. When asked why the association was now praising Torres after working against her during two previous campaigns, Gonzalez said she was the better option. Torres has drifted rightward since her days as first lady in the center-left government of her then-husband Alvaro Colom. Today were at the point where we have two candidates and one is a threat, Gonzalez said referring to Arevalos Seed Movement. The top threat, he said, was the possibility of same-sex marriage. Another its that a number of Guatemalan veterans have been prosecuted for war crimes during the countrys 36-year civil war and they are concerned an Arevalo administration could push more. Once again the veterans are coming out to save our country and if we have to go back to what we were before (active duty) to defend Guatemala were going to do it, Gonzalez said. But not everyone listening was convinced. Seated at the back of the open patio where Torres spoke, Antonio Hernandez Lopez said he liked Torres proposals to do more for veterans and protect Guatemalas conservative values, but said he would spend more time thinking about it and discussing it with his family before deciding who he will vote for Sunday. The retired 57-year-old army nurse said he liked that Torres appeared to be the opposite of Arevalo, but he didnt believe everything she was saying about her opponent, recognizing it as politics. He also doubted whether Torres would really help veterans even if she wanted to, because such aid would have to pass congress. The about-face by the veterans association also wasnt lost on him. Its ugly, Hernandez said. By CHRISTOPHER SHERMAN Associated Press Pollution in Venezuelas Lake Maracaibo threatens life in one of the worlds oldest lakes Pollution in Venezuelas Lake Maracaibo threatens life in one of the worlds oldest lakes View Photo MARACAIBO, Venezuela (AP) The fishermen of Lake Maracaibo say they face their worst nightmare everyday as fish stocks decline and pollution degrades the health of this great freshwater lake, one of the oldest and largest in the world. Lake Maracaibo, which once was at the heart of Venezuelas oil boom, has turned into a polluted wasteland, according to environmentalists. The pollution of the lake, located about 600 kilometers (372 miles) west of the capital, Caracas, is the result of decades of excessive oil exploitation, poor maintenance of the obsolete infrastructure and a lack of waste treatment plants in the area. Tens of thousands of kilometers of pipes lie at its bottom, where crude oil leaks and system failures are frequent. The lake, which collects rainwater from more than a hundred tributaries, has also become the wastewater deposit for the western states of Zulia, Merida and Trujillo, where 5.3 million people live. Waste from the Colombian department of Norte de Santander also ends up in it. Fertilizers, sewage and other chemicals are discharged into Lake Maracaibo, causing high concentrations of nitrogen and phosphorus that have led to the growth of cyanobacteria such as microcystin that produces 95% of what is locally called verdin, a greenish, toxic microalgae that occupies much of the lakes waters, according to Beltran Briceno, a professor at the University of Zulia and head of the microbiology laboratory of the Institute of Agricultural Research in Maracaibo. The proliferation of cyanobacteria can cause serious damage to both aquatic animals and human beings due to the toxins they generate, he said. Cyanobacteria can cause massive fish deaths. The fish no longer come near the shores of the lake because the microalgae drowns them, said Jose Aular, a 61-year-old fisherman who says he developed a skin rash because of the lakes contamination. Environmentalists say oil pollution in Lake Maracaibo began at the beginning of the 20th century but worsened in the early 1930s, when a canal was excavated at the northern end of the lake to allow large oil tankers to navigate and connect the lake with the open sea. Seawater flowed in, killing freshwater wildlife, such as some plants and fish. Before, you would go out fishing and catch 700 kilos (about 1,500 pounds) of shrimp almost all the time, said Yordi Vicuna, a 33-year-old fisherman. Now, he says, fishermen spend days at sea only to come back with about eight kilos (17 pounds) of shrimp. Fishermen cant cast their nets anywhere because they will get damaged. Crude oil spilled into the lake smears fishing boats, clogs outboard motors and stains nets, said Vicuna. The pollution of Lake Maracaibo is decades old, but now its being felt on its coast with its bad smells, oil spills and microalgae, said Briceno, the professor at the University of Zulia. There is no magic formula to rescue the lake if it continues to be used as a septic tank, he warns. ___ Associated Press writer Jorge Rueda contributed to this story from Caracas, Venezuela. By ARIANA CUBILLOS and JUAN PABLO ARRAEZ Associated Press Sheriff Bill Pooley addresses the Tuolumne County Supervisors View Photo Sonora, CA The degrading former Tuolumne County Jail facility in downtown Sonora still houses the sheriffs office dispatch and administration, and Sheriff Bill Pooley would like to see the county build a new facility at the law and justice center campus off Old Wards Ferry Road. The county hired an outside firm to do a financial analysis of the potential project, and it would cost around $32 million. At this weeks board of supervisors meeting, Sheriff Pooley stated, The cost is, I hate to say it, more than money. It goes to public safety. Over the last six months we have had six failures of our 911 system, and one of them for over an hour. Because of an outdated electrical system installed in the sixties, when there are power outages, sometimes the generators do not kick on. There was also a recent small fire in the electrical system. In addition, during the March flooding event, there was water running in, and a bucket was set up to catch it. Sheriff Pooley said there would be major issues if water ever gets onto the computers and creates electrical shorts in the dispatch center. Concluding, he stressed, My personal opinion is that this is the most critical piece of infrastructure in this county. District Three County Supervisor Anaiah Kirk questioned whether there are grants available, similar to what partially funded the new jail ($33 million received) and the juvenile hall ($16 million received). Sheriff Pooley said no, but stated that some places have gotten creative recently, including Kings County. He said Governor Newsom put a line item in the state budget for a new jail facility there as part of a compromise to use the former jail for homeless services. Supervisor Kirk responded, I like the idea, can we get an appointment with him (Newsom)? Deputy County Administrator Maureen Frank pointed out that the existing jail was no longer safe for prisoners, so it is unlikely the state would allow other people to be housed there (homeless residents). All of the Supervisors agreed that a new dispatch facility is needed, but they want to take a cautious approach, and not strap the county with a large new debt. It is estimated that the county would need about $1.6 million a year, over the coming decades, out of the General Fund, to build a new sheriffs office facility. District One Supervisor David Goldemberg noted that after the new jail and juvenile hall came online, the county was forced to do mass layoffs for a couple of years (combined with a slow economy), and he doesnt want to see that repeated. District Two Supervisor Ryan Campbell stated that he will only support moving the project forward if California or the federal government is willing to pay for a portion of it, like the jail facility. Board Chair Kathleen Haff agreed. If the county chose to move forward today, and fund it alone, it would take at least four years to complete. By seeking other revenue sources, and creative possibilities, it will push the project further down the road. The board also wants to review the big picture and how the project fits in with other facility needs. To view the project financial analysis completed for the county, click here. Trial begins for 2 white Mississippi men charged with shooting at Black FedEx driver View Photo BROOKHAVEN, Miss. (AP) Attorneys made brief opening arguments Tuesday in the trial of two white men in Mississippi who are accused of chasing and shooting at a Black FedEx driver who had dropped off a package at a home. Brandon Case and his father, Gregory Charles Case, were indicted in November on charges of attempted first-degree murder, conspiracy and shooting into the vehicle of DMonterrio Gibson in January 2022. Gibson, who was 24 at the time, was not injured. But the chase and gunfire led to complaints on social media of racism in Brookhaven, about 55 miles (90 kilometers) south of the state capital, Jackson. The trial is taking place in Brookhaven. District Attorney Dee Bates told jurors that Gibson made deliveries for FedEx on Jan. 24, 2022, while driving a rental van with the Hertz logo on three sides. Gibson dropped off a package at a home on a dead-end road, Bates said. Then, Gregory Case used a pickup truck to try to block the van from leaving, and Brandon Case came outside with a gun, the prosecutor said. As Gibson drove the van around the pickup truck and shots are fired, Bates said, with three rounds hitting the delivery van. Gregory Cases attorney, Terrell Stubbs, told jurors that his client saw a van outside his mother-in-laws unoccupied home and went to check what was happening. Gregory Case was just going to ask the van driver what was going on, but the driver did not stop, Stubbs said. The sun had already gone down. It was completely dark, completely dark, and somebody was in the wrong place, Stubbs said. It wasnt my client. Attorneys for Brandon Case did not give opening arguments. Testimony is set to begin Wednesday. During a news conference days after the confrontation, Gibson said he was wearing a FedEx uniform and driving an unmarked van FedEx had rented when he dropped off a package at a house. He said that as he was leaving, he noticed a white pickup truck pulling away from another house on the same large lot. Gibson said the pickup driver tried to cut him off as he left the driveway. He said he swerved around the driver and then encountered a second man who had a gun pointed at the van and was motioning for him to stop. Gibson said the man fired as he drove away, damaging the van and packages inside. The white pickup chased him to Interstate 55 near Brookhaven before ending the pursuit, he said. Carlos Moore, an attorney who represented Gibson in a lawsuit in federal court, compared the episode to the killing of Ahmaud Arbery, a 25-year-old Black man who was running empty-handed through a Georgia subdivision in 2020 when three white strangers chased him down and blasted him with a shotgun. Moore said Tuesday that Gibsons family is cautiously optimistic that theyll get justice here in Lincoln County. On Thursday, U.S. District Judge Daniel P. Jordan III dismissed the $5 million lawsuit Moore filed on behalf of Gibson in January 2023. The lawsuit was against FedEx, the city of Brookhaven, Brookhaven Police Chief Kenny Collins, Brandon Case and Gregory Charles Case. Jordan wrote that Gibsons attorney failed to prove FedEx discriminated against Gibson because of his race. The Cases alleged conduct is deplorable, Jordan wrote. But Gibson fails to state a viable claim against FedEx for which the Court would have original jurisdiction. Moore said Friday that he plans to sue in state court. He also said Gibson is still employed by FedEx and is out on workers compensation leave. By EMILY WAGSTER PETTUS Associated Press From a Spanish karaoke night in North Haven, a Latin Night in Woodbridge, to a Hispanic Heritage Day celebration in Manchester, Connecticut has a series of Latin events coming up that appeal to various interests. Here are five in-state events coming up in August and September. La Frontera hosts a Spanish karaoke night La Frontera Mexican Bar and Grill, located at 630 Washington Ave. in North Haven, is hosting a Spanish karaoke night on Friday, Aug. 18, from 7:30-11:30 p.m. The event will feature songs in Spanish and is free and open to the public, not including food. The event has two more dates available, including Friday, Aug. 25, and Friday, Sept. 1. To register for the event, visit the La Frontera Mexican Bar and Grill website. New England Brewing is hosting annual Latin Night The New England Brewing Company in Woodbridge is hosting its second annual Latin Night on Saturday, Aug. 19, from 4-7 p.m. Latin percussionist and Hartford native Nelson Bello will lead the evening with music. Guests can enjoy Latin food from Madelines Empanaderia, Solun bar tapas restaurant and Sabores de Lena. In addition, Cancion Tequila will be doing tequila tastings, and North of Havana Cigar and Lounge will have a pop-up set up for cigars. Entry to the event is free and open to the public. To reserve a spot, visit the Eventbrite website. Bomba performance group to perform at New Haven Green Puerto Rican Bomba performance group Proyecto Cimarron will perform at the New Haven Green at 250 Temple St. on Thursday, Sept. 7, at noon. The Bomba group is based in New Haven, sharing and preserving traditional Bomba rhythms and dance. In addition, the free event will feature food trucks and lawn games. Seating will be available. To learn more about the event, visit the International Festival of Arts & Ideas website. Annual Hispanic Heritage Day celebration in Manchester Manchester Neighborhoods and Families Division is hosting its annual Hispanic Heritage Day Celebration on Saturday, Sept. 16, from 11 a.m. to 3 p.m. at the Leisure Labs at the Mahoney Center, located at 110 Cedar St. The afternoon will include live music, dancing, food trucks, a vendor market and family activities. The event is free and open to the public. To learn more about the event, visit the Manchester Neighborhoods and Families Divisions Facebook page. Latin night to end Alzheimers disease in Hamden Alzheimers Association Connecticut Chapter is hosting a Latin night to end Alzheimers disease at Whitneyville Cultural Commons, at 1253 Whitney Ave. in Hamden, on Sunday, Sept. 17, from 4-7 p.m. The evening will include live music, dancing, food, a cash bar and vendors. Advance tickets cost $60 and are available on the Eventbrite website. Tickets are $70 on the day of the event. The association encourages guests to email a story and a picture of a family member impacted by the disease to latinnightalz@gmail.com to participate in a video that will play during the event. In addition, anyone can support the association by making a donation or becoming a sponsor by calling 475-522-0158 or emailing the cause at latinnightalz@gmail.com. If you know of any local event that interests and is relevant to our Latino communities, please share it with us via email: RJLatinos@record-journal.com. MERIDEN Four men face reckless endangerment, reckless driving and operating an unregistered motor vehicle charges related to reports of a group of people operating ATVs and dirt bikes recklessly in the city in June. Last Thursday, Jaime Velazquez-Santiago, 25; Collin Santiago Ramos, 28; Justin Raymond Potts, 28; and Josue Manuel Vega, 36, were charged with second-degree reckless endangerment, second-degree breach of peace, reckless driving, and operating an unregistered motor vehicle and without insurance following their apprehension and arrests in connection with the June 25 incident. Vega was also charged with operation with a canceled license. Meriden Police were dispatched to the area of Broad Street and East Main Street for the report of a group of people operating ATVs and dirt bikes recklessly in this area. Police observed approximately 15 to 20 ATVs and dirt bikes in a gas station parking lot just south of where the incident was reported. The operators were able to flee the lot. An extensive investigation by Officer Ethan Busa over the next several weeks included seeking assistance from brother and sister officers, area businesses with surveillance cameras, and input from community members. Many of the riders had masks on as they rode, but Busa was able to identify four that were involved in the incident. As a result of this investigation, two ATVs belonging to Ramos were also seized as a result of Busa completing a search warrant at a specific location believed to be the storage location of multiple vehicles. Velazquez-Santiago is being held on $5,000 bond; Ramos is being held on $22,000 bond; Potts is being held on $21,000 bond; and Vega is being held on $22,000 bond. They are due to appear in court on Aug. 25. chooks@record-journal.com203-317-2279 MERIDEN The day after his 18th birthday, on Nov. 6, 2022, Meridens Robert Barbera allegedly stole a 2018 Hyundai Santa Fe Sport from a Cheshire resident, according to an arrest warrant filed in June. Barbera was well-known to several police departments prior to last weeks crime spree that ended in Meriden. He and five other individuals were arrested Thursday and charged with armed robbery, car thefts, dangerous pursuits and arson from Torrington to Branford, Southington, Wallingford and Meriden. Barbera was charged with multiple counts related to the incident and initially held on $1 million bond. On Friday afternoon, the bond was reduced to $800,000. The only other adult charged, Kyle Mitchell-Howe, was initially held on a $500,000 bond, but saw his reduced to $100,000. Both men are in custody in New Haven. The four juveniles involved in the case were turned over to juvenile authorities. Police also discovered a 7-week-old kitten under the seat of the stolen car. According to a press release issued Tuesday by the Meriden Police Department, officers believe the kitten was acquired somewhere between the robbery in Wallingford, Branford, Southington and Torrington. Police hope someone recognizes the feline and can help reunite him with his owner. Those with information can call Meriden Animal Control Unit at 203-235-4179 or police communications at 203-238-1911. Robert is a known car thief and was arrested by Meriden Police in February 2022 for operating a vehicle stolen from Cheshire and engaging Meriden Police in pursuit, according to the warrant application regarding his November 2022 alleged theft filed in Meriden Superior Court. Because he was under 18 in the earlier Cheshire theft, Barberas DNA was taken from a cheek swab at the Manson Youth Institute where he was incarcerated. It matched a swab taken off the gear shift and steering wheel of a 2004 Toyota Camry stolen from a Cromwell resident on Christmas Eve 2022. That car was later recovered in Meriden, a half-mile from Barberas Broad Street home. Barbera also missed an Aug. 8 court date and now has a failure to appear charge on his lengthy rap sheet. According to a case report on last weeks spree, on Thursday at about 1 p.m., police responded to Hubbard Park for a report of attempted vehicle break-in or thefts. It should be noted that the city of Meriden has been plagued with car break-ins and motor vehicle thefts where operators of these stolen vehicles will travel at high rates of speeds with zero regard for the safety of citizens in instances completely unprovoked by law enforcement, according to the Friday case report written by Det. Benjamin Pellegrini. It was relayed to me that in some instances, operators of these stolen vehicles will intentionally drive toward police in aggressive manners showing a complete disregard for law enforcement and the laws of the state. The three suspect vehicles, a gray Honda Civic, a black hatchback, and a white Kia Forte, were found near the parks playground area. Police spotted four males with masks leaning into the Kia Forte. They jumped into the Honda Civic and black hatchback when they spotted police and fled onto West Main Street toward Southington, police said. The officer was unable to capture the fleeing cars and returned to the Kia Forte where she saw a flame inside the car that quickly engulfed it, police said. The plate was later traced to a car reported stolen in Wallingford. Police determined the Kia was involved in a recent larceny in which two males allegedly stole a bottle of Hennessy cognac from the Grog Shop liquor store at 1063 Old Colony Road. The business owner did not wish to press charges. However, he told police that the Kia was accompanied by a white Hyundai Elantra missing a bumper. Police found that car was reported stolen from a resident in an assisted living facility in Middletown on Aug. 8. The car was recovered two days later in New Britain, according to the case report. The white Kia Forte and the Hyundai Elantra were also involved in a purse snatching in Southington prior to the recovery of either vehicle, police said. The gray Honda Civic was located on View Street in Meriden, unoccupied with its engine running. Police determined it was the same car reported stolen in Meriden a day earlier. A witness gave police pictures of the car and the parties responsible for leaving the car in the roadway. The suspects left the area in a black SUV that matched the description of a Mazda CX-5 reported stolen in Darien, police said. Darien police shared photos of individuals captured on camera during their investigation which depicted males believed to be Robert Barbera, also known as Ace, and an unnamed juvenile both known by police to be involved in the theft of motor vehicles. The Mazda CX-5 and its occupants would be involved in a spree of purse snatchings/robberies in a Wallingford Walmart, Branford and Torrington throughout the day, according to the case report. Two firearms were displayed in Torrington. A witness in the Torrington robbery followed the Mazda and said an occupant of the Maxda CX-5 was hanging out the window displaying and pointing a handgun at the witness, according to the incident report. State police initiated a pursuit of the Mazda, which was able to elude police, who eventually lost sight of the vehicle. Meriden detectives continued to look for the Mazda, police said, due to the link to Meriden and the potential that the unnamed juvenile and Barbera were the occupants. They later discovered the vehicle and identified Barbera as the driver. The vehicle proceeded to operate on side streets at a high rate of speed completely unprovoked by police actions, according to the police report. Police initiated a pursuit. The vehicle accelerated at speeds without due regards to traffic, proceded to drive in opposing travel lane, and conducted evasive maneuvers. The vehicle crashed (into a) police vehicle at the location at 380 S. Broad St. injuring numerous officers. Six occupants, at least one being a female, of the motor vehicle fled on food and police chased and later apprehended all suspects. Pellegrini arrived at the scene and engaged in a chase of an unidentified male. Due to the fact that the suspects were involved in a recent armed robbery, I proceeded to unholster my firearm and pointed it at (unidentified) yelling commands believing he may be armed, he said. The suspect was captured without incident and taken into custody with the others. While in the police cruiser, the female spat at two officers, the report states. Medical attention was provided for those injured and two of the juveniles were taken to MidState Medical Center. Both were treated and released into police custody. One of the juveniles admitted to be in possession of a Glock style facsimile firearm and provided information about the arson of the Kia Forte, police said. Numerous credit cards allegedly taken during the robberies were found in the cars. City police said they will provide supplemental evidence and interview testimony to surrounding towns to pursue their own investigations and subsequent arrests. The juveniles appeared in Middletown Juvenile Court on Friday. Barbera returns to court on Sept. 6 and Mitchell-Howe on Sept. 22. mgodin@record-journal.com203-317-2255Twitter: @Cconnbiz SOUTHINGTON Southington will have a deputy town manager following the appointment of attorney Alex Ricciardone Monday night in a party-line vote. While Town Council members of both parties praised Ricciardones work, Republicans supported the appointment while Democrats opposed it. Democrats objected to someone being proposed for the deputy town manager position without a broader search and without more time for deliberation. Private practice to town corporation counsel Ricciardone is the towns corporation counsel and handles the majority of the towns legal work. He was appointed assistant town attorney in 2019 and town attorney in 2021. The town attorney represents the Town Council and isnt a town employee. The corporation counsel, a position created last year, oversees all other town legal matters, advises boards and commissions and is a town employee. Lou Martocchio replaced Ricciardone as town attorney, a part-time position. Martocchio and Ricciardone worked together at the Martocchio & Oliveira law firm. Ricciardone holds the rank of captain in the Air Force Reserve and processes court martials and discharges. Hes been practicing law since 2010. On Tuesday, Ricciardone described the appointment as a formality since hes already been performing many of the deputy town manager duties. I have had excellent conversations with almost every Town Council member since the meeting (of both parties) and they all expressed their confidence in my role going forward, Ricciardone said. I look forward to continue serving the citizens of Southington with the support of the Town Council. Resurrecting an old position Town Manager Mark Sciota said the position of deputy town manager will include all the legal and advisory work Ricciardone is already doing. In addition, itll include some administrative leadership of the town. That mix of responsibilities is nearly identical to the job description that Sciota himself had from 2006 to 2017. The presence of a town lawyer on a board or commission can help avoid lawsuits and provide legal guidance when making decisions. Ricciardone has already been attending Planning and Zoning Commission meetings, Sciota said, and this position just makes official much of whats already been taking place. Sciota didnt speculate on whether this move set Ricciardone up for the town manager role some day. Working with me will give him some administrative training, Sciota said. Its the Council that picks the next manager, not me. This certainly would give him administrative experience, which will assist me. Debate over process Republicans hold six of the nine Council seats. With an election looming, Council chairwoman and Republican Victoria Triano said she wanted to settle the deputy town manager position before the campaigning season began. I want this to be done prior to the craziness that running for office can hold, she said. I wanted this to be clean and clear Alex has done a tremendous job. The town manager has endorsed him. Paul Chaplinsky, Council vice chairman and a Republican, said while the appointment was a Council decision, Sciota had recommended Ricciardone. This is a position that is basically the number two or the right hand person for the town manager. I would say who better to know whos best for this role than the town manager, Chaplinksy said. Democrats, however, wanted a broader search and and more time for deliberation. This is a major position for our town. It was added to the agenda and now were voting on it quickly tonight, said Chris Palmieri, a Democratic councilor. He asked if there had been any internal job postings or interviews to fill the position. William Dziedzic, a Republican councilor and an attorney, said when filling a normal town employee position, that would be the process. He said an appointment for deputy town manager, a two-part role created by town ordinance, was different. Democrats moved to table the motion but were outvoted by Republicans who saw no reason to delay a vote on Ricciardones appointment. I can look any voter in the eye and say this appointment is a solid appointment, said Tom Lombardi, a Republican councilor. Were not going to find a better candidate. We all know this. We have a prime candidate ready to go. He doesnt need to fill out an application. Val DePaolo, a Democratic councilor and an attorney, said her partys objections didnt have anything to do with the work Ricciardone has done but rather how town residents would see the appointment. I dont think it shows fairness. I think it shows that were just trying to do this quickly, she said. Five Republicans voted for Ricciardones appointment and three Democrats voted against it. Dziedzic abstained from the vote. jbuchanan@record-journal.com203-317-2230Twitter: @JBuchananRJ Katy Slininger could see the crumbling plaster above her sons Lego set. She could see what appeared to be moss creeping up the wall of her apartments basement as moisture leaked in. She could see the gaps where the wood floor hadnt quite been finished. But she and other tenants in her Putnam apartment complex, a renovated old mill that opened in 2020, were also worried most about what they couldnt see: a lack of ventilation in the apartment, a suspicion of poor air quality in their homes. Then, this winter, the local health department found lead paint in some areas and several units with lead dust. Families took their children to get tested, and some of the youngest had elevated levels of lead in their systems. Tenants filed a lawsuit against the owners of the complex in March. Although several governmental agencies played a part in the renovations and inspections of the apartment complex, its not clear who was ultimately responsible for making sure it was lead-free inside. Now, the tenants are left in the lurch. Meanwhile, several tenants have organized a rent strike, more litigation is likely, and the local health department inspected the complex and ordered the lead cleaned up, while the state is working to get a contract to do a final lead assessment. The federal Environmental Protection Agency is also investigating the apartment complex and could levy fines against the owner, a spokesperson said via email. Lead dust By outside appearances, the Lofts at Cargill Falls Mill are a dream. Theyre in a walkable portion of downtown Putnam with easy access to trails, a bike shop nearby and a stream burbling through the center of the complex. The apartments have a hipster-industrial look, with exposed stone on the walls and original wood floors. For Jared Brongs family, it seemed like a good deal. And the only deal. In 2021, when they were apartment hunting, there were very few apartments on the market. These, he said, were advertised as luxury apartments, and with a young daughter and another child on the way, they wanted the best for their kids. The problems started the night they moved in. It rained, and water trickled in through leaks in five of their windows. They collected buckets of water that night, and despite repeated requests for maintenance, the problem persisted for months. Brong and his spouse started to get concerned about mold because everyone in the household was having symptoms brain fog, consistent congestion and headaches. After time dragged on and repairs werent finished, Brong decided to call the Northeast District Department of Health. The local health departments ensuing inspections found lead dust, and Brong got his kids tested after the department alerted residents to the issue. His daughters lead levels werent of concern, but his sons were too high. He had just begun crawling on the floors, so this was with being exposed just like weeks before, Brong said in an interview. The family purchased foam play pads from Target to cover the floor and encased the wooden beams throughout the apartment in plastic wrap to keep their kids from further exposure until they could find a new place to live. It was scary, he said. It was frustrating that they werent doing anything about it. By that time, the rental market was even tighter than it was when they moved into the Lofts. And Brong had the added stress of worrying about lead dust in the houses they looked at he was concerned theyd be exposed again. They wound up buying a house and moved out of the complex, but fear of lead was on Brongs mind throughout the whole process. The couple purchased a house built by a family friend, so Brong could know for sure that he didnt use lead or asbestos. But the battles persist for the other residents of the Lofts. Lawsuit filed A lawsuit brought by current and former tenants against the Lofts alleges that some of the families and children living there were harmed by the conditions. The residents current lawsuit aims to get more information about who was involved with the apartment renovations. They plan to file another lawsuit later that focuses more on the harm caused to residents, said their attorney, Scott Camassar. Young kids in the building were tested at their doctors offices, and some results showed elevated levels of lead in their systems, according to tenants and their attorney. Lead poisoning has an array of symptoms including stomach pain, sluggishness and developmental delays, and it is particularly harmful to young children. Much of the danger, especially to babies, is that they could get lead dust on their hands while they crawl, put their hands in their mouths and ingest the dust. Over the past couple of years, Connecticut has put more money into addressing the issue of lead paint exposure, which is particularly important in a state with older housing stock. Connecticut law requires every child between the ages of 9 months and 35 months get tested for lead poisoning each year. The state also has requirements that all units in an apartment with children under 6 get tested if one child tests above the normal limits for lead poisoning. The EPA is exploring increasing penalties for lead dust exposure nationwide. The proposal, announced in early July, would reduce the dust-lead hazard standards from 10 micrograms per square foot for floors and 100 micrograms for window sills to any reportable level greater than zero in recognition of the fact that there is no level of lead in dust that has been found to be safe for children, the Biden administrations press release said. Dust-lead hazard standards are used to determine when lead abatement is needed in buildings built before 1978. The amount of lead in dust that can remain after abatement would also be cut down under the proposal. Its insane that a young family can move into a newly renovated apartment in 2020 or 2021 and then have their baby suffer lead poisoning, Camassar said. Several of the tenants at the Putnam unit have formed a union and started a rent strike to protest conditions at the complex. Theyre paying rent to the court following local health department inspections. Connecticut allows tenants to pay rent to the court, rather than to their landlord, in cases where city officials have determined the housing doesnt meet certain living standards. If repairs arent made within 21 days, tenants can file a lawsuit, then pay rent to the court. Putnam tenants say they are frustrated at the lack of communication from management and how long it took to get test results back from the local health department. Slininger said waiting for the test results from the health department was stressful for all the tenants. We werent getting any updates, any communication, she said. We were obviously terrified, because we had no idea. A January statement from the Northeast District Department of Health says letters noting the presence of lead and asking to schedule inspections went out to tenants in late December. The local department initially found lead in a brick wall, baseboard, closet door and a wood floor filler. Several tenants in the lawsuit allege that elevated levels of lead were later found in their apartments. We are committed to protect the health and well-being of the tenants of The Lofts at Cargill Falls Mill, said Sue Starkey, NDDH Director of Health, in the statement. The role of NDDH in this response is to conduct investigations to identify lead hazards; write orders to the property owner to remedy the problem; and approve and monitor the plan for correction that is submitted to us. Slininger had particular problems with moisture and crumbling pieces of walls and ceiling that fall in her home. Sometimes small bits of the wall flake off and fall on her 4-year-olds toys, mixing with his toys or grinding into the carpet. Fleas have also migrated into her apartment from other units in recent weeks, biting her son, she said. Whos responsible? The 82-unit property opened its doors to tenants in 2020 after several years of renovations. The local health departments reports about toxic levels of lead surfaced during the winter. While management has started work to cover the areas containing lead paint, tenants dont think its enough. Communication has been infrequent, union members said. And there are still several spots where moisture seeps into the building. The state Department of Housing is contracting with the management company to do a full lead assessment and determine next steps. An agency spokesperson said they understand the original lead violations that occurred over the winter are no longer active. The Connecticut Mirror conducted interviews with tenants, visited the site and reviewed documents from the local health department to confirm some of the problems including moisture, gaps in the floor and debris from the walls and ceiling crumbling. The lawsuit filed in Superior Court is in the discovery phase, which could take several months. Initial filings from complainants asked for a wide range of documents as tenants try to figure out who was involved in opening the complex without first getting rid of the lead. The former owner was shot at the mill several years ago, and his killer was never caught. Work on the project to convert the mill into apartments slowed after his death. Tenant union members havent seen or heard from Leanne Parker, his wife and the current owner, in months, although shes retained an attorney. Messages left at the apartment office and with Parkers attorney, Jen Booker, werent returned. Tenants are frustrated they werent protected, even though much of the work to renovate the mill was government-funded. The complex benefitted from a myriad of state and federal grants and tax credits, including $5 million under the Competitive Housing Assistance for Multifamily Properties and at least $7.4 million in tax credits. The National Park Service and State Historic Preservation Office also noted it as a historically significant location, according to reporting by the Norwich Bulletin. While some improvements have been made at the complex, and the lawsuit is ongoing, they still wonder: How could this have happened? How were things done? The project at the old mill was touted as a way to preserve history while providing housing the state desperately needs. It was set to have a hydro-electric generator powered by a nearby stream. Early on, the director of development for the project told a local paper that it was the oldest mill in the country one building dated back to the 1730s. Some of the units were also earmarked as affordable and were open to people with housing subsidies that cover portions of their rent. Because of the affordability and the historic preservation, several state agencies were involved, although its not clear whether there was a mechanism in place to ensure there wasnt lead inside the apartments. The states Department of Energy and Environmental Protection and the Department of Economic and Community Development both offered state-sponsored help to remediate the property. DECD provided $750,000 under the Municipal Grant Program to conduct site-wide hazardous building material inspection and testing, abatement of asbestos-containing material, and shoring up buildings, said Jim Watson, a DECD spokesman. The lead abatement work was handled by the property owner through contractors hired by them, Watson said in an email. It is also DECDs understanding that the lead abatement work was conducted after the DECD-funded contractors were off the site after completing the DECD-funded scope of work. DECDs only lead-related work was handling limited lead contaminated building material waste that was created through the asbestos abatement and the tasks related to shoring up buildings. Town-contracted workers ensured that the lead-contaminated building material waste was removed, Watson added. Earlier in the redevelopment process, the property was a part of the Department of Energy and Environmental Protections Voluntary Remediation Program to get rid of pollutants, such as lead, that could spread to the soil or water. The program typically focuses on environmental factors whats outside the buildings and could affect the land, said Ray Frigon, assistant director of the departments remediation division. There are some exceptions if the department finds evidence that contaminants from inside a building may get outside, Frigon added. Properties either apply to the program or DEEP can approach them to let them know they need remediation. DEEP conducts an analysis of the contaminants and helps the property owner figure out how to best clean it up. Sometimes the work of cleaning it up is handed over to a Licensed Environmental Professional Program. Frigon said DEEP frequently works on residential properties and that converting old buildings into apartments is a good way to help address the states lack of housing. The Putnam apartments were previously a textile manufacturer. We are very fortunate to have public funding from the state of Connecticut and the federal government to accelerate the investigation and cleanup of these sites, which is absolutely fantastic, he said. These sites have infrastructure in place already sewer, water, electricity. But Brong said reading about the DEEP remediation was one of the reasons he felt comfortable moving his young family into an older building. He thought the inside of the buildings, where his kids would play, eat and sleep, had been properly remediated too. Frigon said the Department of Public Health is responsible for monitoring risk factors such as lead inside apartment buildings. But DPH spokesman Chris Boyle said DPH doesnt inspect for lead because that is the role of the local health director or a hired lead consultant. DPH did go to the site once to audit the abatement work and found no violations, Boyle said. DPH has not conducted any inspections at Cargill Falls apartments, as our role is to determine if companies that conduct lead abatement work are performing as required in regulations, he added. Local health departments have the authority to conduct inspections for lead paint hazards. State statutes require an inspection if any child under 6 has certain levels of lead in their blood. DPH also has not issued any warnings or fines at the Putnam apartments and leaves notification to families if their children have risked exposure to lead to local health officials, Boyle said. There is no regulation that requires inspections for lead hazards in rentals prior to move in, Boyle said. DPH does have a standing protocol with the Department of Housing which includes guidance on using licensed lead abatement contractors and/or EPA RRP certified firms (for abatement or remediation). Both procedures require clearance dust wipes being utilized at the end of the job, if lead was included in the scope of work. The local health department inspected several units earlier this year and found hazardous lead in several spots. In January, inspections found more than 70 instances of toxic levels of lead in paint in eight units and some common areas of three buildings. Wipes of dust found more lead. We know that recent news about toxic lead levels found at the Lofts at Cargill Falls Mill has caused concern, and we remain committed to keeping all those impacted informed and educated, said Linda Colangelo, NDDH education and communications coordinator, in a press release. Lead can be present in mansions and mills, and in buildings and homes built before 1978. We want people to understand the causes and dangers of lead exposure and the steps they can take to reduce their risk. Its important to note that most children exposed to lead do not appear to be sick, so a blood lead test is the best way to know if your child has had an exposure. The local department required lead abatement work in the areas, and tenants say some work has been done at the property. In May, the Department of Housing issued $72,000 from the Healthy Homes Fund to assess the situation, said DOH director of government affairs and communications Aaron Turner in an email at the time. DOH continues to monitor the situation, and we understand that according to the Northeast District Department of Health, the original notice of violation issued in February 2023 is no longer active, said spokesperson Meghan Bard in an emailed statement in late July. DOH is finalizing a contract with the property management company to do a full lead assessment to affirm that all issues are known and addressed, Bard said Rent strike As they wait for progress on their lawsuit, more than a dozen households in the apartment are on a rent strike. A rent strike is one of the sharpest tools a tenant union has at its disposal. Connecticut law offers six months of protection against retaliation for renters who form unions and has a process by which tenants can pay rent to the court. Rent strikes have been used historically to demand better living conditions. The first rent strikes in the United States date back to at least the 1830s. Tenants went on rent strikes at various times throughout the countrys history, including following the Great Depression. In 2020, rent strikes increased as many tenants struggled in the early parts of the pandemic. Many in New York City organized to go on a strike, marking one of the largest tenant organizing movements in decades. Connecticut has seen a wave of new tenant unions forming over the past couple of years. Theyre being formed in cities and towns statewide, drawing attention during the most recent legislative session to poor housing conditions and rent thats increasing at unsustainable levels. Many union members organized to push for Cap the Rent legislation, which would have limited how much landlords can increase rent annually. The bill did not get out of committee but drew large crowds to public hearings. Union organizers have said that if landlords dont want to listen to tenants concerns, they may have to go on rent strikes. Slininger said about 17 households in the complex have participated in the rent strike. Theyre paying rent into the court. That number has grown over the past couple of months, and while some have moved out, their money is still in the courts accounts rather than the landlords. Their union formed in January. Now, the halls of the apartment complex have signs pointing tenants to meetings and letting residents know which doors they can knock on if they want information about joining. Its a new experience for many members, Slininger said. Tenants are leaving quickly, meaning the union will have to work hard to keep its numbers up, she said. The statewide Connecticut Tenants Union last month went through a process to formalize their organization. The Cargill Tenants Union is a chapter of the statewide branch and has opened its membership to any Putnam renters. They have about 65 members total. Its just becoming obvious that were bleeding tenants, she said. So if were going to maintain any form of collective power, we have to bring in some more people. Lately, theyve been successfully fighting rent increases for tenants whose leases are being renewed, Slininger said. Thats one of the major focuses of the statewide organization as rents have increased in Connecticut at rates renters say they often cant afford. Without the union, she and others who joined arent sure the tenants would have gotten much help. And Brong is hopeful that the work, as well as the distress families have suffered, will spark change in Putnam and statewide. I just hope that this is resolved for the people that live there and will continue to live there in the future and this kind of serves as a lesson at least for the local community, Brong said. They should probably make some changes in the way things are done. This story originally appeared on the website of The Connecticut Mirror, www.ctmirror.org. WALLINGFORD Masonicare at Ashlar Village resident Blanche Schonn got two new neighbors early Tuesday morning. Although she's excited to have new people in the halls of the Pond Ridge assisted living facility, Schonn said she's already setting boundaries with her new hallmate, Annemarie Allen, a Quinnipiac University graduate student studying occupational therapy. Allen said Schonn approached her to warn her about keeping the noise down while also inviting her to regular puzzle nights and offering warm hugs whenever needed. "I knew we would be good neighbors," Schonn said after attempting to hand both of her new young neighbors chocolate lollipops. After a three-year hiatus, Masonicare is welcoming back two Quinnipiac students into their "Students-in-Residence" program, which was paused in the wake of the COVID-19 pandemic. Started in 2016, the students live in private apartments inside one of Masonicare's assisted living facilities throughout the school year, said Jacqueline Myers, recreation manager at Pond Ridge. In addition to their daily Quinnipiac course load, Myers said the students have to work about eight hours a week with the residents from assisted living or memory care facilities. The students will also be video blogging, or vlogging, their experiences at Masonicare and hosting events for the residents. "It gives the opportunity for the students to break the stigmatism of what it might be like for a resident living here," Myers said. "Also, it's really beneficial for the residents to have someone who can live here and give a lot of one-on-one attention that some residents might not be able to get. This is someone whose entire intent being here is to immerse themselves among the residents." Janelle Chiasera, Quinnipiac dean of the School of Health Sciences, said that by living with the residents, students develop a unique perspective on the inner workings of running assisted living facilities and what it means to live in one. She explained that the students often bring these experiences into the classroom, which enriches their learning and fellow students. "They come out as better professionals because they understand the textbook involved with long-term care, but also the real world of long-term care," Chiasera said. "Our students come out really understanding that there's a tremendous amount of wisdom at this facility and the zest for life and vitality." This year's students, Elise Maiorano and Allen, recently graduated with bachelor of science degrees in the spring, and both are pursuing graduate studies in occupational therapy. Maiorano and Allen learned about the Masonicare program at a presentation led by Myers and immediately submitted their applications together. Originally from New Jersey, Maiorano said she previously worked as a waitress for a retirement home as a high school student and loved the "spunk" of the community. She explained that she was inspired to pursue a career in geriatrics because of her parents and is looking forward to paying forward by helping the residents. Within her first few hours, Maiorano said she was already developing relationships with her neighbors and was looking forward to hearing their stories. "I just feel like I've had very meaningful interactions and connections," Maiorano said. Allen, of Maine, said living in an assisted care facility still has yet to fully sink in, although she has already decorated her room with a variety of plants. She said she's excited to create connections with her fellow residents based on mutual respect and a desire to learn from one another. "I think it's going to be an amazing experience learning from them, giving to them. It's a very mutual, symbiotic relationship," Allen said. cvillalonga@record-journal.com203-317-2448 Opposition parties and analysts strongly criticized the ruling elites attempts to politicize Zimbabwes military, with the Citizens Coalition for Change (CCC) vowing to reform the army once they come into power. The CCC spokesperson, Fadzayi Mahere, issued a statement on the occasion of Defence Forces Day, acknowledging the important role of the military but urging them to adhere to their constitutional mandate. Mahere expressed concern over the historical involvement of the army in partisan politics, particularly their support for the ruling Zanu PF party during elections. Instances were cited, such as the veiled coup threats made by General Vitalis Zvinavashe and other army commanders in 2002, and the ruling partys claims of having armed forces and war veterans on their side during the previous years elections. The CCC emphasized that under their governance, the military would never be deployed for partisan interests or to suppress peaceful demonstrations, ensuring that the professional standing of the defense forces remains intact. Various opposition party representatives also voiced their opinions on the matter. Zapu spokesperson Mso Ndlovu stressed the importance of the army remaining apolitical, protecting the country and its people without favoring any particular political group. Nhlanhla Ncube, secretary-general of the Freedom Alliance, condemned the militarys involvement in partisan politics as unconstitutional and detrimental to democracy, highlighting the militarys role in the installation of the current government through the November 2017 coup. Mqondisi Moyo, leader of the Mthwakazi Republic Party, expressed concerns that the military might be deployed against citizens in case of a disputed election outcome. Article continues below these jobs... Work In Zimbabwe Latest Jobs Painters on 21 August 2023 Almighty Painting & [] Software Developer on 21 August 2023 Red Circle HR Solutions is a [] Tax Consultant on 21 August 2023 Red Circle HR Solutions is a [] Data Security Analyst on 21 August 2023 Our client in the Fintech [] Overall, the opposition parties and analysts called for the depoliticization of the military, emphasizing the need for the armed forces to serve the country and its people impartially, safeguarding democracy and constitutionalism. Breaking News via Email In a dramatic statement, exiled former Cabinet minister Saviour Kasukuwere has sounded the alarm, calling on election observers and the international community to closely monitor the surge in political violence during this years elections. Kasukuwere, who was recently barred from running as an independent presidential candidate, expressed concern that the escalating violence could seriously undermine the credibility of the electoral process. Kasukuweres plea comes amidst chilling incidents of brutality, with the latest tragedy involving the stoning to death of Citizens Coalition for Change (CCC) supporter Tinashe Chitsunge by suspected Zanu PF supporters in Glen View, Harare. The leader of CCC, Nelson Chamisa, also fell victim to an attack on his convoy on the same day. These sh0cking acts of violence have raised serious questions about the safety and fairness of the democratic process. Speaking out against these disturbing incidents, Kasukuwere condemned the attacks as an assault not only on the victims but also on the very foundations of democracy. He emphasized the need for observers and international bodies to play an active role in monitoring the voting process and ensuring that violence is curbed. Kasukuweres call for action reflects the growing concerns among Zimbabweans, as an Afrobarometer survey revealed that 70% of the population fears being caught up in violence surrounding the elections. Demanding justice for victims and denouncing intimidation and harassment, Kasukuwere made it clear that no Zimbabwean should have to pay the price for exercising their democratic rights. He stressed that a nation governed by the principles of freedom, fairness, and equality should have no place for such violence. Article continues below these jobs... Work In Zimbabwe Latest Jobs Painters on 21 August 2023 Almighty Painting & [] Software Developer on 21 August 2023 Red Circle HR Solutions is a [] Tax Consultant on 21 August 2023 Red Circle HR Solutions is a [] Data Security Analyst on 21 August 2023 Our client in the Fintech [] While President Emmerson Mnangagwa has pledged to maintain peace before and after the polls, human rights watchdogs have pointed fingers at his ruling party, Zanu PF, as the main perpetrator of violence. However, the party vehemently denies these accusations. As Zimbabwe braces for a highly contentious election, the world watches closely to see if the international community can help ensure a fair and peaceful democratic process. The fate of Zimbabwes credibility hangs in the balance as the nation grapples with the urgent need to address the alarming rise in political violence. Breaking News via Email In a dramatic turn of events, law enforcement authorities in Harare have apprehended 40 members of the Citizen Coalition for Change (CCC) for allegedly conducting an unsanctioned rally and causing a major traffic disruption at Machipisa Shopping Centre in the Highfield suburb. The arrests were made under the provisions of the Maintenance of Order and Peace Act (MOPA), according to a statement by National Police Spokesperson, Assistant Commissioner Paul Nyathi. Assistant Commissioner Nyathi confirmed the incident, stating, The Zimbabwe Republic Police confirms the arrest of 40 Citizen Coalition for Change (CCC) activists in Machipisa this afternoon for contravening provisions of the Maintenance of Order and Peace Act, Chapter 11:23 (MOPA). The opposition party members had initially planned to hold a rally at Churu Farm outside the capital, as per their notification to the local Regulating Authority for Harare South. However, they deviated from the planned rally and embarked on a car procession from Glen View to the Highfield suburb. According to Assistant Commissioner Nyathi, the CCC convener, Lawrence Materege, and Gladmore Hakata, made the decision to divert from the notified rally and proceeded with a car rally procession along Glen View, High Glen Road, Main Street, and Machipisa Road, ultimately causing a disruption at the OK Machipisa traffic lights by openly blocking traffic. Upon their arrival at Machipisa Shopping Centre, the CCC members began chanting slogans, further exacerbating the traffic situation. A concerned resident promptly reported the incident to the police, leading to the subsequent arrest of the opposition party members. Assistant Commissioner Nyathi stated, They started to chant party slogans as they sang, and a concerned citizen made an official report to the police. Our officers reacted and arrested 40 CCC activists who were led by Gladmore Hakata, who actually scuffled with the police officers during the arrests. During the operation, the police seized trucks and radio speakers that had been used for the unsanctioned rally by the opposition party members. Assistant Commissioner Nyathi seized the opportunity to remind all political parties to comply with the law, particularly as the country approaches the upcoming election on August 23. As police, we continue to implore political party conveners, their leaders, and supporters to comply with the provisions of MOPA and to strictly adhere to the rally venues and times notified to the local regulating authorities for the purpose of maintaining law and order in the country, emphasized Assistant Commissioner Nyathi. The arrests have sent sh0ckwaves through the political landscape, raising concerns about the potential impact on the upcoming elections and highlighting the importance of adhering to legal protocols during political activities. Breaking News via Email AN eight-man armed gang stormed Sino-Zimbabwe Cement Company (SZCC), 20 kilometres west of Lalapanzi in the Midlands province, and got away with a variety of mining equipment whose value could not be immediately ascertained. Sino-Zimbabwe Cement Company is a joint business venture between a Chinese Foreign Direct Investment partner, China Building Material Corporation for Foreign Econo-Technical Co-operation (CBMC), and the Industrial Development Corporation of Zimbabwe Limited (IDC). Midlands police spokesperson Inspector Emmanuel Mahoko said on August 31 around 2AM, the eight-member gang armed with machetes and logs, pounced on the mine, where eight security guards were on duty. Four of the suspects shattered the windscreen of the security guards motor vehicle before manhandling two guards who were in the vehicle while the other four suspects went to the main plant where they also manhandled four security guards, he said. All the guards were tied with wire and ropes after which they were force-marched to a nearby bush where they were heavily assaulted with logs. One of the robbers was left to keep an eye on the guards while the others went to the magazine site and stole 21 SB codes, 2121 rills of power codes and 76 boxes of Emex 29 x 270 explosives, and drove away in an unidentified truck. Police attended the scene and instituted investigations. In a seperate incident, Insp Mahoko said a 29-year-old man was robbed of US$420 by a gang of four, comprising two women and two men, who gave him transport in an unregistered Honda Fit. According to the police, on August 13, around 12 noon, the victim Simelukuthula Ncube of Fitchlea, Kwekwe, boarded an unregistered black Honda Fit at Senderai roundabout in the Central Business District going to Sebakwe Block plots outside the town. Ncube occupied the back seat in the vehicle, which had four men, the driver and three passengers, one man on the front seat and two females at the backseat. They drove in the Harare direction and upon reaching the 204 Km peg, near Sebakwe Bridge, the driver pulled the vehicle off-the road and parked, said Insp Mahoko, adding that the male passenger got off the vehicle and opened the back passenger door from outside. He pointed the complainant with an unidentified pistol and ordered him to surrender all his belongings. The two women searched Ncube and took a wallet with cash amounting to US$420 and an Itel S18 Pro cellphone. They then pushed the complainant out of the vehicle and drove away. Insp Mahoko urged members of the public with information on both cases to report to the nearest police station. Breaking News via Email Yves here. Forgive me for expressing my considerable frustration with this article. On the one hand, it does describe a problem that is routinely ignored: they way private police are clearing the homeless out of public spaces, and beating them up while doing so, and the regular cops are not defending the rights of the homeless even when bystanders present evidence the private cops were out of line. Author Sonali Kolhatkar points out that homelessness is primarily the result of the lack of affordable housing. When I was a kid who had just come to New York City, and Manhattan had plenty of ungentrified hoods, there were also plenty of single room occupancy hotels where the poor could still get a bed and have access to a bathroom. As the tide turned back in favor of city living, those buildings were purchased, razed, and replaced with upscale housing. So there is some merit in describing where ultimate solutions lie. But what about the fake cop abuse right in front of her? What about action to combat that? The homeless man beaten up in this account was on a public sidewalk. A private guard has jurisdiction only over the private property of the party that hired him. It does not extend to public areas, or even to the property of someone who does not employ him, say a neighboring business. Private guards similarly are not allowed to use force save defensively. It is disappointing not to see Kolhatkar spell out why the guard was acting illegally, since far too many are deferential to men in uniforms, much the less suggest action steps, like filing a report with the local precinct and ccing the chief of police, the mayor, and important local media (the big local TV stations, any important local papers). One report wont change things but a series of complaints will raise the specter of bad press about out of control security thugs. Another vehicle for embarrassing local police to rein in private guards would be to set up a site and solicit and upload videos of police abusing the homeless. That would take some effort but not a lot of money, assuming a few volunteers. Consider a different sort of abuse by fake cops, which got national attention because the victim was more sympathetic than a homeless person. In 2017, the 69 year old Dr. Dao was forcibly removed from a United plane when he refused to give up his seat upon request to give it to airline personnel, resulting in Dr. Dao suffering a broken jaw, loss of teeth, and a concussion. We excoriated the absolutely terrible United and fake cop reporting in United Passenger Removal: A Reporting and Management Fail. A critical fact universally ignored is that once a passenger is in the seat, unless he has been disruptive or is otherwise arguably a danger (and Dr. Dao was not) the airline has no right to remove him. Here is the section germane to this post: Lack of discussion of the status of the airport security personnel. The Financial Times was one of the few publications to be early to describe the airport security staff correctly, as security officers of the Chicago Department of Aviation. The Department of Aviation is a self-funded governmental unit (virtually no municipal airports in the US have been privatized). Its security personnel are airport police. They are not part of the Chicago Police department but appear to have their own special purpose authority within the airport. A quick check at the time suggested that airport security personnel across the US overwhelmingly are not regular police and therefore have limited legal authority. It came out in later reports that these Chicago Department of Aviation workers had behaved improperly even before getting to the issue of use of force. These private guards have vastly more limited legitimate power. I wish I had the time, but why dont homeless advocates develop short scripts for members of the public to use when they see these guards acting illegally? There are internet guides on how to talk to actual cops when they want to abuse their authority, like inspect your car without having probable cause. Why not here too? Aside from acting humanely, there are other reasons for citizens to know how to call out private guards trying to act on public property. It is in your selfish interest to prevent the misuse of private power. By Sonali Kolhatkar, an award-winning multimedia journalist. She is the founder, host, and executive producer of Rising Up With Sonali, a weekly television and radio show that airs on Free Speech TV and Pacifica stations. Her most recent book is Rising Up: The Power of Narrative in Pursuing Racial Justice (City Lights Books, 2023). She is a writing fellow for the Economy for All project at the Independent Media Institute and the racial justice and civil liberties editor at Yes! Magazine. She serves as the co-director of the nonprofit solidarity organization the Afghan Womens Mission and is a co-author of Bleeding Afghanistan. She also sits on the board of directors of Justice Action Center, an immigrant rights organization. Produced by Economy for All, a project of the Independent Media Institute During a recent visit to Portland, Oregon, my husband and I watched a private security guard help up an unhoused man from the sidewalk. Three white women looked on at the interaction that took place in the trendy Nob Hill neighborhood on August 7, 2023, right in front of a yoga studio. But the guard was not responding with compassion. Seconds earlier, the tall and very muscular man sporting a flak jacket emblazoned with the word security, had walked right by me toward the unhoused man and savagely knocked him to the ground without provocation or warning. Blood streamed from the victims face and onto the sidewalk. He stood up as the guard hovered over him and stumbled toward the damaged glasses that had fallen off his face during the assault. The guard, who was twice the mans size, picked up and offered him the hat that had also fallen off his head and ushered him away. Its increasingly common to see private security guards patrolling the streets of Portlandconsidered one of the most progressive cities in the United States. Not only are businesses banding together to pay for private armed patrols, but even Portland State University is using such a service on its campus. The city of Portland also recently increased its private security budget for City Hall by more than half a million dollars to hire three armed guards. The trend is a knee-jerk response to sharply rising homelessness. There are tents belonging to unhoused people sprinkled throughout downtown Portland and Nob Hill. Like much of Portland, many of the unhoused are white, but, as Axios in a report about a homelessness survey pointed out, the rate of homelessness among people in the Portland area who are Black, Hispanic, Native Hawaiian or Pacific Islander grew more rapidly than among people who are white. Three summers ago, Portlandone of the nations whitest citieswas also an epicenter of the nationwide racial justice uprising in response to the police murder of George Floyd in Minneapolis. There are more Black Lives Matter signs in Portland than Black people, joked one Black resident to the New York Times. As Donald Trumps administration sent armed federal agents to Portland to quash the uprising, the citys residents and officials came to symbolize a heroic resistance to rising authoritarianism. The brutal savagery of what we witnessed in Nob Hill was in jarring contrast to the signs, stickers, and posters that many Portland businesses continue to display on their windows, declaring that Black Lives Matter, or All Genders are Welcome, and that promise safety to everyone. Everyone but the unhoused, apparently. Shocked by the violence of the security guards assault, my husband and I confronted the perpetrator. He responded that hours earlier the victim had allegedly assaulted a woman in the neighborhood. In the seconds before he was attacked, however, I had walked within a few feet of the unhoused man as he muttered to himself in what sounded like a mix of English and a foreign language. The man had been minding his own business. In a detailed three-part investigation for Oregon Public Broadcasting (OPB) in December 2021, Rebecca Ellis examined how businesses have begun paying unknown sums of money to hire private security patrols. According to Ellis, Private security firms in Oregon are notoriously underregulated, and their employees are required to receive a fraction of the training and oversight as public law enforcement. She added, They remain accountable primarily to their clients, not the public. Business owners and residents are claiming that rising homelessness is the result of increased drug addiction, forcing them to resort to private security. But researchers point to high rents and a lack of affordable housingnot drug useas the cause of people living without homes. As we responded to the assault against the unhoused man with an appropriate level of shock, the three white women who had also watched the incident unfold rushed to the guards defense. They seemed to know instinctively by our visible horror that we were visitors to the city, and informed us in no uncertain terms that the guard was simply doing his job. Leave the poor man alone, said one of them, sporting what appeared to be scrubs (I wondered, was she a health care worker?). She wasnt referring to the victim, but rather his assaulter. Meanwhile, an employee of prAna, the storefront where the attack took place, shooed us away from the still-wet blood spatters that now stained the sidewalk. He used a spray cleaner to wipe away the evidence, seconds after I photographed it. The yoga studio, which also sells high-end clothing, boasts on its website that the Sanskrit word for which it is named, is the life-giving force, the universal energy that flows within and among us, connecting us with all other living beings. Although the unhoused man bled the same way as any of us would, he was not seen as a living being in the moment that the security guard brutally slammed him into the sidewalk. He was an inconvenient object, a nuisance, marring the enjoyment of consumers who simply wanted to practice their mindfulness without having to face the ugly underbelly of racial capitalism. The consequences of private muscle are as serious and as potentially deadly as state power. In 2021, a private security guard named Logan Gimbel was sentenced to a life term in prison for fatally shooting a resident named Freddy Nelson with an unlicensed firearm. Ellis reported in the second piece of the OPB series that a private security guard working for a company named Echelon had engaged in a brutal assault on a 46-year-old unhoused woman named Katherine Hoffman. The assault sounded similar to what I had seen happen in Nob Hill. When speaking with police, the guard who beat Hoffman with his baton bizarrely claimed it was the baton that did it, not he. I had it in my hand, I didnt hit her with it, he told police. But it did hit her. The mercenary reliance on private security is embedded in a belief that Portlands police have been defunded. But detailed analyses such as this one reveal that it is not true that the police force has been stripped of funding. As was the case in many American cities, Portlands city council representatives initially paid lip service to racial justice protesters in the summer of 2020 by voting to make modest cuts to police budgets, only to restore them merely months later. There is indeed a serious problem of homelessness in Portland and the business owners who have resorted to private security claim they simply want to clean up the problems that the city refuses to. A political battle is ensuing over allowing homelessness to flourish rather than cracking down on the unhoused. But there is a glaring omission in the police-versus-private-security and violence-versus-the-unhoused fights, and that is the fact that Oregon is simply an unaffordable place to live. One economist told OPBs April Ehrlich, We have the worst affordability Low vacancies and high prices [are] indicative of a housing shortage. According to Ehrlich, Oregon is among states with the lowest supply of rentals that are affordable to people at or below poverty levels. When housing is in short supply and rents are out of reach, its inevitable that the number of people without homes will rise. Hiring private security firms to supplement policing does little to address this systemic cause of homelessness. Just as the yoga studios employee cleaned away the blood of the unhoused man from the sidewalk, the use of private security is intended to sweep away the human detritus of economic injustice. About 30 minutes after the assault that I witnessed took place, the Portland police showed up, blocking the intersection outside the yoga storefront with a large patrol car. Were they on the scene to arrest the security guard, I wondered? No. We spotted the guard walking freely on the sidewalk and then disappearing into a nearby store, which was presumably one of his employers. Meanwhile, the police officers had placed the unhoused assault victim in the back of their patrol car. We offered the cops our testimony, but they appeared uninterested. Ultimately, it was clear to us that the guard and the police were both paid to lock up the unhoused man (who clearly needed mental health treatment), in service of their wealthy white patronsNob Hills business owners and residents. Unless city, state, or federal governments directly address the fact that the rent is too damn high and wages are too damn low, people will continue to lose access to housing and services and find themselves on the receiving end of blows and batons from either private guards or the police, as business owners and wealthier residents look on with approval. In the final meeting of the Metro Councils four-year legislative term, the body voted 24-14 to approve full implementation of automated license plate readers across the county. Full implementation follows a six-month LPR trial period mired in controversy over camera placements and police compliance with council safeguards. Metro police will oversee the expanded network of automated cameras, which cross-reference passing cars with state and federal databases. Outgoing Mayor John Cooper opened the terms last meeting with an address touting achievements of his administration. While Cooper did not mention LPRs in that address, a Wednesday morning press release from his office celebrated LPR implementation as the first of five notable pieces of Mayor Coopers legislation passed during a sprint to the end of the term. A united coalition of legal and civil rights groups vocally opposed LPR expansion in a letter to the Metro Council dated Aug. 1. The groups include the Metro Community Oversight Board, the NAACP, the Tennessee Immigrant and Refugee Rights Coalition, Chief Public Defender Martesha Johnson, the American Muslim Advisory Council, Conexion Americas, Open Table Nashville, Stand Up Nashville, and SEIU Local 205. The groups resemble the political base that propelled Councilmember Freddie OConnell into pole position in this years mayors race. OConnell initially voted to defer the legislation before voting against full implementation on Tuesday night. Our city works best when everyone can feel safe and participate, but massive data gathering and surveillance only serve to erode trust and disproportionately target Black and brown communities, reads the letter, signed by 19 individuals and groups. It references Community Oversight Board reports about how the LPRs have disproportionately surveilled majority-Black and low-income areas of the city during the trial period, resulting in high rates of officer use-of-force incidents against Black people and unhoused people. Immigrant advocacy groups worry that such widespread data collection could enable crackdowns from immigration authorities, a legal question that remains unanswered, even for policy experts. It was correctly stated that ICE does not need a warrant to go and access data, District 30 Councilmember Sandra Sepulveda told colleagues, referencing HB2315, Tennessees 2018 law aimed at so-called sanctuary cities, which forces local governments to comply with federal immigration law. We are deciding to move forward on this when we have no protections for immigrants, no protections for minorities, continued Sepulveda. I want you to sit with that and think about that. Sit with your privilege and reflect with what that means for some of us. This is the potential separation of families, the potential separation of people who have been here for a very long time who have only known this as home. Earlier in the meeting, Sepulveda connected LPR surveillance to Tennessee Attorney General Jonathan Skrmettis recent acquisition of health care records from Vanderbilt University Medical Center. "There is a right way to do things and there's a haphazard, careless way of doing things," said District 35 Councilmember Dave Rosenberg, arguing in favor of Councilmember Delishia Porterfield's motion for a deferral, which later failed 15-24. "Passing this tonight is haphazard and careless." A brief public comment period preceded the vote. Christopher Dickerson, a supervisor with MNPDs homicide unit, spoke in favor of LPR implementation. His argument was based on the conjecture that the 2022 murder of Vishal Patel, an employee at a Kwik Sak convenience store in Hermitage, could have been solved faster with an LPR network. Among others, the Rev. Davie Tucker, a prominent city faith leader and director of the Metro Human Relations Commission, argued against LPRs. The commission has previously expressed disapproval of the plan. Tucker cited the fact that cameras over-surveil Black and low-income areas of Nashville. Your intent is not discrimination, but what is your impact? Tucker said. Its your duty to please assuage the publics concerns. By the time his name was called for public comment, Vanderbilt Law School professor Christopher Slobogin had already left the chamber to go home. He helped draft the citys ordinance along with Councilmember Courtney Johnston. I dont think it should be used for immigration purposes or minor traffic violations even though those are technically violations of the law," Slobogin tells the Scene. "I think it should be used only for serious crimes like kidnapping and homicides. Technology could be used in what I call a pan-vasive way: Watch everybody all the time, because everybody violates the law all the time. Even as Slobogin defends LPR legislation as compliant with the current best practices in law enforcement use of technology, he emphasizes that a lot depends on police compliance with the law and unanswered questions about data sharing, particularly with other law enforcement agencies. His 2022 book Virtual Searches: Regulating the Covert World of Technological Policing opens with the hearings that preceded Nashvilles LPR pilot program. +2 Council Hears Competing Analyses of Police Surveillance Program Automatic license plate readers cast huge net for few arrests, push MNPD toward targeted policing Its not entirely clear the city can prevent a state or federal subpoena from being executed, if an agency wants to access the data, says Slobogin. In the case of immigration, its possible immigration authorities could override any attempt by the city to stop access to the data. I think its a live issue. If I were the city, Id be prepared to resist that, probably through litigation. Police can now begin setting up a network of cameras across the county. Their work is still bound by the ordinance, which includes restrictions on who can access LPR data and how it can (and cant) be used. During its trial period, MNPD engaged surveillance tech vendors like Motorola and Flock Safety, and can now work to procure more cameras. Contracts with these vendors will govern how LPR data is owned and shared. 12 US states now using mobile device drivers licenses that require face scans to prove your identity Brian Shihavy of Health Impact News has been warning readers that one imminent threat to privacy and the ability to live normally without being continually tracked by Big Tech, the government and globalists is the use of. This type of identification works by scanning a body part, like your face, eyes or palms, to conduct business and participate in society. Biometric IDs are considered an imminent threat because they are already being implemented in 12 U.S. states for mobile digital driver's license IDs, where you are required to provide a face scan to prove your identity. For now, digital driver license IDs are optional, but as the government and Big Tech develop the technology, it probably won't be long before people are required to surrender a biometric identifier like a face scan licensed by the government to be able to drive a car, as well as do other tasks like withdraw money from your bank account or even travel by plane. In the U.S., states like California, Michigan and Iowa are now taking steps to implement digital IDs. California is still testing while Michigan is considering legislation related to mobile driver's licenses (MDLs). Iowa, meanwhile, has already launched its mobile ID app. The three states are planning to join others already offering MDLs such as Arizona, Colorado, Georgia, Hawaii, Louisiana, Maryland, Mississippi, Ohio and Utah. Ajay Amlani, president and head of Americas at iProov, said the new digital driver's licenses will allegedly allow residents to "virtually perform services" that would otherwise require an in-person trip to the Department of Motor Vehicles (DMV). iProov is a growing global technology provider with offices in London, Maryland and Singapore. Meanwhile, Michigan is proposing a bill that would allow the Secretary of State to issue digital IDs along with their traditional physical counterparts. If passed, mDLs would become official IDs that are equivalent to physical licenses. Mobile driver's licenses would then be valid for banking, ID checks for age, police stops and state government services. Digital IDs are vulnerable to hackers But some people have raised legitimate concerns about whether digital IDs can be accessed on a lost or stolen phone. Others have also voiced concerns that deepfakes and other cyberattacks can bypass biometric authentication. Amlani said with the ease and accessibility of generative AI tools that can create fake images, "its irresponsible for government or business entities to implement any system utilizing remote-based biometrics without the necessary protections against AI-created deepfakes digitally injected into vulnerable camera feeds." Californias DMV is currently testing a mobile app that allows people to upload MDLs to their phones using iProovs biometrics and liveness detection. The program currently has more than 2,000 active participants and is set to expand over the coming weeks before a public rollout. However, participating California residents are still encouraged to bring physical IDs because mDLs are not universally accepted yet. The Transportation Security Administration (TSA) is also accepting mDLs at 29 airports in America, including several airports in California. Iowa has also launched its mobile ID app developed by Idemia on the Apple App Store and Google Play Store. Toni Smith, a spokesperson for the Iowa Department of Transportation, clarified that the digital ID is "a companion to the physical card" and will not replace it. The Iowa mobile ID app asks users to upload the front and back of their state-issued ID along with a "moving selfie" to verify the identity of the user uploading the license with face biometrics. (Related: Destination, biometrics and more: New cars can track and collect driver data, warn experts.) Despite the alleged convenience these MDLs will bring, there's no denying that the public is being conditioned to eventually accept giving up their biometric data by creating fear and then insisting that digital IDs as the solution to "keep safe." This is why you should worry about deep fakes in mainstream media every day, with people using AI as a common threat to be wary of. Those in power also keep claiming that more people must "prove they are real and not an AI" to keep participating in society. But providing biometric IDs doesn't actually make you more secure, it makes you more vulnerable since it is easier to track someone when their biometric data is available online. Visit PrivacyWatch.news to learn about the different ways that your privacy is being violated by Big Government and tech companies. Watch the video below to see how very young kids in China are giving up their biometrics. This video is from the Red Voice Media channel on Brighteon.com. More related stories: Millions of UK students are using BIOMETRICS for school-related activities without parental consent. Google SUED by 4 AGs for tracking users without permission. More businesses now resorting to BIOMETRICS so that government nannies can literally track everything you do, see and buy. Sources include: SGTReport.com HealthImpactNews.com Iproov.com BiometricUpdate.com Brighteon.com Conservatives alarmed after report that current Maui Police Chief was incident commander during 2017 Las Vegas massacre This new revelation will certainly not give America confidence that the true cause behind the Maui fires will ever be known. Instead, we can count on a likely cover-up instead. (Article by Cullen Linebarger republished from TheGatewayPundit.com) As Cristina Laila previously reported, devastating wildfires in Maui have left almost 100 people dead with approximately 1,000 people still unaccounted for. People were reportedly jumping into the ocean and historic Lahania town was destroyed. The news only gets worse, though. Reports reveal that current Maui Police Chief John Pelletier was the incident commander during the Las Vegas massacre in 2017, the worst mass shooting in American history. An incident commander is the top decision maker during a major incident. Their job is to delegate tasks and listen to input from subject matter experts to ultimately bring the incident to resolution. Maui Police Chief John Pelletier came to Hawaii after 22 years in Las Vegas. He was incident commander for the 2017 mass shooting that left over 50 dead. Here he tells @CNN why only two bodies have been identified out of the 68 dead on Maui. #mauifires#maui#hawaiipic.twitter.com/FVxxWn0pGX Ryan Kawailani Ozawa (@hawaii) August 13, 2023 Callesto is correct. Here is an excerpt from a November 2021 article in the Honolulu Civil Beat by Blaze Lovell regarding Pelletier arrival in Maui. Hes seen his share of violence, having shot at three suspects and killed one of them, according to reports from Las Vegas media. In 2017, Pelletier was the incident commander for a mass shooting at a country music festival that left 58 people dead. As Gateway Pundit readers know, Stephen Paddock murdered 60 people from his hotel room at the Mandalay Bay in Las Vegas. But local authorities and the FBI never gave the public answers regarding why he shot these innocent people, raising questions regarding a cover-up. Local authorities also completely botched the crime scene investigation. Authorities also changed the timeline multiple times. The FBI also decided to push a BS theory earlier this year that Paddock was upset over how casinos treated him which almost no one bought. This disturbing revelation regarding Pelletier is certainly alarming conservatives, who question whether this a coincidence. Omg. It's true! Police Chief John Pelletier of Maui was the police chief during the Vegas Massacre in 2017. Good grief. How can we NOT question the freaky coincidence? The same man is in charge during 2 of the most devastating disasters imaginable, with no actual answers as to https://t.co/RiR9tA36rI Melanie Lauren (@Sweetemmilyn) August 14, 2023 So many Coincidences Seems the Police Chief of Maui, John Pelletier, was the same police chief during the Vegas Massacre in 2017.@dom_lucre maybe this is someone that deserves a thread? Seems he already had several complaints filed against him from his own officers. https://t.co/wbP3rRKQau PATRIOT 4 TRUMP?????? (@kat2020maga) August 14, 2023 IF I WERE A COINCIDENCE THEORIST...? ??? Maui Police Chief John Pelletier was also the Incident Commander for the 2017 Los Vegas (Mandalay Bay) FF shooting. Move along, folks. Nothing to see here. pic.twitter.com/AfhrZlzo1M Alice Liu (@chunkmusic) August 14, 2023 Read more at: TheGatewayPundit.com Fox News anchors are BEGGING Trump to attend the channels upcoming Republican primary debate Several Fox News anchors have been reduced to begging former President Donald Trump to attend the channel's upcoming Republican Party presidential primary debate. The first debate in Milwaukee is set to air on Aug. 23 on Fox News. Trump has teased multiple times that he is considering not showing up at all, claiming that his high polling compared to other 2024 hopefuls already guarantees his nomination. (Related: Polling indicates Trump's nomination by the Republican National Convention is ALL BUT GUARANTEED.) "He and his advisors sort of feel like, 'Oh, he's way ahead. Why take the risk? Why dignify these other opponents by stepping on the stage?'" explained journalist Krystal Ball. On the Wednesday, Aug. 9, episode of "Fox & Friends," the show hosts turned a lot of their attention to Trump's hints that he might not wish to join the debate. They pointed out that, during a recent campaign event in New Hampshire, Trump asked the audience whether or not he should show up at the debate, to which the crowd gave a rather mixed response. In previous public appearances, Trump repeatedly indicated that he would skip the Fox News-hosted debate, even indicating in one interview that it would be "stupid" to attend. He has also repeatedly taken shots at the network, calling it "fake news" and trashing debate co-moderator Bret Baier. He even threatened to directly compete with Fox's broadcast by sitting for a livestreamed interview with fired Fox News host Tucker Carlson during the entirety of the debate. The latest polling puts Trump at more than 50 percent support among Republican primary voters, with Florida Gov. Ron DeSantis in a very distant second place at 16 percent. Fox & Friends hosts spend one episode begging Trump to join the debate The "Fox & Friends" hosts spent the Wednesday episode of their show making arguments for why it would be important and beneficial for Trump to attend the debate. "People want to see Trump debate," said host Brian Kilmeade, who then went on to praise Trump's performance in the Fox News-hosted debate between him and President Joe Biden back in 2020, claiming that he now felt Trump clearly won in retrospect. "What I did recently was watched back the debate with Joe Biden where I thought he didn't do well," said Kilmeade. "The more I realized he actually did well. Much better than you would think. But the moderator [Fox News anchor Chris Wallace] kept interrupting, number one, and number two is, Joe Biden just kept lying." Host Steve Doocy believes Trump will show up due to the possibility of reaching out to more Republican voters and not wanting to waste the chance to attack his opponents head-on. "I just don't see Donald Trump sitting at home watching along with 40 or 50 million Americans when he sees Ron DeSantis in that center square," said Doocy. "That would absolutely drive him crazy." Meanwhile, host Ainsley Earhardt pleaded with Trump to not "punish" Republicans because he is angry with several of the other candidates for seemingly siding with the Biden administration's current legal attacks against him. "I know he is angry about everything that he feels this administration and the DOJ [Department of Justice] have put him through, but don't take it out on Republicans, because Republicans want to see him up on stage," she said. "Don't take the voters for granted. Yes, he is ahead by a lot, but to see him up on the stage would just be wonderful." Later in the day, during an episode of America's Newsroom, debate co-moderator Martha MacCallum attempted to appeal to Trump by playing to his ego, claiming that taking the center stage could give Trump the platform to "look directly at some people on that stage who he has contention with and have a moment to set the record straight." Fox anchor Bill Hemmer later added that the debate's ratings "will be huge" in an attempt to appeal to Trump's supposed media obsession. Learn the latest news involving former President Donald Trump at Trump.news. Watch this clip from "Breaking Points with Krystal and Saagar" as hosts journalists Krystal Ball and Saagar Enjeti discuss how Fox News is practically begging Trump to join the debate. This video is from the News Clips channel on Brighteon.com. More related stories: Ted Harvey: Electing CONSERVATIVES and PATRIOTS is key to kicking out TRAITORS in America. Trump says either "the Deep State destroys America, or we destroy the Deep State." Democrats criminalize political dissent to preempt potential 2024 election challengers. Ivan Raiklin: Expect another COVER-UP to happen when 2024 election is stolen Brighteon.TV. The Right Side with Doug Billings: Democrats, MSM trying to blow up Trump's classified docs issue yet again Brighteon.TV. Sources include: Brighteon.com Politico.com TheMessenger.com TheDailyBeast.com Michigan residents successfully block a CCP-subsidiary corporation from purchasing 80 acres of farmland Rural residents of Green Charter Township in Michigan have successfully prevented a Chinese-owned energy company from purchasing two parcels of farmland Green Charter Township lies around 50 miles east of Lake Michigan. It has turned into the center of an ongoing battle as the approximately 3,200 residents of this rural community do their best to prevent its takeover by Gotion, a Shanghai-based corporation that "pledges allegiance" to the Chinese Communist Party (CCP). Gotion was recently given the green light to develop an electric vehicle battery factory on several hundred acres of previously purchased land in Mecosta County. Democrats in Michigan approved this deal in April and the administration of President Joe Biden gave its own approval in June after a months-long national security review. (Related: Dems allow CCP to build a factory in Michigan amid rising tension between US and China over Taiwan.) But the corporation recently issued a statement, saying that it had "listened to concerns of local residents and decided not to purchase two large parcels of land zoned for agriculture at this time." "We've got a small win here," said resident Lori Brock, 58, a realtor who helped lead the fight against the purchase. "It was because we didn't get any help from Michigan, but we called the federal EPA [Environmental Protection Agency] and the federal EPA came up here and they got back some of the land for us." "We're going to still continue to fight them every step of the way. We don't want them here. So we're going to do whatever it takes to keep them out of our community," concluded Brock. Gotion still looking to acquire more land in Michigan However, Gotion was able to successfully purchase about 260 acres of derelict industrial land in Green Charter Township and another 10 acres of disused farmland. Gotion has also made it clear that it expects to proceed with its plan to build an electric vehicle battery manufacturing plant in Mecosta County. Gotion's plans include it being paid $175 million in direct taxpayer funding to help build the factory. "We don't want that factory in our community no matter what," said Brock. "We want an American company. Not one that is backed by the CCP." "We're almost at war with China right now. Why are we allowing them to buy land here and we can't buy land in China? It doesn't make sense," she added. "We're fighting [the deal] tooth and nail." "The Biden administration said it was okay," noted Michigan Republican gubernatorial candidate Tudor Dixon. "And yes, it should concern people that this Democrat governor came out and courted this company and then signed non-disclosure agreements around this." Dixon and many other critics of the Gotion deal noted that there is a clause in the company's business filings, which states that Gotion "shall set up a party organization and carry out party activities in accordance with the constitution of the Communist Party of China." Former director of the National Counterintelligence and Security Center William Evanina further commented that it was "100 percent likely" that the Gotion plant would be used by the CCP as an espionage base. Gotion's recent land purchases come as new data shows that Chinese firms now own more than $2 billion worth of U.S. farmland, a massive surge from just $162 million worth of farmland a decade ago. The latest data from the Department of Agriculture notes that the CCP currently has a stake in 383,935 acres of agricultural land in the U.S. with nearly half of all this farmland being in Texas. In Val Verde County alone, more than 100,000 acres are held by Chinese billionaire and former People's Liberation Army captain Sun Guangxin, through two Chinese front companies Brazos Highland Properties LP and Harvest Texas LLC. Watch this clip from "The Justin Barclay Show" as Michigander radio host Justin Barclay discusses how the tax scheme meant to help build Gotion's battery plant in the state may be illegal. This video is from the Justin Barclay channel on Brighteon.com. More related stories: BILLIONS in taxpayer-funded subsidies are going to foreign corporations thanks to Biden's signature climate law. Proposed CCP-controlled battery plant in Michigan constitutes a significant THREAT to the environment and national security. House Republicans push back against Biden administration's GREEN TYRANNY. Owner of Michigan horse farm who refused to sell property to Chinese firm placed UNDER INVESTIGATION by government. Ford's deal with Chinese EV battery maker sparks security concerns. Sources include: DailyMail.co.uk FoxNews.com Brighteon.com Sam Bankman-Fried indicted AGAIN for allegedly using $100M in stolen customer funds for political donations A new indictment filed by federal prosecutors against cryptocurrency exchange FTX co-founder Sam Bankman-Fried alleged that he used more than $100 million in stolen customer funds to make political campaign contributions right before the 2022 United States midterm elections The 31-year-old former billionaire was charged with seven counts of conspiracy and fraud after the collapse of FTX. He has pleaded not guilty to previous charges and may very well plead not guilty to these new ones. (Related: Biden's Justice Department drops campaign finance charge against Democratic megadonor Sam Bankman-Fried who illegally paid off Democrats.) The new indictment no longer includes the charge of conspiracy to violate campaign finance laws, with federal prosecutors claiming they dropped the charge to appease the government of the Bahamas, where FTX was based and where Bankman-Fried was extradited from. U.S. District Judge Lewis Kaplan claimed that this new indictment would "make clear that Mr. Bankman-Fried remains charged with conducting an illegal campaign finance scheme as part of the fraud and money laundering schemes originally charged." Bankman-Fried, whose personal fortune was once estimated at $26 billion, was formerly one of the biggest political donors in Washington. The indictment alleged that his donations went to both Democratic and Republican campaigns and were focused on influencing cryptocurrency regulations. In the filings submitted to the United States District Court for the Southern District of New York, U.S. Attorney Damian Williams alleged that Bankman-Fried "misappropriated and embezzled FTX customer deposits." This resulted in more than $100 million "in campaign contributions to Democrats and Republicans" being used to "seek to influence cryptocurrency regulation." "He leveraged this influence, in turn, to lobby Congress and regulatory agencies to support legislation and regulation he believed would make it easier for FTX to continue to accept customer deposits and grow," reads the indictment. "Which would, in turn, allow the misappropriation scheme to continue." SBF directed donations through FTX executives to skirt contribution limits The indictment further alleged that Bankman-Fried directed at least two FTX executives to donate to certain Democratic and Republican campaigns in order to evade contribution limits. He also ordered them to conceal where the money came from. Bankman-Fried allegedly did this by ordering customer money from FTX's sister trading firm, Alameda, to be wired to the personal bank accounts of two FTX executives, former engineering director Nishad Singh and one currently unnamed executive. These executives then made the donations in their own names and thereby were able to maximize FTX's potential political influence. "By directing donations through Singh and another FTX executive, Bankman-Fried was able to evade restrictions on certain types of political contributions, and thereby maximize FTX's political influence," reads the indictment. "Bankman-Fried also used these connections with politicians and government officials to falsely burnish the public image of FTX as a legitimate exchange." An analysis by CBS News found that Bankman-Fried made more than $40 million in donations in the 2022 election cycle, with almost all of these donations going to Democrats and their campaigns. But the new indictment alleged that Bankman-Fried may have also donated to Republicans using undisclosed "dark" donations. This indictment is the latest in the long list of charges Bankman-Fried has faced since his extradition from the Bahamas in December 2022. He was previously freed on bail and confined to his parents' home in Palo Alto, California on a $250 million bond. But Kaplan remanded him to jail ahead of his Oct. 2 trial after finding probable cause that Bankman-Fried may have attempted to intimidate or influence witnesses in his criminal cases by allegedly sharing his former romantic partner Caroline Ellison's personal writings with a New York Times reporter. Prosecutors claim the move was intended to discredit Ellison, who is expected to testify against him in October. Bankman-Fried is currently being held in Brooklyn's Metropolitan Detention Center. Learn more about the state of cryptocurrency in the United States at CryptoCult.news. Watch this episode of "The Kim Iversen Show" as host and veteran journalist Kim Iversen speculates on why the administration of President Joe Biden refuses to go ahead and charge Democratic megadonor Sam Bankman-Fried for campaign finance violations. This video is from the Pool Pharmacy channel on Brighteon.com. More related stories: PAYING OFF THE COMMIES: Sam Bankman-Fried of FTX funneled $40 million in crypto bribes to CCP officials. SAM THE SIPHON: Filings show former CEO Sam Bankman-Fried took $2.2 billion in customer funds for personal use. SEC reveals Sam Bankman-Fried squandered FTX missing funds on political donations and himself. Democrats SCRAMBLING to hide evidence linking them to former FTX CEO Sam Bankman-Fried. Sam Bankman-Fried is not alone: Some of history's greatest monsters were Democratic megadonors. Sources include: TheGuardian.com Reuters.com CoinTelegraph.com Brighteon.com SCOTUS greenlights SEC v. Jarkesy hearing to reinstate SEVENTH AMENDMENT right to a jury trial On June 30 the Supreme Court of the United States agreed to hear the Securities and Exchange Commission (SEC) v. Jarkesy, one of the cases that could rein in the federal state. Scheduled on the court's October 2023-2024 term., the case will restore the jury trial as provided in the Seventh Amendment in the U.S. Constitution, staying away from potentially being presided over solely by judges employed by federal agencies. The said case was filed in the U.S. District Court for the District of Columbia by George Jarkesy, a hedge fund manager accused by the SEC of overestimating the value of some of his assets and making false claims. But the district court dismissed the case. The regulating agency pursued him through its internal, juryless adjudication process in which an SEC-appointed administrative law judge (ALJ) assessed the sufficiency of the evidence against him. Jarkesy and his advisory firm were ordered to pay $300,000 in civil penalties and to cease and desist from their violations. The case came on a writ of certiorari to the Court of Appeals for the Fifth Circuit. A divided panel held that the SEC's ALJs' two layers of removal protections unconstitutionally insulated them from presidential oversight. Questions presented in the petition are the below: Whether statutory provisions that empower the Securities and Exchange Commission (SEC) to initiate and adjudicate administrative enforcement proceedings seeking civil penalties violate the Seventh Amendment. Whether statutory provisions that authorize the SEC to choose to enforce the securities laws through an agency adjudication instead of filing a district court action violate the nondelegation doctrine. Whether Congress violated Article II by granting for-cause removal protection to administrative law judges in agencies whose heads enjoy for-cause removal protection. Critics are saying that SEC's juryless decision is a direct breach of the Seventh Amendment which provides: "In Suits at common law the right of trial by jury shall be preserved" and "fraud" is a long-recognized common-law crime. "In proceedings like Jarkesys, the government is seemingly exercising authority in a suit of common law, but by conducting the prosecution outside traditional courtrooms, it believes it can deprive the accused of the protections of a jury trial," read an article on the Daily Signal, where the writers pointed out that SCOTUS must affirm the 5th Circuit's ruling that the Seventh Amendment guarantees petitioners a jury trial. This is because the SEC's enforcement action is akin to traditional actions at law to which the jury-trial right attaches. The founding fathers of the United States, back in the day, agreed with French political scientist Alexis de Tocqueville that the jury trial system is an institution of civic education and an essential defense against the domestic growth of government tyranny. "The institution of the jury places the real direction of society in the hands of the governed and not in that of the government," Tocqueville said. Another point that makes the jury trial important is that it can make citizens better understand the laws of the land. It also ensures that a fairer system for defendants is instilled. Juries perform the "check and balances" that can influence decisions held exclusively by a judge or powerful administrator. They still allow experts to inform the proceedings but install a popular check against those who would see expert pretensions converted directly into legal authority. "Affirming that administrative enforcement actions require that the defendant be afforded the right to demand a jury trial would start an era of greater openness and accountability in one of the most insular arms of the administrative state," the independent news outlet wrote, highlighting how this would make administrators think twice about whether to "flex their muscles," knowing they, like any other prosecutor or plaintiff, may have to face the scrutiny of their fellow citizens in the process. (Related: The great left-wing disinformation operation against the Supreme Court.) Follow BigGovernment.news for stories related to regulatory agencies' power over true justice. Sources for this article include: SCOTUSBlog.com Daily Signal.com SupremeCourt.gov BallotPedia.com Streamrolling Christians to death? Dear Friend, Recently, a shocking assault on Christians and their beliefs was perpetrated by an elected Chilliwack School District #33 Trustee Teri Westerby, a biological female who identifies as transgender. (Article by Jeff Gunnarson republished from CampaignLifeCoalition.com) It's an ominous sign when school board trustees, who have a great deal of direct influence over the learning environment of impressionable school children, explicitly demonstrate contempt for, and hurl abuse at, Christians, many of whom are the very students under their influence. Teri Westerby is the Director of an LGBT propaganda arm called the Chilliwack Pride Society, which means she is a radical sex-activist who also happens to be a school board trustee. In late July, Westerby posted a meme on Facebook that depicted a steamroller about to mow down a group of terrorized Christians running for their lives. Yes, this elected trustee, whose job includes representing Christian students and their families, promoted violence towards Christians. Trustee Westerby evidently thought the image of crushing Christian people to death and eradicating their values was funny. Can you imagine how unsafe this must make students in Trustee Westerbys schools feel, if they identify as Christian? Initially, the Chair of the Chilliwack School Division, Trustee Willow Reichelt, attempted to dismiss the concerns of Christians who expressed outrage at Westerby's meme, saying on social media that "it's understandable that seeing the image with no context could be hurtful", but also giving readers her personal assurance that Trustee Westerby "would never advocate violence or discrimination against anyone". Maybe Trustee Reichelt should take another look at the steamroller meme? Facing growing public backlash, Trustee Westerby herself posted a non-apology statement on August 5th in which she attempted to justify why she shared the anti-Christian meme. She, too, assured her readers that she "would not promote violence or hatred against anyone, for any reason, ever". Her statement made no apology for posting the meme in the first place, nor did she admit that it constituted bigotry against Christian moral teaching and, by extension, Christians who adhere to those beliefs. PATTERN OF HATE TOWARDS CHRISTIANS But this isn't the first time Trustee Westerby has marginalized Christians. Here is another of her Facebook posts from just a few days before the steamroller meme, in which she depicted a Catholic priest being prayed out of existence. The message? The clear, hateful, and some might argue, violent, message is that the presence of bible-believing Christians in the world is not welcome, and they should be made to disappear. Poof! NOT SIMPLY CHILDISH BEHAVIOUR There is more going on here than mere childish behaviour. Both memes paint a picture of an elected School Trustee with a pattern of hate speech and anti-Christian bigotry. Teri Westerby's actions are clearly not the actions of a mature and responsible school board trustee. They only contribute to an environment of hostility toward Christians, and this opens the door to heightened rhetoric and, potentially, violent aggression. TERI WESTERBY MUST RESIGN In light of her reckless and demeaning behaviour toward Christians and Christianity, CLC has created a petition demanding that Teri Westerby resign immediately from her position as a trustee with the Chilliwack School District. We're urging parents, grandparents, and concerned citizens throughout the province of British Columbia to sign our petition here. LOBBY TRUSTEES TO CALL FOR WESTERBYS RESIGNATION If you live in the Chilliwack area, or have friends who do, you can also put pressure on the individual Chilliwack School Division trustees by emailing or phoning them, and asking them to call for Westerby's resignation over her anti-Christian hate mongering. Here's a list of the trustees, with their available contact information: Willow Reichelt Chair [email protected] Carin Bondar Vice Chair [email protected] Richard Procee [email protected] Margaret Reid [email protected] David Swankey [email protected] Heather Maahs (604)798-2026 [email protected] Since only Trustee Maahs has a direct phone number, we urge you to try leaving a message for each of the other trustees by calling SD33s head office phone at 604-792-1321, and ask to be put through to the trustees voice mail. I presume that each trustee has a phone mailbox through the District office. By phoning AND emailing, youll double your impact. PRAY FOR TERI WESTERBY Even as we pursue justice in the sad and appalling case of Teri Westerby's attack on Christians, we must also remember a command given to us by our Lord Jesus Christ: "Love your enemies, bless those who curse you, do good to those who hate you, pray for those who abuse you." - Luke 6:27-28 Despite her appalling incitement of contempt for followers of Christ, Teri Westerby remains a child of God, and we must pray for her repentance and conversion, even as we work to redress the terrible wrong done to Christians. For life, faith & family, Jeff Gunnarson National President Campaign Life Coalition P.S. Please sign our petition to call for the immediate resignation of school trustee Teri Westerby. Sign it by clicking here. Read more at: CampaignLifeCoalition.com NY AG appeals for reversal of Missouri preliminary injunction on Biden censorship to allow Big Government to suppress speech Freedom-loving Americans celebrated victory when U.S. District Judge Terry Doughty issued a preliminary injunction in the Missouri v. Biden lawsuit, restraining Biden's administration from colluding with social-media companies and tech giants in censoring speech. But the could-be-end of government-Big Tech connivance and free speech suppression may be pre-empted as New York Attorney General (AG) Letitia James and 20 other attorneys general have filed an amicus brief in the U.S. Court of Appeals for the Fifth Circuit. The written submission urged a reversal of Doughty's decision to simply "allow President Joe Biden and his officials to continue to instruct social media companies on "impermissible content." "Social media holds great promise but also carries great risks, especially when people use it to harass, scam, and spread misinformation," said James. "An open dialogue between government officials and social media companies is critical to keeping Americans safe. The recent decision will not only stifle open debate and the free exchange of ideas, it will make the internet a more dangerous place for everyone." The AGs added that states have taken actions similar to federal agencies and are backing the Biden administration in their appeal. "The Amici States, too, routinely engage with social-media companies on content moderation, including to exchange recommendations on best practices and to report specific content that violates the platforms' own terms and services," the brief read. Joining James in filing the amicus brief were AGs from Arizona, California, Colorado, Connecticut, Delaware, Hawaii, Illinois, Maine, Massachusetts, Michigan, Minnesota, Nevada, New Jersey, New Mexico, Oregon, Pennsylvania, Rhode Island, Vermont, Wisconsin, and the District of Columbia. Doughty had previously refused to dismiss the Missouri v. Biden, ruling that "censorship was encouraged perhaps even mandated by the Biden Administration and several key governmental departments." Republican attorneys general in Louisiana and Missouri sued the current regime on behalf of private individuals. The judge found they had "produced evidence of a massive effort by defendants, from the White House to federal agencies, to suppress speech based on its content." The Biden admin was quick to appeal the temporary block. They wrote that the "Government faces irreparable harm with each day the injunction remains in effect." Doughty rejected the request, but a three-judge panel for the 5th Circuit Court of Appeals in New Orleans issued a ruling that effectively negated the temporary block. (Related: Judge DENIES Biden's motion to stay preliminary injunction on the FREE SPEECH case: "NO MORE COLLUDING with the SOCIAL MEDIA.") More than 50 officials across a dozen agencies were involved, including the Department of Health and Human Services (HHS), the National Institute of Allergy and Infectious Diseases (NIAID), the Centers for Disease Control and Prevention (CDC), the Federal Bureau of Investigation (FBI) and Department of Justice (DOJ), to name a few, as well as some of their top-management employees. AGs appeal is not connected to the preliminary injunction The temporary block did not prohibit all government correspondence with social media companies. It specifically disallowed meeting or contacting by phone, email, or text message or "engaging in any communication of any kind with social-media companies urging, encouraging, pressuring, or inducing in any manner for removal, deletion, suppression, or reduction of content containing protected free speech," or flagging content for removal. Meanwhile, James' brief seemed to be not connected to the July ruling. The AGs' brief cited cases like a 2022 Buffalo, New York, shooting where law enforcement was able to identify the shooter through Twitch videos and Discord posts, and how Twitter took down links to a livestream of the shooting after user reports. It also referred to a 2008 agreement where MySpace and Facebook agreed to adopt best practices to protect children from online predators. This resulted in Facebook later announcing it would stop the development of "Instagram Kids" pending input from parents, experts, and lawmakers. But the injunction does not prevent such usage. It actually allows the agencies to continue to work with social media companies when it comes to posts about criminal activities, national security threats, or criminal efforts to affect elections. Also, the appeal argued that the federal agencies actions were "recommendatory and non-coercive," but Doughty found that was not the case. He saw that the documents compiled reflected that the effort to pull down posts and deplatform users was constant. Messages revealed that federal employees wielded great power over social media companies, often responding to apologies and deference with even more demands. A February 2021 exchange between the White House and Twitter, now rebranded as X by its owner tech mogul Elon Musk, detailed how Twitter had been so "bombarded" with requests from federal agents that it wanted to work out a more streamlined process to receive them. Bookmark FirstAmendment.news to read more developments on the ongoing free speech suppression case against the Biden administration. Sources for this article include: AG.NY.gov 1 TheEpochTimes.com AG.NY.gov 2 TheFire.org Storage.CourtListener.com CASHLESS DOWN UNDER: Australia gears up for CBDC rollout, with major banks banning OTC cash withdrawals Four major Australian banks have phased out over-the-counter (OTC) withdrawals at most of their branches to give way to central bank digital currencies (CBDCs) and the "cashless society." The Daily Mail named the four banks as National Australia Bank, Commonwealth Bank, ANZ and Westpac. While "special centers" will be designated to accommodate "more complex banking needs including cash," the overarching objective is to gradually eliminate paper money altogether. This, in turn, would nudge the public toward a fully digital monetary system. The Australian government, in partnership with the Reserve Bank of Australia (RBA), has undertaken a comprehensive beta test to examine the viability of a cashless system over the past year. As the trial period nears its end, Australian policymakers are poised to accelerate the implementation of the envisioned cashless model. This model focuses on creating a seamless and secure digital infrastructure that could handle different financial transactions. (Related: Australia launches CBDC test program, complete with carbon credit trading.) Moreover, the Bank for International Settlements has collaborated with Canberra and provided insights into the potential benefits and consequences of a digital currency framework. Pilot programs conducted alongside industry giants like Mastercard have also contributed to refining strategies for a smooth transition. Canberra utilized COVID-19 to brainwash Aussies into accepting "cashless society" Writing for the Big Smoke, freelance journalist Sonia Hickey noted how Canberra used the Wuhan coronavirus (COVID-19) pandemic to wean Australians into going digital. At that time, many shops in Australia preferred card payments over cash. Thus, the pandemic accelerated the digital banking revolution by approximately five years. Cash became largely obsolete practically overnight at the height of the pandemic. Everyone including those who weren't tech-savvy was forced to rely on the internet for work, education, shopping and socializing. This newfound comfort with the online world has expedited Australia's path to a cashless society. However, this shift to CBDCs raises concerns for vulnerable populations. Homeless individuals without reliable online access face challenges surviving without physical currency. Similarly, those escaping domestic violence situations often depend on cash to gain autonomy from abusive partners who controlled household finances. The elderly, many of whom lack digital devices, could struggle to access funds deposited electronically. The move toward a cashless society also triggers privacy concerns. Digital transactions are traceable, raising debates about surveillance and data access. Additionally, technology glitches and security breaches pose risks as demonstrated by instances of banks facing technical outages and service disruptions. The shift also comes with heightened cybersecurity risks. As more transactions occur online, the risk for cyber-crimes such as identity theft and fraudulent activities increases. Professor Eswar Prasad, a former International Monetary Fund official, delivered a sobering analysis of the potential ramifications during a recent gathering of global leaders. Speaking at the Annual Meeting of the New Champions, also known as "Summer Davos," Prasad underscored the authority that CBDCs could grant un-elected figures. This, he warned, would allow them to exert control over society's financial decisions including determining which purchases are acceptable. While proponents argue that CBDCs could provide greater financial accessibility and convenience, critics fear that it could lead to an era of "technocratic authoritarianism." In this form of authoritarianism, privacy in financial transactions becomes a thing of the past with both banks and governments exerting increased control over citizens' finances. Follow CurrencyReset.news for more stories related to the demise of cash in favor of CBDCs. Watch this video discussing the possibility of having a"cashless society" in Australia. This video is from the 195 Nations Rising channel on Brighteon.com. More related stories: CBDC takeover: U.K. banks becoming obsolete as high street financial institutions shift to ONLINE transactions. CBDC in 2023: Federal Reserve planning to implement FedNow digital currency between May and July of 2023. Russia plans to start testing its digital ruble CBDC this summer. Central banks planning to introduce CBDC microchip implants, warns top economist. Only 16% of Americans would support adoption of CBDC, survey finds. Sources include: LifeSiteNews.com DailyMail.co.uk TheBigSmoke.com Brighteon.com House Oversight Committee to subpoena BIDEN CRIME FAMILY over foreign dealings The House Committee on Oversight and Accountability (HOC) is preparing to subpoena President Joe Biden and his family should they choose not to voluntarily appear before Congress to address questions about their questionable foreign financial dealings. HOC Chairman Rep. James Comer (R-KY) told Maria Bartiromo of Fox News: "We're putting together a case, and I think we've done that very well. We know that this is going to end up in court when we subpoena the Bidens. This is always going to end with the Bidens coming in front of the committee." The Republican-majority committee released new bank records indicating that the Bidens received a minimum of $20 million from Russia, Kazakhstan and Ukraine. Comer urged the media to press the chief executive on the source and nature of these funds, noting that "bank records don't lie." (Related: Comer: 9 Biden family members identified as recipients of foreign cash.) The Kentucky congressman lamented to Bartiromo that his committee is "being obstructed every step of the way." The HOC has faced impediments from the Department of Justice; the Federal Bureau of Investigation (FBI); the U.S. Secret Service; and the Internal Revenue Service, as well as legal teams representing the Biden family. Nevertheless, the committee remains resolute in its pursuit of truth. GOP lawmakers demand transparency on Biden crime family's financial ties Back in May, the HOC examined thousands of bank records and legal documents from the Biden family, listing down the findings in a memo. The committee found that family members set up almost two dozen limited liability corporations to receive payments from private clients, corporations and foreign governments. The lack of transparency over the sources of these funds has now become a focal point of concern for GOP lawmakers. Some of them have demanded accountability from the first family as the House seeks to unveil the true nature of the financial transactions. Meanwhile, a separate investigation into the finances of the president and his family conducted by the Federalist has raised eyebrows, as it unveiled the absence of the tax returns for his CelticCapri S-corporation. The probe was done in relation to the millions of dollars Biden received in 2017. Jerry Allison, a certified public accountant from Allison Financial Services, expressed his reservations regarding this lack of detail. He argued: "The absence of comprehensive information should undoubtedly raise concerns among House investigators, especially when considering the magnitude of the sums involved." Comer wasn't the only lawmaker demanding that Biden and his family explain their side of things before Congress. Sen. Ron Johnson (R-WI) has been vocal in asserting that the president should take immediate steps to disclose the details of these financial transactions. He also urged "every member of the press ought to hound him until he does." Rep. Nancy Mace (R-SC) said: "The president seems to find selling out our country funny. We don't. If he's serious about proving our allegations wrong, he should release his and his family's unredacted bank records and show the American people where all this money is coming from. The FBI can't protect him forever." Watch Elijah Schaffer of Next News Network discussing Kentucky Rep. James Comer's threat of an "inescapable subpoena" against the Biden crime family below. More related stories: Biden family whistleblower Tony Bobulinski says top FBI official Tim Thibault buried info he gave to bureau on Biden family criminal acts. Biden family member received cut of $3M wire from CCP-linked individuals. Biden family received millions from foreign nationals, tried to conceal source of funds: House Oversight. Biden family and associates received more than $17 million in illegal payments from Burisma and other foreign nationals. Hunter Biden, other members of Biden family received MILLIONS from business associate linked to CCP. Sources include: TheNationalPulse.com 1 FoxBusiness.com NYPost.com TheFederalist.com Brighteon.com The climate change vaccine: Inoculate your mind against the biggest hoax EVER Vaccines are supposed to protect against disease infection, and since the mass conspiracy theory that humans are now the main cause of hot summers, hurricanes, tornadoes, and tsunamis is highly infectious, shouldn't "healthy" humans have some way to protect themselves against Climate Change Cult (CCC) syndrome? Is someone you know battling the Climate Change Death Cult to try to remain sane? Just like schizophrenia and psychosis, millions of people suffer from delusions that humans must destroy all carbon dioxide to continue living on earth, yet, carbon itself is the building block of all life. Carbon dioxide is what humans exhale every moment of their lives, so to work towards a "zero carbon" footprint means to exterminate all humans, animals, and plant life on earth. That's why we need a "vaccination" to prevent catching the mental disease of fighting for our own suicide. We must get "inoculated" against suicide cult syndrome, because it really has become a disorder of pandemic proportions. While a hundred million Americans or more try to kill themselves by eliminating carbon, they also want everyone who doesn't want to die this way to go to prison for living in "denial" of a grand hoax. The war on carbon and the psychotic mass-suicide cult The War on Carbon is so diabolical that the United Nations has outright declared CO2 to be a pollutant. All plant matter consists of carbon atoms, so everyone screaming about "zero carbon footprints" wants to kill all the plants on earth. This is classifiably insane. Treatment for Climate Change Disorder includes a combination of psychological counseling, nutritional and scientific education, and ongoing psychiatric monitoring. Until recently, Global Warming Disorder looked to be a major pandemic on the rise, but when NASA got caught fudging data, charts, and climate maps, that's when the Climate Change Variant started spreading. One in every five people suffer from some kind of mental health issue. Add in all the propaganda-fed fear from the Covid scamdemic, then inject 270 million Americans with gene-mutating injections that clog up the vascular system and the brain, and you've got a country where at least half of the folks are suffering from psychosis at some level. Then comes the Climate Change conspiracy theory. Based on ZERO science, all the scientology cultists swear by their toxic plan to eliminate themselves in order to save earth. Are they all drinking some crazy Kool-Aid again, or is that poison just delivered by mRNA? Nearly 1,000 people died in Jonestown in a "revolutionary suicide" cult event. Is that what the climate change cult is really about, preparing for their big revolutionary suicide event? Is that also why most climate change fanatics are agnostic or atheist? The apocalyptic cult this time won't be 900 people, but instead 9 billion, should they succeed with their "zero carbon footprint" mission from hell. Nearly every climate change cultist has been injected with toxic protein prions created at the Wuhan Lab Natural health advocates have been forced to fight in the pro-science, anti-climate-change-hoax war. We must all fight to keep growing plants that provide us food and oxygen. We must mentally inoculate ourselves against this mental illness of believing that eliminating all carbon, nitrogen and sunlight will save the world. Remember, oxygen is the dominant atom of CO2, so as the climate change cult tries their best to eliminate all carbon, they also want to end their own life by cutting off their own oxygen supply. The goal of the climate change cult is to kill all animals, plants, and "anti-science" humans in order to save themselves. Block the sunshine to save us! - They declare. Spray chemicals in the sky to block the heat, even though earth is in a slow cooling phase, according to actual science. The climate change cultists are the ones who rushed out to get injected with billions of spike protein prions because they believe Big Pharma wants to save them. Do not catch this DISEASE. Be sure to get mentally inoculated against it by reading Natural Health News daily. This has been a public service announcement. Tune your scamdemic frequency to Censored.news for truth news thats being censored from the rest of media as you read this. Sources for this article include: NaturalNews.com BrainDonorProject.org Matrixxx Grooove: Jeff and Shady discuss the plot to take away Trumps freedom of speech Brighteon.TV Jeffrey "IntheMatrixxx" Pedersen and Shannon "ShadyGrooove" Townsend talked about the Biden administration's plan to take away former President Donald Trump's freedom of speech Pedersen began the Aug. 8 episode of "The Matrixxx Grooove Show" on Brighteon.TV by playing a clip of Fox News host Jesse Watters. The host of "The Five" and "Jesse Watters Primetime" said the 2024 presidential election may be decided in the courtroom instead of the ballot box. The Democrats' strategy is to prosecute Trump instead of persuading Americans to vote for Joe Biden, the incumbent. "The Biden administration is demanding the likely Republican nominee [to] give up his freedom of speech during the presidential campaign. It is telling Trump: 'We're going to arrest you and you're not allowed to say anything about it,'" said Watters. "The Biden administration is saying Trump didn't have freedom of speech after he lost the [2020] election, and he shouldn't have freedom of speech before the next election." The Fox News host continued that the Democrats want a secret show trial with no cameras in the courtroom and Trump barred from talking to the press about it. Democratic prosecutors also want to be the only ones permitted to leak trial details to the press. "Kudos to Watters and the writing team. They even brought up the elections in the past, because Trump wanted us to look at that," Pedersen remarked. "I think Jessie is doing a great job, and this is actually what Trump wants [Americans] to watch." Trump the only U.S. politician not allowed to speak Watters said the mainstream media (MSM), Silicon Valley and intelligence agencies have aligned with the ruling Democratic Party to remove Trump from social media platforms. This, he added, seeks to prohibit him from speaking about how the Biden administration is attempting to throw him in jail. (Related: The Trump indictment criminalizes political dissent.) Moreover, he said the MSM would not even take Trump's remarks following his arrest. This also extends to Trump's lawyers, which the MSM does not want to listen to or permit to talk. According to Watters, this only shows that the media doesn't want Americans to listen to the former president. The "Jesse Watters Primetime" host added that Trump's politics threaten the people in power. His political speeches could be grounds for imprisonment before the trial if the gag order is allowed. In a post on Truth Social, Trump challenged Attorney General Merrick Garland and the Department of Justice (DOJ) to indict and arrest him on bogus charges in a desperate attempt to steal the election. He added that Special Counsel Jack Smith is filing for a court order taking away his First Amendment rights. This, Trump added, makes him the only politician in American history not allowed to speak. Townsend believes Trump is indeed going to get a gag order, but the former president will continue to talk. Pedersen, meanwhile, noted that the January 6, 2021 (J6) incident was a planned Deep State operation. He also mentioned that the J6 narrative has been shattered and the undercover cops, federal agents and Capitol police are now busted. Follow FirstAmendment.news for more news about Americans' First Amendment rights being violated. Watch the Aug. 8 episode of "The Matrixxx Grooove Show" below. Tune in to "The Matrixxx Grooove Show" with Jeff and Shady every weekday at 12-1 p.m. on Brighteon.TV. More related stories: Matrixxx Grooove: Federal indictment against Trump shows absolute TREASON and TYRANNY Brighteon.TV. Censorship defenders finally admit they hate First Amendment, want free speech curtailed as policy of "national interest." The official truth: The end of free speech that will end America. Sources include: Brighteon.com FoxNews.com NYC Mayor Eric Adams announces plans to house THOUSANDS OF MIGRANTS on an island in the East River New York City Mayor Eric Adams announced a plan on Monday, Aug. 7, to house at least 2,000 migrants plaguing the city on an island in the East River where a migrant center was set up in 2022 and then taken down weeks later. In October 2022, officials set up a migrant center on Randalls Island in the East River, between Manhattan, Queens and the Bronx. Three weeks later, the city announced that the tent complex would be shut down after the number of people being bused to the city from southern border states decreased. Now, Adams is looking to restart the Randalls Island complex. According to Adams, the number of asylum seekers in the city continues to increase by the hundreds daily, which is stretching the system "to its breaking point." Due to the influx of migrants, Adams noted that it has become much harder "to find enough beds every night." Adams noted that New York City is currently home to more than 57,000 so-called asylum seekers and that the state is willing to reimburse the city for the cost of operating a tent city for adult migrants on Randalls Island. The Randalls Island plan is just one of many programs the city is quickly starting to house the rapid influx of illegals into the city. The city government has in the past rented out hotels to house migrants and has placed people in makeshift housing locations, such as a cruise ship terminal and a former police academy building, amid the arrival of tens of thousands of asylum seekers over the past year. In July, city officials announced a plan to house 1,000 migrants in the parking lot of a state psychiatric hospital in Queens. Early in August, city officials were forced to send migrants to recreation centers at two Brooklyn parks, McCarren and Sunset. (Related: NYC migrant crisis: Central Park being considered as HOUSING for migrants.) Biden administration falsely claims that it is reducing the number of migrants Ineffective immigration policies have left New York City with 10,000 migrants continuing to arrive monthly, "demanding shelter, meals and services," according to new statistics. Despite the administration of President Joe Biden claiming that it has successfully reduced the number of asylum seekers flooding into the U.S., figures reveal that there is no sign of a slowdown in arrivals. In the first six months of 2023, at least 66,117 migrants entered America and said their destination was New York to the border guards arranging hearings for them in immigration court. The figure is more than twice the number arriving in Los Angeles, the second most popular destination for homeless migrants. That equates to almost 10 percent of the 671,721 migrants let into the country so far in 2023, based on the figures compiled by the Transactional Records Access Clearinghouse at Syracuse University, which uses data from the Executive Office for Immigration Review. Adams said New York City has received over 90,000 migrants in total since spring 2022. The mayors office also reported that at least 57,200 migrants are still in 194 shelters set up by New York as of this August, with 8.4 million New York City taxpayers about 2.5 percent of the U.S. population left to pay for their food, shelter and provide for a lot of asylum seekers, many of whom are staying in the shelter system for several months. The bill for meeting the demands of the migrant crisis is set to reach $4.2 billion, warned Adams. The mayor has asked the Federal Emergency Management Agency for $300 million in funding, but New York City has only received less than half of the requested budget. Adams also repeatedly expressed his frustration at the "lack of help at the federal level." Biden has allocated only $142 million in relief aid for New York City. In a statement in May, mayoral spokesperson Fabien Levy lamented that even though New Yorkers have picked up the slack from the government, the city's migrant situation is "both disappointing and woefully insufficient." According to immigration court filings, Los Angeles is the second most popular destination in the U.S. for migrants. However, LA has seen an of influx less than half of that of New York in 2023 with 29,883 people. The Biden administration continues to claim that it has enforced stricter measures on immigration since the end of Title 42 measures in May, saying that 45,000 people a month are allowed into America through its cell app-based CBP One scheme. Watch the video below to learn how New York's migrant crisis has gotten out of control. This video is from the Pool Pharmacy channel on Brighteon.com. More related stories: NYC to build migrant TENT CITY near Rikers Island to accomodate 2,000 illegals. RIOTS erupt across France as EU falls to migrant invasion. Migrant gangs are blowing up ATMs in Germany at record pace. Sources include: TheGuardian.com NYPost.com Brighteon.com Organized international crime syndicate suppressed the truth about dangers of covid vaccines The true culprits behind all the Wuhan coronavirus (Covid-19) "vaccine" censorship going on these days is not Big Media, Big Tech, or even Big Social Media. It is British bankers , in fact, who are the ringleaders behind the organized international crime syndicate that is responsible for suppressing the truth about the dangers of covid "vaccines." This is the claim of Sayer Ji, the founder of GreenMedInfo, which published a powerful expose about the matter that traces everything back to London. "As the world's premier English word merchants, they are quite adept at twisting the truth so that their stealthy disinfo, misinfo and false info campaigns are believed by many," reported the editors of State of the Nation (SOTN) about Ji's piece and the revelations it contains. "However, the once covert UK network of NGOs at the very hub of this propaganda and prevarication operation has been increasingly exposed since the month that the COVID-19 biowar began." (Related: Even The Wall Street Journal admits that covid censorship killed lots and lots of people.) NewsGuard, The Center for Countering Digital Hate, the Institute of Strategic Dialogue, and many other censorship operations are all based out of the UK Ji lays out in extensive detail the massive web of criminality taking place at all levels of both the public and private sector. And he traces it all back to London and the British financiers who, from the shadows, have been steering the censorship ship all this time. At the center of the scheme are NewsGuard and The Center for Countering Digital Hate (CCDH), both of which we have covered extensively here at Natural News for their involvement in arm-twisting tech platforms like Google and Facebook, as well as the entire executive branch, into playing along with the scheme. GreenMedInfo is actually one of the major sites that was targeted by this international organized crime syndicate as being a "disinformation-hosting website," along with many other independent media outlets. "A recent investigation by popular Twitter user Kanekoa the Great revealed that www.Greenmedinfo.com (a free health resource featuring public access to ~85,000 peer-reviewed studies supporting the science of natural health), was targeted in 2020 as one of 34 identified 'disinformation-hosting websites' (along with other popular, independent media sites) by the Institute of Strategic Dialogue (ISD), a UK-based think tank headquartered in London," Ji explains. It turns out that ISD specializes in "research and policy advice on hate, extremism, and disinformation," and was founded by none other than the highly influential British publishing magnate Lord Weidenfeld in 2006. Weidenfeld also just so happens to be an honorary member of the globalist hornets' nest known as the Club of Rome. ISD is closely partnered with both Big Tech and the United States Department of State, as well as the United Nations. It primarily receives funding from the Bill & Melinda Gates Foundation, the Omidyar Network, the United States Institute for Peace, the National Democratic Institute, and the Open Society Foundations. Ji breaks down the leadership structure at ISD and their various connections to other organizations, government figures, and entities involved in the global censorship operation against covid injection truth. The web is vast, and once again it all traces back to London. "Given the ongoing Congressional inquiry into CCDH by Jim Jordan, chair of the Committee of the Judiciary and Committee on the Weaponization of the Federal Government, it is essential that we discover what communications and / or contracts occurred between foreign, dark money organizations and Twitter, Facebook and other Big Tech companies, and how exactly and to what extent the civil liberties of countless U.S. citizens were violated, or are still being violated by their malign influence," Ji maintains. The latest news about the organized international crime syndicate that's destroying the world can be found at Globalism.news. Sources for this article include: StateOfTheNation.co NaturalNews.com Pediatrician finds herself out on the street after sounding alarm on COVID vaccines during senators event Newly disclosed documents reveal that a medical expert was fired by one of her employers after expressing concerns about the safety of COVID-19 vaccines during an event hosted by a U.S. senator. Following Dr. Renata Moon's testimony during the event held on Capitol Hill in December 2022, Washington State University officials informed her that they would report her to a state medical commission due to allegations of promoting misinformation, a document shows. The Washington Medical Commission (WMC) has asserted that doctors who disseminate misinformation about COVID-19 vaccines, treatments, and preventive measures "erode the public trust in the medical profession and endanger patients." The WMC encourages people to report complaints against doctors who allegedly spread misinformation, and they warn that licenses may be revoked for doctors found to have engaged in such practices. Drs. Jeff Haney and James Record, Washington State University officials, referenced the commission in a letter to Dr. Moon dated March 3 of this year, The Epoch Times reported. "The WMC has asked the public and practitioners to report possible spread of misinformation. There are components of your presentation that could be interpreted as a possible spread," they wrote. "As such, we are ethically obligated to make a report to the WMC to investigate possible breach of this expectation." Based on other documents reviewed by The Epoch Times, the university notified Moon in June 2023 that they would not renew her appointment as a clinical associate professor of medicine, effectively terminating her position. "At this time, the needs of the college are moving in a different direction and your participation is no longer required," Drs. Haney and Record wrote. No further details were given. "This is not about my personal situation with the school. This is about freedom of speech for all Americans," Moon told The Epoch Times in an email. "We must create an ethical healthcare system that is concerned only with the well being of individual patients and not the financial interests of massive corporations. We are dealing with conflicts of interest that are larger than any of us ever imagined." Sen. Ron Johnson (R-Wis.) organized a gathering of experts, including Dr. Moon and Drs. Peter McCullough and Robert Malone, to discuss COVID-19 vaccines. The event was titled "COVID-19 Vaccines: What They Are, How They Work, and Possible Causes of Injuries." During her testimony, Moon revealed that in her over 20 years of medical practice, she had encountered only two or three cases of myocarditis, a type of heart inflammation. However, she observed a significant increase in such cases after the COVID-19 vaccines were introduced, and she also learned about similar occurrences from other medical colleagues. "There's clearly been a massive increase," she said. Moon presented the package insert for the COVID-19 vaccines during her testimony which usually contains essential details like warnings, ingredients, and other information. Surprisingly, the insert for the COVID-19 vaccines was devoid of any information and simply stated "intentionally blank" by the U.S. Food and Drug Administration. "How am I to give informed consent to parents when this is what I have?" she said. According to regulators, the information typically found on the paper package insert is available on the administration's website. One of the vaccine manufacturers explained that the COVID-19 vaccine inserts were left blank due to the ongoing updates being made during the COVID-19 pandemic. "I have a government telling me that I have to say 'safe and effective,' and if I don't, my license is at threat. We're seeing an uptick in myocarditis. We're seeing an uptick in adverse reactions. We have trusted these regulatory agenciesI havefor my entire career up until now," Moon testified. "Something is extremely wrong, and that is the anecdotal story that I have." Sources include: TheEpochTimes.com NaturalNews.com Psychiatrist launches book that urges adults to go with parental instincts in guiding trans-identified youth instead of trusting the woke mob Board-certified child and adolescent psychiatrist Miriam Grossman turned to Twitter on July 18 to excitedly announce the launch of her book titled "Lost in Trans Nation: A Child Psychiatrist's Guide Out of the Madness," a guide that would arm parents with the ammunition to fight the madness of "woke" and left ideologies of the elite globalists on child mutilation and gender alteration. "Don't be blindsided like so many parents I know," Grossman said in the book description, "be proactive and get educated. Feel prepared and confident to discuss trans, nonbinary, or whatever your child brings to the dinner table. No family is immune, and every parent must be prepared." TOMORROW IS THE DAY!! It's finally here, my book's coming out and I'm super excited. Heads up, there are a bunch of scams on Amazon, make sure you don't buy them! There's no "workbook" or paperback. So many months of work staying up until 4am, I'm finally holding my book, pic.twitter.com/laImrunTNB Miriam Grossman MD (@Miriam_Grossman) July 18, 2023 It is already common knowledge to everybody that physically healthy children and adolescents are being permanently disfigured and sometimes sterilized nowadays. Those youth say they're transgender and the "woke mob" convinced people be it families, educators or doctors to happily agree with minors' self-diagnosis and even encourage them to alter their bodies in order to align them with their minds. President Joe Biden's administration has overwhelmingly expressed its full support to the transhumanism principles and doctrines of the woke generation. The POTUS is signing orders, hosting events and speaking in interviews on how far he is willing to go to promote diversity, equity, and inclusion (DEI). (Related: Transgender HHS official confirms that Biden fully supports child mutilations.) "This would not be acceptable in any other field of medicine. Indeed, the treatments our medical authorities and Washington call 'crucial' and 'lifesaving' have been banned in progressive Sweden, Finland, and Britain," Grossman stressed. In the book, the author implored parents to reject the advice of gender experts and politicians and trust their guts, their parental instincts, in the face of an onslaught of ideologically driven misinformation that steers them and their children toward risky decisions they may end up mourning for the rest of their lives, the description read. Grossman believes that parents know their child best as they especially know if they have a son or daughter. "But currently in our country when it comes to gender identity, everyone knows better than mom and dad. Schools enable students to live double lives Patrick at home, Patti at school. Activists tell kids their loving homes are 'unsafe' when parents voice doubts about the child's new identity. For refusing to see their son as their daughter, parents might be reported to protective services, a development that can lead to a familys destruction," she further highlighted. Even before gender ideology became "popular," she already warned parents about its falsehoods and dangers in her 2009 book about sexuality education, "You're Teaching My Child WHAT?" Her work has been translated into eleven languages and she has testified in Congress and lectured at the British House of Lords and the United Nations. Study finds puberty blockers and cross-sex hormones have low scientific quality In an op-ed Grossman wrote for the Daily Wire, she pointed out that "real experts" acknowledge how scientific knowledge of gender dysphoria is massively lacking. According to the American Psychological Association, because no approach to working with transgender and gender nonconforming children has been adequately, empirically validated, consensus does not exist regarding best practices with pre-pubertal children. In 2020, Grossman cited, the British National Institute for Health and Care Excellence (NICE) did a systematic review of puberty blockers and cross-sex hormones and found evidence that the medications' "potential benefits are of very low certainty." Also, Dr. Stephen Levine, a pioneer in the study and treatment of sexuality and gender problems since 1974 wrote in an expert affidavit that the knowledge base concerning the causes and treatment of gender dysphoria has low scientific quality. Professor Carl Heneghan, Editor in Chief of the British Medical Journal and director of the Centre of Evidence-Based Medicine at the University of Oxford, along with Professor Tom Jefferson, a clinical epidemiologist, completed an independent analysis of research on transgender medical interventions. Wherein they found the "quality of evidence is terrible." Not only that, but research proves that gender alteration medications and procedures are inefficient; they even carry high and mostly irreversible health risks such as infertility, sexual dysfunction, osteoporosis, cardiovascular disease, and, for some, crippling emotional pain. "For the White House to state that early affirmation of children with gender issues is 'crucial' is a dangerous falsehood, one that misleads parents and places children at risk for serious harm and lifelong suffering," Grossman pointed out. Bookmark Transhumanism.news for more stories similar to this. Sources for this article include: MiriamGrossmanMD.com DailyWire.com Texas DPS troopers rescue over 900 SMUGGLED CHILDREN at the southern border Texas Department of Public Safety (DPS) troopers, through the state's border security mission, Operation Lone Star, have rescued more than 900 children being smuggled into and through Texas from Mexico by human traffickers. One of the rescues occurred in Maverick County in Eagle Pass, Texas, where troopers found a five-year-old girl from Honduras who was smuggled into Texas by three adult women not related to her. The women found the girl in Piedras Negras, Mexico, and they brought her with them as they crossed illegally into Texas between the ports of entry. Authorities reported that the girl was being brought to reunite with her mother, but the latter had died three days prior. The girl told troopers that her father was still in Honduras and she was turned over to the U.S. Border Patrol (USBP). Another rescue took place during a traffic stop on a major local smuggling route in neighboring Kinney County. Troopers pulled over the driver of a black GMC Sierra, a Mexican national who claimed that he was coming from Houston to pick up some of his "friends." The trooper noticed that he had six passengers in the rear area of the truck. Conversations with the driver revealed that they were all in America illegally, including two children. The driver also admitted that someone from Mexico texted him an address where he was to pick up a group of people who had illegally entered Texas. The driver said he wasn't being paid, but smugglers normally receive at least several thousand dollars per person depending on their destination. Houston is the primary human trafficking hub in Texas. (Related: Smuggling network caught using fake FedEx vans to smuggle migrants past U.S. border patrol checkpoints.) Sex offenders among the criminals arrested by DPS troopers DPS troopers have also arrested criminals, including sex offenders and those in possession of child pornography. One DPS brush team helped arrest a Mexican national and coyote who was in America illegally after he guided four people across the Rio Grande River into Texas. The coyote's phone contained pictures and videos of child pornography. The Texas Rangers then took over the case, and the Mexican national was charged with possession or promotion of child pornography. DPS troopers have also identified MS-13 gang members who are trying to blend in with family units as they illegally cross into the Lone Star State. In a recent case, DPS Criminal Investigations Division troopers assisted Border Patrol agents with a large family group after they illegally crossed the Rio Grande River in Eagle Pass. They noticed an adult male from El Salvador trying to hide himself in the group and arrested him. Facial recognition identified the man as a possible national security threat with links to transnational organized crime. Data also revealed that he was an MS-13 gang member with eight prior apprehensions and a street-level drug dealer. The man was eventually surrendered to the USBP. According to data from Governor Greg Abbott's office, officers have caught more than 401,900 illegal foreign nationals and made more than 32,400 criminal arrests since Operation Lone Star was launched in 2021. More than 29,600 felony charges have been reported through the operation. These numbers are in addition to record arrests of noncitizens at ports of entry by Customs and Border Protection (CBP) agents. According to CBP enforcement data, the majority of people with criminal convictions who are being arrested have been caught at ports of entry, which is where OFO agents work. Data shows that for the fiscal year to date, OFO agents have apprehended more than 15,000 people with criminal convictions, which has increased from roughly 6,500 in fiscal year 2021. In fiscal year 2022, they arrested at least 17,000 people. If the current trajectory continues, Office of Field Operations (OFO) agents are on track for the current fiscal year to arrest the greatest number of illegal foreign nationals with criminal convictions in recorded history. Meanwhile, Border Patrol apprehensions of gang members and weapons seizures have decreased significantly. According to a former border chief, those numbers are down because fewer agents are in the field, especially between ports of entry, to conduct seizures and apprehensions. Watch the video below to learn about child trafficking in Nebraska. This video is from the Life, Liberty and Justice channel on Brighteon.com. More related stories: DeSantis signs anti-illegal immigration bill mandating that businesses ban undocumented workers. TikTok becoming a platform for child sexual exploitation. Wall Street Journal confirms existence of Pizzagate pedophilia network. Sources include: JustTheNews.com TheCenterSquare.com Brighteon.com TRANS INSANITY: Children as young as SEVEN now qualify for genital mutilation in U.K. The British government has given the green light to the state-run National Health Service (NHS) to begin performing LGBT transgender mutilation procedures on children as young as, according to reports. Now that the infamous Tavistock gender identity clinic is closed for good, a new similar program has cropped up to replace it that allows mere babes to begin taking puberty blockers, among other transgender perversion procedures. NHS England, we are told, set the minimum age for transgender mutilation at seven. These youngins will be offered "psychological support and therapy," The Times (United Kingdom) reported, adding that such support and therapy "will be focused on issues that may have led to their feelings about their gender." "Children under seven years of age may not be expected to have sufficiently developed their intellectual understanding of, and comprehension of, sex and gender to be able to understand the reasons for, and potential consequences of, a referral to a specialist gender incongruence service," reads a plan for the new program seen by The Times. By age seven, those plans further state, children will "be more established within school, and education professionals and school nurses will be able to contribute to a general observational view as to the appropriateness of a referral." (Related: The Duke University "gender clinic" reportedly "treats" children as young as two for gender issues.) Childhood difficulties have nothing to do with gender, despite the claims of the transgender mafia Keep in mind that prior to the newly proposed age threshold, children of any age in the UK could be admitted for transgender mutilation at the Tavistock clinic. Reports indicate that prior to its closure, Tavistock was "treating" children as young as three. Dr. David Bell, a former consulting psychiatrist and governor at the Tavistock clinic who has since come forward as a whistleblower, says that the entire process of how children are evaluated and given "support and therapy" for transgender mutilation is inherently flawed. "For me, there is a structural problem which needs particular caution: referring a child to a gender service, even if they are seen in the context of a multidisciplinary team, in that the mere fact of referral is consequential," Bell says. "It risks the child's difficulties being viewed by themselves and their family as primarily to do with gender. Labelling the problem as a 'gender problem' can easily be the first step on a pathway to medical transition." In other words, by introducing the very concept of transgenderism into conversations about childhood issues that seemingly relate to it sets the stage for children to go down a dangerous path that they otherwise would not have even known existed if not for the LGBT propaganda being dispensed by the likes of the NHS. Between the years of 2014 and 2018, some 302 children aged 14 or younger were referred to the NHS for puberty blockers. These drugs, in case you are unaware, negatively impact bone density and are also believed to damage cognitive and sexual development. In 2014, Gids, the Tavistock and Portman Trust's Gender Identity Development Service clinic in northwest London, lowered the prescription age for puberty blockers from 16 to 11. "By 2017 overall case numbers had risen from just 72 in 2009-10 to 1,807 in 2016-17. Female referrals, once a fraction of males, now made up 70 per cent, up from 32 to 1,265," The Times further reported. "The number of teenage girls with gender dysphoria, a state of profound discomfort with their biological sex, had risen by 5,000 per cent in seven years." Mutilating children in the name of transgenderism is one of the vilest sex crimes to ever be sanctioned as "normal" by society. Learn more at Transhumanism.news. Sources for this article include: TheTimes.co.uk Newstarget.com Undercover journalist exposes ADL for dark and nefarious social media censorship plot An X (formerly known as Twitter) user by the name of "Kyle is Based" conducted a citizen journalist investigation into the Anti-Defamation League (ADL) and its pro-censorship agenda. Just like the American Civil Liberties Union (ACLU), the Southern Poverty Law Center (SPLC), and other such left-wing organizations, the ADL is hugely focused on "canceling" its opponents through online censorship. In total disregard of the United States Constitution, and specifically the First Amendment, leaders at the ADL were caught expressing casual disregard for free speech violations, as if such violations are perfectly normal and even expected. The ADL appears to be specifically focused on Elon Musk and his new X platform, as they seek to have Musk ban certain accounts on its private "hit list" watch the five-part video series below: ?I went UNDERCOVER to expose the @ADL for their attempts to control social media platforms like @X. Notice how casually they talk about censoring & banning YOU when they don't know they're on camera. I edited our discussion into 5 IMPORTANT clips to showcase their power. 1/5 pic.twitter.com/fqTsyIv4eb Kyle - Undercover (@kyleisbased) August 10, 2023 In this second hidden camera clip, I CAUGHT the @ADL discussing how they attempt to influence @ElonMusk to pick and chose who they want to be banned from HIS platform. We must stop these unamerican organizations from trying to influence our platforms. 2/5 pic.twitter.com/uTBgMcBUML Kyle - Undercover (@kyleisbased) August 10, 2023 In this third clip I CAUGHT the @ADL admitting to using secret AI tech software to comb through gaming streams and podcasts for extremism. Who are they targetting? Who are they to decide what and who is "extreme"? 3/5 pic.twitter.com/apASgMrPbi Kyle - Undercover (@kyleisbased) August 10, 2023 In this 4th hidden camera clip I EXPOSED the @ADL admitting to the manipulation and control of crowdfunding platforms like @stripe and @gofundme. They have the ability to stop payment processing to anyone that spreads a message that they deem "hateful". 4/5 pic.twitter.com/w49BU8pwdj Kyle - Undercover (@kyleisbased) August 10, 2023 In this final clip I CAUGHT the @ADL admitting to grooming local & federal law enforcement agencies like the @FBI into identifying "hate". This has already been used against conservative Americans and will only get worse. This sets a VERY dangerous precedent for our future. 5/5 pic.twitter.com/Q5lLdm9zri Kyle - Undercover (@kyleisbased) August 10, 2023 (Related: Remember back in 2020 before the election when the ADL, in conjunction with the NAACP, called for a Facebook boycott because the social media platform supposedly was not doing enough censoring for their liking.) Will the ADL get to Musk and have him turn X into just another highly censored Twitter? "Kyle Undercover," as he also calls himself, tweeted a sixth bonus tweet dedicated to Mary Phagan, which you can watch below: This thread is dedicated to Mary Phagan. Please check out this other thread to learn more about the history and founding of the @ADL. Thank you for watching. If you enjoyed the content, drop a follow. 6/5https://t.co/v1QDgQvLEY pic.twitter.com/cLs5CUETwM Kyle - Undercover (@kyleisbased) August 10, 2023 In short, the ADL is just another cog in the wheel of Big Tech censorship. Like the ACLU, the SPLC, and others, the ADL holds massive sway over what is allowed to be shared online and now they are going after X, which Musk took private for the stated purpose of cleaning things up and stopping all the censorship though, we are told, censorship is still the same on X or even worse, in some cases. Keep in mind that the ADL et al. are basically doing the bidding of the federal government, which cannot overtly censor Americans because to do so would be a violation of the Constitution. So, the spooks in Washington, D.C., contract it out to "non-profit" organizations instead. Censorship is antithetical to the First Amendment to the U.S. Constitution. Learn more at Censorship.news. Sources for this article include: Revolver.news NaturalNews.com Zelenskys military chiefs buy luxury cars, opulent properties in Spain while soldiers die in war with Russia Ukrainian President Volodymyr Zelensky's regional army chiefs have been purchasing premium high-end cars and opulent properties while their troops die in the front lines of the Russia-Ukraine war. This bombshell information came from a former advisor to the Ukrainian Parliament. Meanwhile, according to the current Advisor to the Member of Parliament Mykola Volkivskyi, Zelensky has already launched a blanket firing of Kyiv's regional military recruitment chiefs on August 11. The allegations were confirmed by a review of the recruitment centers, revealing authority abuses including illegal enrichment to help eligible military-age men flee the country to avoid military service and allowing people to avoid the military draft on medical grounds. A lot of Ukrainian men would rather escape the war and it was hard for them to leave because men aged 18 to 60 have been banned from leaving the country ever since martial law was introduced in February 2022. Exemptions exist for medical reasons, studying abroad, being a single father, having more than three children, or caring for a disabled person. (Related: Zelensky proposes another 90-day extension of MARTIAL LAW in Ukraine.) Volkivskyi, who was an advisor to the chairman of the Ukrainian Parliament's Commission between 2014 and 2021, told Daily Express U.S., the move was widely "expected." "The most outrageous thing was that certain military commissars began to enrich themselves and buy new real estate abroad, particularly in Spain, and expensive luxury cars from the beginning of the war. It outraged Ukrainian society," he said and added that this all occurred while "Ukrainian soldiers were dying at the front" and that there were "constant problems" with many officials. The news outlet also reported that Zelensky, via his top general Valery Zaluzhny, plans to implement a system where wounded soldiers, who were no longer combat effective, would instead run the regional military recruitment centers, according to Volkivskyi. The MP advisor also said that the idea began to spread among Ukrainians that it would be good to send physically healthy military commissariat employees to the front line and that their positions should be filled by wounded soldiers who were physically unable to return to the army but could still carry out their professional duties in the military commissariat. He added the change should help "overcome any corruption risks" associated with the job of deciding who serves in Ukraine's Armed Forces. Meanwhile, Zelensky was reportedly unhappy with the revealed corruption in his military and released a statement: "This system should be run by people who know exactly what war is and why cynicism and bribery during the war is treason." Earlier this year, nine top officials were fired after they were accused of taking bribes and vacationing in Spain and France during wartime. The European Union praised Zelensky's reforms in the country. However, the supranational political and economic union pointed out that anti-corruption measures were not being carried out fast enough. Zelensky insists Ukrainians not fighting on the front line should also help win the war instead of enjoying themselves in bars and clubs A Hindustan Times YouTube video featured Zelensky criticizing his people who are having fun and partying amid the dragging war with Russia. According to him, defeating Russia in the war should be a 'common cause' and everyone should be fighting and working for victory. The Ukrainian president also urged citizens living in the rear areas to provide very specific help to Ukrainian soldiers as all the forces in the country must now be engaged in battles for the sake of the state's survival. "Warriors from the front line who come to the rear cities deserve to see and feel that these are rear cities. That people live there, remembering that there are warriors there, that our warriors here in Donetsk and other directions are fighting for Ukraine, giving their lives for Ukraine. Freedom and independence are not gained by someone there... at the front," Zelensky claimed. "Everyone is at war. Ukraine is at war. And those who are not fighting on the front line must help fight. Not in bars, not in clubs, not by street racing or some kind of ostentatious consumption, but by helping the warriors in a very specific way. To say the least of it," he urged his people. "For the sake of Ukraine is the key principle. For the sake of something else or for the sake of ourselves will be later, when we win. And now it is defense. Now it is war." Bookmark UkraineWitness.com for updates on the ongoing, long-running Ukraine-Russia war. Sources for this article include: The-Express.com News18.com UKRInform.net China's Stone Forest is an ocean that has been drained and was uninhabitable 230 million years ago. With a height ranging from 1,625 to 1,900 meters above sea level, The Stone Forest (Shilin), also known as the "First Wonder of the World," is located in the Lunan Yu Autonomous County, at 126 kilometers due southeast of Yunnan Province's Kunming Qujing Prefecture. Both the railroad and the expressway provide convenient transportation between Kunming and the Stone Forest. Drained Ocean from 230 Million Years Ago Its origins go back more than 230 million years when it was a vast, unpopulated ocean. Towering rocks emerged in their places as the water emptied, and as they were gently worn through time, they took on the strange formations we can see today. Special Landform A unique sort of karst landform is the stone forest. The term comes from the great concentration of towering rock pillars that resemble a forest of stones. These tall, oddly shaped granite pillars cover more than 26,000ha of space. Only 80 acres of this region are accessible to tourists. The rock pillars remain in good condition. Capacity at 50 Million Cubic Meters There are also several karst caves full of stalagmites, stalactite, stone pillars, stone corridors, and five subterranean rivers, lakes, ponds, and waterfalls. 65 reservoirs and ponds with a combined storage capacity of 50 million cubic meters can also be found in the beautiful zone. Memorable Rock Formations Avalokitesvara Rock, Buddha Stone, Mother and Son, Camel Riding on Elephant, Rhinoceros Looking at the Moon, and Beautiful Maiden Ascending from the Water are just a few of the unique titles given to the rocks. The fabled Ashima karst feature in the Stone Forest is arguably the most well-known. Ashima was a nice, bright, diligent, and attractive girl who came from the Sani branch of the Yi ethnic group, according to folklore. The Lunan Stone Forest is made up primarily of odd rock pillars, with karst caverns, lakes, waterfalls, and other picturesque features added in. Biodiversity The Stone Forest is home to more than 400 different species of flowers and is divided into various picturesque regions with names such as Outer Stone Forest, Greater Stone Forest, Lesser Stone Forest, Underground Stone Forest, and Stone Forest Lake, as well as Da Dieshui Falls. It also has a good climate. Also Read: Ancient Citadel Acropolis Cuts Down Tourism to 20,000 Daily Visitors in Bid to Preserve UNESCO World Heritage Site Labyrinths While exploring these fascinating labyrinths, where there are ancient frescoes painted upon the stone walls. These so-called "cave paintings" originate from the Neolithic Era and were created using a combination of animal blood and minerals. Tourist Attraction The Shilin Stone Forest was first found by the Chinese government in the 1950s, but since then it has become increasingly well-known due to the grandeur of the sword-shaped limestone pillars and the friendliness and customs of the Sani people, who draw visitors from all over the world. Related Article: Elephant Rock: How Iceland's Most Iconic Basalt Rock Formation Came to Be On Sunday, August 13, Mount Etna erupted overnight, spewing lava and ash into the sky. The eruption and the volcanic fallout forced the Catania International Airport, one of Sicily's main airports, to suspend all flights until Tuesday morning, August 15. The airport closure caused disruptions and inconveniences for many travelers, especially during the peak of the summer holiday season and a public holiday in Italy. The mayor of Catania also issued a temporary ban on the use of motorcycles and bicycles in the city, as many streets were covered in ash and posed a risk of accidents. What caused the Mount Etna eruption? Mount Etna is a stratovolcano, which means it is composed of layers of lava, ash, and rocks that have accumulated over time. It is located above a subduction zone, where the African plate slides under the Eurasian plate. This creates pressure and heat that melt the rocks and produce magma. The magma rises to the surface through cracks and vents in the crust, causing eruptions. The latest eruption of Mount Etna was signaled last week when it emitted gas rings, which are circular puffs of gas that are propelled into the air by the pressure inside the volcano. These incredibly unusual occurrences take place when gas bubbles are forced through a small opening in the volcano, which rotates the edges of the puff of gas, giving it the appearance of a ring. The eruption evolved into a lava fountain, which is a jet of lava that shoots up from an open vent. The lava fountain produced a volcanic cloud that dispersed in a southerly direction, creating a fallout of ash in the southern sector of the volcano and beyond. The ash plume reached a height of about 10 kilometers (six miles) above sea level. Also Read: Future Volcanic Eruption Imminent as Magma Chamber Grows Under Mediterranean Volcano [Study] Mount Etna eruption affect the Catania airport and the local community The volcanic cloud from Mount Etna posed a hazard for air traffic, as it can damage aircraft engines and reduce visibility. Therefore, the Catania International Airport, known as Catania-Fontanarossa Airport, had to close its operations until 8 p.m. local time on Monday. The airport is located about 16 kilometers (10 miles) southwest of Mount Etna, making it vulnerable to volcanic ash. The airport closure affected many flights that were scheduled to arrive or depart from Catania, including those from destinations such as Malta, France, Austria, and other Italian regions. Some flights were canceled, delayed, or diverted to other airports in Sicily. This caused frustration and inconvenience for many travelers, especially during the peak of the summer holiday season and a public holiday in Italy. The mayor of Catania also issued a temporary ban on the use of motorcycles and bicycles in the city for 48 hours, as many streets were covered in ash and posed a risk of accidents. The ash can quickly become slippery on roads and increase the risk of skidding or falling. The ash can also affect human health by causing respiratory problems or eye irritation. Moreover, the ash can damage crops and buildings by reducing sunlight or clogging drains. Related Article: Philippines' Most Active Volcano on the Verge of Eruption, Alert Level 2 Raised Amid Volcanic Unrest Bats are fascinating animals that play important roles in ecosystems, such as pollinating plants, dispersing seeds, and controlling pests. However, bats also face many threats, such as habitat loss, disease, and human persecution. To better understand and protect these creatures, scientists need to study their behavior, ecology, and evolution. One of the aspects that scientists are interested in is how bats interact with insect migrations. Insect migrations are large-scale movements of insects across regions or continents, driven by factors, such as seasonality, climate change, and food availability. Insect migrations can have significant impacts on ecosystems, agriculture, and human health. A recent study by researchers from the University of Exeter has revealed new insights into how bats gather to feast as nocturnal insects fly through mountain passes in the Pyrenees each autumn. The study showed that migrating insects are a vital food source for both migrating bats and those that live in the mountains. It also provides the first ever evidence of migratory bats feeding on migratory insects while both are migrating. How did the researchers study bat-insect interactions in the Pyrenees? The researchers conducted their study in the Pass of Bujaruelo, near Spain's border with France. The pass is a hotspot for a wide variety of insect species that fly south in the autumn The migration of nocturnal insects happens over about two months each autumn, but varying weather conditions mean there are just three or four key nights each year when large numbers of insects fly through the pass. The researchers used a combination of tools to monitor the bat and insect activity in the pass. They used acoustic detectors to record the echolocation calls of bats, which can be used to identify bat species and their behavior. They also used radar to track the movement and density of insects flying through the pass, along with light traps to collect insect samples for identification and analysis. The researchers collected data from 2019 to 2020, covering 36 nights during the autumn migration period. They analyzed the data to examine the relationship between bat activity and insect bioflow (the amount of biomass passing through a unit area per unit time). Also Read: White-Nose Syndrome: Devastating Disease Found in Chinese Bats What did the researchers find out about bat-insect interactions in the Pyrenees? The researchers identified seven bat species and 66 insect species (90% of which were moths) in the pass. The most abundant bat species were common pipistrelle (Pipistrellus pipistrellus), soprano pipistrelle (Pipistrellus pygmaeus), Nathusius' pipistrelle (Pipistrellus nathusii), European free-tailed bat (Tadarida teniotis), and Leisler's bat (Nyctalus leisleri). Meanwhile, the e most abundant insect species were cotton bollworm (Helicoverpa armigera), silver Y (Autographa gamma), rush veneer (Nomophila noctuella), death's head hawkmoth (Acherontia atropos), and turnip moth (Agrotis segetum). The researchers found that bat activity was significantly correlated with insect bioflow. On nights when large numbers of insects flew through the pass, activity of both migratory and residential bat species increased significantly. This suggested that these nights are important moments for the bats to build and maintain their energy reserves. There is also found evidence that migratory bats fed on migratory insects while both were migrating. This is the first time that such a phenomenon has been documented. The researchers hypothesized that migratory bats may use the influx of insects as a "refueling station" to power their own journeys south. What are the implications of the study for bat conservation and insect migration research? The study has several implications for bat conservation and insect migration research. First, it highlights the importance of major insect migrations as a vital food source for bats. This means that any factors that affect insect migrations, such as climate change, light pollution, pesticides, and habitat loss, could also affect bat populations. Therefore, it is essential to monitor and protect both insect and bat migrations across regions and continents. Second, it reveals new information about the behavior and ecology of migratory bats. It shows that migratory bats can feed on migratory insects while both are migrating, which could have implications for their energy budget, navigation, and physiology. It also shows that migratory bats can coexist and compete with residential bats in mountain passes, which could have implications for their population dynamics, community structure, and disease transmission. Third, it demonstrates the potential of using acoustic, radar, and light trap methods to study bat-insect interactions in mountain passes. These methods are relatively low-cost, non-invasive, and scalable, and can provide high-resolution data on the diversity, abundance, and movement of bats and insects. These methods could be applied to other mountain passes or regions to compare and contrast the patterns and processes of bat-insect interactions. Related article: Bats and Whales Share Behavioral Similarities Lake Titicaca, the largest and highest lake in South America, is facing a serious threat from climate change. The lake, which lies on the border between Bolivia and Peru, has seen its water levels drop to near-record lows in recent years, affecting the livelihoods and culture of the people who depend on it. The causes and consequences of the water crisis According to Lucia Walper, the chief forecaster for Bolivia's weather service (Senhami), the main cause of the lake's water decline is the lack of rainfall in the region. Walper said that the lake's level has been progressively decreasing due to many meteorological, hydrological and climatic factors. She also pointed out that the phenomena of El Nino and La Nina, which are associated with changes in temperature and precipitation patterns, have been presenting a distortion in their parameters and factors over time. The lake's water level is currently within 10 inches (25 centimeters) of its all-time low, a record set in 19962. Along what was once the 700-mile shoreline of the lake, one now sees boats stranded on dry land and orphan docks stretched over nonexistent water. The lake, which once had a surface area of 3,200 square miles (8,300 square kilometers), has shrunk significantly, although a satellite survey has not been made yet to measure the extent of the loss. The low water level has serious implications for the biodiversity and ecosystem of the lake, as well as for the economic and social well-being of the people who live around it. The lake is home to more than 500 species of animals and plants, many of which are endemic and endangered. It also provides water for irrigation, hydroelectric power generation, tourism, fishing and cultural activities for millions of people in both countries. The reduced water availability has affected the fish population and reproduction, as well as the quality and quantity of crops that depend on irrigation from the lake. The fishermen and farmers who rely on the lake for their income have seen their livelihoods threatened by the water crisis. Moreover, the lake has a deep cultural and spiritual significance for the Indigenous people of the region, who consider it as a sacred source of life and identity. The loss of water also means the loss of their ancestral traditions and practices. Also Read: This Engine Generates Electricity Using Waste Hot Water The possible solutions and challenges The governments of Bolivia and Peru have been working together to address the water crisis and to protect the lake from further degradation. They have signed several agreements and initiatives to monitor, conserve and restore the lake's water level and quality. Projects to improve water management, sanitation, irrigation efficiency and alternative livelihoods for the local communities have also been implemented. However, these efforts face many challenges and limitations. One of them is the lack of sufficient funding and technical capacity to implement and sustain the projects. Another is the lack of coordination and cooperation among different stakeholders, such as local authorities, civil society organizations, private sector actors and international donors. A third challenge is the uncertainty and complexity of climate change impacts, which require adaptive and flexible responses that can cope with changing scenarios. Walper said that the outlook for Lake Titicaca is not good, and that it is very probable that the water levels will keep falling until they hit even lower levels. She warned that if no urgent actions are taken to mitigate climate change and to adapt to its effects, the lake could face a catastrophic scenario in the future. Walper is calling for more awareness and action from both governments and citizens to save Lake Titicaca from disappearing. She further siad that everyone has a responsibility to protect this natural treasure that is not only a source of life but also a symbol of culture and history for both countries. Related article: Ocean Under the Crust: Massive Water Formation Found Beneath the Planet Ten of the nearly 200 dengue cases in Florida this year are non-residents, which means infection happened during their travel outside the state. Dengue Spreads in Florida Health authorities' worries have grown as a result of the rapid spread of the Dengue virus over several counties in Florida. Broward County has recently gained attention as it joins Miami-Dade County in being closely monitored for illnesses spread by mosquitoes. Two locally transmitted dengue cases in Broward County have been reported by the Florida Department of Health in its most recent arbovirus surveillance report covering the period of July 30 to August 5. Nearly 200 Cases With 10 Non-Residents These additional instances add to the total of 10 local dengue illnesses that have been reported in the state so far this year, the bulk of which have been in Miami-Dade County, especially in July. The agency took the initiative to serotype the 10 reported cases using PCR to identify the viral strains. The recent surge is concerning given that there were only two locally acquired dengue cases in Broward County last year. The reporting of over 200 cases this year among people with recent travel records to dengue-endemic locations is another remarkable fact. This highlights the crucial role that tourists played in bringing the virus to Florida and sustaining its spread among indigenous mosquitoes. The presence of non-Floridians in 10 documented cases shows that the outbreak's reach goes beyond state citizens. A single instance that meets the requirements for severe dengue also acts as a forceful reminder of the illness's potentially serious side effects. Officials warned that the virus will not discriminate and may a wide range of people, whether pregnant or not, young and old, and even those who have been previously diagnosed with the virus. 225 dengue cases have been reported this year across several US states, according to the Centers for Disease Control and Prevention (CDC). To mitigate the impact of Dengue on public health in Florida, increased vigilance, extensive awareness campaigns, and effective mosquito control tactics are essential. Also Read: Yellow Fever Mosquito: Among Two Invasive Species Reported in Santa Clarita Valley, California Some Easy-to-Remember Dengue Fever Basics: It's a virusm with four types. Mosquitoes can transmit it to humans. Humans can also transmit the virus to mosquitoes when a mosquito that is not infected bites an infected human. Although Aedes aegypti is the main contributor, other mosquito species are also capable of transmitting the virus. It is sometimes known as break-bone fever. Some patients do not have symptoms but are still infected. Symptoms, for those who do have them, include high body temperature, aches all over the body, nausea coupled with headache, and rashes. Symptoms can last from 1 to 2 weeks. Dengue cases are more common in the tropical regions. Once a mosquito has been infected, it will remain so until it dies. Using mosquito repellant can ward off infected or non-infected mosquitoes. Related Article: Malaria Cases Reported in Texas, Florida: Potential Growing Problems, Experts Say The northernmost Canadian city of Yellowknife has declared a state of local emergency to prepare for potential threats from surrounding wildfires. A state of emergency authorizes the city to "acquire or use real or personal property, whether private or public, considered necessary to prevent, combat, or alleviate the effects of the emergency." The announcement was made at a special 6 p.m. meeting of the City Council on Monday. "The situation is serious and we've been taking it very seriously," said City Mayor Rebecca Alty. The wildfire raging between the city and Behchok crossed control lines set up around Yellowknife on Sunday, August 13. Yellowknife is the capital of the Northwest Territories and one of the major settlements in the sparsely inhabited north, with a population of around 20,000 people. Read Also: Canada Wildfire Smoke Clearing Up Along US East Coast, Extreme Heat Danger an Emerging Threat: Meteorologists Evacuation plan An evacuation alert has been issued to Kam Lake, Grace Lake, and the Engle Business District. The three areas affected are all on the city's western outskirts. Grace Lake is a newer residential area, while Kam Lake is both residential and industrial. Hall Crescent is not included in the evacuation notice. The SPCA and a fuel tank farm are located in the Engle Business District, which is an industrial region. The city has spent the last two days clearing trees in this region. Alty told local news that the evacuation notification is merely precautionary and that residents in certain locations should be prepared to evacuate immediately. The local government announced that the Yellowknife multiplex will be open for individuals who require a place to stay, and the next step will be announced soon. However, some residents criticized this move and challenged the city's decision not to announce a city-wide evacuation plan. "I feel like our evacuation plan should have been made available to the public weeks ago," Alexis Goulding said. Territory-wide state of emergency The Northwest Territories issued a state of emergency to deal with an "unprecedented" wildfire situation. Municipal and Community Affairs Minister Shane Thompson acknowledged in a press statement that they are in a crisis situation, but that the government is working and uaing to use every tool they have to assist residents. The Emergency Management Act declaration allows the government to "acquire and deploy the necessary resources to support the management of this unprecedented wildfire season and protect the health and safety of Northwest Territories residents." This will also give the minister and others authorized by the minister additional authority to conduct all acts and take all required steps to deal with an emergency while the order is in effect. The declaration will be valid for 14 days and can be renewed if the minister judges that there is still an emergency. According to Mike Westwick, N.W.T. Fire Information Officer, flying aircraft between Yellowknife and Behchok has been challenged owing to smoke from the fire. Westwick further stated that they have been out in the field since day one to fight the progress of the wildfire. This year, the Northwest Territories has suffered 265 wildfires, significantly more than its 10-year annual average of 185, and some settlements have been evacuated owing to the dangers of blazes. Related Article: Pilot Killed After Helicopter Collided With The Ground While Fighting Canada Wildfire Related Video: "The main risk that we are going to face in the following months has to do with the arrival of El Nino phenomenon. There is a significant probability that El Nino will range between weak and moderate," Contreras indicated. ??Seguimos impulsando la reactivacion | El PBI continuara recuperandose durante los siguientes meses tras la moderacion de los shocks temporales, y para promover la recuperacion, el Gobierno continuara implementando medidas adicionales. ???Nota de prensa: https://t.co/95JOTkMAlv pic.twitter.com/q5nX79ihDY Kneron has rolled out a new type of neural processing unit, the KL730, which integrates image signal processing and high energy efficiency for use in edge, security and automotive applications. The San Diego-based chip designer, in an announcement Tuesday, said that the KL730 is powered by a quad-core ARM Cortex A55 CPU, along with its own-brand, fourth- generation neural processing unit, as well as a host of connectivity options, including SD, USB 2 and 3, and Ethernet. Its image processing technology also packs in the ability to read high-definition inputs at up to 8MP at 90 frames per second, hardware dewarping for full panoramic views, and several other image signal processing features. Neural processing units are designed to perform math that is common in neural networks, used in tasks including image recognition. According to Kneron, the KL730 will be capable of up to four effective tera-operations per second, in order to best support lightweight large language models for use in embedded applications. The idea is to provide AI capabilities to a wide range of distributed, imaging-focused applications without the need for a network connection to a dedicated AI core running remotely in essence, providing on-device AI hardware for everything from cars to medical devices to conference rooms. Running AI requires AI-dedicated chips with an architecture that is completely different from anything weve seen before, said Kneron founder and CEO Albert Liu, in the company's news release. A simple re-appropriation of adjacent technologies, such as graphics-dedicated GPU chips, simply isnt going to do the job. Systems leveraging the KL730 would use a smaller AI model, like nanoGPT or miniGPT, to provide an embedded AI presence in numerous potential use cases, according to a slide deck Kneron provided with the release. The use of the system in conjunction with the sensors increasingly common on modern cars could provide for improved safety and help conquer what have been major stumbling blocks for the industry like pedestrian recognition. It could be used to provide enhanced image processing for surveillance cameras and teleconferencing, identifying license plates and faces automatically. Finally, the deck noted that small robotics technologies could gain improved speech recognition and intent comprehension. The KL730 will be available for sampling in the first quarter of next year, according to Kneron, but the company declined to provide a general ability date. Pricing information was also not disclosed. Yeshi Seli By Express News Service NEW DELHI: With less than a month left for the G20 summit in Delhi, works are going in full swing to ensure that the arrival of leaders and their delegation is seamless, safe, and on time. In order to make flight landings with dignitaries seamless, the flights carrying the leaders (which includes Prime Ministers, Presidents) would be allowed to land at the Indira Gandhi International Airport, New Delhi, on a first come first served basis. While additional aircraft, including stand-by aircraft, logistics flights, and flights with additional delegates and security staff can be diverted to other nearby airports, according to a source. Since the airport is located about 30 minutes from the meeting venue, delegates, and their teams have been advised to arrive in New Delhi latest by September 8th evening and stay until September 10th. Since some leaders will be coming in with their own security, there is an advisory that has been issued for those carrying weapons and communication equipment. No weapons will be allowed to be brought in by delegation members or accompanying security at any of the venues. Any communications equipment brought in by visiting delegations will be checked by the customs officials on arrival. Details of any such equipment as well as request for usage of wireless communication equipment by security officers of the visiting dignitary and allotment of desired frequency will need to be shared with in advance, the source added. Dedicated lanes will be made at immigration counters to fast-track delegates. Only prescription drugs will be permitted and any currency above the $10000 limit will have to be declared to the customs. Since most of the participants for the summit will be high-profile leaders we have ensured them and their missions that their personal information (that would be used for logistics) will be fully protected and will be accessible only to a limited number of personnel of the G20 Secretariat / Government of India. At the conclusion of the Summit the personal data will be destroyed in a safe and secure manner, the source added. Meanwhile, since India has bilateral visa waiver agreements for holders of diplomatic/official passports with 19 G20 countries, the arrivals will be seamless. These countries include Argentina, Brazil, Indonesia, South Korea, Mexico, Russia, South Africa, Bangladesh, Egypt, Mauritius, Oman, UAE, Singapore, France, Germany, Italy, Japan, Turkiye, and Spain. E-visas on gratis basis have been provided to registered members of the delegations. NEW DELHI: With less than a month left for the G20 summit in Delhi, works are going in full swing to ensure that the arrival of leaders and their delegation is seamless, safe, and on time. In order to make flight landings with dignitaries seamless, the flights carrying the leaders (which includes Prime Ministers, Presidents) would be allowed to land at the Indira Gandhi International Airport, New Delhi, on a first come first served basis. While additional aircraft, including stand-by aircraft, logistics flights, and flights with additional delegates and security staff can be diverted to other nearby airports, according to a source. Since the airport is located about 30 minutes from the meeting venue, delegates, and their teams have been advised to arrive in New Delhi latest by September 8th evening and stay until September 10th. Since some leaders will be coming in with their own security, there is an advisory that has been issued for those carrying weapons and communication equipment.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); No weapons will be allowed to be brought in by delegation members or accompanying security at any of the venues. Any communications equipment brought in by visiting delegations will be checked by the customs officials on arrival. Details of any such equipment as well as request for usage of wireless communication equipment by security officers of the visiting dignitary and allotment of desired frequency will need to be shared with in advance, the source added. Dedicated lanes will be made at immigration counters to fast-track delegates. Only prescription drugs will be permitted and any currency above the $10000 limit will have to be declared to the customs. Since most of the participants for the summit will be high-profile leaders we have ensured them and their missions that their personal information (that would be used for logistics) will be fully protected and will be accessible only to a limited number of personnel of the G20 Secretariat / Government of India. At the conclusion of the Summit the personal data will be destroyed in a safe and secure manner, the source added. Meanwhile, since India has bilateral visa waiver agreements for holders of diplomatic/official passports with 19 G20 countries, the arrivals will be seamless. These countries include Argentina, Brazil, Indonesia, South Korea, Mexico, Russia, South Africa, Bangladesh, Egypt, Mauritius, Oman, UAE, Singapore, France, Germany, Italy, Japan, Turkiye, and Spain. E-visas on gratis basis have been provided to registered members of the delegations. Namrata Joshi By Express News Service Baan in the Thai language means home. Ironically, Leonor Teles Portuguese film, Baan, which played recently at the Locarno Film Festival, is about the inability to find it, in the world out there as well as deep within oneself. Both its protagonists are vagabonds, on the run from their surroundings but more so from themselves. They meet each other over an ice cream in a cafe in Lisbon. Kay (Meghna Lall) has travelled to Lisbon all the way from her hometown in Thailand. El (Carolina Miragaia) appears to be seeking solitude in her own company. Both, apparently, are disconnected from their families, friends, homes, neighbourhoods, cities, countries, and cultures. Nothing much happens beyond that. Forget a story and plot progression, though theres a beginning, we dont get much of a middle or end to Baan either. Teles debut feature, like Kay and El, has the feel of a work in progress, with women on self-discovery amid overwhelming urban isolation at its core. It is not so much a conventional narrative as it is about the evocation of existential angst that stretches beyond cultural divides. It is a universal feeling that envelops human beings irrespective of where they come from, where they are located or where they want to go. The film is all about the absence of a sense of belonging. Something you may not find in your family or circle of friends but come across it in the comfort of strangers. Baan is an itinerant film. It is structured like meanderings that play out as indulgent loops. The inability to belong is reflected in the interminable peregrination that plays out on the screen. These are wanderings in which places and time collapse. Portugal flows into Thailand, Bangkok becomes Lisbon, and past and present coalesce with the future. With El, an architect, as one of its protagonists, the film plays with the cityscape in a style that reminded me of how Tokyo gets framed in Sophia Coppolas Lost in Translation. The film shows an outsiders look at Japan. Here Lisbon has a scattered, disrupted feel, seen from the perspective of an insider feeling overwhelmingly uprooted. The flow of sights and sounds gives it a tactile element that is the real strength of the film. It makes us feel, experience, and confront our shared loneliness. Then there is the topography of the faces that the camera rests on, how it catches fleeting expressions passing through the visages, lingers on the gazescontemplative, scrutinizing, admiringand captures the unique way El and Kay hold each other in their own eyes. I just wish one could go deeper into their intriguing relationship. Despite two charismatic actors with arresting faces their bonding doesnt get compelling enough. The writing could have been more deep and incisive. It doesnt match up to the visual and aural ingenuity and at times the many conversations get drowned in the clunkiness of words. The reference to the racism faced by Kay is half-baked, doesnt get explored fully and lacks a proper explanation. It leaves one with distracting, pointless questions in the head. Baan is a film that is consciously experimental and elliptical and deliberately challenging for the viewers, as it goes round and round in circles. It is at once entrancing and puzzling, beguiling, and confounding, esoteric and empathetic when it comes to its focus on the human condition. It is best understood as a film that is not about arrivals but journeys. Like being on a long road one needs to traverse to reach home; or perhaps not. Cinema Without Borders In this weekly column, the writer introduces you to powerful cinema from across the world Film: Baan Baan in the Thai language means home. Ironically, Leonor Teles Portuguese film, Baan, which played recently at the Locarno Film Festival, is about the inability to find it, in the world out there as well as deep within oneself. Both its protagonists are vagabonds, on the run from their surroundings but more so from themselves. They meet each other over an ice cream in a cafe in Lisbon. Kay (Meghna Lall) has travelled to Lisbon all the way from her hometown in Thailand. El (Carolina Miragaia) appears to be seeking solitude in her own company. Both, apparently, are disconnected from their families, friends, homes, neighbourhoods, cities, countries, and cultures. Nothing much happens beyond that. Forget a story and plot progression, though theres a beginning, we dont get much of a middle or end to Baan either. Teles debut feature, like Kay and El, has the feel of a work in progress, with women on self-discovery amid overwhelming urban isolation at its core.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); It is not so much a conventional narrative as it is about the evocation of existential angst that stretches beyond cultural divides. It is a universal feeling that envelops human beings irrespective of where they come from, where they are located or where they want to go. The film is all about the absence of a sense of belonging. Something you may not find in your family or circle of friends but come across it in the comfort of strangers. Baan is an itinerant film. It is structured like meanderings that play out as indulgent loops. The inability to belong is reflected in the interminable peregrination that plays out on the screen. These are wanderings in which places and time collapse. Portugal flows into Thailand, Bangkok becomes Lisbon, and past and present coalesce with the future. With El, an architect, as one of its protagonists, the film plays with the cityscape in a style that reminded me of how Tokyo gets framed in Sophia Coppolas Lost in Translation. The film shows an outsiders look at Japan. Here Lisbon has a scattered, disrupted feel, seen from the perspective of an insider feeling overwhelmingly uprooted. The flow of sights and sounds gives it a tactile element that is the real strength of the film. It makes us feel, experience, and confront our shared loneliness. Then there is the topography of the faces that the camera rests on, how it catches fleeting expressions passing through the visages, lingers on the gazescontemplative, scrutinizing, admiringand captures the unique way El and Kay hold each other in their own eyes. I just wish one could go deeper into their intriguing relationship. Despite two charismatic actors with arresting faces their bonding doesnt get compelling enough. The writing could have been more deep and incisive. It doesnt match up to the visual and aural ingenuity and at times the many conversations get drowned in the clunkiness of words. The reference to the racism faced by Kay is half-baked, doesnt get explored fully and lacks a proper explanation. It leaves one with distracting, pointless questions in the head. Baan is a film that is consciously experimental and elliptical and deliberately challenging for the viewers, as it goes round and round in circles. It is at once entrancing and puzzling, beguiling, and confounding, esoteric and empathetic when it comes to its focus on the human condition. It is best understood as a film that is not about arrivals but journeys. Like being on a long road one needs to traverse to reach home; or perhaps not. Cinema Without Borders In this weekly column, the writer introduces you to powerful cinema from across the world Film: Baan By PTI MUMBAI: Being an Indian, Grammy winner Ricky Kej says leading the UK's Royal Philharmonic Orchestra (RPO) for a rendition of "Jana Gana Mana" was special as the national anthem has been the most "important piece of music" in his life. Kej on Monday shared the video of the national anthem, recorded with a 100-piece British orchestra from the RPO at the iconic Abbey Road Studios in London, that earned him praise from Prime Minister Narendra Modi. Ahead of the collaboration, Kej said the first thought that came in his mind was how the times have changed as a man from a country that the British Empire ruled for over 200 years is now leading their "most in-demand" orchestra and performing "Jana Gana Mana". "At the back of my mind, it was going on that the British ruled us for over 200 years, so it was nice for an Indian conducting the Royal Philharmonic Orchestra, performing our Indian national anthem. They were very gracious, amazing, put in all their hard work into it, and created a beautiful version of our national anthem. I hope to gift this to every single Indian everywhere in the world," the three-time Grammy winner told PTI. Kej, 42, said "Jana Gana Mana" was the first musical piece he had learned as a child. "It is very special and close to my heart. It's been the most important piece of music in my life - the first piece of music I learned even before nursery rhymes or lullabies. It's absolutely in my blood. In India, the minute anybody listens to the first few notes of the national anthem, you find a strong sense of patriotism, love for your country, pride for your country. I didn't have to work hard to get the passion, it was already there," he added. A few days ago, I conducted a 100-piece British orchestra, The Royal Philharmonic Orchestra to perform Indias National Anthem at the legendary Abbey Road Studios, London. This is the largest orchestra ever to record India's National Anthem and it is spectacular! The "Jaya He" at pic.twitter.com/sqJGW8mTDu Ricky Kej (@rickykej) August 14, 2023 Prashanth Palakurthi has executive produced the rendition along with Anuradha Palakurthi through their Boston-based company Juju Productions. He said the aim was to "deliver the national anthem with the grandiosity and dignity India deserves". "It was Ricky's idea to create the national anthem and we were delighted to support it," Prashanth Palakurthi told PTI. "We wanted one of the finest orchestras to record in one of the most iconic studios - and they happened to be in England. The imperialism angle was incidental --- though we understand the poignancy," he added. Kej said the rendition was a result of three months of planning. "There were 100 musicians and we had to figure out what each musician was going to be playing, and all of them had to come together in harmony. There are many instruments in the orchestra, like violin, horn, double bass, timpani drum, harp, and so one had to go write for all these instruments. The recording took about 45 minutes because the musicians were so good," he added. READ MORE | Music composer Ricky Kej wins third Grammy Award, dedicates his trophy to 'India' A lot of work went into creating the arrangements and documenting details for written scores for the entire orchestral team, Prashanth Palakurthi added. "Taking our national anthem and adapting it to western orchestration was difficult. Special credit to Ricky and the production team for doing that - several weeks of work goes into the process before the musicians see it. It was an amazing experience - the quality of the musicians at the Royal Philharmonic Orchestra is stunning." Kej, who was born in North Carolina in the US and moved to Bengaluru at the age of eight, said he loves the sound of symphony orchestra. "I've collaborated with symphony orchestras in Canada, America, Europe, but my favourite is the Royal Philharmonic Orchestra. I've recorded with them multiple times in the past, they are amazing." The "Jana Gana Mana" rendition was recorded just in time for India's 77th Independence Day and after Kej shared its video on X, formerly Twitter, on Monday, he was lauded by the prime minister. "Wonderful. It will certainly make every Indian proud," PM Modi tweeted. Kej said he feels grateful for the appreciation. "Prime Minister Narendra Modi has appreciated it, I'm grateful for this. He said it should make all Indians proud, which was very kind of him. The rendition has gone far and wide, I can see that people are sharing it on social media. I think we have crossed ten million views of the video across social media." Prashanth Palakurthi said Kej has made the rendition available to the entire world royalty-free. "What this means is that any orchestra in the world can just read the scores and play the Indian national anthem. We are tremendously proud of this probability that the project has provided. I wouldn't be surprised to see it being played at state dinners and official events where India is introduced," he added. The rendition is created, arranged and produced by Kej, while Andrew Dudman has done recording, mixing and mastering. MUMBAI: Being an Indian, Grammy winner Ricky Kej says leading the UK's Royal Philharmonic Orchestra (RPO) for a rendition of "Jana Gana Mana" was special as the national anthem has been the most "important piece of music" in his life. Kej on Monday shared the video of the national anthem, recorded with a 100-piece British orchestra from the RPO at the iconic Abbey Road Studios in London, that earned him praise from Prime Minister Narendra Modi. Ahead of the collaboration, Kej said the first thought that came in his mind was how the times have changed as a man from a country that the British Empire ruled for over 200 years is now leading their "most in-demand" orchestra and performing "Jana Gana Mana".googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); "At the back of my mind, it was going on that the British ruled us for over 200 years, so it was nice for an Indian conducting the Royal Philharmonic Orchestra, performing our Indian national anthem. They were very gracious, amazing, put in all their hard work into it, and created a beautiful version of our national anthem. I hope to gift this to every single Indian everywhere in the world," the three-time Grammy winner told PTI. Kej, 42, said "Jana Gana Mana" was the first musical piece he had learned as a child. "It is very special and close to my heart. It's been the most important piece of music in my life - the first piece of music I learned even before nursery rhymes or lullabies. It's absolutely in my blood. In India, the minute anybody listens to the first few notes of the national anthem, you find a strong sense of patriotism, love for your country, pride for your country. I didn't have to work hard to get the passion, it was already there," he added. A few days ago, I conducted a 100-piece British orchestra, The Royal Philharmonic Orchestra to perform Indias National Anthem at the legendary Abbey Road Studios, London. This is the largest orchestra ever to record India's National Anthem and it is spectacular! The "Jaya He" at pic.twitter.com/sqJGW8mTDu Ricky Kej (@rickykej) August 14, 2023 Prashanth Palakurthi has executive produced the rendition along with Anuradha Palakurthi through their Boston-based company Juju Productions. He said the aim was to "deliver the national anthem with the grandiosity and dignity India deserves". "It was Ricky's idea to create the national anthem and we were delighted to support it," Prashanth Palakurthi told PTI. "We wanted one of the finest orchestras to record in one of the most iconic studios - and they happened to be in England. The imperialism angle was incidental --- though we understand the poignancy," he added. Kej said the rendition was a result of three months of planning. "There were 100 musicians and we had to figure out what each musician was going to be playing, and all of them had to come together in harmony. There are many instruments in the orchestra, like violin, horn, double bass, timpani drum, harp, and so one had to go write for all these instruments. The recording took about 45 minutes because the musicians were so good," he added. READ MORE | Music composer Ricky Kej wins third Grammy Award, dedicates his trophy to 'India' A lot of work went into creating the arrangements and documenting details for written scores for the entire orchestral team, Prashanth Palakurthi added. "Taking our national anthem and adapting it to western orchestration was difficult. Special credit to Ricky and the production team for doing that - several weeks of work goes into the process before the musicians see it. It was an amazing experience - the quality of the musicians at the Royal Philharmonic Orchestra is stunning." Kej, who was born in North Carolina in the US and moved to Bengaluru at the age of eight, said he loves the sound of symphony orchestra. "I've collaborated with symphony orchestras in Canada, America, Europe, but my favourite is the Royal Philharmonic Orchestra. I've recorded with them multiple times in the past, they are amazing." The "Jana Gana Mana" rendition was recorded just in time for India's 77th Independence Day and after Kej shared its video on X, formerly Twitter, on Monday, he was lauded by the prime minister. "Wonderful. It will certainly make every Indian proud," PM Modi tweeted. Kej said he feels grateful for the appreciation. "Prime Minister Narendra Modi has appreciated it, I'm grateful for this. He said it should make all Indians proud, which was very kind of him. The rendition has gone far and wide, I can see that people are sharing it on social media. I think we have crossed ten million views of the video across social media." Prashanth Palakurthi said Kej has made the rendition available to the entire world royalty-free. "What this means is that any orchestra in the world can just read the scores and play the Indian national anthem. We are tremendously proud of this probability that the project has provided. I wouldn't be surprised to see it being played at state dinners and official events where India is introduced," he added. The rendition is created, arranged and produced by Kej, while Andrew Dudman has done recording, mixing and mastering. Sudhir Suryawanshi By Express News Service A day after NCP chief Sharad Pawar clarified his meeting with nephew, deputy chief minister Ajit Pawar, said on Tuesday that no political colour should be given to such interactions. The Deputy CM who was in Kolhapur for a flag-hosting event on Independence Day was apparently annoyed when asked about his secret meeting with his uncle Sharad Pawar at businessman Atul Chordias residence in Pune. However, Ajit confirmed his meeting with his uncle. First of all, I want to clarify that it was not a secret meeting. I met my uncle and we both were invited to a meal by our family friend, Atul Chordia. We have a relationship with the Choradias that goes back two generations. Atul Chordias father was a classmate of my uncle. So, should we not go to our family friend's home? Politics and family relations are two different things, said Ajit. He sought to clarify his position saying he was not the kind of person who would meet anyone secretly. I am not afraid of meeting anyone. Whatever I do is in the public domain. Why should I meet my uncle secretly, asked an agitated Ajit. Ajit said on Sunday he was in Pune for the inauguration of a flyover along with Union Minister Nitin Gadkari. After that event, I went to Chordias residence where Sharad factions state chief Jayant Patil was also present. Uncle was also there. So, we meet whats wrong with it, he asked. Congress and Shiv Sena (UBT) the allies in the Maha Vikas Aghadi (MVA) are upset with Sharad Pawar for having a dialogue with the Ajit-led NCP faction. We are really confused with the stand of NCP chief Sharad Pawar, said a senior Congress leader. The MVA allies have alleged that such meetings between the Pawars are creating confusion among alliance partners and tarnishing the image of Sharad Pawar. It is "amusing" to see Ajit Pawar frequently meeting (his uncle) Sharad Pawar and the latter is also not avoiding it, an editorial in the Sena (UBT) mouthpiece 'Saamana' had said. A day after NCP chief Sharad Pawar clarified his meeting with nephew, deputy chief minister Ajit Pawar, said on Tuesday that no political colour should be given to such interactions. The Deputy CM who was in Kolhapur for a flag-hosting event on Independence Day was apparently annoyed when asked about his secret meeting with his uncle Sharad Pawar at businessman Atul Chordias residence in Pune. However, Ajit confirmed his meeting with his uncle. First of all, I want to clarify that it was not a secret meeting. I met my uncle and we both were invited to a meal by our family friend, Atul Chordia. We have a relationship with the Choradias that goes back two generations. Atul Chordias father was a classmate of my uncle. So, should we not go to our family friend's home? Politics and family relations are two different things, said Ajit.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); He sought to clarify his position saying he was not the kind of person who would meet anyone secretly. I am not afraid of meeting anyone. Whatever I do is in the public domain. Why should I meet my uncle secretly, asked an agitated Ajit. Ajit said on Sunday he was in Pune for the inauguration of a flyover along with Union Minister Nitin Gadkari. After that event, I went to Chordias residence where Sharad factions state chief Jayant Patil was also present. Uncle was also there. So, we meet whats wrong with it, he asked. Congress and Shiv Sena (UBT) the allies in the Maha Vikas Aghadi (MVA) are upset with Sharad Pawar for having a dialogue with the Ajit-led NCP faction. We are really confused with the stand of NCP chief Sharad Pawar, said a senior Congress leader. The MVA allies have alleged that such meetings between the Pawars are creating confusion among alliance partners and tarnishing the image of Sharad Pawar. It is "amusing" to see Ajit Pawar frequently meeting (his uncle) Sharad Pawar and the latter is also not avoiding it, an editorial in the Sena (UBT) mouthpiece 'Saamana' had said. By PTI NEW DELHI: The Supreme Court on Wednesday asked whether Parliament could have enacted the Jammu and Kashmir Reorganisation Act, which divided the erstwhile state into two union territories, during the subsistence of President's Rule in 2018-2019. The Jammu and Kashmir Reorganisation Bill was tabled and passed by the Rajya Sabha on August 5, 2019 and was tabled and passed by the Lok Sabha the next day. It received presidential assent on August 9, 2019. A five-judge bench headed by Chief Justice DY Chandrachud posed this question to senior advocate Rajeev Dhavan, appearing for Jammu and Kashmir People's Conference, which has, apart from challenging the abrogation of Article 370 of the Constitution, contested the imposition of President's Rule in the erstwhile state on December 19, 2018 and its extension on July 3, 2019 for six months. "Can Parliament enact a law (Jammu and Kashmir Reorganisation Act) during the subsistence of a proclamation under Article 356 in exercise of its power?" the chief justice asked Dhavan. Dhavan replied Parliament can pass a law, subject to all limitations described in Article 3 and 4 of the Constitution. Article 3 says Parliament can by law form a new state by separation of territory from any state or by uniting two or more states or parts of states or by uniting any territory to a part of any state. It can increase the area of any state, diminish the area of any state, alter the boundaries of any state, alter the name of any state: "Provided that no Bill for the purpose shall be introduced in either House of Parliament except on the recommendation of the President and unless, where the proposal contained in the Bill affects the area, boundaries or name of any of the States, the Bill has been referred by the President to the Legislature of that State for expressing its views thereon within such period as may be specified in the reference or within such further period as the President may allow and the period so specified or allowed has expired." Article 4 allows for consequential changes in the Ist Schedule i.e.names of the States in the Union of India and IVth Schedule i.e.the number of seats allotted in the Rajya Sabha for each state. Dhavan told the bench, also comprising Justices Sanjay Kishan Kaul, Sanjiv Khanna, BR Gavai and Surya Kant, that there is a mandatory condition under Articles 3 and 4 of the Constitution dealing with the formation of new states and alteration of areas, boundaries, or names of the existing state where the president has to refer the matter to the state legislature. Dhavan, who was arguing on the sixth day of hearing on the batch of pleas challenging the Centre's decision to abrogate Article 370, said reorganisation of the state could not have been done when the state was under the proclamation of Article 356 (imposition of President's Rule). He said Parliament could not have substituted itself for the state legislature or the president for the governor. "The notification pertaining to Jammu and Kashmir's Reorganisation of 2019 created a constitutional amendment in Article 3 by suspending the mandatory provision of Article 3 (a reference by the president to the state legislature). It is a constitutional amendment which is subversive of the Constitution itself. "If this suspension of mandatory provision fails in the eyes of law, the President Rule will fail and its extension in July, 2019 also fails," Dhavan said. He asserted the Centre virtually amended the Constitution and that the entire Jammu and Kashmir Reorganisation Act emanates from Article 3 and 4 of the Constitution. CJI Chandrachud asked Dhavan, "How do we deal with Art 356 (1)(c) of the Constitution? Does the president have the power to suspend certain provisions of the Constitution during the operation of proclamation under Article 356?" Article 356 (1)(c) of the Constitution says in case of failure of the constitutional machinery in a state, the president on receipt of a report from the governor of the state, may issue a proclamation to make such incidental and consequential provisions which according to him is necessary or desirable for giving effect to the objects of the Proclamation, including provisions for suspending in whole or in part, the operation of any provisions of the Constitution relating to any body or authority in the State. "Yes," Dhavan replied, adding "The president can suspend a provision of the Constitution but it has to supplement the proclamation. Here, in this case, this goes beyond supplementing and a mandatory provision under Article 3 is actually taken out. The CJI then asked Dhavan that normally when the legislature uses the word 'means' and 'includes' it is actually an indication of expanding the power. So, when the Constitution says 'make incidental and supplementary provisions' and then says 'including' this seems to widen the ambit of the earlier part. 'Including' would mean that what was otherwise not a supplementary or incidental provision, it is within the ambit of presidential proclamation. Isn't it? the bench told Dhavan. CJI Chandrachud told Dhavan if the president in a proclamation suspends the operation of any provision of the Constitution, then whether it is amenable to adjudication in a court of law on the ground that it is not incidental or supplemental. The senior lawyer replied, "I have never seen a provision that actually takes away a mandatory provision. This is exceptional. If you expand the ambit of Article 356(1)(c), then you will say that the president has a card to amend any part of the Constitution. Article 356(1)(c) has to be read with a mandatory provision that it cannot dilute." The words 'necessary' or 'desirable' are not carte blanche powers of the president. Could he have suspended Part III of the Constitution (that relates to fundamental rights) under Article 356 of the Constitution? It has to be given a limited meaning, the senior lawyer said, adding Article 356 is an exception that overrides federalism and it brings down democracy in a state. Dhavan, who argued for nearly four hours, said during the President's Rule, Articles 3 and 4 and Article 370 cannot be invoked. "Why? Because they have conditionalities. The conditionality is specific to the legislature of the state. neither Parliament nor the president can substitute the legislature or the governor," he said. Dhavan concluded his argument, saying President's Rule cannot override Article 370 or Articles 3 and 4 or substitute the executive and legislature of the Union for the executive and legislature of Jammu and Kashmir. Articles 3 and 4 of the Indian Constitution have special application to Jammu and Kashmir and require the consent of the Jammu and Kashmir legislature. President's Rule's provisions cannot obviate the provisions of Article 3 and 4 as also those of Article 370(1) as the requirements of consent, consultation, and concurrence therein are mandatory, he asserted. The hearing remained inconclusive and will continue on Thursday. On August 10, the top court had said the surrender of Jammu and Kashmir's sovereignty to India was "absolutely complete" with the accession of the former princely state in October 1947, and it was "really difficult" to say that Article 370 of the Constitution, which accorded special status to the erstwhile state, was permanent in nature. Several petitions challenging the abrogation of the provisions of Article 370 and the Jammu and Kashmir Reorganisation Act, 2019, which split the erstwhile state into two union territories - Jammu and Kashmir, and Ladakh- were referred to a Constitution bench in 2019. NEW DELHI: The Supreme Court on Wednesday asked whether Parliament could have enacted the Jammu and Kashmir Reorganisation Act, which divided the erstwhile state into two union territories, during the subsistence of President's Rule in 2018-2019. The Jammu and Kashmir Reorganisation Bill was tabled and passed by the Rajya Sabha on August 5, 2019 and was tabled and passed by the Lok Sabha the next day. It received presidential assent on August 9, 2019.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); A five-judge bench headed by Chief Justice DY Chandrachud posed this question to senior advocate Rajeev Dhavan, appearing for Jammu and Kashmir People's Conference, which has, apart from challenging the abrogation of Article 370 of the Constitution, contested the imposition of President's Rule in the erstwhile state on December 19, 2018 and its extension on July 3, 2019 for six months. "Can Parliament enact a law (Jammu and Kashmir Reorganisation Act) during the subsistence of a proclamation under Article 356 in exercise of its power?" the chief justice asked Dhavan. Dhavan replied Parliament can pass a law, subject to all limitations described in Article 3 and 4 of the Constitution. Article 3 says Parliament can by law form a new state by separation of territory from any state or by uniting two or more states or parts of states or by uniting any territory to a part of any state. It can increase the area of any state, diminish the area of any state, alter the boundaries of any state, alter the name of any state: "Provided that no Bill for the purpose shall be introduced in either House of Parliament except on the recommendation of the President and unless, where the proposal contained in the Bill affects the area, boundaries or name of any of the States, the Bill has been referred by the President to the Legislature of that State for expressing its views thereon within such period as may be specified in the reference or within such further period as the President may allow and the period so specified or allowed has expired." Article 4 allows for consequential changes in the Ist Schedule i.e.names of the States in the Union of India and IVth Schedule i.e.the number of seats allotted in the Rajya Sabha for each state. Dhavan told the bench, also comprising Justices Sanjay Kishan Kaul, Sanjiv Khanna, BR Gavai and Surya Kant, that there is a mandatory condition under Articles 3 and 4 of the Constitution dealing with the formation of new states and alteration of areas, boundaries, or names of the existing state where the president has to refer the matter to the state legislature. Dhavan, who was arguing on the sixth day of hearing on the batch of pleas challenging the Centre's decision to abrogate Article 370, said reorganisation of the state could not have been done when the state was under the proclamation of Article 356 (imposition of President's Rule). He said Parliament could not have substituted itself for the state legislature or the president for the governor. "The notification pertaining to Jammu and Kashmir's Reorganisation of 2019 created a constitutional amendment in Article 3 by suspending the mandatory provision of Article 3 (a reference by the president to the state legislature). It is a constitutional amendment which is subversive of the Constitution itself. "If this suspension of mandatory provision fails in the eyes of law, the President Rule will fail and its extension in July, 2019 also fails," Dhavan said. He asserted the Centre virtually amended the Constitution and that the entire Jammu and Kashmir Reorganisation Act emanates from Article 3 and 4 of the Constitution. CJI Chandrachud asked Dhavan, "How do we deal with Art 356 (1)(c) of the Constitution? Does the president have the power to suspend certain provisions of the Constitution during the operation of proclamation under Article 356?" Article 356 (1)(c) of the Constitution says in case of failure of the constitutional machinery in a state, the president on receipt of a report from the governor of the state, may issue a proclamation to make such incidental and consequential provisions which according to him is necessary or desirable for giving effect to the objects of the Proclamation, including provisions for suspending in whole or in part, the operation of any provisions of the Constitution relating to any body or authority in the State. "Yes," Dhavan replied, adding "The president can suspend a provision of the Constitution but it has to supplement the proclamation. Here, in this case, this goes beyond supplementing and a mandatory provision under Article 3 is actually taken out. The CJI then asked Dhavan that normally when the legislature uses the word 'means' and 'includes' it is actually an indication of expanding the power. So, when the Constitution says 'make incidental and supplementary provisions' and then says 'including' this seems to widen the ambit of the earlier part. 'Including' would mean that what was otherwise not a supplementary or incidental provision, it is within the ambit of presidential proclamation. Isn't it? the bench told Dhavan. CJI Chandrachud told Dhavan if the president in a proclamation suspends the operation of any provision of the Constitution, then whether it is amenable to adjudication in a court of law on the ground that it is not incidental or supplemental. The senior lawyer replied, "I have never seen a provision that actually takes away a mandatory provision. This is exceptional. If you expand the ambit of Article 356(1)(c), then you will say that the president has a card to amend any part of the Constitution. Article 356(1)(c) has to be read with a mandatory provision that it cannot dilute." The words 'necessary' or 'desirable' are not carte blanche powers of the president. Could he have suspended Part III of the Constitution (that relates to fundamental rights) under Article 356 of the Constitution? It has to be given a limited meaning, the senior lawyer said, adding Article 356 is an exception that overrides federalism and it brings down democracy in a state. Dhavan, who argued for nearly four hours, said during the President's Rule, Articles 3 and 4 and Article 370 cannot be invoked. "Why? Because they have conditionalities. The conditionality is specific to the legislature of the state. neither Parliament nor the president can substitute the legislature or the governor," he said. Dhavan concluded his argument, saying President's Rule cannot override Article 370 or Articles 3 and 4 or substitute the executive and legislature of the Union for the executive and legislature of Jammu and Kashmir. Articles 3 and 4 of the Indian Constitution have special application to Jammu and Kashmir and require the consent of the Jammu and Kashmir legislature. President's Rule's provisions cannot obviate the provisions of Article 3 and 4 as also those of Article 370(1) as the requirements of consent, consultation, and concurrence therein are mandatory, he asserted. The hearing remained inconclusive and will continue on Thursday. On August 10, the top court had said the surrender of Jammu and Kashmir's sovereignty to India was "absolutely complete" with the accession of the former princely state in October 1947, and it was "really difficult" to say that Article 370 of the Constitution, which accorded special status to the erstwhile state, was permanent in nature. Several petitions challenging the abrogation of the provisions of Article 370 and the Jammu and Kashmir Reorganisation Act, 2019, which split the erstwhile state into two union territories - Jammu and Kashmir, and Ladakh- were referred to a Constitution bench in 2019. Celebramos la reapertura de #Kuelap! ??????? En una ceremonia presidida por la mandataria, Dina Boluarte, junto al ministro @Mathews_jc, la titular de @MinCulturaPe, @LeslieUrteaga, y el gobernador de @GoreAmazonas, Gilmer Horna, se anuncio que desde el sabado 19 de agosto, el pic.twitter.com/KE3MivE7XV By Express News Service NEW DELHI: The economics department of Ashoka University Wednesday wrote an open letter to the institutions governing body reacting to a professors recent research paper in a manner that constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear. In its August 16 letter, the department has also demanded to look into the hasty acceptance of the resignation of Professor Sabyasachi Das, who resigned from his position as Assistant Professor following the universitys public disassociation from his work in the wake of the political controversy triggered by his findings on manipulation in the 2019 general election, which the ruling Bharatiya Janata Party (BJP) won. The faculty have demanded that Das be unconditionally offered his position back and asked for affirmation that the governing body will play no role in evaluating faculty research. The letter, which was reposted on social media platform X (formerly Twitter) by the department, also said that faculty members would be unable to carry forward their teaching obligations if the action is not taken by 23 August. The BJP won the 2019 parliamentary elections in India: but was it ALL fair and square? This astonishing new working paper by @sabya_economist provides scientific evidence that suggests vote(r) manipulation by BJP. And no, this is NOT about EVMs.https://t.co/H99CGJPhTV Thread pic.twitter.com/YU1idLcqXw M.R. Sharan (@sharanidli) July 31, 2023 The letter was also reposted on X by Ashwini Deshpande, Professor of Economics, and Founding Director of the Centre for Economic Data and Analysis (CEDA) at Ashoka University. The offer of resignation by our colleague Prof. Sabyasachi Das and its hasty acceptance by the University has deeply ruptured the faith that we in the faculty of the Department of Economics, our colleagues, our students, and well-wishers of Ashoka University everywhere, had reposed in the Universitys leadership, the letter said. Prof. Das did not violate any accepted norm of academic practice. Academic research is professionally evaluated through a process of peer review. The Governing Bodys interference in this process to investigate the merits of his recent study constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear, the letter said. We condemn this in the strongest terms and refuse as a collective to cooperate in any future attempt to evaluate the research of individual economics faculty members by the Governing Body. Ashoka University is dismayed by the speculation and debate around a recent paper by one of its faculty members (Sabyasachi Das, Assistant Professor of Economics) and the university's position on its contents. As a matter of record, Ashoka University is focused on excellence in Ashoka University (@AshokaUniv) August 1, 2023 The letter said that the Ashoka Economics department was painstakingly built into what is widely considered amongst the preeminent economics departments in the country. The actions of the Governing Body pose an existential threat to the department. It is likely to precipitate an exodus of faculty, and prevent us from attracting new faculty, the letter said. Unless these questions regarding basic academic freedom are resolved before the start of the monsoon 2023 semester, faculty members of the department will find themselves unable to carry forward their teaching obligations in the spirit of critical enquiry and the fearless pursuit of truth that characterize our classrooms, it added. We urge the governing body to address this immediately, but no later than August 23, 2023. Failure to do so will systematically wreck the largest academic department at Ashoka and the very viability of the Ashoka vision, the letter said. Das had authored the controversial paper Democratic Backsliding in the Worlds Largest Democracy. He resigned weeks after the research created a furore earlier this month for suggesting that the BJP won disproportionately in closely contested seats in the 2019 Lok Sabha elections, especially in states where it was in power. The varsity distanced itself from the controversy. The letter from the economics department came a day after varsity vice-chancellor Somak Raychaudhury in a statement confirmed Prof Das's resignation. Dr Das is currently on leave from Ashoka, serving as visiting faculty at the Gokhale Institute of Politics and Economics (Deemed to be University) in Pune. After making extensive efforts to dissuade him, the University has accepted his resignation, the statement said. The statement further said that Dr Dass paper on Indian elections was the subject of widespread controversy after being shared recently on social media, where it was perceived by many to reflect the views of the university The university does not direct or moderate the research conducted by its faculty and students. This academic freedom also applied to Dr Das. Reacting to the statement issued by Ashokas economics department on social media, Dani Rodrik, Economist at Harvard Kennedy School, on X said, Kudos to the Economics Department at Ashoka on speaking out clearly on academic freedom and on unacceptable interference by the universitys governing body. READ MORE HERE: Pratap Bhanu Mehta's exit result of his role as govt's critic': Ashoka University faculty Arvind Subramanian resigns as professor from Ashoka University Astrophysicist Somak Raychaudhury appointed vice chancellor of Ashoka University NEW DELHI: The economics department of Ashoka University Wednesday wrote an open letter to the institutions governing body reacting to a professors recent research paper in a manner that constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear. In its August 16 letter, the department has also demanded to look into the hasty acceptance of the resignation of Professor Sabyasachi Das, who resigned from his position as Assistant Professor following the universitys public disassociation from his work in the wake of the political controversy triggered by his findings on manipulation in the 2019 general election, which the ruling Bharatiya Janata Party (BJP) won. The faculty have demanded that Das be unconditionally offered his position back and asked for affirmation that the governing body will play no role in evaluating faculty research.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The letter, which was reposted on social media platform X (formerly Twitter) by the department, also said that faculty members would be unable to carry forward their teaching obligations if the action is not taken by 23 August. The BJP won the 2019 parliamentary elections in India: but was it ALL fair and square? This astonishing new working paper by @sabya_economist provides scientific evidence that suggests vote(r) manipulation by BJP. And no, this is NOT about EVMs.https://t.co/H99CGJPhTV Thread pic.twitter.com/YU1idLcqXw M.R. Sharan (@sharanidli) July 31, 2023 The letter was also reposted on X by Ashwini Deshpande, Professor of Economics, and Founding Director of the Centre for Economic Data and Analysis (CEDA) at Ashoka University. The offer of resignation by our colleague Prof. Sabyasachi Das and its hasty acceptance by the University has deeply ruptured the faith that we in the faculty of the Department of Economics, our colleagues, our students, and well-wishers of Ashoka University everywhere, had reposed in the Universitys leadership, the letter said. Prof. Das did not violate any accepted norm of academic practice. Academic research is professionally evaluated through a process of peer review. The Governing Bodys interference in this process to investigate the merits of his recent study constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear, the letter said. We condemn this in the strongest terms and refuse as a collective to cooperate in any future attempt to evaluate the research of individual economics faculty members by the Governing Body. Ashoka University is dismayed by the speculation and debate around a recent paper by one of its faculty members (Sabyasachi Das, Assistant Professor of Economics) and the university's position on its contents. As a matter of record, Ashoka University is focused on excellence in Ashoka University (@AshokaUniv) August 1, 2023 The letter said that the Ashoka Economics department was painstakingly built into what is widely considered amongst the preeminent economics departments in the country. The actions of the Governing Body pose an existential threat to the department. It is likely to precipitate an exodus of faculty, and prevent us from attracting new faculty, the letter said. Unless these questions regarding basic academic freedom are resolved before the start of the monsoon 2023 semester, faculty members of the department will find themselves unable to carry forward their teaching obligations in the spirit of critical enquiry and the fearless pursuit of truth that characterize our classrooms, it added. We urge the governing body to address this immediately, but no later than August 23, 2023. Failure to do so will systematically wreck the largest academic department at Ashoka and the very viability of the Ashoka vision, the letter said. Das had authored the controversial paper Democratic Backsliding in the Worlds Largest Democracy. He resigned weeks after the research created a furore earlier this month for suggesting that the BJP won disproportionately in closely contested seats in the 2019 Lok Sabha elections, especially in states where it was in power. The varsity distanced itself from the controversy. The letter from the economics department came a day after varsity vice-chancellor Somak Raychaudhury in a statement confirmed Prof Das's resignation. Dr Das is currently on leave from Ashoka, serving as visiting faculty at the Gokhale Institute of Politics and Economics (Deemed to be University) in Pune. After making extensive efforts to dissuade him, the University has accepted his resignation, the statement said. The statement further said that Dr Dass paper on Indian elections was the subject of widespread controversy after being shared recently on social media, where it was perceived by many to reflect the views of the university The university does not direct or moderate the research conducted by its faculty and students. This academic freedom also applied to Dr Das. Reacting to the statement issued by Ashokas economics department on social media, Dani Rodrik, Economist at Harvard Kennedy School, on X said, Kudos to the Economics Department at Ashoka on speaking out clearly on academic freedom and on unacceptable interference by the universitys governing body. READ MORE HERE: Pratap Bhanu Mehta's exit result of his role as govt's critic': Ashoka University faculty Arvind Subramanian resigns as professor from Ashoka University Astrophysicist Somak Raychaudhury appointed vice chancellor of Ashoka University By Online Desk A group of men shouting ' Jai Shri Ram' beat up a Muslim man at Bandra Terminus Railway station in Mumbai saying he went out with a Hindu girl. According to the media reports, the incident happened in July this year, however, the video surfaced on social media on Tuesday, triggering public outrage. In the video, a group of 20 men can be seen dragging the Muslim boy outside the railway station and beating him, while chanting' Jai Shri Ram' and 'Love jihad band karo'. The girl, reportedly a minor was seen pleasing the mobs not to beat the boy. Quoting the Deputy Commissioner of Police, Dikshit Gedam, the Scroll reported that the police have not registered a complaint in the matter since the Government Railway Police has jurisdiction over it. Railway officials said that they were notified about the video on Tuesday and a process of registering the crime has started. All India Majlis-e-Ittehadul Muslimeen(AIMIM )Spokesperson Waris Pathan shared the video on 'X' and called the incident an ' assault in the name of love jihad'. He also questioned Mumbai police on why no action has been taken in the incident that happened on 21st July. I have been informed that this incident happened around 21/22nd July. At Bandra railway station which comes under Nirmal nagar p stn. But video was viral on social media today Then what was police doing till date,why no investigation or complaint registered by police who was https://t.co/8nsvYcE0Iy Waris Pathan (@warispathan) August 15, 2023 In another tweet on Independence Day, he said "Today we are celebrating 77 years of Independence! Our martyrs never thought that Muslims would have to see this day as well." Samajwadi Party MLA from Bhiwandi, Rais Shaikh also urged the authorities to verify the video and take appropriate action. ALSO READ | Jaipur-Mumbai train shootings: RPF cop forced burqa-clad passenger to say 'Jai Mata Di A group of men shouting ' Jai Shri Ram' beat up a Muslim man at Bandra Terminus Railway station in Mumbai saying he went out with a Hindu girl. According to the media reports, the incident happened in July this year, however, the video surfaced on social media on Tuesday, triggering public outrage. In the video, a group of 20 men can be seen dragging the Muslim boy outside the railway station and beating him, while chanting' Jai Shri Ram' and 'Love jihad band karo'. The girl, reportedly a minor was seen pleasing the mobs not to beat the boy. googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Quoting the Deputy Commissioner of Police, Dikshit Gedam, the Scroll reported that the police have not registered a complaint in the matter since the Government Railway Police has jurisdiction over it. Railway officials said that they were notified about the video on Tuesday and a process of registering the crime has started. All India Majlis-e-Ittehadul Muslimeen(AIMIM )Spokesperson Waris Pathan shared the video on 'X' and called the incident an ' assault in the name of love jihad'. He also questioned Mumbai police on why no action has been taken in the incident that happened on 21st July. I have been informed that this incident happened around 21/22nd July. At Bandra railway station which comes under Nirmal nagar p stn. But video was viral on social media today Then what was police doing till date,why no investigation or complaint registered by police who was https://t.co/8nsvYcE0Iy Waris Pathan (@warispathan) August 15, 2023 In another tweet on Independence Day, he said "Today we are celebrating 77 years of Independence! Our martyrs never thought that Muslims would have to see this day as well." Samajwadi Party MLA from Bhiwandi, Rais Shaikh also urged the authorities to verify the video and take appropriate action. ALSO READ | Jaipur-Mumbai train shootings: RPF cop forced burqa-clad passenger to say 'Jai Mata Di By PTI NIZAMABAD: Hitting out at AICC leader Rahul Gandhi, BRS MLC K Kavitha on Wednesday said his recently concluded Bharat Jodo Yatra is like "a cat that killed 1,000 mice going on a Hajj pilgrimage. Kavitha, who spoke at a party meeting in Bhodan, also took a dig at the Congress Party, which ruled the country for 62 years in which the "poor remained poor" despite their slogan 'Garibi Hatao'. This is her first visit to Nizamabad Lok Sabha Constituency after she publicly announced that she would be contesting from here in the 2024 General Elections. BRS cadres held a huge rally to welcome her. "He (Rahul Gandhi) took out a foot-march -- Bharat Jodo Yatra. You know how I feel (about that yatra?) A cat that killed 1,000 mice goes on a Hajj pilgrimage. That is how Rahul Gandhiji (acted). The Congress Party ruled for 62 years after the country gained independence. What have you done for Muslims? You (the Congress Party) kept on saying 'Garibi Hatao'. What have you done for the poor? You removed poor people, but not poverty," she charged. She asked the BRS party cadres to go to every household and mosque in the constituency and meet Maulanas (Muslim men who are revered for their religious knowledge) to explain to them why one should not vote for Congress. "No one could bring the revolution in Telangana that Chief Minister K Chandrasekhar Rao (KCR) has been able to usher in the last 10 years in the state. Our minority brothers should think about whether one should vote for the Congress," she said. She claimed that there has been no development in the country despite the rule of Congress leaders for generations. According to her, Telangana Muslims are not thinking of anything other than "Car and Sarcar" (Car is the poll symbol of the BRS Party). During the past 10 years under KCR rule, there has been no communal violence in the state, she said. The MLC added that Rahul Gandhi says that the Constitution and democracy are under threat, but the truth is that Telangana has a powerful leader and a powerful democracy, and the Constitution is thriving under the leadership of CM KCR. NIZAMABAD: Hitting out at AICC leader Rahul Gandhi, BRS MLC K Kavitha on Wednesday said his recently concluded Bharat Jodo Yatra is like "a cat that killed 1,000 mice going on a Hajj pilgrimage. Kavitha, who spoke at a party meeting in Bhodan, also took a dig at the Congress Party, which ruled the country for 62 years in which the "poor remained poor" despite their slogan 'Garibi Hatao'. This is her first visit to Nizamabad Lok Sabha Constituency after she publicly announced that she would be contesting from here in the 2024 General Elections. BRS cadres held a huge rally to welcome her.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); "He (Rahul Gandhi) took out a foot-march -- Bharat Jodo Yatra. You know how I feel (about that yatra?) A cat that killed 1,000 mice goes on a Hajj pilgrimage. That is how Rahul Gandhiji (acted). The Congress Party ruled for 62 years after the country gained independence. What have you done for Muslims? You (the Congress Party) kept on saying 'Garibi Hatao'. What have you done for the poor? You removed poor people, but not poverty," she charged. She asked the BRS party cadres to go to every household and mosque in the constituency and meet Maulanas (Muslim men who are revered for their religious knowledge) to explain to them why one should not vote for Congress. "No one could bring the revolution in Telangana that Chief Minister K Chandrasekhar Rao (KCR) has been able to usher in the last 10 years in the state. Our minority brothers should think about whether one should vote for the Congress," she said. She claimed that there has been no development in the country despite the rule of Congress leaders for generations. According to her, Telangana Muslims are not thinking of anything other than "Car and Sarcar" (Car is the poll symbol of the BRS Party). During the past 10 years under KCR rule, there has been no communal violence in the state, she said. The MLC added that Rahul Gandhi says that the Constitution and democracy are under threat, but the truth is that Telangana has a powerful leader and a powerful democracy, and the Constitution is thriving under the leadership of CM KCR. Ramashankar By Express News Service PATNA: The main architect of the Opposition alliance against BJP, Bihar Chief Minister Nitish Kumar, is set to meet his Delhi counterpart Arvind Kejriwal in the national capital on Wednesday, sources said. He will leave for New Delhi at around 12.30 pm. The JD (U) supremo will be meeting Kejriwal after the Delhi Ordinance Bill was passed in Rajya Sabha. It is expected that during his visit, Nitish will also meet the leaders of the Opposition parties, who have come together to contest the 2024 Lok Sabha election against the BJP. Nitish will be accompanied by some of his cabinet colleagues. After the meeting, Nitish is scheduled to visit the Atal Bihari Vajpayee memorial, where he will pay tribute to the former Prime Minister. Nitish Kumar served as the Railways Minister in the government of Vajpayee. On Tuesday, he had said that it would be the last time PM Modi was hoisting the tricolour from the Red Fort. Earlier, RJD chief Lalu Yadav and former Congress president Rahul Gandhi had met at Rajya Sabha member Misa Bharti's residence in New Delhi. The next meeting of the Opposition INDIA bloc will be held in Mumbai on August 31 and September 1. The first meeting of the Opposition alliance was hosted by Nitish at his residence in the state capital. The second was in Bengaluru. In the Bengaluru conclave, the Opposition alliance was named 'INDIA'. Earlier, Nitish had said that all issues including the seat-sharing arrangement would be discussed and finalised in the Mumbai conclave. PATNA: The main architect of the Opposition alliance against BJP, Bihar Chief Minister Nitish Kumar, is set to meet his Delhi counterpart Arvind Kejriwal in the national capital on Wednesday, sources said. He will leave for New Delhi at around 12.30 pm. The JD (U) supremo will be meeting Kejriwal after the Delhi Ordinance Bill was passed in Rajya Sabha. It is expected that during his visit, Nitish will also meet the leaders of the Opposition parties, who have come together to contest the 2024 Lok Sabha election against the BJP.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Nitish will be accompanied by some of his cabinet colleagues. After the meeting, Nitish is scheduled to visit the Atal Bihari Vajpayee memorial, where he will pay tribute to the former Prime Minister. Nitish Kumar served as the Railways Minister in the government of Vajpayee. On Tuesday, he had said that it would be the last time PM Modi was hoisting the tricolour from the Red Fort. Earlier, RJD chief Lalu Yadav and former Congress president Rahul Gandhi had met at Rajya Sabha member Misa Bharti's residence in New Delhi. The next meeting of the Opposition INDIA bloc will be held in Mumbai on August 31 and September 1. The first meeting of the Opposition alliance was hosted by Nitish at his residence in the state capital. The second was in Bengaluru. In the Bengaluru conclave, the Opposition alliance was named 'INDIA'. Earlier, Nitish had said that all issues including the seat-sharing arrangement would be discussed and finalised in the Mumbai conclave. By Online Desk NEW DELHI: The Supreme Court on Wednesday ordered status quo with regard to the demolition drive being carried out by railway authorities to clear alleged illegal constructions near the Krishna Janmabhoomi in Uttar Pradesh's Mathura. A bench of Justices Aniruddha Bose, Sanjay Kumar, and SVN Bhatti issued notice to the Centre and others in the matter. "Let there be status quo as regards the subject premises for a period of 10 days. List after one week, "the bench said. The lawyer, appearing for the petitioner Yakub Shah, told the bench that 100 houses have been bulldozed. "There are 70-80 houses left. The whole thing will become infructuous. They conducted the exercise on a day when Uttar Pradesh courts were closed," he said. The matter pertains to the demolition of settlements near Krishna Janmabhoomi. On August 9, the government began a demolition drive in Uttar Pradeshs Mathura, reportedly bulldozing as many as 135 houses in the Nai Basti, a settlement along the railway track in the backyard of the Krishna Janmabhoomi. These houses were marked as illegal encroachments on government land and a team from the railways, along with the district administration, and police conducted the demolition exercise. Railway authorities have sought to defend this move by citing a plan to convert the 21 km stretch from Mathura to Vrindavan from narrow to broad gauge. However, a few residents approached a local court to stay the demolition drive. Based on a writ petition under Article 32 by Yakub Shah seeing an urgent hearing, a bench headed by Chief Justice DY Chandrachud agreed to list the petition on Wednesday, August 16. Shah also alleged that the demolition was carried out in an area that has a predominantly Muslim population even as a challenge against eviction notices was pending in a local court in Mathura. (With inputs from PTI) NEW DELHI: The Supreme Court on Wednesday ordered status quo with regard to the demolition drive being carried out by railway authorities to clear alleged illegal constructions near the Krishna Janmabhoomi in Uttar Pradesh's Mathura. A bench of Justices Aniruddha Bose, Sanjay Kumar, and SVN Bhatti issued notice to the Centre and others in the matter. "Let there be status quo as regards the subject premises for a period of 10 days. List after one week, "the bench said. The lawyer, appearing for the petitioner Yakub Shah, told the bench that 100 houses have been bulldozed. "There are 70-80 houses left. The whole thing will become infructuous. They conducted the exercise on a day when Uttar Pradesh courts were closed," he said.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The matter pertains to the demolition of settlements near Krishna Janmabhoomi. On August 9, the government began a demolition drive in Uttar Pradeshs Mathura, reportedly bulldozing as many as 135 houses in the Nai Basti, a settlement along the railway track in the backyard of the Krishna Janmabhoomi. These houses were marked as illegal encroachments on government land and a team from the railways, along with the district administration, and police conducted the demolition exercise. Railway authorities have sought to defend this move by citing a plan to convert the 21 km stretch from Mathura to Vrindavan from narrow to broad gauge. However, a few residents approached a local court to stay the demolition drive. Based on a writ petition under Article 32 by Yakub Shah seeing an urgent hearing, a bench headed by Chief Justice DY Chandrachud agreed to list the petition on Wednesday, August 16. Shah also alleged that the demolition was carried out in an area that has a predominantly Muslim population even as a challenge against eviction notices was pending in a local court in Mathura. (With inputs from PTI) By PTI AHMEDABAD: Five members of a family were killed, while a two-year-old boy escaped miraculously with minor injuries after two cars collided in Bharuch district of Gujarat on Wednesday, police said. The accident occurred near Hansot village in the afternoon, they said. A total of six persons, including the two-year-old boy, were travelling in one car from Bharuch to Surat when the incident took place, inspector Karansinh Chudasama of Hansot police station said. The toddler survived the crash and suffered minor injuries. The driver of the other car was also injured in the accident, he said, adding that four of the deceased were women. The deceased included elderly couple Imtiyaz Patel and his wife Salmaben, their married daughters - Maria and Afifa - and the wife of Imtiyaz Patel's brother, Jamila Patel. All of them resided in Bharuch and were going towards Surat. The minor boy, who was Maria Patel's son, survived the collision and was admitted to a nearby hospital by the local police. The police rushed to the spot immediately after the accident, Chudasama said, adding that Imtiyaz Patel, who was in his late 50s, was driving the car and was also wearing a seat-belt at the time of accident. "While one car, driven by one Hitendrasinh, was going towards Bharuch from Surat, the Patel family was going in the opposite direction. The road near Hansot is straight and does not have any dangerous curves. Our preliminary probe suggests that Patel lost control of his car while overtaking a vehicle and went straight into the opposite lane," Chudasama said. "Though airbags of both the cars worked properly during the accident, five of Patel's family died on the spot, while Hitendrasinh and the toddler survived the crash," he added. AHMEDABAD: Five members of a family were killed, while a two-year-old boy escaped miraculously with minor injuries after two cars collided in Bharuch district of Gujarat on Wednesday, police said. The accident occurred near Hansot village in the afternoon, they said. A total of six persons, including the two-year-old boy, were travelling in one car from Bharuch to Surat when the incident took place, inspector Karansinh Chudasama of Hansot police station said.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The toddler survived the crash and suffered minor injuries. The driver of the other car was also injured in the accident, he said, adding that four of the deceased were women. The deceased included elderly couple Imtiyaz Patel and his wife Salmaben, their married daughters - Maria and Afifa - and the wife of Imtiyaz Patel's brother, Jamila Patel. All of them resided in Bharuch and were going towards Surat. The minor boy, who was Maria Patel's son, survived the collision and was admitted to a nearby hospital by the local police. The police rushed to the spot immediately after the accident, Chudasama said, adding that Imtiyaz Patel, who was in his late 50s, was driving the car and was also wearing a seat-belt at the time of accident. "While one car, driven by one Hitendrasinh, was going towards Bharuch from Surat, the Patel family was going in the opposite direction. The road near Hansot is straight and does not have any dangerous curves. Our preliminary probe suggests that Patel lost control of his car while overtaking a vehicle and went straight into the opposite lane," Chudasama said. "Though airbags of both the cars worked properly during the accident, five of Patel's family died on the spot, while Hitendrasinh and the toddler survived the crash," he added. By Express News Service KOLKATA: Kolkata: Amid political heat over the incident of alleged ragging in Jadavpur University leading to death of first-year student Swapnodeep Kundu, six more persons, including students and former students of the institutions, were arrested on Wednesday. A total of nine persons comprising present and former students of the university were rounded up so far on the basis of the complaint lodged by Swapnodeeps family. The police on Wednesday questioned JUs Dean of Students and registrar in connection with the alleged ragging causing Swapnodeeps death. The police said the six persons were arrested after it was noticed that a number of former students were leaving the hostel campus and going to their ancestral villages. It raised our suspicion. We started interrogating one former and two present students of the university, who are already in our custody, and came to know that the six persons were present in the hostel where the alleged ragging took place, said a police officer. Swapnodeep, who took admission at the university on August 3, was found lying naked on the ground in front of the building that houses his hostel-room on the second floor on Thursday last week. The student, who is yet-to-turn 18, was rushed to a private hospital in an unconscious condition where he succumbed to his injuries. The incident on August 10 continued triggering uproar in the state capital even after a week of the tragedy as two groups of students clashed in the university premises and governor C.V. Ananda Bose, who is the chancellor of the university, convened an emergency meeting at Raj Bhavan with the institutions authorities. ALSO READ| Jadavpur varsity death: Anti-ragging committee submits report to UGC We discussed several issues like ragging in the hostel and security of the university premises. Besides, we also gave the governor updated information about our findings related to the incident. Besides, we told the governor that the post of the VC of the university has been lying vacant, said a university official who was present in the meeting. On Wednesday, a team of TMC functionaries, including three state ministers, visited Swapnodeeps Nadia residence. This is a tragic incident. We met Swapnodeeps family to give a message that the state government and the party are beside them on this issue, said education minister Bratya Basu. The death of Swapnodeep triggered a political slugfest between the ruling TMC and the principal opposition BJP as both parties accused each other of the tragedy. When the TMC held the governor, who is the chancellor of the institution, responsible for the freshers death, the saffron camp accused the state government has failed to curb crime like ragging. KOLKATA: Kolkata: Amid political heat over the incident of alleged ragging in Jadavpur University leading to death of first-year student Swapnodeep Kundu, six more persons, including students and former students of the institutions, were arrested on Wednesday. A total of nine persons comprising present and former students of the university were rounded up so far on the basis of the complaint lodged by Swapnodeeps family. The police on Wednesday questioned JUs Dean of Students and registrar in connection with the alleged ragging causing Swapnodeeps death. The police said the six persons were arrested after it was noticed that a number of former students were leaving the hostel campus and going to their ancestral villages. It raised our suspicion. We started interrogating one former and two present students of the university, who are already in our custody, and came to know that the six persons were present in the hostel where the alleged ragging took place, said a police officer.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Swapnodeep, who took admission at the university on August 3, was found lying naked on the ground in front of the building that houses his hostel-room on the second floor on Thursday last week. The student, who is yet-to-turn 18, was rushed to a private hospital in an unconscious condition where he succumbed to his injuries. The incident on August 10 continued triggering uproar in the state capital even after a week of the tragedy as two groups of students clashed in the university premises and governor C.V. Ananda Bose, who is the chancellor of the university, convened an emergency meeting at Raj Bhavan with the institutions authorities. ALSO READ| Jadavpur varsity death: Anti-ragging committee submits report to UGC We discussed several issues like ragging in the hostel and security of the university premises. Besides, we also gave the governor updated information about our findings related to the incident. Besides, we told the governor that the post of the VC of the university has been lying vacant, said a university official who was present in the meeting. On Wednesday, a team of TMC functionaries, including three state ministers, visited Swapnodeeps Nadia residence. This is a tragic incident. We met Swapnodeeps family to give a message that the state government and the party are beside them on this issue, said education minister Bratya Basu. The death of Swapnodeep triggered a political slugfest between the ruling TMC and the principal opposition BJP as both parties accused each other of the tragedy. When the TMC held the governor, who is the chancellor of the institution, responsible for the freshers death, the saffron camp accused the state government has failed to curb crime like ragging. Namita Bajpai By Express News Service LUCKNOW: Taking an initiative towards the resolution of Gyanvapi dispute, Vishwa Vedic Sanatan Sangh (VVSS) chief Jitendra Singh Visen, who represents one of the five Hindu women plaintiffs in Shringar Gauri suit, has proposed a dialogue with Anjuman Intezamia Masajid (AIM), the mosque management committee, for out-of-court settlement of the vexed issue. As per the sources, Visen had sent a letter to that effect on Monday and the AIM, on Wednesday, confirmed that it had received the letter through WhatsApp and had replied to it appropriately. We got the letter from VVSS chief Visen via WhatsApp. We have replied to him and (VVSS national president) Santosh Singh, AIM joint secretary SM Yasin said without divulging the details of the AIM response to Visens offer. However, Yasin said that the letter would be placed before the full house of the mosque management committee. AIM manages around 22 mosques in Varanasi. Visen, who represents Rakhi Singh, his niece, as one of the five Hindu women seeking the right to round-the-year worship of Goddess Shringar Gauri and other deities on Gyanvapi mosque premises confirmed the development. Yes, the committee has replied to the letter. ALSO READ: Varanasi court bars media from publishing 'unofficial' info on Gyanvapi mosque survey Along with Rakhi Singh, other plaintiffs in the case include Rekha Pathak, Sita Sahoo, Lakshmi Devi and Manju Vyas, all of Varanasi seeking the right to daily worship at Shringar Gauri Sthal on Gyanvapi premises. The case has been pending in Varanasi district court and the next hearing is slated for Thursday, August 17. As per the sources close to Visen, he pitched for dialogue with the Muslim side to resolve the dispute believing that some anti-social elements were trying to take advantage of the legal dispute for their personal gain which could prove to be detrimental both for the country and the society. The sources said that Visen wrote that it was the duty of all to set an example by settling this legal issue amicably through mutual negotiations, for the sake of the safety and security of the country and society. Therefore, I request all of you to accept this invitation with an open and pious mind and come forward for talks to settle the above issue (the Gyanvapi matter). It is possible that a peaceful solution can be found outside the court of the above matter by mutual discussion. We welcome all of you to this dialogue with an open and pure heart, Visen reportedly said in his letter. Meanwhile, the counsels of the other four Hindu women plaintiffs expressed their reservations over an out-of-court settlement of the issue. According to Subhash Nandan Chaturvedi, who represents the four other women plaintiffs in the suit, they were not looking at any settlement. We are clear. We will go the legal way, which we followed in this matter since the beginning. In May this year, we filed an application in the Varanasi district court, seeking an order for a scientific survey by the Archaeological Survey of India (ASI) on Gyanvapi mosque premises. After hearing both sides, the court on July 21 ordered for ASI survey in the barricaded area of Gyanvapi, excluding its sealed area of the ablution pond. The survey is being done by ASI in the Gyanvapi. We will wait for the survey report and proceed further in the case, he said. CLICK HERE TO READ MORE: Gyanvapi mosque dispute LUCKNOW: Taking an initiative towards the resolution of Gyanvapi dispute, Vishwa Vedic Sanatan Sangh (VVSS) chief Jitendra Singh Visen, who represents one of the five Hindu women plaintiffs in Shringar Gauri suit, has proposed a dialogue with Anjuman Intezamia Masajid (AIM), the mosque management committee, for out-of-court settlement of the vexed issue. As per the sources, Visen had sent a letter to that effect on Monday and the AIM, on Wednesday, confirmed that it had received the letter through WhatsApp and had replied to it appropriately. We got the letter from VVSS chief Visen via WhatsApp. We have replied to him and (VVSS national president) Santosh Singh, AIM joint secretary SM Yasin said without divulging the details of the AIM response to Visens offer. However, Yasin said that the letter would be placed before the full house of the mosque management committee. AIM manages around 22 mosques in Varanasi.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Visen, who represents Rakhi Singh, his niece, as one of the five Hindu women seeking the right to round-the-year worship of Goddess Shringar Gauri and other deities on Gyanvapi mosque premises confirmed the development. Yes, the committee has replied to the letter. ALSO READ: Varanasi court bars media from publishing 'unofficial' info on Gyanvapi mosque survey Along with Rakhi Singh, other plaintiffs in the case include Rekha Pathak, Sita Sahoo, Lakshmi Devi and Manju Vyas, all of Varanasi seeking the right to daily worship at Shringar Gauri Sthal on Gyanvapi premises. The case has been pending in Varanasi district court and the next hearing is slated for Thursday, August 17. As per the sources close to Visen, he pitched for dialogue with the Muslim side to resolve the dispute believing that some anti-social elements were trying to take advantage of the legal dispute for their personal gain which could prove to be detrimental both for the country and the society. The sources said that Visen wrote that it was the duty of all to set an example by settling this legal issue amicably through mutual negotiations, for the sake of the safety and security of the country and society. Therefore, I request all of you to accept this invitation with an open and pious mind and come forward for talks to settle the above issue (the Gyanvapi matter). It is possible that a peaceful solution can be found outside the court of the above matter by mutual discussion. We welcome all of you to this dialogue with an open and pure heart, Visen reportedly said in his letter. Meanwhile, the counsels of the other four Hindu women plaintiffs expressed their reservations over an out-of-court settlement of the issue. According to Subhash Nandan Chaturvedi, who represents the four other women plaintiffs in the suit, they were not looking at any settlement. We are clear. We will go the legal way, which we followed in this matter since the beginning. In May this year, we filed an application in the Varanasi district court, seeking an order for a scientific survey by the Archaeological Survey of India (ASI) on Gyanvapi mosque premises. After hearing both sides, the court on July 21 ordered for ASI survey in the barricaded area of Gyanvapi, excluding its sealed area of the ablution pond. The survey is being done by ASI in the Gyanvapi. We will wait for the survey report and proceed further in the case, he said. CLICK HERE TO READ MORE: Gyanvapi mosque dispute By Online Desk The Cabinet chaired by PM Narendra Modi approved 'PM e-Bus Sewa', a scheme to augment the operation of city buses by 10,000 in 169 cities, said Union Minister Anurag Thakur at a press briefing in New Delhi on Wednesday. During the briefing, he said, "PM e-Bus Seva has been given approval. It would be carried out at an estimated cost of Rs 57,613 crore out of which Rs 20,000 crore will be funded by the Centre. ." "The procurement of buses will be done under the PPP model. There will be competitive bidding and private players can come forward," Thakur said. The bus operations in the city will be supported by the scheme for a period of 10 years. Cities with over three lakh population including all the capital cities of union territories, north eastern region and hill states will be included. Under this scheme, priority will be given to cities having no organized bus service. #WATCH | During a briefing on Union Cabinet decisions, Union Minsiter Anurag Thakur says "PM E-Bus Seva has been given approval. Rs 57,613 crores will be spent on this. Around 10,000 new electric buses will be provided across the country" pic.twitter.com/op6EqBgAZZ ANI (@ANI) August 16, 2023 The scheme is expected to generate 45,000 to 55,000 direct jobs through the deployment of around 10,000 buses in city bus operations. It envisages green initiatives like bus priority, infrastructure, multimodal interchange facilities, NCMC-based Automated Fare Collection Systems, charging infrastructure, etc. It is expected to promote e-mobility and provide full support for behind-the-meter power infrastructure. The Cabinet chaired by PM Narendra Modi approved 'PM e-Bus Sewa', a scheme to augment the operation of city buses by 10,000 in 169 cities, said Union Minister Anurag Thakur at a press briefing in New Delhi on Wednesday. During the briefing, he said, "PM e-Bus Seva has been given approval. It would be carried out at an estimated cost of Rs 57,613 crore out of which Rs 20,000 crore will be funded by the Centre. ." "The procurement of buses will be done under the PPP model. There will be competitive bidding and private players can come forward," Thakur said. googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The bus operations in the city will be supported by the scheme for a period of 10 years. Cities with over three lakh population including all the capital cities of union territories, north eastern region and hill states will be included. Under this scheme, priority will be given to cities having no organized bus service. #WATCH | During a briefing on Union Cabinet decisions, Union Minsiter Anurag Thakur says "PM E-Bus Seva has been given approval. Rs 57,613 crores will be spent on this. Around 10,000 new electric buses will be provided across the country" pic.twitter.com/op6EqBgAZZ ANI (@ANI) August 16, 2023 The scheme is expected to generate 45,000 to 55,000 direct jobs through the deployment of around 10,000 buses in city bus operations. It envisages green initiatives like bus priority, infrastructure, multimodal interchange facilities, NCMC-based Automated Fare Collection Systems, charging infrastructure, etc. It is expected to promote e-mobility and provide full support for behind-the-meter power infrastructure. Shruti Kakkar By Express News Service NEW DELHI: Justice S Muralidhar, whose elevation to the Supreme Court was overlooked despite being one of the senior-most High Court (HC) judges in the country and is acknowledged for passing crucial judgments in many sensitive matters, demitted office as the Chief Justice of Orissa HC on August 7, 2023, after a 17-year illustrious career as a judge. Justice Muralidhar had a 14-year tenure in the Delhi HC, before being transferred to the Punjab and Haryana HC through a midnight order, a development that was widely panned by lawyers, former judges, civil society members and the media. In December 2020, he was elevated as the Chief Justice of the Orissa HC. In September 2022, the Supreme Court collegium recommended his transfer to Madras HC, but the Centre did not notify the same. The judge who was widely known for his blue Maruti Omni van that used to be parked at the SCs parking lot that he used as his chamber delivered many bold and progressive verdicts during his tenure in the Delhi HC. Taking note of the serious condition of those wounded in the northeast Delhi riots which broke out in 2020, a bench headed by Justice Muralidhar in an emergency midnight hearing conducted on February 26, 2020, directed Delhi police to ensure their safe passage to GTB hospital for treatment. His stern orders in the riots cases reportedly earned him the ire of the powers that be. While hearing the case related to CAA-linked violence, Justice Muralidhar had pulled up the Delhi police for failing to take action against three BJP leaders for hate speech and had asserted that the court "would not let another 1984 happen" in India under its watch. Apart from being a part of the bench that first decriminalized homosexuality in the Naz Foundation case in 2009 and allowing RTI pleas on the disclosure of assets of SC judges in 2010, Justice Muralidhar also granted relief to Gautam Navlakha in the Bhima Koregaon case. He also convicted 16 members of the Uttar Pradesh Provincial Armed Constabulary for their role in the 1986 Hashimpura massacre which witnessed the killing of 50 Muslim men by police on or around 22 May 1987 near Meerut. Another major verdict delivered by Justice Muralidhar was the conviction of Congress leader Sajjan Kumar for his role in the 1984 anti-Sikh riots. The most moving moment as a judge was in this very court sitting where I am today when on July 2, 2009, Chief Justice A.P. Shah and I delivered our judgment in Naz Foundation. Even as we held that consensual same-sex between adults in private was not a crime, the relief that swept through the courtroom amongst those waiting to hear the verdict was palpable. Many broke down right here in front of us. At that moment, we knew that something irreversible had happened, he later remarked, in his farewell speech in Delhi HC in 2020. Over the years, I have realised that it is not enough for lawyers and judges to speak about constitutional values. It is essential to imbibe them, Justice Muralidhar had said during his farewell speech, adding, The constitutional values of equality, non-discrimination, dignity, prohibition of untouchability, inclusivity, and plurality have to be practised continuously at both a personal and professional level. Justice Muralidhars tenure on the bench was marked by his unwavering commitment to justice, fairness, and the rule of law. Even after his retirement, his legacy continues to inspire both legal practitioners and the general public. NEW DELHI: Justice S Muralidhar, whose elevation to the Supreme Court was overlooked despite being one of the senior-most High Court (HC) judges in the country and is acknowledged for passing crucial judgments in many sensitive matters, demitted office as the Chief Justice of Orissa HC on August 7, 2023, after a 17-year illustrious career as a judge. Justice Muralidhar had a 14-year tenure in the Delhi HC, before being transferred to the Punjab and Haryana HC through a midnight order, a development that was widely panned by lawyers, former judges, civil society members and the media. In December 2020, he was elevated as the Chief Justice of the Orissa HC. In September 2022, the Supreme Court collegium recommended his transfer to Madras HC, but the Centre did not notify the same.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The judge who was widely known for his blue Maruti Omni van that used to be parked at the SCs parking lot that he used as his chamber delivered many bold and progressive verdicts during his tenure in the Delhi HC. Taking note of the serious condition of those wounded in the northeast Delhi riots which broke out in 2020, a bench headed by Justice Muralidhar in an emergency midnight hearing conducted on February 26, 2020, directed Delhi police to ensure their safe passage to GTB hospital for treatment. His stern orders in the riots cases reportedly earned him the ire of the powers that be. While hearing the case related to CAA-linked violence, Justice Muralidhar had pulled up the Delhi police for failing to take action against three BJP leaders for hate speech and had asserted that the court "would not let another 1984 happen" in India under its watch. Apart from being a part of the bench that first decriminalized homosexuality in the Naz Foundation case in 2009 and allowing RTI pleas on the disclosure of assets of SC judges in 2010, Justice Muralidhar also granted relief to Gautam Navlakha in the Bhima Koregaon case. He also convicted 16 members of the Uttar Pradesh Provincial Armed Constabulary for their role in the 1986 Hashimpura massacre which witnessed the killing of 50 Muslim men by police on or around 22 May 1987 near Meerut. Another major verdict delivered by Justice Muralidhar was the conviction of Congress leader Sajjan Kumar for his role in the 1984 anti-Sikh riots. The most moving moment as a judge was in this very court sitting where I am today when on July 2, 2009, Chief Justice A.P. Shah and I delivered our judgment in Naz Foundation. Even as we held that consensual same-sex between adults in private was not a crime, the relief that swept through the courtroom amongst those waiting to hear the verdict was palpable. Many broke down right here in front of us. At that moment, we knew that something irreversible had happened, he later remarked, in his farewell speech in Delhi HC in 2020. Over the years, I have realised that it is not enough for lawyers and judges to speak about constitutional values. It is essential to imbibe them, Justice Muralidhar had said during his farewell speech, adding, The constitutional values of equality, non-discrimination, dignity, prohibition of untouchability, inclusivity, and plurality have to be practised continuously at both a personal and professional level. Justice Muralidhars tenure on the bench was marked by his unwavering commitment to justice, fairness, and the rule of law. Even after his retirement, his legacy continues to inspire both legal practitioners and the general public. ? En un encuentro con la poblacion del distrito de Tingo, en la region Amazonas, la presidenta Dina Boluarte subrayo la importancia de trabajar de manera articulada, con dialogo, tolerancia y paz social, a fin de impulsar el progreso del pais. pic.twitter.com/LcwfgwteGw By PTI NEW DELHI: PDP leader and former chief minister Mehbooba Mufti Wednesday invoked Lord Ram and his 'Raghu' clan to say the promise made by Indians to Jammu and Kashmir natives in 1947 was on trial in the Supreme Court in which fortunately they still have some faith. The Jammu and Kashmir Peoples Democratic Party (PDP) leader, while speaking to media on the apex court lawns, said the matter the Supreme Court was hearing pertained to the people of India. "This country cannot be run on majoritarianism. This country will be run as per the Constitution," she said, adding the issue of abrogation of article 370 pertains to the people of India and the promise they made to the natives of Kashmir in 1947. "We know what has happened to institutions of the country. Fortunately, we still have some faith in the Supreme Court of this country. I want to appeal to them that the country believes in the principle 'Raghukul reet sada chali aayi, pran jaye par vachan na jaye'. I am not talking about those who kill in the name of J'ai Shri Ram' and lynch in the name of 'Jai Shri Ram'." "I am talking about those of the majority community people who believe in 'Ramchandra ji', his vachan (promise) that 'Raghukul reet sada chali aayi, pran jaye par vachan na jaye' so I think that 'vachan' is on trial today in the Supreme Court," the PDP leader said. In Hindu mythology, the 'Raghu clan', to which Lord Ram belonged, believed in the principle that you must never break your promise even if you have to lose your life keeping it. The PDP leader said it is for the top court and the Indian citizens to see whether the country will be run according to the Constitution or 'as per the divisive agenda of a particular party'. She said she was satisfied that the court did not accept the Centre's contention that the situation has improved in the erstwhile state of Jammu and Kashmir after the abrogation of the provisions of Article 370. Mufti claimed in the last five years, many Kashmiri Pandits have been forced to leave the Valley. Mufti said the central government has claimed it ended militancy in Kashmir. This, she said, has been done by the Army. In the name of ending militancy, the Centre has destroyed Jammu and Kashmir, she alleged. When there was an attack on Jammu and Kashmir in 1947 by Pakistan, it was its unarmed natives who fought the aggressors with the help of the Indian Army. Mufti visited the top court premises when a five-judge bench headed by Chief Justice DY Chandrachud was hearing arguments by senior advocate Rajeev Dhavan on behalf of the Jammu and Kashmir People's Conference. On August 2, former chief minister Omar Abdullah visited the top court and said he expected justice from it like any other Indian citizen. The top court had that day commenced hearing the pleas challenging the abrogation of Article 370 that bestowed special status on the erstwhile state of Jammu and Kashmir. The Centre had on August 5, 2019 come out with the notification repealing Article 370, stripping the erstwhile state of Jammu and Kashmir of its special status. Several petitions challenging abrogation of the provisions of Article 370 and the Jammu and Kashmir Reorganisation Act, 2019, which split the erstwhile state into two union territories - Jammu and Kashmir, and Ladakh- were referred to a Constitution bench in 2019. NEW DELHI: PDP leader and former chief minister Mehbooba Mufti Wednesday invoked Lord Ram and his 'Raghu' clan to say the promise made by Indians to Jammu and Kashmir natives in 1947 was on trial in the Supreme Court in which fortunately they still have some faith. The Jammu and Kashmir Peoples Democratic Party (PDP) leader, while speaking to media on the apex court lawns, said the matter the Supreme Court was hearing pertained to the people of India. "This country cannot be run on majoritarianism. This country will be run as per the Constitution," she said, adding the issue of abrogation of article 370 pertains to the people of India and the promise they made to the natives of Kashmir in 1947.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); "We know what has happened to institutions of the country. Fortunately, we still have some faith in the Supreme Court of this country. I want to appeal to them that the country believes in the principle 'Raghukul reet sada chali aayi, pran jaye par vachan na jaye'. I am not talking about those who kill in the name of J'ai Shri Ram' and lynch in the name of 'Jai Shri Ram'." "I am talking about those of the majority community people who believe in 'Ramchandra ji', his vachan (promise) that 'Raghukul reet sada chali aayi, pran jaye par vachan na jaye' so I think that 'vachan' is on trial today in the Supreme Court," the PDP leader said. In Hindu mythology, the 'Raghu clan', to which Lord Ram belonged, believed in the principle that you must never break your promise even if you have to lose your life keeping it. The PDP leader said it is for the top court and the Indian citizens to see whether the country will be run according to the Constitution or 'as per the divisive agenda of a particular party'. She said she was satisfied that the court did not accept the Centre's contention that the situation has improved in the erstwhile state of Jammu and Kashmir after the abrogation of the provisions of Article 370. Mufti claimed in the last five years, many Kashmiri Pandits have been forced to leave the Valley. Mufti said the central government has claimed it ended militancy in Kashmir. This, she said, has been done by the Army. In the name of ending militancy, the Centre has destroyed Jammu and Kashmir, she alleged. When there was an attack on Jammu and Kashmir in 1947 by Pakistan, it was its unarmed natives who fought the aggressors with the help of the Indian Army. Mufti visited the top court premises when a five-judge bench headed by Chief Justice DY Chandrachud was hearing arguments by senior advocate Rajeev Dhavan on behalf of the Jammu and Kashmir People's Conference. On August 2, former chief minister Omar Abdullah visited the top court and said he expected justice from it like any other Indian citizen. The top court had that day commenced hearing the pleas challenging the abrogation of Article 370 that bestowed special status on the erstwhile state of Jammu and Kashmir. The Centre had on August 5, 2019 come out with the notification repealing Article 370, stripping the erstwhile state of Jammu and Kashmir of its special status. Several petitions challenging abrogation of the provisions of Article 370 and the Jammu and Kashmir Reorganisation Act, 2019, which split the erstwhile state into two union territories - Jammu and Kashmir, and Ladakh- were referred to a Constitution bench in 2019. By Express News Service NEW DELHI: Prime Minister Narendra Modi on Wednesday welcomed World Health Organisation Director-General Tedros Adhanom Ghebreyesus to India. "My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, @DrTedros!," PM Modi wrote on X, formerly known as Twitter. He reposted the Ayush ministry video in which Tedros could be seen performing Gujarat's traditional dance dandiya. Responding to Modi calling him Tulsi Bhai, Tedros said, I like the name Tulsi Bhai because Tulsi is a medicinal plant. I just planted Tulsi here in the Wellness Centre I'm really happy to do that because it has many benefits. I am happy to be called Tulsi Bhai, after visiting a health and wellness centre in Gandhinagar. The WHO chief was given the Gujarati name - Tulsi Bhai - by Modi at the Global AYUSH and Innovation Summit in Gandhinagar last year. Ghebreyesus, who arrived in India on Wednesday, will be inaugurating the WHO-global summit on traditional medicine event in the presence of Union Health Minister Mansukh Mandaviya and Ayush Minister Sarbananda Sonowal. The meet is being organised jointly by the WHO and Ayush Ministry. It will be held on August 17 and 18 in Gandhinagar. It will be held alongside the G20 health ministerial meeting to mobilise political commitment and evidence-based action on traditional medicine. The event will be attended by G20 health ministers, WHO regional directors, and prominent invitees from countries across the UN health body's six regions. Apart from this, scientists, traditional medicine practitioners, health workers, and civil society members will also attend the event. According to the Union health ministry, international delegates have started arriving in Ahmedabad to attend the G20 health ministers' meeting, starting from Thursday. NEW DELHI: Prime Minister Narendra Modi on Wednesday welcomed World Health Organisation Director-General Tedros Adhanom Ghebreyesus to India. "My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, @DrTedros!," PM Modi wrote on X, formerly known as Twitter. He reposted the Ayush ministry video in which Tedros could be seen performing Gujarat's traditional dance dandiya.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Responding to Modi calling him Tulsi Bhai, Tedros said, I like the name Tulsi Bhai because Tulsi is a medicinal plant. I just planted Tulsi here in the Wellness Centre I'm really happy to do that because it has many benefits. I am happy to be called Tulsi Bhai, after visiting a health and wellness centre in Gandhinagar. The WHO chief was given the Gujarati name - Tulsi Bhai - by Modi at the Global AYUSH and Innovation Summit in Gandhinagar last year. Ghebreyesus, who arrived in India on Wednesday, will be inaugurating the WHO-global summit on traditional medicine event in the presence of Union Health Minister Mansukh Mandaviya and Ayush Minister Sarbananda Sonowal. The meet is being organised jointly by the WHO and Ayush Ministry. It will be held on August 17 and 18 in Gandhinagar. It will be held alongside the G20 health ministerial meeting to mobilise political commitment and evidence-based action on traditional medicine. The event will be attended by G20 health ministers, WHO regional directors, and prominent invitees from countries across the UN health body's six regions. Apart from this, scientists, traditional medicine practitioners, health workers, and civil society members will also attend the event. According to the Union health ministry, international delegates have started arriving in Ahmedabad to attend the G20 health ministers' meeting, starting from Thursday. Namita Bajpai By Express News Service LUCKNOW: The CSIR-National Botanical Research Institute (NBRI) launched an improvised variety of the national flower Lotus with 108 petals and named it Namoh 108 to dedicate to the country on the 77th Independence Day. The newly improvised variety is more weather resilient and can flower for 10 months, from March to December, after NBRIs technology intervention. The CSIR-NBRI also released apparel made from lotus fibre and a perfume developed from Lotus plants. Moreover, a chip carrying all the information about cotton cultivation was also launched and it would help further research on cotton. Namoh 108 was launched by CSIR director general (DG) N Kalaiselvi at NBRIs week-long festival One Week One Lab Programme started on Monday. Considering the religious importance of lotus and the number 108, this combination gives an important identity to this variety, said the CSIR DG. The improvised variety of the national flower has a connection with Manipur as it was brought by NBRI scientists from the northeastern state to conduct research on it. Shedding light on the course of the research, the CSIR DG said, This is the first Lotus variety the genome of which is completely sequenced. This plant will never be extinct or endangered like many of our flowers and plants have become. As per Dr KJ Singh, the head researcher of the project, the improvised Namoh 108 variety is more weather resilient than other varieties of the flower. It can flower from March to December. It is the longest flowering variety as compared to other varieties which flower for 4-5 months only, said Dr Singh. Namoh 108, with its ancestry traced to Manipur, is adorned with large light pink petals and the flower usually touches 10 inches in diameter. CSIR-NBRI also released apparel made from lotus fibre and the perfume Frotus developed from Lotus plants. LUCKNOW: The CSIR-National Botanical Research Institute (NBRI) launched an improvised variety of the national flower Lotus with 108 petals and named it Namoh 108 to dedicate to the country on the 77th Independence Day. The newly improvised variety is more weather resilient and can flower for 10 months, from March to December, after NBRIs technology intervention. The CSIR-NBRI also released apparel made from lotus fibre and a perfume developed from Lotus plants. Moreover, a chip carrying all the information about cotton cultivation was also launched and it would help further research on cotton. Namoh 108 was launched by CSIR director general (DG) N Kalaiselvi at NBRIs week-long festival One Week One Lab Programme started on Monday. Considering the religious importance of lotus and the number 108, this combination gives an important identity to this variety, said the CSIR DG. The improvised variety of the national flower has a connection with Manipur as it was brought by NBRI scientists from the northeastern state to conduct research on it. Shedding light on the course of the research, the CSIR DG said, This is the first Lotus variety the genome of which is completely sequenced. This plant will never be extinct or endangered like many of our flowers and plants have become.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); As per Dr KJ Singh, the head researcher of the project, the improvised Namoh 108 variety is more weather resilient than other varieties of the flower. It can flower from March to December. It is the longest flowering variety as compared to other varieties which flower for 4-5 months only, said Dr Singh. Namoh 108, with its ancestry traced to Manipur, is adorned with large light pink petals and the flower usually touches 10 inches in diameter. CSIR-NBRI also released apparel made from lotus fibre and the perfume Frotus developed from Lotus plants. By PTI HARYANA: Cow vigilante Bittu Bajrangi was remanded in police custody for a day by a Nuh court on Wednesday in connection with communal clashes that erupted in the district on July 31, and police said his associates will also be arrested soon. Bajrangi alias Raj Kumar was arrested on Tuesday from Faridabad after a fresh FIR was registered against him at Nuh's Sadar police station based on a complaint by Assistant Superintendent of Police Usha Kundu, they said. According to the FIR, Bajrangi, who was identified through social media posts, and some of his unidentified supporters had allegedly misbehaved with and threatened an ASP Kundu-led police team which had stopped them while they were carrying swords and tri shuls at Nalhar temple. Bajrangi had been arrested by Faridabad police two days after the violence in connection with another case related to the communal clashes but was released on bail after he joined the investigation. He was accused of making inflammatory speeches and brandishing weapons in public. "Bajrangi was produced in a city court today and we have taken him on a one-day police remand for questioning. Further probe is underway and associates of Bajrangi will also be arrested as earliest possible," a Nuh police spokesperson said on Wednesday. The Vishva Hindu Parishad, meanwhile, disassociated itself from Bajrangi and claimed he was never linked with Bajrang Dal. "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad (VHP) also does not consider the content of the video allegedly released by him to be appropriate," the right-wing outfit said in a statement. Bajrang Dal is the youth wing of the VHP. In her complaint, ASP Usha Kundu said, "I was on duty with my team 300 metres away from the Nalhar temple. We saw a mob of around 20 people carrying swords and trishuls marching to Nalhar temple. To maintain law and order my team snatched and seized their weapons." "After this, they started raising slogans against the police and manhandled the team. We kept their weapons in our vehicles but they went ahead and squatted in front of vehicles. Soon after they opened the rear gates of our official vehicle and fled with weapons. Bittu and others who had misbehaved with us and threatened to kill us were identified in the footage," ASP Kundu said in her complaint. The FIR was registered against Bajrangi under Indian Penal Code sections 148 (riots), 149 (unlawful assembly), 323 (causing hurt), 332 (voluntarily causing hurt to deter public servant from his duty), 353 (assault or criminal force to deter public servant), 186 (obstructing a public servant from discharging duty) and 506 (criminal intimidation) and provisions of the Arms Act, police said. HARYANA: Cow vigilante Bittu Bajrangi was remanded in police custody for a day by a Nuh court on Wednesday in connection with communal clashes that erupted in the district on July 31, and police said his associates will also be arrested soon. Bajrangi alias Raj Kumar was arrested on Tuesday from Faridabad after a fresh FIR was registered against him at Nuh's Sadar police station based on a complaint by Assistant Superintendent of Police Usha Kundu, they said. According to the FIR, Bajrangi, who was identified through social media posts, and some of his unidentified supporters had allegedly misbehaved with and threatened an ASP Kundu-led police team which had stopped them while they were carrying swords and tri shuls at Nalhar temple.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Bajrangi had been arrested by Faridabad police two days after the violence in connection with another case related to the communal clashes but was released on bail after he joined the investigation. He was accused of making inflammatory speeches and brandishing weapons in public. "Bajrangi was produced in a city court today and we have taken him on a one-day police remand for questioning. Further probe is underway and associates of Bajrangi will also be arrested as earliest possible," a Nuh police spokesperson said on Wednesday. The Vishva Hindu Parishad, meanwhile, disassociated itself from Bajrangi and claimed he was never linked with Bajrang Dal. "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad (VHP) also does not consider the content of the video allegedly released by him to be appropriate," the right-wing outfit said in a statement. Bajrang Dal is the youth wing of the VHP. In her complaint, ASP Usha Kundu said, "I was on duty with my team 300 metres away from the Nalhar temple. We saw a mob of around 20 people carrying swords and trishuls marching to Nalhar temple. To maintain law and order my team snatched and seized their weapons." "After this, they started raising slogans against the police and manhandled the team. We kept their weapons in our vehicles but they went ahead and squatted in front of vehicles. Soon after they opened the rear gates of our official vehicle and fled with weapons. Bittu and others who had misbehaved with us and threatened to kill us were identified in the footage," ASP Kundu said in her complaint. The FIR was registered against Bajrangi under Indian Penal Code sections 148 (riots), 149 (unlawful assembly), 323 (causing hurt), 332 (voluntarily causing hurt to deter public servant from his duty), 353 (assault or criminal force to deter public servant), 186 (obstructing a public servant from discharging duty) and 506 (criminal intimidation) and provisions of the Arms Act, police said. By IANS MUMBAI: Under a cloud for the past few days, Nationalist Congress Party (NCP) President Sharad Pawar on Wednesday reiterated his loyalty to the Maha Vikas Aghadi (MVA), and also launched a scathing attack on the Bharatiya Janata Party (BJP). Theres no question of joining the BJP I am very much with the MVA and we are preparing for the upcoming national opposition alliance meeting in Mumbai, Pawar told media persons in Chhatrapati Sambhajinagar, scotching all political speculation. He also rubbished reports that the MVA allies Congress-Shiv Sena (UBT) have allegedly kept Plan B or Plan C ready to fight the upcoming Lok Sabha, Assembly, or civic elections minus the NCP (SP). Congress Leader of Opposition Vijay Wadettiwar and ex-Chief Minister Prithviraj Chavan have contended that the BJP and Prime Minister Narendra Modi had laid a precondition for Ajit Pawar that he could be the CM only if he succeeds in bringing along his uncle to the saffron fold, and he would be rewarded with a Central Cabinet berth or the chairmanship of NITI Aayog. There is no such thing This rumour is only in the media, the reality is different. When I met Ajit Pawar last week, he did not bring up any such proposal for me, said Pawar, referring to Wadettiwar and Pawars charges on Pawar uncle-nephews secret meeting recently. Training guns on the BJP and Modi, Sharad Pawar said that the saffron party is indulging in spreading hatred among the people and its decisions result in dispute or bitterness among various castes/religions in the society. On the day of the no-confidence motion, the PM spoke on Manipur for 2 minutes and on other issues for 2 hours He did not think it was important or that he should go to Manipur and boost the peoples confidence there, Pawar said. A former Union Defence Minister, Pawar cautioned that Manipur is near the China border and hence more attention is required, but everything happening there for the past over three months is dangerous for the country. Taking a dig, Pawar referred also to Modis I-Day speech where he declared that he would return to power in 2024. The current mood in the country is not conducive for the BJP's return No matter how much he claims to come back, he will end up like Devendra Fadnavis, said Pawar, in a swipe at Fadnavis often-repeated slogan of Mee Punha Yaeen (I will return) during the 2019 election campaign. The NCP supremo also said that efforts are underway to undermine elected Opposition parties state governments as was seen in Goa, Madhya Pradesh or Maharashtra and elsewhere. He said the people will not tolerate all this anymore and they will teach the BJP a lesson. MUMBAI: Under a cloud for the past few days, Nationalist Congress Party (NCP) President Sharad Pawar on Wednesday reiterated his loyalty to the Maha Vikas Aghadi (MVA), and also launched a scathing attack on the Bharatiya Janata Party (BJP). Theres no question of joining the BJP I am very much with the MVA and we are preparing for the upcoming national opposition alliance meeting in Mumbai, Pawar told media persons in Chhatrapati Sambhajinagar, scotching all political speculation. He also rubbished reports that the MVA allies Congress-Shiv Sena (UBT) have allegedly kept Plan B or Plan C ready to fight the upcoming Lok Sabha, Assembly, or civic elections minus the NCP (SP).googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Congress Leader of Opposition Vijay Wadettiwar and ex-Chief Minister Prithviraj Chavan have contended that the BJP and Prime Minister Narendra Modi had laid a precondition for Ajit Pawar that he could be the CM only if he succeeds in bringing along his uncle to the saffron fold, and he would be rewarded with a Central Cabinet berth or the chairmanship of NITI Aayog. There is no such thing This rumour is only in the media, the reality is different. When I met Ajit Pawar last week, he did not bring up any such proposal for me, said Pawar, referring to Wadettiwar and Pawars charges on Pawar uncle-nephews secret meeting recently. Training guns on the BJP and Modi, Sharad Pawar said that the saffron party is indulging in spreading hatred among the people and its decisions result in dispute or bitterness among various castes/religions in the society. On the day of the no-confidence motion, the PM spoke on Manipur for 2 minutes and on other issues for 2 hours He did not think it was important or that he should go to Manipur and boost the peoples confidence there, Pawar said. A former Union Defence Minister, Pawar cautioned that Manipur is near the China border and hence more attention is required, but everything happening there for the past over three months is dangerous for the country. Taking a dig, Pawar referred also to Modis I-Day speech where he declared that he would return to power in 2024. The current mood in the country is not conducive for the BJP's return No matter how much he claims to come back, he will end up like Devendra Fadnavis, said Pawar, in a swipe at Fadnavis often-repeated slogan of Mee Punha Yaeen (I will return) during the 2019 election campaign. The NCP supremo also said that efforts are underway to undermine elected Opposition parties state governments as was seen in Goa, Madhya Pradesh or Maharashtra and elsewhere. He said the people will not tolerate all this anymore and they will teach the BJP a lesson. Rajesh Kumar Thakur By Express News Service NEW DELHI: Setting the tone for his re-election bid in 2024, Prime Minister Narendra Modi on Tuesday sought to expand his OBC outreach, solidify his bond with the masses by addressing them as family members, and called for a war against the three evils of corruption, appeasement and dynastic politics. In his 10th consecutive Independence Day speech at Red Fort, he mentioned parivarjan (family members) at least 50 times. In the past, he had addressed the citizens as my beloved brothers and sisters or my dear fellow citizens. Seeking to expand his OBC vote bank, Modi announced the Vishwakarma Yojana, with an outlay of Rs 13,000-15,000 crore, to boost the livelihood opportunities for people engaged in traditional skills like carpenters, masons and goldsmiths. The scheme, benefiting the OBCs, will be launched on Vishwakarma Jayanti on September 17. He also said he aims to create two crore lakhpati didis (millionaire sisters) by skilling them to become small entrepreneurs. Women would also be provided drone operation training for agricultural purposes. Regarding the situation in riot-torn Manipur, the PM said peace is gradually returning to the region. India stands with Manipur, and both the Central and the state governments are collaboratively working to uphold peace, he said, adding the entire nation shares its pain. Expressing gratitude to the nation for voting a stable government to power at the Centre in 2014, following three decades of uncertainty, instability and political compulsions, the PM said, You placed your trust in me. I endeavoured to live up to that trust. I pledged to reform, perform, and transform, and I have diligently served the nation with pride. On the next August 15, I will speak to you from Red Fort with more self-confidence about the countrys achievements, the successes of your capabilities and the progress made in fulfilling your resolutions, he said amid applause from the crowd. In a veiled reference to the Opposition, Modi emphasised that the collective eradication of corruption, nepotism and political appeasement was imperative for the countrys progress. It is our shared responsibility to foster probity, transparency, and impartiality to elevate India into a developed nation. Criticising the Opposition unity, the PM remarked, These individuals absconded after misappropriating your hard-earned money... I am committed to advancing the fight against corruption. He also criticised the negative impact of nepotism on democracy, saying dynastic parties function as parties of the family, by the family, and for the family. NEW DELHI: Setting the tone for his re-election bid in 2024, Prime Minister Narendra Modi on Tuesday sought to expand his OBC outreach, solidify his bond with the masses by addressing them as family members, and called for a war against the three evils of corruption, appeasement and dynastic politics. In his 10th consecutive Independence Day speech at Red Fort, he mentioned parivarjan (family members) at least 50 times. In the past, he had addressed the citizens as my beloved brothers and sisters or my dear fellow citizens. Seeking to expand his OBC vote bank, Modi announced the Vishwakarma Yojana, with an outlay of Rs 13,000-15,000 crore, to boost the livelihood opportunities for people engaged in traditional skills like carpenters, masons and goldsmiths. The scheme, benefiting the OBCs, will be launched on Vishwakarma Jayanti on September 17.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); He also said he aims to create two crore lakhpati didis (millionaire sisters) by skilling them to become small entrepreneurs. Women would also be provided drone operation training for agricultural purposes. Regarding the situation in riot-torn Manipur, the PM said peace is gradually returning to the region. India stands with Manipur, and both the Central and the state governments are collaboratively working to uphold peace, he said, adding the entire nation shares its pain. Expressing gratitude to the nation for voting a stable government to power at the Centre in 2014, following three decades of uncertainty, instability and political compulsions, the PM said, You placed your trust in me. I endeavoured to live up to that trust. I pledged to reform, perform, and transform, and I have diligently served the nation with pride. On the next August 15, I will speak to you from Red Fort with more self-confidence about the countrys achievements, the successes of your capabilities and the progress made in fulfilling your resolutions, he said amid applause from the crowd. In a veiled reference to the Opposition, Modi emphasised that the collective eradication of corruption, nepotism and political appeasement was imperative for the countrys progress. It is our shared responsibility to foster probity, transparency, and impartiality to elevate India into a developed nation. Criticising the Opposition unity, the PM remarked, These individuals absconded after misappropriating your hard-earned money... I am committed to advancing the fight against corruption. He also criticised the negative impact of nepotism on democracy, saying dynastic parties function as parties of the family, by the family, and for the family. By PTI CHANDIGARH: Punjab Chief Minister Bhagwant Mann on Wednesday asked his ministers to visit the flood-hit areas in the state, even as rescue and relief operations are underway in Hoshiarpur, Rupnagar and Gurdaspur districts. Many areas in Hoshiarpur, Rupnagar and Gurdaspur districts were inundated following the release of excess water from the Bhakra and Pong dams, officials said. The Bhakra Dam on Sutlej river and the Pong Dam on Beas river -- both in Himachal Pradesh -- are brimming after heavy rain in their respective catchment areas. Authorities have already launched rescue and relief operations in the flood-hit areas in these three districts and also said that they were keeping a watch on the situation. Chief Minister Mann directed his ministers to visit flood-hit areas and also issued directions to depute teams of National Disaster Response Force (NDRF), said official sources. In an advisory on Monday, the Punjab government had asked residents of Gurdaspur, Amritsar, Hoshiarpur, Kapurthala and Tarn Taran districts not to venture near the Beas after it was decided that water from the Pong Dam would be released. After the water was released from dams, it entered low-lying areas and several villages, even some houses, located on the banks of the Beas and Sutlej rivers. ALSO READ | Several villages in Punjab inundated after water released from Pong, Bhakra dam Crops have also been submerged in several places because of floodwaters, villagers said. Many villagers have been evacuated to safety, officials said. Cabinet ministers Harbhajan Singh and Bram Shanker Jimpa took stock of the situation of flood-hit areas in Tanda Urmar in the Hoshiarpur district. Speaking to reporters, Singh said rescue operations were underway and that boats have been deployed to evacuate people to safety. He also said that the public works department and mining department were engaged in plugging the breaches of embankments along the Beas River. Punjab Education Minister and Anandpur Sahib MLA Harjot Singh Bains visited flood-hit areas in the Rupnagar district and asked people not to panic. He said people trapped in Harsa Bela and Patti Dulchi villages in Anandpur Sahib have been rescued. He also appealed to people living near the banks of Sutlej river that they should move to safer places. Bains said the CM was monitoring the situation. Punjab has been flooded for the second time in just over a month. Several parts of Punjab were affected by a rains in the state between July 9 and July 11, which flooded vast expanses of agricultural fields and other areas, besides paralysing normal life. CHANDIGARH: Punjab Chief Minister Bhagwant Mann on Wednesday asked his ministers to visit the flood-hit areas in the state, even as rescue and relief operations are underway in Hoshiarpur, Rupnagar and Gurdaspur districts. Many areas in Hoshiarpur, Rupnagar and Gurdaspur districts were inundated following the release of excess water from the Bhakra and Pong dams, officials said. The Bhakra Dam on Sutlej river and the Pong Dam on Beas river -- both in Himachal Pradesh -- are brimming after heavy rain in their respective catchment areas.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Authorities have already launched rescue and relief operations in the flood-hit areas in these three districts and also said that they were keeping a watch on the situation. Chief Minister Mann directed his ministers to visit flood-hit areas and also issued directions to depute teams of National Disaster Response Force (NDRF), said official sources. In an advisory on Monday, the Punjab government had asked residents of Gurdaspur, Amritsar, Hoshiarpur, Kapurthala and Tarn Taran districts not to venture near the Beas after it was decided that water from the Pong Dam would be released. After the water was released from dams, it entered low-lying areas and several villages, even some houses, located on the banks of the Beas and Sutlej rivers. ALSO READ | Several villages in Punjab inundated after water released from Pong, Bhakra dam Crops have also been submerged in several places because of floodwaters, villagers said. Many villagers have been evacuated to safety, officials said. Cabinet ministers Harbhajan Singh and Bram Shanker Jimpa took stock of the situation of flood-hit areas in Tanda Urmar in the Hoshiarpur district. Speaking to reporters, Singh said rescue operations were underway and that boats have been deployed to evacuate people to safety. He also said that the public works department and mining department were engaged in plugging the breaches of embankments along the Beas River. Punjab Education Minister and Anandpur Sahib MLA Harjot Singh Bains visited flood-hit areas in the Rupnagar district and asked people not to panic. He said people trapped in Harsa Bela and Patti Dulchi villages in Anandpur Sahib have been rescued. He also appealed to people living near the banks of Sutlej river that they should move to safer places. Bains said the CM was monitoring the situation. Punjab has been flooded for the second time in just over a month. Several parts of Punjab were affected by a rains in the state between July 9 and July 11, which flooded vast expanses of agricultural fields and other areas, besides paralysing normal life. Harpreet Bajwa By Express News Service CHANDIGARH: Four more bodies were recovered from the landslip site at a Shiv temple at Summerhill in Shimla and another person died in a fresh landslide on Tuesday in the state capital, taking the death toll to at least 54 in the rain havoc in Himachal Pradesh in two days. The Unesco world heritage Shimla-Kalka railway line was damaged near Summer Hill after a landslide swept away a 50-metre bridge, leaving a portion of the track hanging. The Pong dam authorities had to release more water in a controlled manner on Monday evening. However, the released water stranded villagers in the low-lying areas along the Beas. The authorities on Tuesday evening rescued 766 people in Fatehpur and the Indora sub-division of Kangra district. A massive landslide razed a Shiv temple on Monday morning, trapping several devotees inside. A dozen bodies have been recovered from the site, pushing the toll to 54. A National Disaster Response Force team on Tuesday joined the rescue and search operation on Tuesday. Local residents said at least nine people whom they knew were missing. There could be more people, not known to the local residents, lying under the debris or being swept away by the swollen Beas, said a resident. In another landslide in Shimla on Tuesday, at least five houses collapsed in the Krishna Nagar area. Sources said the houses were vacated earlier today as cracks had started to appear on the walls. As a part of the hillock came crashing down, at least five houses collapsed upon a slaughterhouse where some people were reportedly working. Shimla Superintendent of Police Sanjeev Gandhi said the body of a person has been recovered from the debris. Rescue operations are continuing. There are chances of more people being trapped, said the police officer. Chief Minister Sukhvinder Singh Sukhu said the toll might go up as the rescue work gains momentum. Kangra deputy commissioner Nipun Jindal has reportedly written to the Indian Air Force seeking help to rescue the stranded. Two IAF choppers were sent in, which saved 213 people in multiple sorties. CHANDIGARH: Four more bodies were recovered from the landslip site at a Shiv temple at Summerhill in Shimla and another person died in a fresh landslide on Tuesday in the state capital, taking the death toll to at least 54 in the rain havoc in Himachal Pradesh in two days. The Unesco world heritage Shimla-Kalka railway line was damaged near Summer Hill after a landslide swept away a 50-metre bridge, leaving a portion of the track hanging. The Pong dam authorities had to release more water in a controlled manner on Monday evening. However, the released water stranded villagers in the low-lying areas along the Beas. The authorities on Tuesday evening rescued 766 people in Fatehpur and the Indora sub-division of Kangra district. A massive landslide razed a Shiv temple on Monday morning, trapping several devotees inside. A dozen bodies have been recovered from the site, pushing the toll to 54. A National Disaster Response Force team on Tuesday joined the rescue and search operation on Tuesday. Local residents said at least nine people whom they knew were missing. googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); There could be more people, not known to the local residents, lying under the debris or being swept away by the swollen Beas, said a resident. In another landslide in Shimla on Tuesday, at least five houses collapsed in the Krishna Nagar area. Sources said the houses were vacated earlier today as cracks had started to appear on the walls. As a part of the hillock came crashing down, at least five houses collapsed upon a slaughterhouse where some people were reportedly working. Shimla Superintendent of Police Sanjeev Gandhi said the body of a person has been recovered from the debris. Rescue operations are continuing. There are chances of more people being trapped, said the police officer. Chief Minister Sukhvinder Singh Sukhu said the toll might go up as the rescue work gains momentum. Kangra deputy commissioner Nipun Jindal has reportedly written to the Indian Air Force seeking help to rescue the stranded. Two IAF choppers were sent in, which saved 213 people in multiple sorties. By AFP MADURAI: Heady scents fill the air as skilled pickers in Madurai in India's Tamil Nadu pluck white jasmine before the still-fresh buds are rushed for processing into a valuable ingredient for global perfumes. Jasmine only issues its powerful scent when it blooms at night, and pickers must select only the ones yet to open. "We know which one to pick," said Malarkodi, who gave only one name, as she snapped her fingers carefully to pluck the buds, tucking into her hair a few flowers that had already bloomed. "There is no use of these... but I like the smell," she said. Jasmine's fragrant flowers have been used for millennia in India to honour the gods, and the scent is a key part of world-famous perfumes. In the ancient city of Madurai in southern India, jasmine is omnipresent -- attracting buyers from some of the world's most recognisable perfumes, including J'adore by Dior and Mon Guerlain by Guerlain. "It is one of the most expensive oils in the world," said Raja Palaniswamy, a director of Jasmine Concrete, which squeezes vast quantities of jasmine to create a few precious drops of delicious-smelling essence. ALSO READ | Jasmine export needs push from government, says Madurai flower exporters The women picking the buds earn around $1.50 a day per day for about four to five kilograms -- with each kilogram made up of around 4,000 buds. Once picked, the jasmine is rushed to market, selling for anything between 200 and 2,000 rupees ($2.40-$24) a kilogram on special days. 'Expression of love' The jasmine of Madurai, an Asian variety with the scientific name Jasminum sambac, was given a "geographical indication" tag from India in 2013, which noted its "deep fragrance". "It is lush, it is rich, it is vibrant," said Thierry Wasser, perfumer and "nose" at French beauty house Guerlain, speaking to AFP while visiting the jasmine operators. The jasmine in Madurai has a "smoothness... and something floral which is immutable," Wasser added. Wasser sources the jasmine oil he uses from Palaniswamy's company. As well as Guerlain, Palaniswamy said his company sells jasmine oil to companies including Bulgari, Dior and Lush. ALSO READ | Budworm infestation back to haunt jasmine farmers in Tiruchy In Madurai, the bright, white flower can be found in the homes of the city's residents, as strings fastened by women to their hair -- and in the sprawling 14th-century complex of the Hindu goddess Meenakshi, considered the guardian of the city. Meenakshi is depicted holding a parrot, a bird associated with love. Every night, people surround a shrine of the goddess with fragrant jasmine flowers as she retires with her husband Shiva in a grand, symbolic ceremony. "When you understand that the purpose of this flower is the celebration of love and brotherhood and family and friendship; when you smell it, it takes another dimension," Wasser said. "And to me this flower is the expression of love. Period." 'Real fragrance' The process to extract the oil requires long hours of labour. The women who pluck the jasmine -- be it for their deity, weddings, funerals or expensive perfumes - have no time to romance its appeal. In a jasmine field on the outskirts of the ancient city, women tenderly move the branches of the bush, looking for the perfect bud. The processing factory runs around the clock in harvest season, with workers raking out fresh-picked flowers and waiting for the oblong-shaped buds to bloom. "The minute it starts blooming, it starts emitting its fragrance," Palaniswamy said. Late in the night, as the jasmine's sweet scent fills the air, workers collect the blooms and load them into extractors. The freshly picked jasmine is immersed in a solvent to absorb the fragrance molecules to give a waxy extract called concrete. The concrete is further processed with alcohol to remove the waxes carefully, which then results in a potent absolute. This absolute becomes the ingredient in perfumes. Around 700 kilograms of fresh jasmine is reduced to just one kilogram of oil, selling for around $4,200, Palaniswamy said. But Amsavalli Karuppuswamy, who runs a stall outside the flower market where she threads flowers into garlands, said the fresh jasmine will always outweigh any oil. "I will continue to do this job till I die... women like jasmine, so that is why we are doing this," she said. "The scents are not worth as much as the original jasmine flowers -- nothing can match the real fragrance of the jasmine." MADURAI: Heady scents fill the air as skilled pickers in Madurai in India's Tamil Nadu pluck white jasmine before the still-fresh buds are rushed for processing into a valuable ingredient for global perfumes. Jasmine only issues its powerful scent when it blooms at night, and pickers must select only the ones yet to open. "We know which one to pick," said Malarkodi, who gave only one name, as she snapped her fingers carefully to pluck the buds, tucking into her hair a few flowers that had already bloomed.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); "There is no use of these... but I like the smell," she said. Jasmine's fragrant flowers have been used for millennia in India to honour the gods, and the scent is a key part of world-famous perfumes. In the ancient city of Madurai in southern India, jasmine is omnipresent -- attracting buyers from some of the world's most recognisable perfumes, including J'adore by Dior and Mon Guerlain by Guerlain. "It is one of the most expensive oils in the world," said Raja Palaniswamy, a director of Jasmine Concrete, which squeezes vast quantities of jasmine to create a few precious drops of delicious-smelling essence. ALSO READ | Jasmine export needs push from government, says Madurai flower exporters The women picking the buds earn around $1.50 a day per day for about four to five kilograms -- with each kilogram made up of around 4,000 buds. Once picked, the jasmine is rushed to market, selling for anything between 200 and 2,000 rupees ($2.40-$24) a kilogram on special days. 'Expression of love' The jasmine of Madurai, an Asian variety with the scientific name Jasminum sambac, was given a "geographical indication" tag from India in 2013, which noted its "deep fragrance". "It is lush, it is rich, it is vibrant," said Thierry Wasser, perfumer and "nose" at French beauty house Guerlain, speaking to AFP while visiting the jasmine operators. The jasmine in Madurai has a "smoothness... and something floral which is immutable," Wasser added. Wasser sources the jasmine oil he uses from Palaniswamy's company. As well as Guerlain, Palaniswamy said his company sells jasmine oil to companies including Bulgari, Dior and Lush. ALSO READ | Budworm infestation back to haunt jasmine farmers in Tiruchy In Madurai, the bright, white flower can be found in the homes of the city's residents, as strings fastened by women to their hair -- and in the sprawling 14th-century complex of the Hindu goddess Meenakshi, considered the guardian of the city. Meenakshi is depicted holding a parrot, a bird associated with love. Every night, people surround a shrine of the goddess with fragrant jasmine flowers as she retires with her husband Shiva in a grand, symbolic ceremony. "When you understand that the purpose of this flower is the celebration of love and brotherhood and family and friendship; when you smell it, it takes another dimension," Wasser said. "And to me this flower is the expression of love. Period." 'Real fragrance' The process to extract the oil requires long hours of labour. The women who pluck the jasmine -- be it for their deity, weddings, funerals or expensive perfumes - have no time to romance its appeal. In a jasmine field on the outskirts of the ancient city, women tenderly move the branches of the bush, looking for the perfect bud. The processing factory runs around the clock in harvest season, with workers raking out fresh-picked flowers and waiting for the oblong-shaped buds to bloom. "The minute it starts blooming, it starts emitting its fragrance," Palaniswamy said. Late in the night, as the jasmine's sweet scent fills the air, workers collect the blooms and load them into extractors. The freshly picked jasmine is immersed in a solvent to absorb the fragrance molecules to give a waxy extract called concrete. The concrete is further processed with alcohol to remove the waxes carefully, which then results in a potent absolute. This absolute becomes the ingredient in perfumes. Around 700 kilograms of fresh jasmine is reduced to just one kilogram of oil, selling for around $4,200, Palaniswamy said. But Amsavalli Karuppuswamy, who runs a stall outside the flower market where she threads flowers into garlands, said the fresh jasmine will always outweigh any oil. "I will continue to do this job till I die... women like jasmine, so that is why we are doing this," she said. "The scents are not worth as much as the original jasmine flowers -- nothing can match the real fragrance of the jasmine." Prasanta Mazumdar By Express News Service GUWAHATI: Bollywood movies returned to restive Manipur on Tuesday, 23 years after the militants enforced a ban on their screening. As part of the 77th Independence Day celebrations, the Hmar Students Association (HSA), a Kuki-Zo tribal students body, screened Uri: The Surgical Strike at Rengkai in the hill district of Churachandpur. A projector was used to screen the film from 7:30 pm. Over 100 people turned up. Kuch Kuch Hota Hai was also lined up. Incidentally, the last time a Hindi movie screened at a theatre hall in Manipur was Kuch Kuch Hota Hai in the late 1990s. The HSA screened Bollywood movies to show its defiance against the ban on Hindi films. "As Indians, we must have access to arts and movies produced from all parts of India in public theatres," Lalremsang, who is an executive member of HSA, told The New Indian Express. "The main reason behind the banning of Hindi films was that they (militants) considered Hindi films as foreign films which badly influenced Meitei/Manipuri culture. The state government till today backs this ban but we do not subscribe to it," Lalremsang said. Churachandpur had a couple of theatre halls but they were shut down in the wake of the ban on the screening of Hindi films. Several others were shut down in the Meitei-majority Imphal valley. "People here (Churachandpur) love to watch Bollywood films," the student leader said. Uri: The Surgical Strike and Kuch Kuch Hota Hai were screened. (Express) In 2000, the insurgent group Revolutionary People's Front issued a notice banning Hindi, specifically Bollywood movies, for allegedly destroying Manipuri culture, language and local film industry. The outfit believed Bollywood went against Manipuri values. In due course, the militants confiscated thousands of video cassettes of Hindi films and music and burnt them as a mark of protest against the Indianisation of Manipur. The ban killed off the movie theatre circuit in the state. It was because of the ban that the biopic on champion boxer MC Mary Kom could not be screened in Manipur, the state where she was born. Priyanka Chopra played the role of the boxer in the movie. The Manipur government contested the fact that Hindi movies have not been screened in the state in the past 23 years, saying, "Although Hindi films are not banned in the state, they are not screened regularly in cinema theatres which is not under purview of the government. But Hindi films are still aired on various satellite and TV channels on a daily basis." T Ramesh Singh, who is the deputy director (press and information) in the department of Information and Public Relations, said the Hindi movie Rocketry: The Nambi Effect was screened on August 20, 2022 at the auditorium of Manipur State Film Development Society and seen by Chief Minister N Biren Singh, his Council of Ministers, MLAs and many government officials. Manipur has been witnessing widespread ethnic clashes between the majority Meitei and tribal Kuki communities since May 3 and so far over 160 people have been killed. GUWAHATI: Bollywood movies returned to restive Manipur on Tuesday, 23 years after the militants enforced a ban on their screening. As part of the 77th Independence Day celebrations, the Hmar Students Association (HSA), a Kuki-Zo tribal students body, screened Uri: The Surgical Strike at Rengkai in the hill district of Churachandpur. A projector was used to screen the film from 7:30 pm. Over 100 people turned up. Kuch Kuch Hota Hai was also lined up. Incidentally, the last time a Hindi movie screened at a theatre hall in Manipur was Kuch Kuch Hota Hai in the late 1990s.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The HSA screened Bollywood movies to show its defiance against the ban on Hindi films. "As Indians, we must have access to arts and movies produced from all parts of India in public theatres," Lalremsang, who is an executive member of HSA, told The New Indian Express. "The main reason behind the banning of Hindi films was that they (militants) considered Hindi films as foreign films which badly influenced Meitei/Manipuri culture. The state government till today backs this ban but we do not subscribe to it," Lalremsang said. Churachandpur had a couple of theatre halls but they were shut down in the wake of the ban on the screening of Hindi films. Several others were shut down in the Meitei-majority Imphal valley. "People here (Churachandpur) love to watch Bollywood films," the student leader said. Uri: The Surgical Strike and Kuch Kuch Hota Hai were screened. (Express) In 2000, the insurgent group Revolutionary People's Front issued a notice banning Hindi, specifically Bollywood movies, for allegedly destroying Manipuri culture, language and local film industry. The outfit believed Bollywood went against Manipuri values. In due course, the militants confiscated thousands of video cassettes of Hindi films and music and burnt them as a mark of protest against the Indianisation of Manipur. The ban killed off the movie theatre circuit in the state. It was because of the ban that the biopic on champion boxer MC Mary Kom could not be screened in Manipur, the state where she was born. Priyanka Chopra played the role of the boxer in the movie. The Manipur government contested the fact that Hindi movies have not been screened in the state in the past 23 years, saying, "Although Hindi films are not banned in the state, they are not screened regularly in cinema theatres which is not under purview of the government. But Hindi films are still aired on various satellite and TV channels on a daily basis." T Ramesh Singh, who is the deputy director (press and information) in the department of Information and Public Relations, said the Hindi movie Rocketry: The Nambi Effect was screened on August 20, 2022 at the auditorium of Manipur State Film Development Society and seen by Chief Minister N Biren Singh, his Council of Ministers, MLAs and many government officials. Manipur has been witnessing widespread ethnic clashes between the majority Meitei and tribal Kuki communities since May 3 and so far over 160 people have been killed. YEREVAN, AUGUST 16, ARMENPRESS. Human Rights Defender of Armenia Anahit Manasyan has issued a statement strongly condemning the gross violations of the fundamental rights of Armenians living in Nagorno-Karabakh by Azerbaijan. Below is the full statement released by Manasyan. The humanitarian crisis is worsening daily in Nagorno-Karabakh: The Human Rights Defender strongly condemns the gross violations of the fundamental rights of Armenians living in Nagorno-Karabakh by Azerbaijan. It is the 247th day that the Armenians of Nagorno-Karabakh are under blockade, and two months (as of June 15) that the latter have been deprived of any kind of humanitarian aid, including basic necessities and food. The Human Rights Defender records that the blockade of the Lachin corridor by Azerbaijan has resulted in the gross violation of the fundamental rights of the residents of Nagorno-Karabakh, including the rights to life, dignity, physical and mental health and healthcare, freedom of movement, food and an adequate standard of living, housing, and other socioeconomic and cultural rights. The blockade has a particular adverse impact on the rights of representatives of vulnerable groups, such as children, women, persons with disabilities, etc. In particular, 9000 persons with disabilities, 20,000 older persons, and 60,000 women are under the blockade. The humanitarian disaster caused by the blockade is manifested in all spheres of the normal life of residents, in particular: The standard of living of the people is in a deteriorated state. Since June 15, the complete disruption of humanitarian aid supplies has created a crisis situation, including the risk of hunger and starvation. The residents of Yeghtsahogh, Hin Shen, Mets Shen and Lisagor communities of Shushi region of Nagorno-Karabakh are in a particularly terrible situation since they are under complete blockade as a result of the establishment of the Azerbaijani checkpoint. Locally produced seasonal agricultural products from different regions and communities of Nagorno-Karabakh are no longer delivered to densely populated places - Stepanakert, Martuni and Martakert. In all grocery stores, the total absence or scarcity of food, basic necessities, and hygiene items was recorded, and the acquisition of the latter is carried out through huge queues. In this context, it is also necessary to address the impossibility of providing humanitarian aid from the Republic of Armenia to Nagorno-Karabakh. Since June 15 as a result of blocking the possibility of providing humanitarian aid by Azerbaijani authorities, the humanitarian aid has remained standing as of today, arriving at Kornidzor village from Yerevan on July 26. On July 28, the representatives of the Defender's office together with the representatives of the diplomatic corps accredited in Armenia and international organizations arrived in Kornidzor, the humanitarian convoy sector, and got acquainted with the situation on the spot. Due to the daily deterioration of people's health and malnutrition, cases of fainting also continue to increase. According to the information registered by the Human Rights Defenders office a person died from starvation in NK. A resident of Stepanakert, K. Hovhannisyan, died as a result of chronic malnutrition, protein and energy deficiency. The blocking of the Lachin corridor has a negative impact on the realization of the right to health care of the people, taking into consideration the prohibitions on the transfer of patients in need of medical care to Armenia. The transportation of patients and transportation of medical supplies is carried out with the intervention of the ICRC and Russian peacekeepers. At the same time, since June 15, the Azerbaijani side has completely blocked the delivery of humanitarian aid by Russian peacekeepers and the ICRC, leading to the worsening of the humanitarian situation. There have been cases of shortage of medicines, and in some cases, the complete absence of medicines. At the same time, in the absence of sufficient food, there has been an increase in the number of premature births in NK. A case of death of an unborn child was also registered in Haterk village of Martakert region. The pregnant woman could not reach the hospital on time because of fuel shortage for emergency vehicles. Since July 25 due to an acute shortage of fuel public transport has not been functioning at all. On July 29, fundamental violations by the Azerbaijani authorities were recorded during the process of transferring patients to Armenia through ICRC. In particular, Azerbaijan abducted 68-year-old Vagif Khachatryan from the checkpoint located in the vicinity of the Hakari bridge, who was being transported to Armenia for medical treatment accompanied by the ICRC. The results of the Defender's study prove that the unlawful interference with the fundamental rights of a person is being carried out in a violation of international legal guarantees and standards. Issues related to the provision of drinking water as a result of electricity supply failures were also recorded, affecting both the quantity and quality of water provided to the residents of NK. The Defender considers it necessary to emphasize again that the current humanitarian catastrophe is a direct manifestation of the ethnic cleansing and genocidal policy by the Azerbaijani authorities incited and adduced by Armenophobia, the ultimate goal of which is to empty Nagorno-Karabakh of its indigenous Armenian population, through terrorizing them, subjecting them to constant physical and psychological attacks and pressures, depriving them of their normal life and creating desperate conditions for life. The Human Rights Defender especially emphasizes the urgency to stop the irreversible and intensifying developments of the humanitarian disaster, as well as the extreme necessity to provide real opportunities for the implementation of humanitarian missions. At the same time, the Defenders office continues to collect and analyze facts on a daily basis regarding the Armenophobic policy of the Azerbaijani authorities, as well as the continuous gross violations of human rights in Nagorno-Karabakh as a result of the blocking of the Lachin corridor, and comprehensively present them to international organizations with a human rights mandate. I attach great importance to the appropriate response of international organizations with a mandate to protect human rights and to take effective steps as a matter of urgency. Narendra Sethi By Express News Service DEHRADUN: The floods that hit Uttarakhand this monsoon has caused damage worth nearly Rs 1,000 crore to roads, private buildings and agricultural land in the state. The devastating floods have so far claimed 70 lives while 37 people were injured and 19 were reported missing. State Disaster Response Force (SDRF) PRO Lalita Negi told The New Indian Express that most of the 250 pilgrims stranded on the Second Kedar Madmaheshwar pedestrian route have been rescued by the teams of SDRF and local police. The state government has arranged a helicopter to rescue the stranded pilgrims. On Wednesday, 103 people trapped following the collapse of a bridge in Madmaheshwar have been rescued by the SDRF team. The rescue operation lasted for several hours on Tuesday and Wednesday. Many of the rescued passengers are also from Delhi, Punjab, Chandigarh and Nepal. In the last 5 days, Khoh River and Behera Spring have caused havoc in Kotdwar and surrounding areas. As many as 19 buildings were damaged in Kashirampur Talla and Bahera rivulet due to the rains. Local residents could not even recover from the incident that on the night of August 13, both the rivers again swelled and 33 buildings were submerged in the river. DEHRADUN: The floods that hit Uttarakhand this monsoon has caused damage worth nearly Rs 1,000 crore to roads, private buildings and agricultural land in the state. The devastating floods have so far claimed 70 lives while 37 people were injured and 19 were reported missing. State Disaster Response Force (SDRF) PRO Lalita Negi told The New Indian Express that most of the 250 pilgrims stranded on the Second Kedar Madmaheshwar pedestrian route have been rescued by the teams of SDRF and local police. The state government has arranged a helicopter to rescue the stranded pilgrims.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); On Wednesday, 103 people trapped following the collapse of a bridge in Madmaheshwar have been rescued by the SDRF team. The rescue operation lasted for several hours on Tuesday and Wednesday. Many of the rescued passengers are also from Delhi, Punjab, Chandigarh and Nepal. In the last 5 days, Khoh River and Behera Spring have caused havoc in Kotdwar and surrounding areas. As many as 19 buildings were damaged in Kashirampur Talla and Bahera rivulet due to the rains. Local residents could not even recover from the incident that on the night of August 13, both the rivers again swelled and 33 buildings were submerged in the river. By PTI BENGALURU: Dismissing a petition challenging the filing of a criminal case for alleged abetment to suicide of a person of the LGBT community, the High Court of Karnataka said that everyone, including the LGBT (lesbian, gay, bisexual, transgender and other sexual orientations and genders), should be treated with love and care so that lives are not lost. "The deceased in the case at hand is the one belonging to the LGBT community. The sensitivity of them being ostracised pervades their psyche. Therefore, such people must be treated with all love and affection. If every citizen would treat such citizens with all love and care, as is done to a normal human, precious lives would not be lost," Justice M Nagaprasanna said in his judgment. Three colleagues of the deceased had approached the high court after the Whitefield police registered a case of abetment to suicide against them. The father of the deceased, who is from Uttar Pradesh, had complained that the three had constantly harassed his son for his sexual orientation, leading him to commit suicide. Among the accused, one is from Bengaluru, while the second and third accused are from Uttar Pradesh. All of them were colleagues in a company in Bengaluru. The deceased person worked in the company from 2014 to 2016. He rejoined the same company in 2022 as manager of visual merchandising. It is alleged that his colleagues were "putting him down by cracking unsavoury jokes. All the team members are said to have teased the deceased on his sexual orientation." He resigned on February 28 but later withdrew his resignation. Allegedly he was given a position that he was not comfortable with. He then complained to the Internal Complaints Committee constituted under the Sexual Harassment of Women at the Workplace Act. He also registered a complaint under the Scheduled Castes and Scheduled Tribes (Prevention of Atrocities) Act. He also approached the Assistant Commissioner of Police alleging harassment by the three colleagues. The victim committed suicide on June 3, 2023. His father registered a complaint the following day after which the accused filed a petition in the high court. The Court in its judgment said, "Unfortunately, the precious life of a youth is lost in the case at hand, all for the prima facie allegations of pointing at the sexual orientation of the deceased. Therefore, it is for every citizen to bear this in mind while interacting with sensitive people. It is necessary that every one of us introspects on this issue. After all, every one of them is a human being and all are worthy of equality." The HC also noted that the investigation is still in progress. It is barely three days after the registration of the FIR that the present petition is filed and, today, it is barely 49 days since the registration of the FIR. "The investigation is still in progress. It is not a case where there is no prima facie material or the allegations are made out of thin air," the HC said in its recent judgment. Refusing to interfere in the criminal proceedings, the HC dismissed the petition saying, "Cases which involve the death of a person and the accused are guilty of abetment to suicide of the said victim will have to be considered owing to the facts of each case. There cannot be any particular parameter, yardstick, or theorem for interference, particularly in cases of abetment to suicide." BENGALURU: Dismissing a petition challenging the filing of a criminal case for alleged abetment to suicide of a person of the LGBT community, the High Court of Karnataka said that everyone, including the LGBT (lesbian, gay, bisexual, transgender and other sexual orientations and genders), should be treated with love and care so that lives are not lost. "The deceased in the case at hand is the one belonging to the LGBT community. The sensitivity of them being ostracised pervades their psyche. Therefore, such people must be treated with all love and affection. If every citizen would treat such citizens with all love and care, as is done to a normal human, precious lives would not be lost," Justice M Nagaprasanna said in his judgment. Three colleagues of the deceased had approached the high court after the Whitefield police registered a case of abetment to suicide against them.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The father of the deceased, who is from Uttar Pradesh, had complained that the three had constantly harassed his son for his sexual orientation, leading him to commit suicide. Among the accused, one is from Bengaluru, while the second and third accused are from Uttar Pradesh. All of them were colleagues in a company in Bengaluru. The deceased person worked in the company from 2014 to 2016. He rejoined the same company in 2022 as manager of visual merchandising. It is alleged that his colleagues were "putting him down by cracking unsavoury jokes. All the team members are said to have teased the deceased on his sexual orientation." He resigned on February 28 but later withdrew his resignation. Allegedly he was given a position that he was not comfortable with. He then complained to the Internal Complaints Committee constituted under the Sexual Harassment of Women at the Workplace Act. He also registered a complaint under the Scheduled Castes and Scheduled Tribes (Prevention of Atrocities) Act. He also approached the Assistant Commissioner of Police alleging harassment by the three colleagues. The victim committed suicide on June 3, 2023. His father registered a complaint the following day after which the accused filed a petition in the high court. The Court in its judgment said, "Unfortunately, the precious life of a youth is lost in the case at hand, all for the prima facie allegations of pointing at the sexual orientation of the deceased. Therefore, it is for every citizen to bear this in mind while interacting with sensitive people. It is necessary that every one of us introspects on this issue. After all, every one of them is a human being and all are worthy of equality." The HC also noted that the investigation is still in progress. It is barely three days after the registration of the FIR that the present petition is filed and, today, it is barely 49 days since the registration of the FIR. "The investigation is still in progress. It is not a case where there is no prima facie material or the allegations are made out of thin air," the HC said in its recent judgment. Refusing to interfere in the criminal proceedings, the HC dismissed the petition saying, "Cases which involve the death of a person and the accused are guilty of abetment to suicide of the said victim will have to be considered owing to the facts of each case. There cannot be any particular parameter, yardstick, or theorem for interference, particularly in cases of abetment to suicide." By Express News Service THIRUVANANTHAPURAM: The prosecution has urged the Additional district and sessions court to pronounce capital punishment on the two accused found guilty in the sensational Radio Jockey Rajesh murder case. The verdict will be pronounced on Friday. The court had earlier found Muhammed Salih and Appunni, the first and second accused respectively, guilty of murdering Rajesh at his studio in Madavoor on March 18, 2018. Prosecutor Geena Kumari argued that the case fell in the category of rarest of the rare. The murder of a man, who was a total stranger to the accused, cannot be justified by any means. She said the two had criminal antecedents and hence capital punishment should be given to them. The defence counsel argued that the accused have been in jail for the last six years and added that their young age should be taken into consideration and they should be given a chance to repent for their crime. The court meanwhile observed that the prosecution henceforth should produce a report regarding the criminal antecedents of the accused and their financial condition. This will help while preparing the judgment as the court can avoid creating a scenario where a fine is imposed on the accused, which is beyond their means. The murder was committed by a quotation gang led by Appunni, who was engaged by Salih. Salih was an employee of Abdul Sathar, who is the prime accused and is still at large. Rajesh, while working in Qatar, had an extramarital affair with Sathar's wife. The relationship between Sathar and his wife deteriorated due to this affair. The purported relation had allegedly led to the contract killing. For that, Sathar employed his trusted man Salih to prepare a plan and execute it. The court had acquitted nine other accused for want of evidence. THIRUVANANTHAPURAM: The prosecution has urged the Additional district and sessions court to pronounce capital punishment on the two accused found guilty in the sensational Radio Jockey Rajesh murder case. The verdict will be pronounced on Friday. The court had earlier found Muhammed Salih and Appunni, the first and second accused respectively, guilty of murdering Rajesh at his studio in Madavoor on March 18, 2018. Prosecutor Geena Kumari argued that the case fell in the category of rarest of the rare. The murder of a man, who was a total stranger to the accused, cannot be justified by any means. She said the two had criminal antecedents and hence capital punishment should be given to them. The defence counsel argued that the accused have been in jail for the last six years and added that their young age should be taken into consideration and they should be given a chance to repent for their crime. The court meanwhile observed that the prosecution henceforth should produce a report regarding the criminal antecedents of the accused and their financial condition. This will help while preparing the judgment as the court can avoid creating a scenario where a fine is imposed on the accused, which is beyond their means.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); The murder was committed by a quotation gang led by Appunni, who was engaged by Salih. Salih was an employee of Abdul Sathar, who is the prime accused and is still at large. Rajesh, while working in Qatar, had an extramarital affair with Sathar's wife. The relationship between Sathar and his wife deteriorated due to this affair. The purported relation had allegedly led to the contract killing. For that, Sathar employed his trusted man Salih to prepare a plan and execute it. The court had acquitted nine other accused for want of evidence. By Express News Service HYDERABAD: TPCC president A Revanth Reddy on Tuesday said that the Congress after coming to power would strive to ensure sub-categorisation of the SCs and STs for implementation of reservations. He was responding to the questions raised by Madiga Reservation Porata Samithi (MRPS) leader Manda Krishna Madiga. During an informal chat with the media after the flag-hoisting ceremony at the Gandhi Bhavan, he said: There is no need for you to suspect our commitment. We will re-distribute reservations among the SCs and STs. We will implement SC sub-categorisation in the best interest of all SCs. In a snide remark at Krishna Madiga, Revanth Reddy asked him why he was not asking BJP State President G Kishan Reddy and why he was not making any efforts for the introduction of the necessary Bill for the categorisation of SCs in Parliament. During the first term of the BJP government, the then Union Minister M Venkaiah Naidu promised categorisation. What happened to that promise? Revanth asked Krishna Madiga. Revanth also promised the allotment of tickets to the SC and ST leaders in unreserved seats in the ensuing Assembly elections. Revanth said that the proposed public meeting, in which the party was to announce its SC Declaration, at Chevella has been postponed. It was initially scheduled for August 18 and it will now be organised on August 24. The Congress is currently formulating its promises to SC and ST communities and the issue of sub-categorisation is likely to be added to the list of promises. Meanwhile, Revanth revealed that BJP leader Vinay Reddy from the Armoor Assembly constituency will soon be joining the grand old party. Earlier in the day, in his I-Day speech, Revanth expressed doubt whether Chief Minister K Chandrasekhar Rao will waive the interest that has accumulated on the crop loans the farmers had availed. HYDERABAD: TPCC president A Revanth Reddy on Tuesday said that the Congress after coming to power would strive to ensure sub-categorisation of the SCs and STs for implementation of reservations. He was responding to the questions raised by Madiga Reservation Porata Samithi (MRPS) leader Manda Krishna Madiga. During an informal chat with the media after the flag-hoisting ceremony at the Gandhi Bhavan, he said: There is no need for you to suspect our commitment. We will re-distribute reservations among the SCs and STs. We will implement SC sub-categorisation in the best interest of all SCs. In a snide remark at Krishna Madiga, Revanth Reddy asked him why he was not asking BJP State President G Kishan Reddy and why he was not making any efforts for the introduction of the necessary Bill for the categorisation of SCs in Parliament.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); During the first term of the BJP government, the then Union Minister M Venkaiah Naidu promised categorisation. What happened to that promise? Revanth asked Krishna Madiga. Revanth also promised the allotment of tickets to the SC and ST leaders in unreserved seats in the ensuing Assembly elections. Revanth said that the proposed public meeting, in which the party was to announce its SC Declaration, at Chevella has been postponed. It was initially scheduled for August 18 and it will now be organised on August 24. The Congress is currently formulating its promises to SC and ST communities and the issue of sub-categorisation is likely to be added to the list of promises. Meanwhile, Revanth revealed that BJP leader Vinay Reddy from the Armoor Assembly constituency will soon be joining the grand old party. Earlier in the day, in his I-Day speech, Revanth expressed doubt whether Chief Minister K Chandrasekhar Rao will waive the interest that has accumulated on the crop loans the farmers had availed. Yeshi Seli By Express News Service NEW DELHI: Greetings poured in from around the world for India, which is celebrating its 77th Independence Day. Russian President Vladimir Putin, as part of his congratulatory message, said that India "enjoys well-earned respect" in the world arena and plays an "important and constructive role in international affairs. India has achieved universally acknowledged success in economic, scientific, technical and social fields. India enjoys well-earned respect on the world arena and plays a constructive role in international affairs. We attach great importance to our special and privileged strategic partnership. I am confident that with joint efforts, we will further enhance fruitful bilateral cooperation in all areas and constructive partnership in solving topical issues of regional and global agenda, said Putin. French President Emmanuel Macron, in his message, said that India and France were trusted partners. Congratulations Indian people on Independence Day. A month ago, in Paris, my friend Modi and I set new Indo-French ambitions all the way to 2047 the centenary year of Indias Independence. India can count on France as a trusted partner always, said Macron. Canadian Prime Minister Justin Trudeau sent a long message congratulating India and also seeking to collaborate. As the worlds largest democracy, India is and will continue to be a key partner for Canada in the promotion of our shared values of democracy, pluralism and progress. We are committed to building on this rich history of collaboration, including under Indias presidency of the G20 this year, he said. As Canada continues to strengthen its presence in the region under its Indo-Pacific strategy, we will continue to work together to preserve the rule-based international order, promote multilateralism, and grow our economic ties to benefit people in both our countries as well as the region at large, Trudeau added. The Prime Minister and Crown Prince of Saudi Arabia too sent a congratulatory message to President Droupadi Murmu. We wish the Indian President good health and happiness, and the government and people steady peace and prosperity, said Crown Prince Mohammed bin Salman bin Abdulaziz Al Saud. Meanwhile, Israels President Benjamin Netanyahu congratulated Modi and the people of India. May our nations continue to grow closer and prosper together, he said. Interestingly, the Chinese Embassy in Delhi too sent a congratulatory message. China and India helped each other in the struggle for national liberation and independence. May the two largest developing nations join hands to promote common development and prosperity in the days ahead, the message read. British Foreign Secretary James Cleverly said India and the UK were united by its people. Nepal, Sri Lanka, Bhutan, Maldives and South Korea were amongst many other nations that sent wishes on Indias Independence Day. NEW DELHI: Greetings poured in from around the world for India, which is celebrating its 77th Independence Day. Russian President Vladimir Putin, as part of his congratulatory message, said that India "enjoys well-earned respect" in the world arena and plays an "important and constructive role in international affairs. India has achieved universally acknowledged success in economic, scientific, technical and social fields. India enjoys well-earned respect on the world arena and plays a constructive role in international affairs. We attach great importance to our special and privileged strategic partnership. I am confident that with joint efforts, we will further enhance fruitful bilateral cooperation in all areas and constructive partnership in solving topical issues of regional and global agenda, said Putin. French President Emmanuel Macron, in his message, said that India and France were trusted partners. Congratulations Indian people on Independence Day. A month ago, in Paris, my friend Modi and I set new Indo-French ambitions all the way to 2047 the centenary year of Indias Independence. India can count on France as a trusted partner always, said Macron.googletag.cmd.push(function() {googletag.display('div-gpt-ad-8052921-2'); }); Canadian Prime Minister Justin Trudeau sent a long message congratulating India and also seeking to collaborate. As the worlds largest democracy, India is and will continue to be a key partner for Canada in the promotion of our shared values of democracy, pluralism and progress. We are committed to building on this rich history of collaboration, including under Indias presidency of the G20 this year, he said. As Canada continues to strengthen its presence in the region under its Indo-Pacific strategy, we will continue to work together to preserve the rule-based international order, promote multilateralism, and grow our economic ties to benefit people in both our countries as well as the region at large, Trudeau added. The Prime Minister and Crown Prince of Saudi Arabia too sent a congratulatory message to President Droupadi Murmu. We wish the Indian President good health and happiness, and the government and people steady peace and prosperity, said Crown Prince Mohammed bin Salman bin Abdulaziz Al Saud. Meanwhile, Israels President Benjamin Netanyahu congratulated Modi and the people of India. May our nations continue to grow closer and prosper together, he said. Interestingly, the Chinese Embassy in Delhi too sent a congratulatory message. China and India helped each other in the struggle for national liberation and independence. May the two largest developing nations join hands to promote common development and prosperity in the days ahead, the message read. British Foreign Secretary James Cleverly said India and the UK were united by its people. Nepal, Sri Lanka, Bhutan, Maldives and South Korea were amongst many other nations that sent wishes on Indias Independence Day. YEREVAN, AUGUST 14, ARMENPRESS/BTA.The Armenian Church of Surp Astvadzadzin, or Holy Mother of God, in Silistra celebrates 403 years since its construction, said the local Armenian Board of Trustees on Monday. It is considered the oldest Armenian church in Bulgaria. Repair works at the church some years ago led to the discovery of a stone slab with what is believed to be the year of the churchs construction: 1620. The church sits in a courtyard which also has a small house for a priest, a building that used to house the Armenian school and is now a community centre, and a memorial to the victims of the Armenian genocide. The church's dome is covered with frescoes which makes it unique as frescos are rare for Armenian churches. The walls are covered in religious oil paintings, many of them created by Silistra-based painter Bedig Bedrosyan. Since 1963, the church has been without its own priest and relies on visiting priests to officiate at services on major dates in the Armenian church calendar. This year, the Armenian priest of Ruse will lead a service on Sunday, August 20. Although there are only about 30 members of the Armenian community left in Silistra today, Armenians are among the oldest residents of this northeastern Bulgarian town. According to historical records, Silistra - then Dorostol - had an Armenian ruler in the 11th century: in 1016, its military governor, or strategos, was Dzhodzhik, who was of Armenian-Georgian origin. (This information is being published according to an agreement between Armenpress and BTA.) Our nation keeps moving forward with bright young minds: Kartik Aaryan hails youth power on Independence Day He said India has the potential to achieve all goals."Wishing a very Happy Independence Day to every proud Indian. I'm really proud to be a citizen of the youngest nation of the world. Our nation keeps moving forward with the bright young minds acing in every sphere and making the country proud - assuring us the future is brighter," Kartik said."The young minds of India have big dreams and a knack for hard work to make it come true... given the right opportunities and encouragement, we all can literally move mountains and remain unstoppable! We are the best," he added.Prime Minister Narendra Modi also lauded the contribution of youth during his 10th Independence Day speech."Whatever steps we take today will decide India's direction for the next 1000 years; I have full faith in India's youth power; India's small cities can be small in terms of size and population, but no less in terms of potential," PM Modi said.PM Modi addressed the nation earlier today from the ramparts of the iconic Red Fort.(ANI | Posted on 15 August 2023, 1692612986 172O70O251O199) Will realise dreams of great freedom fighters: Punjab CM Addressing a gathering after unfurling the national flag during the state-level function to mark Independence Day, the Chief Minister said Punjabis are born leaders and they can't lag behind in any field but the need of hour is to channelise their unbounded energy for which the government is making concerted efforts.He said the day is not far when due to these persistent efforts, the state will lead the country. "Once Punjab leads the nation, India will guide the world."Mann said every effort is being made by the government in this regard and no stone will be left unturned for this noble cause as a humble tribute to the national heroes.The Chief Minister said the role played by Punjabis in the national freedom struggle stands out as a story of courage and sacrifice with no parallel anywhere in the world.He said more than 80 per cent of great warriors and patriots who laid down their lives or were subjected to British tyranny in one form or another were Punjabis.Mann said the heroic gems like Baba Ram Singh, Shaheed Bhagat Singh, Rajguru, Sukhdev, Lala Lajpat Rai, Shaheed Udham Singh, Kartar Singh Sarabha, Diwan Singh Kalepani and several others shed every drop of their blood to achieve the ultimate goal of Independence.The Chief Minister said every village of Punjab has the footprint of one or another martyr who had sacrificed their lives for the country.He said that even today the youths of state are valiantly safeguarding the borders of the country whether from the side of Pakistan, Bangladesh or China.The Chief Minister exhorted the younger generations to acquaint themselves with their rich cultural heritage.He said though Punjab played a key role in the freedom struggle, it also had to bear the brunt of the Partition as one million people migrated from the country.Mann said the line drawn by Britishers gave deep wounds to the countrymen in general and Punjabis in particular.The Chief Minister said his government is taking path-breaking initiatives to realise the dreams of the great freedom fighters.He said 117 Schools of Eminence are being constructed across the state for imparting quality education to the students.Mann said equipped with ultra modern infrastructure these schools will provide the best quality education to the students with the aim of grooming the students for professional and competitive courses.Likewise, the Chief Minister said in order to provide best healthcare facilities to the people the government has opened 659 Aam Aadmi Clinics, including 76 such clinics that have been dedicated to people on this Independence Day.He said these clinics have revolutionised the healthcare sector as more than 95 per cent of patients visiting these clinics daily recover from their ailments.Mann said the Aam Aadmi Clinics are acting as a cornerstone in the revamping of the healthcare system in Punjab as ever since the starting of the clinics from August 15, 2022, these clinics have witnessed a footfall of more than 43.74 lakh patients, who have been provided the facility of free medicines, diagnose and clinical tests.Earlier, the Chief Minister took the salute during an impressive march past by the contingents of ITBP, Punjab Police (men and women), Himachal Police, Punjab Home Guards, NCC, Saint John Ambulance Brigade of Red Cross, Scouts and Guides and PAP Pipe and Brass Band led by the parade Commander Jasroop Kaur Bath.He also honoured three freedom fighters -- Mohkam Singh, Avtar Singh and Charan Singh along with members of Freedom Fighters Association Patiala.(IANS | Posted on 15 August 2023, 1692612986 172O70O246O59) India's borders more secure than ever: PM Modi tells people from Red Fort He reiterated the government's resolve to ensure national security amid the current global security scenario, asserting that a number of military reforms are being undertaken to modernise the armed forces and make them "young and battle ready" to deal with all future challenges.The Prime Minister also emphasised that the people of the country feel safe today as there has been a drastic decline in the number of terrorist attacks.When the country is peaceful and secure, new goals of development are achieved, he said.The Prime Minister also referred to the One Rank One Pension scheme, a long-pending demand of defence pensioners, which was implemented by the government as soon as it came to power."OROP was a matter of respect for the soldiers of our country. We implemented it when we came to power. Rs 70,000 crore have reached the ex-servicemen and their families today," he added.PM Modi, from the Red Fort today, extended the Independence Day wishes to the Armed Forces personnel at the borders, who ensure that the country is secured and its interests are safeguarded.PM Modi today led the celebrations on Tuesday from the ramparts of the iconic Red Fort.Around 1,800 people from different walks of life and from all across the country were invited as special guests to the main celebratory event in the national capital.India completed 75 years of independence last year and entered the 'Amrit Kaal', a period of 25 years for making the country a developed nation by 2047.PM Modi's today's address from the ramparts was his 10th Independence Day speech. The speeches over the years have reflected the goals and progress made by the country in various spheres.(ANI | Posted on 15 August 2023, 1692612986 162O158O111O105) Scientists spot genes linked to high production of key antibody A collaboration led by University of California-Los Angeles and the Seattle Children's Research Institute yielded new knowledge about the genes responsible for the production and release of immunoglobulin G, the most common type of antibody in the human body.Immunoglobulin G, or IgG, stores memories of past infections and tags dangerous microbes to be eliminated by immune cells. Mothers' IgG is also vital for their newborns' immune defense.Scientists have known for decades that a population of white blood cells, called plasma B cells, make IgG. But the molecular mechanisms that enable plasma cells to secrete antibodies into the bloodstream are still not fully understood.In order to learn more about those mechanisms, the researchers captured thousands of single plasma B cells as well as their individual secretions, and then connected the amount of proteins each individual cell released to an atlas mapping tens of thousands of genes expressed by that same cell.To collect the cells and their secretions, the researchers used microscopic, bowl-shaped hydrogel containers called nanovials, which were developed in prior UCLA research.Their analysis, detailed in the journal Nature Communications, found that genes involved with producing energy and eliminating abnormal proteins were even more important for high IgG secretion than the genes containing instructions for making the antibody itself.They also discovered that the presence of CD59, a gene that had not previously been linked to IgG secretion, is a better predictor of high-producing plasma cells than other genetic markers already associated with this cell type."These processes in cells are like an assembly line for making proteins, and there are lots of places where you could see bottlenecks," said Dino Di Carlo from UCLA's Samueli School of Engineering and a co-corresponding author of the study."If a cell is making a lot of proteins, it's using a lot of energy and needs a way to correct the proteins that get messed up."Knowing which genes are associated with higher secretion of an antibody could be used by pharmaceutical makers to engineer cells that secrete large volumes of the antibody.That knowledge could also be applied to an emerging strategy that introduces engineered cells directly to patients' bodies, such as the potential cell therapies under development by University of Washington immunologist Richard James, a co-corresponding author of the paper.In future studies, the researchers hope to identify all of the genes that affect plasma cells' production and secretion of IgG.(IANS | Posted on 16 August 2023, 1692612987 172O70O250O165) Aim is to create 2 cr 'lakhpati didis' among SHGs: PM Modi at Red Fort The PM observed that 10 crore women are today associated with Women's Self-Help Groups."In villages today, one can find a Didi in the Bank, in the Anganwaadi and a Didi to provide medicines."Also, PM Modi spoke about agri-tech and made a pitch for leveraging the potential of science and technology in rural development.The PM said that 15,000 Women's Self-Help Groups would be given loan and training for operating and repairing drones. "Drone ki Udaan" will be carried out by these Women's Self-Help Groups, said PM Modi.Among others, PM Modi announced the launch of the 'Vishwakarma Yojana', in the coming days.The scheme is planned to benefit individuals skilled in traditional craftsmanship."In the days to come, we will launch a scheme on the occasion of Vishwakarma Jayanti, benefiting individuals skilled in traditional craftsmanship, particularly from the OBC community," he said."Weavers, goldsmiths, blacksmiths, laundry workers, barbers, and such families will be empowered through the 'Vishwakarma Yojana', which will begin with an allocation of around 13-15 thousand crore rupees," he added.Further, he announced that the government has plans to increase the number of 'Jan Aushadhi Kendras' from 10,000 to 25,000.He said Jan Aushadhi Kendras have given new power to the people, especially the middle class."Jan Aushadhi Kendras have provided new strength to senior citizens and middle-class families in our nation. In a joint family, if someone has diabetes, it's quite natural to get a medical bill of Rs 2000-3000. We provide medicines which cost Rs 100 in the market for just Rs.10, Rs.15, Rs.20 through Jan Aushadhi Kendras," he said from Red Fort.PM Modi today led the celebrations on Tuesday from the ramparts of the iconic Red Fort.Around 1,800 people from different walks of life and from all across the country were invited as special guests to the main celebratory event in the national capital.India completed 75 years of independence last year and entered the 'Amrit Kaal', a period of 25 years for making the country a developed nation by 2047.PM Modi's today's address from the ramparts was his 10th Independence Day speech. The speeches over the years have reflected the goals and progress made by the country in various spheres.(ANI | Posted on 16 August 2023, 1692612987 162O158O110O172) Scientists identify microbes required to produce traditional mozzarella "This study sheds light on the intricate interactions of microorganisms throughout the manufacturing process and fosters a deeper understanding of the craftsmanship behind this esteemed Italian cheese," said Dr Alessia Levante of the University of Parma, lead author of the study in Frontiers in Microbiology.Buffalo mozzarella must be made according to a specific recipe in order to qualify for protected designation of origin status. Water buffalo milk, either raw or pasteurised, is heated and inoculated with a rennet and natural whey starter. This starter quickly acidifies the curd, bringing it to the proper pH and making it stretchy enough to be moulded.The formed curds are ripened for about four hours until they reach the proper pH, at which point they become elastic and can be stretched and moulded under boiling water. These curds are then brined and hardened under running water.Minor differences in this procedure distinguish the products of different dairies.To investigate the role of bacteria and whether it differs between traditional and modern dairies, Levante and her colleagues chose two dairies in Campania that produce mozzarella that qualifies for PDO status one larger and using more modern technology, and one smaller and using more traditional processes.They sampled the milk, natural whey starter, cheese curd before stretching, brine, and mozzarella from the dairies."While both dairies produced PDO mozzarella di bufala Campana, subtle variations, such as temperature and duration of processes, influenced the cheese's microbial composition and potentially impacted organoleptic properties," said Levante.19 samples were concentrated enough to be sequenced for the 16S rRNA amplicon gene. This method works by sequencing a sample's DNA and amplifying specific regions of a highly variable gene, then using this information to identify the microbe species present and the proportions in which they appear.The team discovered that while the more modern dairy's pasteurised milk added fewer microbes and species of microbes to the process than the more traditional dairy's thermalized milk, the brine samples were just as rich in species as the processed cheese samples. During the curd process, a few species emerge and take over.Microbes from the Lactobacillus and Streptococcus genera dominated both samples. The more traditional dairy's natural whey starter contained roughly equal amounts of both, whereas the more modern dairy used a natural whey starter dominated by Streptococcus.Certain species within each genus were unique to each dairy. Lactobacillus increases and Streptococcus decreases after curdling in both sets of samples, possibly due to the removal of thermal stress caused by the stretching process.The brine also provides a source of microbial diversity by inoculating the external layer of the cheese with new microbes as it comes into contact with it. However, not all of the microbes found in the brine are present in the cheese.This could be because they aren't adapted to living on cheese, or because they appear later in the cheese's shelf life after the samples were taken. Despite the large number of microbe species present in the milk and brine, it appears that the natural whey starter has the greatest influence on the microbial make-up of mozzarella."We are planning a larger project to investigate more deeply the role of raw buffalo's milk in defining the microbiota," said Levante."This study's scope was limited to two dairies and a specific sampling size. To provide more comprehensive insights into the microbial intricacies of traditional food production, future research aims to encompass a larger number of producers and manufacturing days."(ANI | Posted on 16 August 2023, 1692612988 162O158O87O58) Chinese Communist Party continues to be aggressive all-around: US Congressman Michael Waltz Waltz, the Republican co-chair of the House India Caucus delegation during his India visit, calls the ongoing Malabar Exercise 'incredibly important' and stresses that China should know that the group is standing together as democracies for a free and open Indo-Pacific."It's incredibly important. It's one thing to make the equipment together but it's even more important to operate it. The radios, technology, aircraft, ships, tanks and planes. All of those things, how you operate it. Understanding the interoperability, understanding planning, and tactics of the operation when both sides come together is about what these exercises are about", said Waltz while speaking to ANI."As China is increasingly aggressive unfortunately, it's really the Chinese Communist Party, not its people but the government that is continuing to be aggressive in the South China Sea, the Indian Ocean, its relationships with countries like Pakistan and India's border, we're seeing aggression all around. So, we need to work together in every aspect, I think to deter that type of aggression and have the Chinese Communist Party know that we are standing together as democracies for a free and open Indo-Pacific", he added.While speaking to ANI, he also addressed the Khalistan attacks on the Indian High Commission."I have condemned them with my colleague Ro Khanna. We have condemned those attacks. Any type of violence is unacceptable and is certainly unacceptable on American soil," Waltz said.Speaking further on the Defence ties, the Congressman hailed Prime Minister's Modi recent visit to the United States and called the defence deals 'strong'."There were some very strong deals-the GE engine deal, Predator or MQ-9 drones called Sea Guardian. I believe India is the only country outside NATO that we have sold those drones to. And other types of cooperation will help India to defend itself and to be a key partner in Indo-Pacific," said Waltz.Speaking about the High-Level engagements between the two countries, Waltz also revealed that US House Speaker Kevin McCarthy will be in India in October."PM Modi addressed the US Congress for the second time, I believe only the fifth leader in history to do that. Then you have President Biden coming here for G20 and also the Speaker of the House Kevin McCarthy will be coming in October. These are some high-level meaningful engagements. That speaks to how strong their relationship is becoming," said Waltz.Michael Waltz is on his India visit. On Prime Minister Modi's address on Independence Day, the Congressman said that it was his honour to be there at the Red Fort and to hear him laying out a vision for India to be a fully developed nation by 2047."It was an honour to be at Red Fort where former PM Pandit Nehru famously raised the Tri-Colour. On Indian Independence Day, to stand in front of the Red Fort and see PM Modi address the nation was an honour. It was incredible to hear him lay out a vision for India to be a fully developed nation by 2047," he added.Khanna and Michael Waltz, who are co-chairs of the House India caucus attended Prime Minister Narendra Modi's address from Red Fort on Independence Day on Tuesday.Khanna and Waltz co-chair the Congressional Caucus on India and Indian Americans. The caucus is a bipartisan coalition of lawmakers committed to strengthening the relationship between the United States and India.On their India visit, Congressmen Khanna and Waltz are joined by Reps Deborah Ross, Kat Cammack, Shri Thanedar, and Jasmine Crockett as well as fellow Congressional Caucus on India and Indian Americans members Reps. Rich McCormick and Ed Case .(ANI | Posted on 16 August 2023, 1692612988 172O69O150O94) CM Ashok Gehlot announces initiatives for Rajasthan's development on Independence Day The event, held in Jaipur, witnessed enthusiastic participation from citizens, officials and dignitaries, all gathered to witness the unveiling of these measures.Highlighting the importance of preserving Rajasthan's cultural heritage and bolstering the state's infrastructure, Gehlot first addressed the issue of the Ramgarh Dam in Jaipur.Cognizant of the vital role the dam plays in Jaipur's identity, he revealed plans to revive and replenish the Ramgarh Dam through the Eastern Rajasthan Canal Project .A substantial sum of Rs 1,250 crore will be invested to ensure the dam's restoration and to develop a comprehensive drinking water scheme for multiple districts, including Jaipur and Alwar.Building upon the commitment to agricultural development, CM announced a significant expansion of the Eastern Rajasthan Canal Project by incorporating 53 additional dams across various districts.This ambitious endeavor, involving an increase of Rs 1665 crore in the project's budget, is poised to benefit 11 lakh farmers residing in 13 assembly constituencies.Recognizing the challenges faced by vulnerable families, especially during the pandemic, Gehlot emphasized the importance of comprehensive social support.He highlighted the expansion of the Annapurna Ration Kit scheme to encompass not only National Food Security Act beneficiaries but also non-NFSA families who received financial assistance during the pandemic.This move underscores Rajasthan's dedication to addressing food security and ensuring the well-being of its citizens.A move towards recognizing and rewarding community service came with the announcement of an enhanced Chiranjeevi Jeevan Rakshak Yojana.This program, which already has commendably saved numerous lives by incentivizing timely assistance to road accident victims, will now provide increased honorarium of Rs 10,000.Additionally, a similar scheme is in the works to acknowledge individuals who contribute to maintaining law and order by assisting the police force.In a bid to promote gender equality and bridge the digital divide, CM Gehlot unveiled the Indira Gandhi Smartphone Yojana, which aims to provide smartphones to 40 lakh girls and women in the first phase.Notably, a promise was made to extend this initiative to a total of approximately 1 crore women, ensuring access to digital resources for empowerment.Acknowledging the valor and dedication of the Rajasthan Police Force on the occasion of the state's 75th year of independence, Chief Minister Gehlot introduced the "Rajasthan Police Panchsati Medal."This honor will be bestowed upon all police personnel, from constables to the Director General of Police, to commemorate the 75 years of Rajasthan Police's formation.Addressing long-standing concerns within the police department, Chief Minister Gehlot announced a fundamental shift in the promotion system.Replacing the existing examination-based promotion process, he unveiled plans to institute a time-bound Departmental Promotion Committee approach for elevating constables to police inspectors, streamlining the progression within the police force.(ANI | Posted on 16 August 2023, 1692612988 172O70O250O146) PM Modi, Vice President Dhankar attend President Murmu's At-Home reception on I-Day Prime Minister Narendra Modi, Vice President Jagdeep Dhankar, his spouse Sudesh Dhankar, former President Ramnath Kovind and his spouse Savita Kovind attended the reception along with many other dignitaries.Earlier today, President Murmu laid a wreath and paid tributes at the National War Memorial in the national capital on the occasion of 77th Independence Day.In her address to the nation on the eve of the 77th Independence Day, President Murmu on Monday said that "each one of us is an equal citizen, and each one of us has an equal opportunity, equal rights and equal duties in this land."The President asserted that there is one identity above all-- that is everyone is a citizen of India.In her address to the nation, President Murmu said, "My heartiest greetings to all of you on our 77th Independence Day! It is a glorious and auspicious occasion for all of us. I am overjoyed to see that festivity is in the air. It is a matter of delight as well as pride for us to see how everyone - children, youth and the elderly, in cities and villages, everywhere in India - is excited and preparing to celebrate this festival of our freedom. The people have been celebrating 'Azadi Ka Amrit Mahotsav' with great enthusiasm."She said that the Independence Day celebrations remind her of her childhood days."We could not contain our excitement about participating in the Independence Day celebrations in our village school. When the tricolour was hoisted, we felt an electrifying energy pass through us. With our hearts full of patriotic pride, we saluted the national flag and sang the national anthem. Sweets were distributed and patriotic songs were sung, which kept playing in our minds for many days," President Murmu said.She added that she was fortunate in having an opportunity to relive these experiences when she became a school teacher.(ANI | Posted on 16 August 2023, 1692612989 162O158O111O85) YEREVAN, AUGUST 8, ARMENPRESS/BTA. A foreign mission is expected to arrive in Bulgaria in September to check the country's readiness to join the Schengen area, reads the transcript of the governments regular meeting held on August 9. The information was published in the legal information system of the Council of Ministers. During a discussion of an agenda item on the results of Bulgaria's participation in the informal meeting of EU Justice and Home Affairs Council, held in Spain on July 20, Bulgarian Interior Minister Kalin Stoyanov reported on bilateral meetings with European Commissioner for Home Affairs Ylva Johansson and Dutch Minister for Migration Eric van der Burg. The talks focused on Bulgaria's road to Schengen. During the meetings, the Bulgarian side informed about its actions taken in terms of the implementation of the recommendations made by the European Commission in the Rule of Law Report, as well as the commitments made within the National Recovery and Resilience Plan. Minister Stoyanov also informed that Commissioner Johansson has confirmed the Commission's support and has expressed her readiness to provide assistance in the talks with the Spanish Presidency of the Council of the EU, as well as with the two countries that expressed their opinion against Bulgaria's accession - the Netherlands and Austria. Stoyanov quoted Van der Burg who has pointed out that there are expectations that the Dutch government will work on issues on the European agenda, including the issue of Schengen. "If sufficiently strong arguments are presented to Parliament, for which he [Van der Burg] personally expressed his readiness, that if he receives these arguments from us, he will personally submit them to Parliament, and there is a chance for reconsideration of the negative position on Bulgaria's Schengen accession," Stoyanov also said in his statement, the transcript further reads. "In this regard, he [Van der Burg] expressed his willingness for a [foreign] mission. We also offered him this thing because last year, in October, when there was a delegation here, on the territory of Bulgaria, then the Netherlands did not send a representative, which was an indication that the Schengen accession would not happen. This time, at their request and at our insistence, they are prepared to make such a visit in September, which, of course, must be coordinated by the European Commission", Stoyanov added. The Interior Minister said that the Bulgarian side is doing everything possible to receive the foreign mission by September 10 or September 15, at the latest. At the governments meeting, Prime Minister Nikolay Denkov has recommended to all responsible state institutions to prepare as well as possible for the forthcoming visit, noting that experts from the Netherlands and Austria, organized by the European Commission, could take part in it, the transcript also reads. (This information is being published according to an agreement between Armenpress and BTA.) Social justice has become a reality in Andhra Pradesh: Jagan In his Independence Day address at the IGM Stadium here on Tuesday, the Chief Minister listed out welfare and development achievements of the government.After hoisting the national flag and taking salute, he said that thegovernment has been striving to usher in 'Grama Swaraj' visualised by Mahatma Gandhi by changing the face of agriculture, industry and services.Andhra Pradesh is the only state that has brought in major changes in the rural administrative system through 15,000 village and ward secretariats, digital libraries, RBKs and the volunteer system to extend quick civic services to the people in full transparency, he said.The changes have helped reverse the decades-old corrupt administrative system.The government had transferred Rs 2,31,000 crore through DBT in the last 50 months in full transparency, he said.Jagan Mohan Reddy said the government has also displayed its commitment to decentralisation of administration by increasing the number of districts to 26 from 13 to fulfill the wishes of three regions of the state.Lashing out at the opposition parties, the Chief Minister said that the government has been waging a war on untouchability which has assumed new garb."Opposition to introduction of English medium in schools, allotment of house sites, and construction of houses for the poor is also untouchability. The war will continue till justice is done," he saidImplementation of a slew of welfare schemes as part of Navaratnalu has proved that social justice could be a reality. The Chief Minister listed out the changes brought in Andhra Pradesh in six sectors after YSRCP came to power."Agriculture has been thriving with the introduction of 10,778 RBKs which have been handholding the farmers from purchasing seeds to marketing their agricultural produce. Facilities of free crop insurance, e-crop, 9-hour quality power to farmers during day time, resurvey of lands after 100 years, bestowing ownership of assigned lands and resolution of dotted lands' issues besides completing irrigation projects on priority basis had redefined agriculture in the state."Educational sector is being revolutionized with implementation of Amma Vodi, Nadu-Nedu, Vidya deevena, Vasathi Deevena and Videshi Vidya Deevena, distribution of bi-lingual textbooks, TOEFL training for students of class 3, digitization of class rooms from class 6 and plans to introduce IB-standard curriculum and AI in higher education. These changes will help our students become globally competitive," he added.The Chief Minister stated that Medical and health sector is upbeat with 17 new medical colleges coming up, recruitment of 53,126 new medical staff including all specialist doctor posts, availability of 104 and 108 ambulances in all Mandals, strengthening of preventive health care with 10,032 village health clinics serving the rural people and 3,255 procedures being brought under the YSR Aarogyasri.Multiple programmes like Kalyana Mastu-Shadi Tohfa, YSR Aasara, Kapu Nestham, EBC Nestham, distribution of 30 lakh house site pattas with 22 lakh houses under construction, Disha App and Disha police stations besides women police manning the village and ward secretariats have resulted in social and economic empowerment of women, he averred.The Chief Minister said that AP is the only state which has enacted law to reserve 50 per cent of the nominated posts and contracts to SCs, STs, BCs and minorities for rendering social justice to the weaker sections.On the industrial front also, the State is marching ahead by standing first in the country in the ease of doing business (EoDB), attracting Rs 13,42,000 crore investments in the global investors meet at Visakhapatnam, he said, adding that 127 heavy industries were established in Andhra Pradersh in the last four years with Rs 67,196 crore investment providing employment to 84,607 people.The Chief Minister gave away police medals to several IPS and other police officers for their meritorious services.ms/pgh(IANS | Posted on 16 August 2023, 1692612989 162O158O94O7) SRK, Salman share pics with Tricolour on social media The actor later took to his Instagram, and dropped a couple of posts. He wrote in the caption: "Now the little one has made it a tradition. Hoisting of our beloved Tricolour and wishing everyone Happy Independence Day. Love to all and may our country, India prosper and all of us with it."Earlier on Tuesday, SRK also changed his X (formerly known as Twitter), display picture to tricolour as part of the 'Har Ghar Tiranga campaign'.The campaign launched by Prime Minister Narendra Modi ahead of the Independence Day encouraged citizens to display the Indian flag on their social media profiles.While SRK hoisted the national flag at his residence, his 'Pathaan' co-star, Bollywood bigwig Salman Khan also extended his wishes to citizens on his Instagram. Salman shared a throwback image of himself from an event holding the Tricolour.He wrote in the caption: "Wishing all a very happy Independence Day."(IANS | Posted on 16 August 2023, 1692612989 172O70O242O11) Elaichi banana price surges in B'luru, middle class affected Elakki banana prices in Channapatna wholesale market near Bengaluru per quintal are sold from Rs 4,300 to Rs 7,000. Pachcha bale (robusta banana) is sold between Rs 700 and Rs 2,100 per quintal. The first quality Elaishi popularly known as Yelakki banana is sold for Rs 112 to Rs 120 per kilogram in malls. Banana robusta is sold from Rs 38 to Rs 50 per kilogram.Recently, the prices which would be around Rs 30 to Rs 40 were between Rs 60 to Rs 80 per kg for Elakki banana from mid July. Now the prices have become much dearer and the market sources explain that the prices won't come down till September end.The most auspicious actual Shravana Masa or Nija Shravana Masa, celebrated with all the festivals and pujas begins from Aug 17 and ends by Sept 15. The festivals Nagara Panchami, Vara Mahalaxmi are lined up and Ganesh chaturthi is celebrated in September. Besides, Onam is celebrated between Aug 28 to Aug 31.The market sources confirmed that the prices will go upwards until the Ganesh chaturthi festival, which is going to be celebrated in the whole of the September month. Elakki bananas are preferred over robusta for preparing sweet dishes and for making offerings to god. The prices of Elaichi bananas having crossed Rs 100 per kilogram has been a concern.(IANS | Posted on 16 August 2023, 1692612990 172O70O247O172) Rishi Sunak visits Morari Bapu's Ram Katha at Cambridge University This marks a significant moment as Morari Bapu conducts his 921st recital, titled 'Manas Vishwavidyalay,' on the grounds of Cambridge University, making it the pioneering instance of a Hindu programme held at a British university.A practitioner of Hinduism and the first Prime Minister of Indian descent in Britain, Rishi Sunak made a floral offering to Morari Bapu's Vyas Peeth, making a salutation of "Jai Siya Ram".Opening his speech, Prime Minister Rishi Sunak said: "It is truly an honour and pleasure to be here today at Morari Bapu's Ram Katha at the University of Cambridge on Indian Independence Day. Bapu, I am here today not as a prime minister but as a Hindu! For me faith is very personal."It guides me in every aspect of my life. Being Prime Minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country."For me, it was a wonderful and special moment to light diyas for Diwali outside 11 Downing Street back when I was Chancellor. And just like Bapu has a Golden Hanuman in his background, I am proud that a Golden Ganesha sits gleefully on my desk at 10 Downing Street," he said, commenting on Lord Hanuman's image behind Vyaspeetha, adding: "It is a constant reminder to me about listening and reflecting on issues before acting!"Rishi Sunak said that he was proud to be British and proud to be Hindu.He recalled his childhood and growing up years in South Hampton where he visited his neighbourhood temple with his siblings and participated in rituals like havans, pujas, aartis, and distribution of prasad with his family."Our values and what I see Bapu does each day of his life are the values of selfless service, devotion and keeping faith. But perhaps the greatest value is duty or seva, as we know it. These Hindu values are very much shared British values."I leave here today remembering the Ramayana that Bapu speaks on, but also the Bhagavad Gita and the Hanuman Chalisa. And for me, Lord Ram will always be an inspirational figure to face life's challenges with courage, to govern with humility and to work selflessly."Bapu, with your blessings, I aspire to lead in accordance with how our scriptures have taught leaders to lead," he said emphatically."Thank you Bapu for everything that you do. Your teaching of truth, love and compassion are more relevant now than they have ever been," Rishi Sunak stated.PM Rishi Sunak concluded by lauding Bapu's inspiring work and limitless stamina and devotion, citing the recently concluded Jyotirlinga Ram Katha Yatra that covered over 12,000 km.Later, the PM participated in the Aarti on stage.In extending a warm welcome to the British Prime Minister, Morari Bapu invoked the blessings of Lord Hanuman, seeking boundless strength to facilitate his devoted service to the people of Britain.Bapu expressed his earnest desire that every citizen of the nation may reap the benefits and rewards stemming from the Prime Minister's visionary leadership.In his opening remarks of the Katha, Morari Bapu had hailed Prime Minister Rishi Sunak not merely as the head of the nation, but as an individual of Indian descent.Bapu also shared that Rishi Sunak's name is derived from the revered sage Rishi Shaunak, and this connection to an esteemed sage resonates deeply with Indians who find great joy in witnessing a leader with such roots occupy the prime ministerial role.The spiritual luminary also commended Rishi Sunak's gesture of offering food as prasad to 50-100 volunteers before attending the event, highlighting its alignment with intrinsic Indian traditions.Bapu acknowledged that while Prime Minister Rishi Sunak typically refrains from accepting gifts, he chose to present a consecrated Shivlinga from Somnath as a token, a sacred offering from the Jyotirlinga Ram Katha Yatra.In the morning before the Katha, Morari Bapu had unfurled the Indian Tricolour at Cambridge University, symbolising 76 years of Indian Independence as part of the Azadi Ka Amrit Mahotsav celebrations.Morari Bapu's enlightening Katha commenced on August 12 with a warm reception by Sonita Alleyne OBE, the 41st Master and the first woman to lead Jesus College since its inception in 1496, along with Lord Dolar Popat, a distinguished Conservative peer at the House of Lords, representing the organising family.(IANS | Posted on 16 August 2023, 1692612990 172O70O250O165) Director Rohit Shetty celebrates 77th Independence Day with Mumbai Police Taking to Instagram, Rohit shared a video which he captioned, "HUMARA HINDUSTAN ZINDABAD THA... ZINDABAD HAI AUR ZINDABAD RAHEGA!!! Happy Independence Day to all! Honoured @mumbaipolice."https//www.instagram.com/p/Cv9XwAHqv9P/In the video, he hoisted the National flag with the Mumbai police team. Then he posed with the police force and his fans.He also received a painting from the police team.He wore a mustard colour shirt with brown trousers for the day.Rohit also changed the display picture to a tricolour as part of the 'Har Ghar Tiranga campaign'.The campaign launched by Prime Minister Narendra Modi ahead of India's 77th Independence Day encourages citizens to display the Indian flag on their social media profiles.India today celebrated the completion of 76 years of independence.This year's Independence Day will culminate the 'Azadi Ka Amrit Mahotsav' celebrations, which were launched by PM Modi from Sabarmati Ashram in Ahmedabad, Gujarat on March 12, 2021, and will, once again, usher the country into 'Amrit Kaal' with renewed vigour to realise PM Modi's dream of making India a developed country by 2047.Meanwhile, Rohit will soon be making his OTT debut with the upcoming series 'Indian Police Force' which is all set to stream on Amazon Prime Video.The show stars Sidharth Malhotra in the lead role.(ANI | Posted on 16 August 2023, 1692612990 172O71O246O32) PM lauds healthcare heroes, Jan Aushadhi Kendras on 77th Independence Day The PM's address emphasized the crucial role of a human-centric approach in achieving global development, a lesson underscored by the challenges posed by the COVID-19 pandemic.50 nurses from various corners of the country were extended a special invitation to join as honoured guests at the Red Fort.They were among the 1800 special guests representing diverse fields such as Sarpanches, teachers, farmers and fishermen.Prime Minister Modi's gesture highlighted the significance of their contributions and resilience, especially in the face of the ongoing pandemic.Acknowledging the role of healthcare workers in India's fight against COVID-19, Prime Minister Modi praised their dedication and tireless efforts, which were instrumental in achieving the milestone of administering over 200 crore COVID vaccinations, read the PMO press release.He noted that India's assistance to the world during and after the pandemic had firmly established the nation as a reliable friend on the global stage.Addressing the nation, PM Modi spotlighted the government's commitment to enhancing Universal Health Coverage through initiatives like Ayushman Bharat, which offers Rs 5 lakh annual health coverage to below-poverty-line families.He commended the significant investment of Rs 70,000 crores in Ayushman Bharat, emphasizing its role in advancing the nation's healthcare accessibility.The Prime Minister also praised the Jan Aushadhi Kendras for their contribution to the middle class, citing savings of Rs 20,000 crores.These Kendras, which provide affordable generic medicines, have been instrumental in empowering citizens and contributing to their well-being. Looking to the future, Prime Minister Modi revealed ambitious plans to expand the network of Jan Aushadhi Kendras, with a target of reaching 25,000 Kendras from the current 10,000, read the release.Underlying his vision of "One Earth, One Health, and One Future," Prime Minister Modi's address celebrated the spirit of collective effort, resilience, and progress.(ANI | Posted on 16 August 2023, 1692612991 172O70O246O94) Prime Minister calls for vigilance against corruption, nepotism and appeasement on 77th Independence Day Addressing the masses, the PM underscored the imperative need to combat these challenges with unwavering determination."If the dreams are to be fulfilled, the resolutions are to be achieved, then it is the need of the hour to fight the three evils of corruption, nepotism and appeasement", said Prime Minister Modi.Highlighting corruption as the foremost evil, the Prime Minister emphasized its destructive influence on all sectors of the nation."This appeasement has also stained the basic thinking of the country, our harmonious national character. These people destroyed everything. And therefore, we must fight against these three evils with all our might. Corruption, nepotism and appeasement; these challenges have flourished which suppressed the aspirations of the people of our country," said PM.With resolute conviction, he assured the people of his personal commitment to continuing the battle against corruption, pledging to create an environment that shuns corrupt practices.Prime Minister Modi did not shy away from addressing the detrimental impact of dynastic politics, characterizing it as a system that has eroded the nation's foundations."Freedom from corruption, fight against corruption in every area and in every sector is the need of the hour. And countrymen, my dear family members, this is Modi's commitment; it is my personal commitment that I will continue to fight against corruption", said PM.He called for the eradication of dynastic influence in politics, advocating for a democracy free from such constraints.Turning his attention to the third evil, the Prime Minister decried its divisive nature that has corroded the fabric of the nation's coexistence.He attributed appeasement to derailing the nation's sense of social justice and degrading its fundamental values. Prime Minister Modi stressed the need to vanquish appeasement from the country's governance and policy-making, asserting that it stands as a formidable obstacle to development.The Prime Minister championed the use of technology as a weapon against corruption, sharing that 10 crore fake beneficiaries were weeded out from various schemes and the property worth 20 times that of financial absconders was confiscated.He championed a culture of aversion to corruption, underscoring its destructive impact on public life.As the nation aspires to become a developed India by 2047, Prime Minister Modi concluded by issuing a clarion call to arms."This thinking and politics of appeasement, method of government schemes for appeasement, has killed social justice. And that's why we find appeasement and corruption are the biggest enemies of development", said PM."If the country wants development and wants to fulfil the dream of developed India by 2047, then it is necessary for us that we do not tolerate corruption in the country under any circumstances, we should move forward with this mood", PM Modi said.(ANI | Posted on 16 August 2023, 1692612991 162O158O94O238) Ankita Lokhande pens emotional note in memory of her father Shashikant Lokhande The 'Pavitra Rishta' actor took to Instagram and shared a montage video of all the cherished moments that Ankita and her family spent with him.She wrote a long note which read, "Hello Daddy I can't describe you in words but I want to say that I have never seen such strong, energetic and adorable person in my life.. I got to know about u more when u left us .. everyone who came to see u they were just just just praising you like how u used to send good morning messages to them everyday, how u used to call people or video call them when u missed them .. u kept every relationship with everyone so alive .. and now I know why I'm also like this it's because of u my paa."She added, "You gave me the best life, best memories and a very strong understanding of relationships .. u taught me never to give up. U taught me to live a life king size and u always gave me my wings to fly paa and I promise to never let u go because you are part of my soul forever and ever and I'm grateful that u gave me that opportunity to be there for you and take care of u in every way.. me and mamma from last 3 day just thinking now what to do in once we get up because u kept us on toes dadda .. pappa ka khana pappa ke fruits pappa ka breakfast and etc etc etc but now we have nothing left because u left us .."Thanku for making us more and more stronger then before paa and yes u we're lucky to have a wife like my mom .. she gave u everything she had.. literally everything and I know u loved her so much paa and I promise u that we all will take care of her more than how we used to, I promise to give her all the happiness in this life .. I promise that I will pamper her more than before like u used to paa .. Thanku for everything every moment and Thanku for making me who I'm today .. I love u paaaaa forever and ever," she further added.She concluded, "Parents are very important because they are the only one who can never be replaced so everyone who has opportunities to take care of them pls don't u dare to miss that .. once they go they never come back .. so give them everything,happiness,time, care, love, compassion !! That's what they want ..My papa the strongest and the most handsome man ever in my life forever and ever...Your Angel mintu."https//www.instagram.com/p/Cv9KtunsPKD/?hl=enShashikant Lokhande passed away at the age of 68 on August 12. Several media reports suggested that he had been unwell for quite some time now. Ankita and Vicky are yet to comment on the same.He was cremated at the Oshiwara crematorium ground on Sunday. Ankita was very attached to her father.Several Bollywood and Television celebs on Monday attended the prayer meet of actor Ankita Lokhande's father Shashikant Lokhande in Mumbai.Actors Randeep Hooda, Mouni Roy, Mrunal Thakur, Nia Sharma, Rajiv Adatia, Karan Kundrra, Tejasswi Prakash, and Maniesh Paul marked their presence at the meet.Earlier on the occasion of Father's Day, Ankita penned down a long heartfelt note and wished Shashikant Lokhande a Happy Father's Day.She shared an adorable video with her father and wrote, "Happy Father's Day to my first hero my daddy. I can't express my true feelings what I feel for u but I love u bahut sara .. i hv seen u struggling for many things when I was a child but u made sure that your children doesn't .. u always gave me my wings to fly and made me do whatever I wanted to become .. I'm what I'm it's all your support and strength.. ""I remember when I started my journey in mumbai and sometimes when I had no rent to pay.. you made it happened no matter what was going on in your life because u believed in my dreams.. I am and I will be always grateful and blessed to have u paa .. I have seen u struggling with your health but ur strong will what I saw that time was something else and ur smiling face during that period kept all of us going. I'm very very proud to be your daughter.. love u paa forever and ever and ever. happy Father's Day paa," the caption further reads.(ANI | Posted on 16 August 2023, 1692612991 172O71O246O127) SRK to Salman: Check out how Bollywood celebs celebrated Independence Day Superstar Shah Rukh Khan hoisted the national flag at his residence in Mumbai on the occasion of the 77th Independence Day. Taking to Instagram, SRK shared a couple of posts which he captioned, "Now the little one has made it a tradition. Hoisting of our beloved Tricolour and wishing everyone Happy Independence Day. Love to all and may our country, India prosper and all of us with it."https//www.instagram.com/p/Cv9uGkbPiZn/?img_index=1Actor Anil Kapoor went to Rashtrapati Bhavan to meet the women and men who run the country.Anil took to Instagram to share pictures from his visit. Along with the pictures, he penned down a lengthy note, "Today in the Rashtrapati Bhavan, I had the incredible opportunity to meet the women and men who quite literally run our country."He added, "At the helm of our leadership is a lady so humble, warm and welcoming that you feel like you've known her forever. Guiding her are some of the smartest men and women who serve as ministers and supporting her behind the scenes is the most selfless group of people guarding her and serving their country in turn."He concluded, "It was both humbling and gratifying to know that our country is in such strong and capable hands and I'm so thankful for the opportunity to get to witness them in action. No better way to celebrate our #IndependenceDay! Vande Mataram!"https//www.instagram.com/p/Cv9-bnZs3pl/?img_index=1Actors Rashmika Mandanna and Vijay Deverkonda took to Instagram to share a couple of pictures with Vijay Deverkonda and director Parasuram as the trio also celebrated 5 years of 'Geetha Govindam'.She captioned the picture, "Happy Independence Day from us to you, and Happy 5 years of #GeethaGovindam my loves..thankyou for everything. Really! Always extremely grateful.."https//www.instagram.com/p/Cv9vlEXp8Dt/?img_index=1Celebrity couple Vicky Kaushal and Katrina Kaif extended wishes on the occasion of 77th Independence Day. Taking to Instagram, Katrina posted a picture and captioned it, "Happy Independence Day!"https//www.instagram.com/p/Cv9kfqlt5H-/Superstar Salman Khan, on the occasion of the 77th Independence Day, took to Instagram, and shared a picture which he captioned, "Wishing all a very happy Independence Day."https//www.instagram.com/p/Cv9enE-oOk4/Actor Sara Ali Khan paid tribute to the "unbreakable determination and vigour" of those who safeguard the country.Sharing the picture, she wrote, "Happy Independence Day. On this Independence Day, let's commemorate the unwavering contributions of our brave heroes and heroines who valiantly fought for our freedom. Let us salute the unbreakable determination and vigour of those who continue to safeguard our nation with unflinching strength. Jai Hind."https//www.instagram.com/p/Cv9MeTfo1aR/Actor Ayushmann Khurrana took to Twitter to share a video of himself and wrote,"India is one, philosophy is one, echo and voice are one, #HarGharTiranga let's hoist our unity like this! On this historic day, let's come together and proudly wave our tricolour.Upload your selfies with our beloved Tiranga on http//harghartiranga.com @AmritMahotsav"https//twitter.com/ayushmannk/status/1691155481753194497?s=46&t=G2PwNgGeRDEW1j21V17ASwActor Diana Penty took to Instagram to post a picture of herself with an Indian flag and wrote, "India in my heart. Jai Hind #HappyIndependenceDay"https//www.instagram.com/p/Cv9Jqf9K8sN/?igshid=NjIwNzIyMDk2Mg%3D%3DAparshakti Khurana took to Instagram to share an adorable picture with his daughter Arzoie on Independence Day.https//www.instagram.com/p/Cv9zSHoSa-n/Celebrity couple Kunal Kemmu and Soha Ali Khan took to Instagram and wrote, "Where the mind is without fear and the head is held high, into that heaven of freedom, my father, let my country awake... #happyindependenceday #jaihind #rabindranathtagore."https//www.instagram.com/p/Cv9qmZDN9W7/?img_index=1Actor RajKumar Rao took to Instagram to post a picture of herself with an Indian flag and captioned it,"Happy Independence Day. Bharat mata ko Shat Shat Naman #HarGharTiranga @amritmahotsav."https//www.instagram.com/p/Cv9NXlYJIcs/Actor Rakulpreet took to Instagram to post a picture with an Indian flag and wrote,"Sare jahan se acha hindustan humara !! Here is wishing all of you a very Happy Independence Day !! Let's value the freedom our lovely country gives us and live with harmony and peace."https//www.instagram.com/p/Cv9XAoCKjYP/This year's Independence Day will culminate the 'Azadi Ka Amrit Mahotsav' celebrations, which were launched by PM Modi from Sabarmati Ashram in Ahmedabad, Gujarat on March 12, 2021, and will, once again, usher the country into 'Amrit Kaal' with renewed vigour to realise PM Modi's dream of making India a developed country by 2047.(ANI | Posted on 16 August 2023, 1692612992 172O70O247O8) Bhutan: Harmonizing dreams with heritage At the forefront of this evolving narrative stands Lungchutse, a sacred hilltop that has seamlessly intertwined itself with Bhutanese ambitions. Amid the diverse crowd of residents and visitors, the hill's elevation of 3,569 meters above sea level belies its celestial importance. It houses a revered lhakhang, a sacred choeten, and a contemplative crematorium--three historical symbols, each with its own unique story to tell.Embarking on a pilgrimage to Lungchutse involves a two-hour climb from Dochula's Druk Wangyel Choeten. The journey unfolds through a lush heritage of rhododendrons, juniper trees, and a lively chorus of fungi and avian creatures. Throughout this path, vibrant prayer flags sway in harmony with the breeze, guiding travellers along a route where nature and spirituality meld in perfect companionship, The Bhutan Live reported.The zenith of this poetic journey is the revered temple, a testament to the artistry of Tertoen Drukdra Dorji. Constructed over the span of the seventeenth to eighteenth centuries, this sanctum enshrines the transcendent Guru Padmasambhava, or Guru Nga-drama, as its central luminary.Tertoen Drukdra Dorji's historical significance extends further--a venerated Buddhist sage whose prophetic wisdom foreshadowed the birth and reign of Bhutan's Fourth King, forever etching His name into the Bhutanese destiny, The Bhutan Live reported.Yet beneath the grandeur of the temple lies the wish-fulfilling choeten, a modest yet potent monument erected in 2003. Crafted under the watchful eye of Queen Mother Dorji Wangmo Wangchuck, it is said to safeguard relics from Punakha Dzong. To receive its blessings, the faithful circumambulate it nine times, with each step engraving their aspirations into the fabric of the universe.Thus, the collective faith in the choeten's benevolence attracts a constant stream of pilgrims and visitors, their hopes intertwined with its ancient stones.Adjacent to this canvas of faith stands a crematorium, a simple yet profound sanctuary of reverence and remembrance. It was here that Tertoen Drukdra Dorji bid his final farewell to his mother, weaving yet another thread of connection between Lungchutse's sacred sites, The Bhutan Live reported.However, as spiritual yearning and cultural reconnection flourish, so do challenges. The hill's increasing popularity raises concerns about water scarcity and waste management. Lam Ugyen Penjor's voice resonates, narrating the uphill struggle faced by water pumps on rough terrain. Rainwater collection offers a temporary solution, as the lhakhang endeavours to extend Bhutanese hospitality amidst arid conditions.In the ongoing tale of Lungchutse, community-led solutions are unfolding. Volunteer groups and scouts shoulder the responsibility of cleanliness. The path to Lungchutse finds a guardian in Wind Horse Tours, whose dedication goes beyond maintenance, fostering an environment conducive to contemplation, The Bhutan Live reported.The serene silence enveloping Lungchutse invites meditation, a sentiment mirrored in the tsham khang--a sanctuary for inner reflection that complements the external journey. Trumpets and drums punctuate auspicious days and Buddhist events, as the lhakhang resonates with the rhythm of devotion and ritual.Within Lungchutse's sacred precincts, Zhung Dratsang stands as its guardian. Six souls--lams and tshampas--reside within its walls, preserving not only the physical structure of the temple but also its spiritual resonance--an exquisite blend of Bhutan's heritage, modern dynamism, and limitless aspirations, The Bhutan Live reported.As you tread the path to Lungchutse, the stories of those who have ascended before you are carried by the winds. This is more than an ascent; it's a journey to the heart of Bhutan's spirit, a pilgrimage that converges the past, present, and future.(ANI | Posted on 16 August 2023, 1692612992 172O69O150O122) YEREVAN, AUGUST 16, ARMENPRESS. The United States has urged Azerbaijan to restore free transit through Lachin Corridor amid a deteriorating humanitarian situation in Nagorno-Karabakh. We remain deeply concerned about the continued closure of the Lachin corridor, specifically its closure to commercial, humanitarian, and private vehicles," United States Department of State Principal Deputy Spokesperson Vedant Patel said at a press briefing. The halting of this kind of humanitarian traffic, in our opinion, it worsens the humanitarian situation and it undermines the efforts that have been in place to build confidence in the peace process. And so we urge the Government of Azerbaijan to restore free transit of commercial, humanitarian, and private vehicles through this corridor. Were also aware that the UN Security Council has a meeting on Wednesday to discuss the issue of Nagorno-Karabakh, and we expect further discussions to take place there as well, he added. Asked on the U.S.s position on that very issue at the UN, Patel said, Im not going to get ahead of the meetingbut we have consistently emphasized and reiterated the fact that direct dialogue is essential to resolving this longstanding conflict, and we think that any engagements that ultimately bring peace and stability to the people of South Caucasus would be a good thing and a positive step forward. Patriotism remains a favourite emotion to portray on screen, says 'Commando' director Vipul Amrutlal Shah The festival brings a unique opportunity to revel in the spirit of patriotism. Filmmakers have brought impactful stories to the fore where the audience could feel the sense of patriotism and cheer along.Independence Day is a spirited celebration of freedom and liberation and on the occasion, filmmaker Vipul Amrutlal Shah opened up about how he brought in a sense of patriotism on screen with his action thriller series 'Commando.'Vipul said, "Patriotism resonates with everyone and remains a favourite emotion to portray on screen. The blend of emotions, fervour, and action takes on a different dimension when safeguarding our nation. Excited that Commando now streams on Disney+ Hotstar, where Prem brilliantly embodies patriotic qualities. Patriotism isn't merely a sentiment; it's a symphony of emotions unfolding on screen. From heart-pounding action to heartwarming moments, each stroke paints a vivid picture of our dedication to the country."'Commando' series sees Prem Parija sharing screen space with Adah Sharma, Vaibhav Tatwawadi, Shreya Singh Chaudhry, Amit Tigmanshu Dhulia, Sial, Mukesh Chhabra and Ishteyak Khan in pivotal roles."My love for my nation is profound, evident in portrayals spanning Namaste London's love story, Akshay Kumar's impactful speech, and films like Holiday, Force, and Commando. This feeling of patriotism is akin to cherishing family, or perhaps even more. Sharing this sentiment across the nation aids in building our collective identity. Cinematic depictions of heroism and patriotism create a connection and contribute subtly to the process of nation-building. As filmmakers, we render a valuable service through our films," he added.The series is currently streaming on the OTT platform Disney+ Hotstar.(ANI | Posted on 16 August 2023, 1692612992 162O158O87O112) Anees Bazmee all praise for 'The Ghost of Gandhi' director at teaser launch Bazmee along with Manish Kishore and the cast of 'The Ghost of Gandhi', including Sharib Hashmi, Sharman Joshi, Daisy Shah, Deepak Kalra and Sajid, graced the launch of the teaser in Mumbai.Talking about the series, Bazmee, who is known for hits like 'Pyaar To Hona Hi Tha', 'Singh Is Kinng', 'Welcome' and 'Bhool Bhulaiyaa 2', said, "From the time Manish Kishore narrated the script to me, I was sure that it is going to be something great."But if I tell you the truth, it exceeded my expectations. This web series is so good that sometimes I wonder why nothing like this came to my mind. So I want to congratulate Manish for this beautiful thought."Manishi Kishore is making his directorial debut with the series, and Bazmee is certain that it will be a huge success."All films are important, but the first one we make is super important, because your first project gives you an identification, and sort of sets the bar... I think Manish will find success with his first project, because he has absolute clarity of what he wants to convey," he said.Backed by Three Arrows Production and Seeta Films, the series will stream soon.(IANS | Posted on 16 August 2023, 1692612993 172O69O150O82) Shivraj govt comes up with 25-point resolution, makes lucrative promises The Chief Minister promised to ensure economic growth, connectivity improvement, employment in the public sector, urbanisation and a lot more by 2030.Chouhan led the BJP government has set over 25 points resolutions to achieve the targets to ensure and to fulfil the promises made to the people of the state till Independence Day in 2030.Addressing the people of the state at Lal Pared Ground in Bhopal, Chouhan said his government would make all possible efforts to expand the size of Madhya Pradesh's economy to Rs 45 lakh crore by 2030.Besides making more than 6,000 well-equipped "CM Rise" schools operational by 2030, efforts would be made to bring more than one crore people of Madhya Pradesh above the poverty line, he added.The Chief Minister asserted that there will be at least one government college in each development block so that students can get the facility of higher education near their homes.The list of Shivraj government's resolution for 2030 also includes providing employment opportunities for five lakh youths in the field of IT and 5-G network services in the state."We will double the per capita income of the state. It will be taken up to Rs 2.8 lakh from the current Rs 1.4 lakh. To increase the total agricultural production of the state to the level of 10 crore metric tonnes, full irrigation facilities will be made available in every corner of every farmer's field. Irrigation potential will be increased to 65 lakh hectares," the resolution added.It also promised to expand the road network of one lakh km and to ensure that every district headquarters are connected by four-lane roads besides connecting all urban bodies with two-lane roads. "At least 30-bedded fully equipped hospital facilities will be available at every development block headquarters. There will be at least one government college in each development block so that students can get the facility of higher education near their homes," it said.Further to encourage 'Make in Madhya Pradesh', the state government promised growth in the state's exports up to Rs 1 lakh crore and a new greenfield airport.The resolution also said that an industrial corridor will also be built between the state's capital city Bhopal and the economic city Indore.(IANS | Posted on 16 August 2023, 1692612993 172O71O250O134) India's digital revolution soars: PM Modi highlights telecom sector milestones in Independence Day address The Prime Minister's address celebrated India's rapid journey towards digital empowerment, extending the benefits of technological progress to every corner of the nation.PM Modi proudly announced the unprecedented progress made in extending internet connectivity to even the remotest parts of the country.Emphasizing that internet services now reach every village, he emphasized the pivotal role of digital inclusion in ensuring that the benefits of the technological revolution reach each citizen."Reflecting on the evolution of internet affordability, Prime Minister Modi juxtaposed the days of prohibitively expensive data tariffs before 2014 with the present, where India boasts the world's most accessible internet data rates", read the Ministry of Communication's press release.PM Modi highlighted that this significant reduction in costs has led to substantial savings for families across the nation.He showcased India's rapid strides in 5G technology, noting that the nation has achieved the fastest rollout of 5G services worldwide, covering more than 700 districts. This impressive progress marks India's commitment to staying at the forefront of technological innovation.Looking ahead, the Prime Minister revealed India's ambitious aim to lead the world in 6G technology. He introduced the establishment of a dedicated task force, the 'Bharat 6G Alliance,' to drive this transformative initiative forward, further solidifying India's position as a technological powerhouse.The Prime Minister's address highlighted the unparalleled achievements in the telecom sector, showcasing India's journey from following the world in 4G to marching with the world in 5G, and now aspiring to lead the world in 6G.He commended the rapid reduction in mobile data tariffs, from Rs 269 per GB in 2014 to Rs 10.1 per GB in 2023, showcasing India's commitment to affordability and accessibility.The speech also underscored the concerted efforts to provide quality telecom connectivity in remote and challenging areas, such as the North-Eastern Region, Border areas, Left Wing Extremism -affected areas, Aspirational Districts, and other far-flung places.The completion of undersea cable projects like the Chennai-Andaman & Nicobar Project and the Kochi-Lakshadweep Islands link further demonstrates India's commitment to expanding its digital infrastructure.(ANI | Posted on 16 August 2023, 1692612993 172O70O250O87) Burj Khalifa lights up in Indian tricolour on 77th Independence Day Other than the tricolour, the world's tallest building also showcased quotes of "Happy Independence Day to Mother India" and "Long Live India UAE Friendship".It also displayed Mahatma Gandhi's picture along with quotes 'Har Ghar Tiranga' and 'Jai Hind'.Meanwhile, the ruler of Dubai Sheikh Mohammed bin Rashid Al Maktoum conveyed his greetings to India on Independence Day on Twitter."As India celebrates its 77th Independence Day, I extend my congratulations to the leadership and the people of this great nation. On this joyous occasion, the UAE reaffirms its commitment to building a future of shared prosperity and growth, elevating our partnership to new heights, and exploring new horizons of political, economic, and cultural relations. Happy Independence Day, Swatantrata Diwas!," he tweeted.Earlier in July, the Burj Khalifa had lit up in tricolour as a mark of welcome to Prime Minister Narendra Modi who was on a visit to UAE.A leaping skyscraper in Dubai, United Arab Emirates, Burj Khalifa is one of the top attractions to visit in the city.Meanwhile, the 77h Independence Day was celebrated across India with full enthusiasm and a vibrant showcase of culture and diversity.Prime Minister Narendra Modi addressed the nation from the Red Fort for the 10th successive time.PM Modi's address highlighted a range of achievements over the past years, reflecting India's progress in multiple sectors.(ANI | Posted on 16 August 2023, 1692612994 172O71O246O139) We would welcome any steps that Iran takes to actually de-escalate growing nuclear threats: Antony Blinken He, however, also clarified that the process of bringing back US citizens detained in Iran is a "completely different" matter.Addressing the state briefing on Tuesday, Antony Blinken said, "Finally, last week we confirmed that Iranian authorities released five US citizens from prison to house arrest - Siamak Namazi, Morad Tahbaz, Emad Shargi, and two Americans who wish to remain private. Most have been in prison since before this administration took office. One has been held for nearly eight years. None should have been detained in the first place."He further spoke about the kin of the detainees and praised their resilience and courage.Blinken added that the US continues to follow the approach of deterrence, pressure, and diplomacy against Iran to ensure Tehran "never acquires a nuclear weapon"."Nothing about our overall approach to Iran has changed. We continue to pursue a strategy of deterrence, pressure, and diplomacy. We remain committed to ensuring that Iran never acquires a nuclear weapon. We continue to hold the regime accountable for its human rights abuses, destabilizing actions in the region, funding of terrorism, provision of drones to Russia, for its use in the war against Ukraine, among many other offences," he said.Blinken added, "We've been clear that Iran must de-escalate to create space for future diplomacy. This development - that is, the move of our detainees out of prison and to home detention - is not linked to any other aspect of our Iran policy. It is simply about our people".On being asked, if the reports stating Iran reducing nuclear stockpiles is in any way related to the US-Iran agreement announced next week, Blinken said that Washington would welcome any steps from Iran to de-escalate the "growing nuclear threat"."...What I can say is, of course, we would welcome any steps that Iran takes to actually de-escalate the growing nuclear threat that it has posed since the United States got out of the Iran nuclear agreement. And, of course, we've been very focused on that, and President Biden's determination to assure that Iran never gets a nuclear weapon remains rock solid," he said.He further stated that there is "no agreement" between the two countries on nuclear matters."There is no agreement between us on nuclear matters. The agreement that we're pursuing, to bring home those who are wrongfully detained in Iran, is an entirely separate matter that we want to bring to a successful conclusion, and that's what I'm focused on," Blinken added.Last week, five Americans who had been imprisoned in Iran were placed under house arrest.The development is the first step in a deal between the United States and Iran that would include making USD 6 billion in Iranian funds more accessible to Tehran in exchange for their return to the US, CNN reported.The Permanent Mission of Iran to the United Nations in a statement said that "as part of a humanitarian cooperation agreement mediated by a third-party government, Iran and the US have agreed to reciprocally release and pardon five prisoners."The roadmap includes plans to make USD 6 billion in Iranian funds that have been in a restricted account in South Korea more available for "non-sanctionable trade" of goods like food and medicine by moving them to "a restricted account elsewhere."According to the source, the decision will not provide new funds to Iran. However, Iran will be able to use those funds that are currently in South Korean accounts for humanitarian purposes and non-sanctionable trade. The source said there is also expected to be a prisoner swap component to the deal, CNN reported.(ANI | Posted on 16 August 2023, 1692612994 162O158O95O183) Malicious links now top cyber attack threat globally: Report Identity deception is also on the rise, with 39.6 million detected threats between May 2022 and May 2023, according to cybersecurity company Cloudflare."Attackers primarily impersonate the brands and entities we trust and rely on. In the majority (60.1 per cent) of cases, attackers pose as one just 25 organisations -- including Microsoft, Google, Salesforce and Amazon," the findings showed.Attackers posed as more than 1,000 different organisations in over 1 billion brand impersonation attempts. The majority of the time (51.7 per cent), they impersonated one of 20 well-known brands.Email authentication also doesn't stop attackers from succeeding as the majority (89 per cent) of unwanted messages passed email authentication methods.For the report, the team looked at more than 279 million email threat detections, 250 million malicious messages and over 1 billion instances of brand impersonations."Phishing is an epidemic that has permeated into the farthest corners of the Internet, preying on trust and victimising everyone from CEOs to government officials to the everyday consumer," said Matthew Prince, CEO at Cloudflare.One-third (30 per cent) of detected threats featured newly registered domains.While business email compromise (BEC) losses have topped $50 billion, corporate organisations are not the only victims that attackers are after.The real implications of phishing go beyond Fortune 500's and global companies, extending to small and local organisations as well as the public sector, said the report.na/prw(IANS | Posted on 16 August 2023, 1692612995 172O70O246O206) At 92, media mogul Rupert Murdoch is dating 66-year-old retired scientist According to Daily Mail, citing sources, Murdoch started dating Zhukova after meeting her through his third wife Wendi Deng.The billionaire was spotted with Zhukova boarding a rented superyacht named 'Christina O' in the Mediterranean last weekend. The luxury yacht is said to have been spotted off the coast of Corfu, Greece.Murdoch's third wife is friends with Zhukova's daughter Dasha Zhukova, who was married to Russian oligarch and former owner of Chelsea FC, Roman Abramovich.According to the report, Zhukova is a molecular biologist and specialist in diabetes. She was divorced twice and has lived in the US for over three decades after leaving Russia in 1991 with her daughter.Before retiring, she worked at the medical research unit in the University of California, Los Angeles (UCLA).The report mentioned that she spends most of her time with her grandchildren -- Dasha and Roman.Murdoch, who has been married four times, ended his last marriage by divorcing Jerry Hall in August last year. Earlier this year, he called off his engagement with Ann Lesley Smith, two weeks after announcing it.As per the report, he proposed to Smith with an 11-carat diamond ring worth over $2.5 million.Murdoch was last married to Jerry Hall, a model and actress, for six years.In addition to Hall, Murdoch was previously married to Deng from 1999 to 2013; Anna Maria Torv from 1967 to 1999; and Patricia Booker, from 1956 to 1967.Murdoch serves as the chairman of Fox Corporation, a publicly traded entity that controls Fox Broadcasting, Fox Sports, Fox Business, and Fox News.As the head of News Corporation, he also owns the New York Post, in addition to the Wall Street Journal, The Sun and the publishing house Harper Collins.(IANS | Posted on 16 August 2023, 1692612997 162O158O95O170) YEREVAN, AUGUST 16, ARMENPRESS. A reservist of the Armed Forces of Armenia serving active duty in a military post as part of training musters has presumably gone AWOL, authorities announced Wednesday. On August 16, reservist G. V., according to preliminary information, voluntarily left his combat outpost. Search operations are underway to find the participant of the training musters, the Ministry of Defense said in a statement. Download Now The News-Gazette mobile app brings you the latest local breaking news, updates, and more. Read the News-Gazette on your mobile device just as it appears in print. A new fence thats not up to the job near Champaign Central H.S., 50 years of restaurant history at 510 E. John in Champaign, chances of paving over a rough brick street in Champaign, whether theres been an uptick in homeless people frequenting Campustown and much, much more. Insurgents killed 17 soldiers and wounded nearly 24 in the first major attack in half a year against the army in Niger, where Western powers fear a coup by the elite presidential guard last month is weakening a rare ally against jihadi violence in West Africas Sahel The stormwater utility fee in Urbana could be going up substantially in January, and city officials are hoping to get some feedback on that from the public before taking a proposal to the city council this summer. Press Release August 16, 2023 Pia wants NEDA to take the lead in addressing the shortage of healthcare professionals in PH, other sustainability issues under the 2024 budget Senator Pia S. Cayetano on Tuesday lauded the Development Budget Coordination Committee (DBCC) for anchoring next year's proposed budget on sustainability principles, and in preparing the country for future challenges. At the same time, Cayetano highlighted major sustainability issues that she said must be prioritized to help fulfill the stated objectives of next year's proposed National Expenditure Program (NEP). Cayetano made these remarks at the start of the Senate's deliberations on the proposed national government budget for 2024, which was a briefing by the government's top economic managers. "I'd like to commend the DBCC for choosing a title for the 2024 NEP that they know will make me and Sen. Loren Legarda, happy - 'Securing a Future-Proof and Sustainable Economy,'" said the senator, who chairs the Senate Committee on Sustainable Development Goals, Innovations, and Futures Thinking (SDGIFT). "It's our committee, the [SDGIFT] that really tries to tie in everything that the government is doing. So I'd like to throw you a few examples of the work that we have been doing, precisely because no one is really leading the show. And this is where I'd like to bring it back, probably to [the National Economic and Development Authority]," she continued. Among the issues she noted is the shortage of healthcare professionals, which the senator explained has serious implications on the sustainability of the country's health system. This should be addressed decisively with NEDA taking the lead, Cayetano proposed. "We only talk about the nursing shortage, but we have a shortage of pharmacists, physical therapists, occupational therapists, everything that makes a health system sustainable, we have a shortage," she pointed out. "Who is taking the lead? CHED [Commission on Higher Education]? DOH [Department of Health]? I would like to recommend that it be NEDA-led. Like if we don't keep pushing CHED, they already have their hands full. If we don't keep pushing DOH, they also have their hands full with nursing. So we really, really need a leader in this area, dear colleagues, because we will also fall short. We will not be able to sustain a healthy country," she added. The senator also highlighted the urgency of supporting the factors that make cities and communities sustainable, such as access to clean water, good health and nutrition, and food security. "Nakalagay sa presentation niyo, sustainable cities and communities. I love it, I want that to happen," she told officials of the DBCC. "I've had two hearings already on the future of water for the Philippines, and the plans that were given to me, I'll tell you now, will fall short. There is just no way. People will continue to not have enough access to water," the senator noted, citing the initial findings of her committee on the country's water crisis. "I am telling you now, malayo. Either our children or our grandchildren will have a lot of difficulty accessing clean water. And it shouldn't be that way. It should be available to all," she stressed. "And then the other thing that I'd like to point out is, obviously, when you talk about sustainable cities, top of our list is food security, decent housing, healthcare, education, right? And obviously, a lot more." "We talked about food security, we talked about health. How true is it that there is a lot of movement to convert current rice lands to tobacco production? How sustainable is that? Is that good? Is that an easier cash generating measure? But is it a healthier product for our people?..And is that what we want?" the senator asked, referring to the shift from rice to tobacco production in certain regions, and its impact on the country's rice supply and security. YEREVAN, AUGUST 16, ARMENPRESS. The statement disseminated by the Ministry of Defence of Azerbaijan that the Armenian Armed Forces launched a sabotage infiltration attempt in the eastern part of the frontier zone is an absolute falsehood, the Armenian Ministry of Defense has announced. Prior to this, the Ministry of Defense of the Republic of Armenia made a statement regarding the reservist and participant of the training assembly G. V., who had left the combat position according to preliminary information, the defense ministry added in a statement on social media. A possible version and all the circumstances of the reservist appearing on the Azerbaijani side are being investigated. Scientific journals and research papers are evaluated by a metric known as their "impact factor," which is based on how many times a given paper is cited by other papers. However, a new study from MIT and other institutions suggests that this measure does not accurately capture the impact of medical papers on health outcomes for all patients, particularly those in low- or middle-income countries. To more fully capture a paper's impact on health, metrics should take into account the demographics of the researchers who performed the studies and the patients who participated in them, the research team says. To that end, they have developed a metric that they call the "diversity factor." The new metric incorporates several factors, including the diversity of the paper authors (in terms of gender and geographic location), diversity of the patients studied, and how interdisciplinary the research team is. In a new study, the researchers evaluated more than 100,000 medical papers published in the last 20 years and found that most did not do well on this metric. The medical knowledge system is controlled by a very noninclusive group of academics, and it's not diverse at all." Leo Anthony Celi, senior research scientist at MIT's Institute for Medical Engineering and Science, physician at Beth Israel Deaconess Medical Center, associate professor at Harvard Medical School, and one of the authors of the paper The researchers hope that their new study will generate more discussion of how to evaluate medical papers and make sure they are contributing to positive health outcomes for diverse populations, not just the groups who have traditionally led and been the subjects of medical studies. Jack Gallifant, a physician at Imperial College London NHS Trust, led the new study, which appears today in PLOS Global Public Health. The authors also include researchers from institutions around the world, including Mbarara University of Science and Technology in Uganda, National Polytechnic Institute in Mexico, University of the Philippines at Manila, the University of Witwatersrand in South Africa, Handong Global University in South Korea, and King Hussein Cancer Center in Jordan, and representing the fields of public health, pharmacy, medicine, computer science, engineering and the social sciences. "Blind spots" in medical knowledge Celi and his colleagues began developing the new index in hopes of finding ways to document and combat the lack of diversity among authors of prominent medical publications. Most of these authors come from wealthy nations including the United States, and they are disproportionately white and male. "How the medical knowledge system is designed has led to the fact that 80 percent of publications come from 20 percent of countries, and then the guidelines that are disseminated to treat diabetes, to treat hypertension, to treat cancer, are informed by trials and observational studies in those 20 percent of countries," he says. To quantify the extent of this problem, the researchers created an index based on several factors. One factor is the diversity of the authors of a study, including whether the authors are located in a high-income country or a low- and middle-income country. The researchers also used an algorithm that categorized the authors as male or female. This is important not only for promoting inclusion, but also because lack of diversity among authors of a medical study can result in failure to fully consider how a particular disease affects different groups of people, Celi says. "What happens when all of the authors involved in a project are alike is that they're going to have the same blind spots. They're all going to see the problem from the same angle," he says. "What we need is cognitive diversity, which is predicated on lived experiences." Another factor that the researchers incorporated is the diversity of departmental affiliations of the authors of a study. Under this metric, papers are given a higher score if they include authors from a wider range of disciplines. For example, a medical study that included doctors, nurses, and engineers would be rated more highly than one that included authors from just one of those fields. The final metric that the researchers proposed is based on the diversity of traits of patients included in a given study, including sex, race-ethnicity, language, age, and geography. However, because many of the papers analyzed in this study were not open-access, the researchers could not get this information for many of the papers and so did not include it in their final analysis. Celi emphasizes that the metrics chosen for this study should be considered only a starting point for measuring impact on health outcomes in a more equitable way. "What we wanted is to start a public dialogue on this topic, and we also want the community to contribute and recommend what the metrics should be," he says. Tracking diversity Once the researchers identified the criteria they wanted to analyze, they used the OpenAlexdatabase, which aggregates information from many other databases of academic articles, to extract metadata on the authors of about 130,000 medical papers, from more than 7,500 journals, published between 2000 and August 2022. They found, as they expected, that female authors were consistently underrepresented. Across all journals, male authors outnumbered female authors, but the representation of female authors has been increasing. In 2021, the ratio of female to male authors per publication was between 0.30 and 0.42 in the major journals. The researchers also found a lack of geographic diversity. For papers published in 2021, there were more than 5 million authors from high-income countries, 1.5 million from upper-middle-income countries, about 470,000 from lower-middle-income countries, and just over 27,000 from low-income countries. "It's no surprise, but we wanted to quantify it. And we want to be able to track this over time, so we can tell if we are making headway," Celi says. The researchers found that overall, open-access journals had better scores on the diversity index than journals that are not open-access. Among the top 25 open-access and non-open-access journals (ranked by impact factor), the open-access journals had consistently higher proportions of female authors and authors from low and middle-income countries. Many entities, including academic institutions, journals, and funding agencies can and should play a role in increasing the diversity of authors publishing in medicine, Celi says. Some funding agencies, including the National Institutes of Health, have created initiatives, such as the Artificial Intelligence/Machine Learning Consortium to Advance Health Equity and Researcher Diversity (AIM-AHEAD), that require research teams to be led by a principal investigator from institutions that aren't as well-funded, or that historically serve minority communities. "The funders, the universities, the journals, and the media too, to a certain extent, are all accountable for the problems that we've seen, and each one of them should innovate around operationalizing their mission and their vision," Celi says. July 2023 was the hottest month on record, with cities like Phoenix experiencing record-breaking heat waves for weeks on end. A new study finds that ongoing extreme heat can worsen cognitive decline among vulnerable groups-;particularly Black older adults and those living in poor neighborhoods. "Our research finds that cumulative exposure to extreme heat can undermine cognitive health, but it does so unequally across the population," said Eunyoung Choi, a postdoctoral associate at the NYU School of Global Public Health and the first author of the study, published in the Journal of Epidemiology and Community Health. Extreme heat is the leading cause of weather-related deaths in the U.S., claiming more lives each year than hurricanes, tornadoes, and lightning combined. Young children and older adults are particularly vulnerable to heat-related illnesses such as heat exhaustion and heat stroke. Recent studies suggest that high temperatures may hurt cognitive function, but these studies tend to look at a snapshot of someone's cognition at a single time point following brief exposure to heat. Less is known about the long-term consequences of heat on cognitive health. Cognitive decline may not manifest right after a single heat event, but repeated or prolonged exposures to extreme heat may be detrimental. Cumulative exposure to extreme heat can trigger a cascade of events in the brain, including cellular damage, inflammation, and oxidative stress, all of which can exhaust one's cognitive reserve." Virginia Chang, associate professor of social and behavioral sciences at the NYU School of Global Public Health and the study's senior author As heat waves become more frequent and intense due to climate change and urban heat islands, the researchers sought to understand the connection between extreme heat exposure and cognitive decline. They analyzed data from nearly 9,500 U.S. adults ages 52 and older surveyed over a 12-year period (2006-2018) as part of the Health and Retirement Study conducted by the University of Michigan Institute for Social Research, which measures participants' cognitive function over time. The researchers also looked at socioeconomic measures of the neighborhoods where participants lived. In addition, they calculated participants' cumulative exposure to extreme heat (the number of days in which the heat index reached or exceeded a location-specific threshold) during this 12-year period based on historical temperature data from the CDC's National Environmental Public Health Tracking Network. They found that high exposure to extreme heat was associated with faster cognitive decline among residents of poor neighborhoods, but not for those in wealthier neighborhoods. "Affluent neighborhoods tend to have resources that can help in a heat wave-;things like well-maintained green spaces, air conditioning, and cooling centers. In disadvantaged neighborhoods, these resources may not exist," said Haena Lee, assistant professor of sociology at Sungkyunkwan University, South Korea and the study's co-first author. "Other factors associated with disadvantaged neighborhoods-;residents experiencing chronic stress, greater social isolation, and fewer specialized services for cognitive health-;could also be contributing to this disparity." Moreover, cumulative exposure to extreme heat was associated with faster cognitive decline among Black older adults, but not white or Hispanic older adults. (The study did not have enough participants of other races and ethnicities to include them in the analysis.) "One possible explanation for this pattern of findings is that Black older adults may have disproportionately experienced systemic disadvantages throughout their lives due to structural racism, segregation, and other discriminatory policies, all of which may affect cognitive reserve," said Chang. The researchers urge local governments and health officials to develop policies and tools that identify residents who are susceptible to extreme heat, empower at-risk communities, map their specific needs, and develop targeted support and increased communication with these populations. "When faced with high temperatures, our study reveals that vulnerable populations are experiencing compounding disadvantages," said Choi. "Extreme heat is a serious public health threat, and in the context of climate change, we need to focus on supporting at-risk groups in order to build resilient communities." Scientists at City of Hope, one of the largest cancer research and treatment organizations in the United States and a leading research center for diabetes and other life-threatening illnesses, have identified a cell metabolism process found in men with diabetes and metastatic prostate cancer that could one day lead to improved testing and treatments for Black men with these diseases. The research will be highlighted in the press program for the American Chemical Society (ACS) Fall 2023, a hybrid meeting that will be held virtually and in person in San Francisco from Aug. 13 to 17. Black men are more than twice as likely than other men to die from prostate cancer. In a continued search to develop inclusive diagnostic and predictive tests and personalized treatments, City of Hope researchers conducted a small clinical trial that identified four metabolism-related biomarkers linked to an increased risk of metastatic prostate cancer, or prostate cancer that has spread to other parts of the body, in men of West African heritage. City of Hope leads the nation in having the first research department focused on the intersection between cancer and diabetes. "We have identified genetic and molecular changes that can be developed into a tool to predict which Black men are at the highest risk of developing metastatic prostate cancer," said Sarah Shuck, Ph.D., principal investigator of the trial who will present the data at ACS. Shuck is an assistant professor in the Arthur Riggs Diabetes & Metabolism Institute and Department of Diabetes & Cancer Metabolism at City of Hope. This test would give doctors the ability to more accurately predict patients' prognoses and equip scientists with more data as they work to design therapies that prevent prostate cancer from developing in the first place." Sarah Shuck, Ph.D., principal investigator of the trial The problem appears to be production of a highly reactive compound known as methylglyoxal (MG), a byproduct of metabolism that is elevated in people with diabetes. MG binds to DNA, RNA and protein, creating a complex that may promote cancer emergence due to its instability and disrupted function. Prostate cancer is the second most common cancer in men. Black men are 70% more likely than white men to be diagnosed with prostate cancer and two to four times more likely than other racial and ethnic groups to die from the disease, according to 2023 data from the American Cancer Society. Diabetes is a chronic metabolic disease characterized by elevated levels of blood glucose or sugar, which over time leads to serious damage to the heart, blood vessels, eyes, kidneys and nerves. Black adults are 60% more likely than white adults to be diagnosed with diabetes and twice as likely to die from diabetes, according to the most recent data available from the U.S. Department of Health and Human Services Office of Minority Health. Shuck's lab focuses on the study of how metabolic dysregulation causes diabetes and cancer. She and her colleagues investigate the biochemistry involved when excess sugar damages important molecules. To see if the identified dysregulated complexes were linked to race and genetics, the team conducted a small clinical study where they gathered blood samples from 371 men with and without prostate cancer from across the nation. To determine race, they assessed samples for genetic evidence of West African heritage using methods developed by collaborators Rick Kittles, Ph.D., M.S., and Leanne Woods-Burnham, Ph.D., who were at City of Hope when the research was conducted. Next the researchers, including John Termini, Ph.D, professor in the Department of Cance Biology and Molecular Medicine at City of Hope, looked at four biomarkers associated with MG and the complexes it forms with DNA, RNA and protein. The biomarkers also included variation in a gene, GLO1, that encodes a protein which detoxifies these complexes. Surprisingly, the men of West African descent had fewer of these malignancy-promoting complexes in their blood. Contrary to expectations, a lower level of these complexes was linked to greater risk of metastatic disease. The researchers hypothesize that, in men of West African descent, tumor cells sequester these complexes and spur metastatic processes from within. These findings did not apply to men of European descent. (In technical terms, they hypothesize that MG-AGEs, sRAGE, GLO1 and AGER SNPs may be used as biomarkers for prostate cancer in Black men and that the gene variation GLO1 may play a role in the accumulation of mutations that lead to prostate cancer cell growth.) City of Hope researchers intend to gain a better understanding of prostate cancer disparities in the hopes of developing a diagnostic test. Diagnosing autism spectrum disorder (ASD) is still a daunting challenge because of the degree of complexity involved, requiring highly specialized professionals. Autism is a multifactorial neurodevelopment disorder with widely varying symptoms. In the United States, about 1 in 36 children have been diagnosed with ASD, according to the Centers for Disease Control and Prevention (CDC), and yet there are no biochemical markers to identify it with precision. A quantitative diagnostic method is proposed by Brazilian researchers in an article published in the journal Scientific Reports. The study was based on brain imaging data for 500 people, about half of whom (242) had been diagnosed with ASD. Machine learning techniques were applied to the data. "We began developing our methodology by collecting functional magnetic resonance imaging [fMRI] and electroencephalogram [EEG] data," said Francisco Rodrigues, last author of the article. He is a professor at the University of Sao Paulo's Institute of Mathematics and Computer Science (ICMC-USP) in Sao Carlos, Brazil, and his contribution to the research was supported by FAPESP. We compared maps of people with and without ASD and found that diagnosis was possible using this methodology." Francisco Rodrigues, last author of the article The researchers fed a machine learning algorithm with these maps. Based on the learned examples, the system was able to determine which brain alterations were associated with ASD with above 95% accuracy. Much recent research proposes methods for diagnosing ASD based on machine learning but uses a single statistical parameter, ignoring brain network organization, which is the innovation featured by this study, the article notes. Brain maps or cortical networks show how brain regions are connected. Research on these networks began about 20 years ago and has offered a new vision of neuroscience. "Just as a road with interruptions alters the traffic in a region, a brain with alterations leads to changes in behavior," Rodrigues said. The analysis of fMRI data highlighted changes in certain brain regions associated with cognitive, emotional, learning and memory processes. The cortical networks of ASD patients displayed more segregation, less distribution of information and less connectivity compared to controls. "Until a few years ago, little was known about the alterations that lead to the symptoms of ASD. Now, however, brain alterations in ASD patients are known to be associated with certain behaviors, although anatomical research shows that the alterations are hard to see, making diagnosis of mild ASD much harder. Our study is an important step in the development of novel methodologies that can help us obtain a deeper understanding of this neurodivergence," Rodrigues said. The methodology is under development and will take years to implement. Nevertheless, it will contribute to the understanding of cerebral differences and will be useful in future to assist specialists, especially in cases involving diagnostic uncertainty. A wide range of applications For Rodrigues, the study is a small contribution to a deeper understanding of how ASD relates to brain alterations. Much more research is needed for this automatic diagnostic method to be put into practice. Brain mapping can be useful to diagnose other conditions apart from ASD. Previous work shows that brain maps can also be used to detect schizophrenia with considerable accuracy. "We began developing novel methods to identify mental disorders a decade ago. We found that the diagnosis of schizophrenia can be much improved using brain networks and artificial intelligence. We also recently studied use of the methodology to investigate Alzheimer's disease and found accurate automatic diagnosis to be possible," Rodrigues said, referring to a study reported in 2022 in the Journal of Neural Engineering. Many challenges have to be surmounted, such as small databases and the difficulty of collecting data, but as a general methodology it can help scientists understand several conditions, and one of the group's goals is investigating the relationships among mental disorders. "How similar in terms of brain alterations are schizophrenia and Alzheimer's? If we can find correlations between mental disorders, we may be able to develop novel medications and similar treatments for different conditions, or even adapt treatment for one condition to use in another. We're a long way from this, but the path ahead is promising," Rodrigues said. The researchers expect a better understanding of how brain alterations influence behavior to lead to more humane and efficient treatment as well as more effective public policies. The complexity of the subject is evident from the interdisciplinary nature of the research involved. The group comprised physicists, statisticians, physicians and neuroscientists from centers in Brazil, France and Germany. They analyzed medical data compiled by neurologists and brain imaging studies by neuroscientists, as well as algorithms developed by physicists and statisticians. The study was part of the PhD research of Caroline Alves, first author of the article. Her background is in physics, physical and biomolecular sciences, and computer science. FAPESP also supported two other authors of the article via PhD scholarships: Aruane Pineda (grant no. 19/22277-0) and Kirstin Roster (grant no. 19/26595-7), both of whom were supervised by Rodrigues. When Lou Ellen Horwitz first learned that a gas station company was going to open a chain of urgent care clinics, she was skeptical. As CEO of the Urgent Care Association, Horwitz knows the industry is booming. Its market size has doubled in 10 years, as patients, particularly younger ones, are drawn to the convenience of the same-day appointments and extended hours offered by the walk-in clinics. "Urgent care is harder than it looks," Horwitz recalled thinking when the Tulsa-based gas station and convenience store company QuikTrip announced an urgent care venture called MedWise in late 2020. "And thats a whole different ballgame than selling Funyuns." But Horwitz said the more she thought about it, the more she saw an overlap between the business models of QuikTrip and of successful urgent care clinics: setting up in easy-to-find locations, catering to walk-ins, and accepting multiple payment methods, for example. QuikTrip opening health clinics might just make sense, she thought, provided they could deliver quality medical care. In fact, QuikTrip had been providing primary care services to its own employees for years, through third parties and eventually at its own clinics. Five years ago, longtime "QuikTripper" Brice Habeck was tasked with leadibring a team to figure out how the company could offer such medical services to the general public, too. His team quickly realized that urgent care had a lot in common with their retail spaces. "It's about access. It's about convenience," said Habeck, who started his career as a clerk at a QT, as the stores are often branded, and is now the executive director of MedWise. MedWise has opened 12 clinics so far, all in the Tulsa area, and now belongs to Horwitz's trade group. The company is owned by QuikTrip, but the two businesses don't share buildings or a name. As much as people love the gas station, Habeck said, company leaders didn't want patients to think the person checking their vitals had just wiped down a gas pump. QuikTrip is not the first company to see potential in the urgent care industry. Private equity firms have been investing in urgent care's consumer-friendly niche for over a decade. And nearly half of urgent cares are affiliated with hospital systems which often see urgent care as a front door for bringing in new patients while also taking some burden off their busy emergency rooms. Other retailers have also seen opportunities in expanding into patient care. Walmart, Target, CVS, and Walgreens have all opened what are called "retail clinics" in recent years, often in their existing stores and often partnering with local health systems to provide the actual medical care. Generally, the scope of services available at urgent care centers, such as MedWise clinics, is more robust than what's offered at those retail clinics, according to Horwitz. But urgent care and retail clinics may not be a panacea for rising health care costs. A study co-authored by Harvard Medical School health policy professor Ateev Mehrotra shows urgent care clinics reduce less serious visits to the emergency room, yet 37 urgent care visits are needed to prevent a single trip to the ER, increasing total health care spending with all those trips. And ongoing research by Vanderbilt University assistant professor Kevin Griffith suggests that newly constructed urgent care or retail clinics can decrease wait times at nearby private and public sector health centers initially. Eventually, however, the increased access provided by the new clinics increases demand as well, he is finding, and wait times creep back up. "It's kind of like the 'build it and they will come' of health care," said Griffith, adding that even though the clinics may not decrease wait times long-term or reduce costs, they are getting patients seen. "There is a huge problem with unmet care in the United States. And so ostensibly, these clinics are making a dent into that problem as well." The experience of some retail clinics is a cautionary tale for companies like MedWise, according to Mehrotra: Disrupting the health care industry is easier said than done, even for businesses with a successful track record of good customer service in a low-margin business such as gas stations. "Generally people have been happy with the convenience," Mehrotra said, but the clinics have not been very profitable, prompting many closures over the years. Gas stations are accustomed to competing over customers by offering something special. QuikTrip, for example, was recently ranked ninth on a list of best gas station brands in America that noted QT's "beloved" made-to-order food, such as breakfast tacos. Habeck said he thinks patients today are open to a more transactional approach in health care as well. That doesn't mean offering roller-grill hot dogs and taquitos in urgent care waiting rooms, although Habeck joked that MedWise might have tried that if it hadn't launched during the pandemic. Rather, he said, the chain is banking on winning customer loyalty by offering patients consistent service without necessarily offering a consistent clinician. And, Habeck said, even though MedWise and QTs are not in the same buildings, the parent company's experience finding prominent locations for gas stations is useful for placing urgent cares as well. On a recent Friday afternoon, Billy Rohling and Amy Shaver stood waiting for their ride home in the mostly empty parking lot of a MedWise at the same exit as a QT off Interstate Highway 244 in Tulsa. Rohling, 56, remembers when this corner of Admiral Place and Sheridan Road was a shopping center with tenants like J.C. Penney Co. and a five-and-dime called TG&Y. Those stores are long gone now, though. The couple came to MedWise because Shaver, 37, was having breathing problems. It was her second time visiting the clinic. "They aren't busy at all," Rohling said. "It took 15 minutes to get an EKG." Indeed, MedWise's patient visits have slowed since the unexpected "windfall volume" that came as a result of opening during the pandemic, Habeck said. At one point, MedWise clinics administered curbside covid-19 tests to hundreds of patients a day, many of whom paid cash. The momentum from all those visits helped propel the clinics through abnormally low flu seasons in 2020 and 2021 typically urgent care's bread and butter. But Habeck said MedWise is still on track to expand. Four more locations are slated to open in northeastern Oklahoma this year, and the future should bring even more MedWise locations in QuikTrip's 17-state, 1,000-location footprint, in places such as Kansas City, Missouri, and Wichita, Kansas. State health care rules, public insurance payment rates, and existing health system locations will all factor into where the new clinics are located, Habeck said, although expansion out of state is probably a couple of years away. Horwitz said scaling up in the industry requires a degree of standardization everything from clinic layouts to staffing levels, and even where various supplies are stored that can be hard to attain. But she said it's a trend, with more urgent care chains having a triple-digit number of locations than ever before. "Nobodys at 1,000, but some are closing in on it," Horwitz said. Adolescents with high use of social media often experience poor sleep, which increases the risk of depression and anxiety levels. A recent BMC Pediatrics study assessed the association between sleep quality, mental health, and the use of social media in adolescent females. Background The importance of good sleep quality has been highlighted in many studies. Good sleep improves attention span, emotional regulation, memory, behavior, learning, and mental and physical health. In contrast, insufficient sleep has been associated with diabetes, obesity, depression, and hypertension. Adolescents with inadequate sleep have shown higher behavioral risks, such as suicide attempts and self-harm. Although adolescents are recommended to sleep for at least 8 to 10 hours/day, this recommendation is often not met. For instance, the average sleep duration among adolescents in Thailand is 7 hours/day. In adolescents, sleep duration was found to decrease with increasing age. This could be due to academic performance pressure, caffeine consumption, and the use of electronic media. In Thailand, adolescents use social media, particularly YouTube, Line, Facebook, and Twitter, for recreation. High use of social media is robustly associated with poor sleep quality, lower self-esteem, and higher levels of depression and anxiety. A meta-analysis revealed that during the coronavirus disease 2019 (COVID-19) pandemic, sleep quality in adolescents worsened despite increasing sleep duration. This could be due to altered bedtime routines and increased screen time usage during the pandemic. Compared to males, a stronger relationship between time spent on social media and greater emotional distress has been observed in females. It is important to uncover the association between social media use and sleep quality, particularly in a female cohort. About the study The current prospective cohort study assessed the connection between sleep characteristics, social media use, and mental health in adolescents during COVID-19 lockdowns and after school re-opening. This study was conducted between December 2019 and September 2020. Participants, i.e., female adolescents between 12 and 18 years of age, were recruited from a private all-girl school in Bangkok, Thailand. Participants with a history of obstructive sleep apnea and mental health illnesses were excluded. A total of 219 participants were included in this study. They completed self-administered electronic questionnaires at three points in time: baseline, after 3 months, and after 6 months. The questionnaire included the Pittsburgh Sleep Quality Index (PSQI) Instruments, the Thai version of the Screen for Child Anxiety-Related Emotional Disorders (SCARED), and the Thai version of the Patient Health Questionnaire (PHQ-9). Study findings The mean age of the participants was around 14 years of age. Around 54% of the cohort consumed caffeine after lunch and felt depressed. It was seen that during COVID-19 lockdowns, 81.3% of the study cohort experienced increased sleep-related problems, particularly long sleep latency. It was also observed that female adolescents used electronic devices and social media considerably more during the COVID-19 lockdown period. No significant difference in the frequency of anxiety and depression was found between the three periods. A strong correlation between poor sleep quality and the use of social media was observed after the lockdown, but not during the lockdown. This difference could be due to the flexibility of the school schedules. This finding was consistent with previous studies that revealed social media use was linked with poor sleep quality. Many studies have shown that delayed bedtimes and increased screen-based media consumption lead to reduced sleep duration and worsened sleep quality. The current study revealed that sleep quality was not correlated with social media use during school breaks, which could be because participants had the opportunity to sleep longer during school breaks than during semesters. However, since the total duration of sleep was still below the recommended level, no improvement in sleep quality scores was found. During COVID-19 lockdowns, Thai students were encouraged to undertake online learning using computers and mobile devices, which increased their access to social media. The use of social media and online gaming during lockdown periods, particularly during the break between the two semesters, was significantly more than during the semester period. In general, students did not use social media before school start time because they were inclined to wake up late. However, this pattern changed during the second-semester final examination period and the amount of social media use after midnight increased significantly on weekdays and weekends. Consistent with previous studies, this study also indicated that poor sleep quality increases the risk of anxiety and depression. Conclusions It was observed that female adolescents were more attracted to electronic devices and social media during the COVID-19 lockdown. Subsequently, they experienced increased sleep problems. However, no significant difference in the incidence of anxiety and depression was observed between the three points in time. Interestingly, the association between poor sleep quality and social media use was observed after the lockdown, but not during the lockdown. Since poor sleep quality results in psychological distress, one must take necessary care to prevent sleep-related problems. New Cleveland Clinic research shows how mosquito-transmitted viruses like Zika, West Nile, Yellow Fever and dengue viruses hijack host cells to promote their own replication and infection. Published in Cell Host and Microbe, a recent study from the laboratory of Michaela Gack, Ph.D., Scientific Director of Cleveland Clinic's Florida Research & Innovation Center, opens the door to developing new therapeutics for flaviviruses, a class of viruses for which either no or very limited treatments currently exist. This is Dr. Gack's first research study funded by a prestigious National Institutes of Health Pioneer Award, which she received in 2021. Part of the "High-Risk, High-Reward Program," the Pioneer Award supports accelerating the pace of biomedical discoveries by funding exceptionally creative scientists with highly innovative research. Our findings bring us one step closer to understanding and treating currently untreatable mosquito-transmitted pathogens, which are an ever-increasing threat to global human populations. This research was made possible by the NIH and Cleveland Clinic. Our commitment to studying viral pathogens and host enzymes in novel ways may ultimately help us develop new, effective treatments to prevent future threats to human health." Michaela Gack, Ph.D., Scientific Director of Cleveland Clinic's Florida Research & Innovation Center Dr. Gack is a noted expert and core member of the Sheikha Fatima bint Mubarak Global Center for Pathogen & Human Health Research, which was founded with the goal of preventing and protecting against public health outbreaks before they start. A hostile takeover by the virus Viruses cannot survive on their own. While they contain their own genetic material, they don't carry all the genes or factors they need to live and reproduce. That's why viruses infect hosts they hijack mammalian cells to turn them into virus-making factories. To take control of the infected host cell, Zika virus, for example, hijacks several proteins inside the cell for its efficient replication. Humans have many enzymes that 'tag' proteins with other molecules allowing them to function properly. Since Zika virus is missing certain molecules necessary for its reproduction, it has evolved to utilize a human enzyme called KAT5 (an acetyltransferase) which helps the virus to amplify its RNA genome in viral replication complexes. Treating the untreatable The discovery of KAT5's critical role in flavivirus replication is the key first step in beginning to design inhibitory molecules to stop viral replication and treat infection. "Viruses mutate so much that drugging them directly might lose effectivity over time this is what is known as antiviral drug resistance. Human proteins don't change rapidly," explains the study's second author Cindy Chiang, Ph.D. "Targeting the host's KAT5 protein should be much more effective in the long term to treat these viruses." The study's findings suggest that creating drugs targeting the human KAT5 enzyme might help target not only Zika, but also several other mosquito-transmitted flaviviruses. The Society for Integrative Oncology (SIO) and the American Society of Clinical Oncology (ASCO) formally recommend mindfulness-based interventions (MBIs) and other integrative therapies to manage anxiety and depression symptoms in adults living with cancer. The guideline, published in the Journal of Clinical Oncology, reviews the effectiveness of integrative therapies such as yoga, relaxation, hypnosis, acupuncture, and music therapy in treating anxiety and depression symptoms during and after cancer treatment. Anxiety and depression symptoms have long been associated with lower quality of life in people with cancer. Treating these symptoms using evidence-based integrative therapies will not only improve a patient's quality of life, but it can help them better manage their care too. Now we know which therapies could have the biggest impact." Heather Greenlee, ND, PhD The strongest recommendations in the guideline are for the use of mindfulness-based interventions, which include mindfulness-based stress reduction, meditation, and mindful movement. These interventions were recommended across the board to treat both anxiety and depression symptoms in patients in active treatment and those post-treatment due to the strong evidence to show their benefits to patients. "For many people, cancer is the most difficult and frightening experience they have ever encountered," said Past Chair of the ASCO Evidence-Based Medicine Committee, Scott T. Tagawa, MD, MS, FASCO, FACP. "Mindfulness-based interventions and other mind-body therapies not only provide tools to manage patients' anxiety and depression symptoms, but they can also offer patients a sense of control over their illness, which we know can be helpful for patients who have to navigate a complex treatment journey." The SIO-ASCO guideline is a companion to the clinically based Management of Anxiety and Depression in Adult Survivors of Cancer: ASCO Guideline Update. It was created by an expert panel of integrative oncology, medical oncology, radiation oncology, surgical oncology, palliative oncology, behavioral medicine, mind-body medicine, nursing, methodology, and patient advocacy representatives. The panel reviewed existing published literature on integrative therapies used to treat anxiety and depression symptoms in cancer patients from randomized controlled trials. Once consensus was reached, recommendations were made based on the strength of the evidence available. The panel was co-chaired by Linda E. Carlson, PhD, President of SIO, and Julia Rowland, PhD, of Smith Center for Healing and the Arts representing ASCO. "We have seen a steady increase in interest in these therapies among cancer patients over the years, but certain roadblocks have prevented patients from accessing them," said Julia Rowland, PhD, Co-Chair of the SIO-ASCO guideline. "We hope that comprehensive cancer centers and governing administrative bodies consider prioritizing MBIs in patient care." Integrative oncology is a patient-centered, evidence-informed field of cancer care that utilizes mind and body practices, natural products, and/or lifestyle modifications from different traditions alongside conventional cancer treatments. Integrative oncology aims to optimize health, quality of life, and clinical outcomes across the cancer care continuum and to empower people to prevent cancer and become active participants before, during, and beyond cancer treatment. "This is the second of a series of evidence-based guidelines addressing integrative therapies in cancer care that SIO and ASCO are developing together," added Linda E. Carlson, PhD, President of SIO, Professor of Oncology at the University of Calgary, and Co-Chair of the guideline. "The goal of these guidelines is to inform as many clinicians and people living with cancer as possible about where the evidence lies to support the best clinical outcomes possible for all people with cancer. We believe this latest guideline accomplishes that for those suffering from anxiety and depression symptoms." Other interventions recommended in the guideline that had a lower level of evidence for their benefits included the use of relaxation, music therapy, and reflexology for treatment of both anxiety and depression symptoms during conventional treatment. Yoga was recommended for patients with breast cancer to treat both anxiety and depression symptoms, although the strength of the evidence was moderate. "We want to clarify that this does not mean yoga can only benefit women with breast cancer," explained Dr. Carlson. "There is research that shows yoga can benefit people with various cancer types and we need to continue building the evidence base." To ensure people living with cancer can play a role in the treatment decision-making process and to inform their discussions about integrative therapies with their oncologists, ASCO and SIO have posted clinical tools, resources, and patient information related to this guideline on their respective websites. Because the expert panel was conservative in making their recommendations, the guideline also includes areas identified as potentially relevant to cancer care but needing more research. This distinction is important because the lack of endorsement for a given therapy is not an indication that the therapy is necessarily ineffective or unsafe. "Rather, it indicates that the evidence was insufficient to support its recommendation," said Dr. Rowland. "For instance, more studies are needed to assess the safety and effectiveness of natural health products." SIO received an unrestricted grant to fund guideline development from the Samueli Foundation. YEREVAN, AUGUST 16, ARMENPRESS. Chairperson-in-Office of the OSCE Bujar Osmani has instructed his personal representative Andrzej Kasprzyk to visit the Lachin Corridor's adjacent areas. After phone conversations last week with the foreign ministers of Azerbaijan and Armenia, as OSCE Chairperson-in-Office I instructed my personal representative Andrzej Kasprzyk to visit the adjacent areas of Lachin Corridor and report to me details on the current situation, Osmani said in a statement posted on Facebook. He also reiterated his call related to restoring freedom of movement along Lachin Corridor, and at the same time called for work in the direction of opening new roads. Humanitarian factors must take precedence over political ones. The OSCE is ready to be involved as a mediator as long as dialogue over political issues resumes, he added. TVS Motor Company and BMW Motorrad mark an illustrious 10 years of their strategic partnership in the world of two-wheelers. The partnership between these industry titans has achieved numerous worldwide firsts in a variety of fields, including product development, fine design, technological innovation, knowledge exchange, and the creation of common platforms for their broad global audience. In April 2013, TVS Motor Company and BMW Motorrad began their long-term strategic partnership with the goal of creating sub-500cc bikes with a worldwide audience. Four great models have been born from this synergy under the 310cc platform: the legendary BMW G 310 R, the daring BMW 310 GS, the track-focused BMW G 310 RR, and the top-of-the-line TVS Apache RR 310. These motorcycles have won the enthusiastic support of consumers in important regions in the EU, USA, Latin America, Japan, China, and India, garnering a fan base of over 140,000 riders. The two giants expanded and strengthened their partnership in December 2021 as a result of this cooperative victory. Along these lines, TVS Motor and BMW Motorrad have teamed up to develop cutting-edge technologies and new platforms, such as those for electric vehicles. Additionally, as a crucial component of this enlarged partnership, TVS Motor Companys remit now includes envisioning and designing future BMW Motorrad marvels while maintaining the highest levels of quality, supply chain management, and industrial acumen. Dr. Markus Schramm, the Head of BMW Motorrad, echoed these sentiments, asserting, A decade of partnership between BMW Motorrad and TVS Motor Company stands as a testament to our shared success and tenacity. What commenced as an idea ten years ago has metamorphosed into an extraordinary narrative of triumph. Our synergies have borne fruits in the sub-500cc domain, giving rise to exceptional offerings. The BMW G 310 R and BMW G 310 GS models have enjoyed unparalleled popularity, etching their presence as integral cogs in BMW Motorrads global accomplishments. Thus, the evolution of our partnership to encompass forward-looking technologies and sustainable mobility solutions, including the groundbreaking fully electric masterpiece BMW CE 02, becomes a seamless stride in shaping a joint future with TVS Motor Company." TVS Motors Hosur manufacturing hub, a key contributor to BMW Motorrads global output, now churns out nearly 10 percent of their motorcycle production. The triumvirate of BMW Motorrads stars - BMW G 310 R, BMW 310 GS, and BMW G310 RR - now illuminate roads across a sprawling canvas of 100+ nations. The Haryana state government took the decision to honour the martyrs of our country by changing the names of 509 government schools on the occasion of Indias 77th Independence Day. These schools will bear the names of the martyrs who served as role models for the youths of the nation. Beginning August 15, the names of 509 government schools in Haryana will be legally changed to recognise the states valiant soldiers who laid down their lives in service of the nation, reported The Tribune. This update became effective at midnight on August 14 in all records and on the Haryana Education Departments official website. Furthermore, Amar Ujala reported that 21 schools in the Kaithal area alone were renamed after the martyrs. These details were provided by Kaithal DC Jagdish Sharma. He stated that the initiative is commemorating the saga of sacrifices made by these courageous martyrs, and patriots. The task of renaming the remaining schools after freedom fighters is also in progress. A few of the schools that have had their names changed are Shaheed Baldev Singh Government Middle School Malikpur, Shaheed Gurdial Singh Government Girls Senior Secondary School Jakhauli Shaheed Mulak Singh Government Middle School Polad, Shaheed Girdhari Lal Government Model Culture School Senior Secondary School Siwan, Shaheed Trilok Singh Government Primary School Ramthali and Shaheed Surendra Singh Government Primary School Kakyor Majra. A Tiranga Yatra was conducted on August 15, 2023 as part of the Meri Mati Mera Desh initiative as a way of paying respect to the martyrs. Signposts have been built in the villages as part of this effort, on which the story of the villages martyrs is written. The primary goal of these initiatives is to instil a sense of patriotism. On 15 August of last year, Deputy CM Dushyant Chautala paid a visit to the family of martyr Nishant Malik, a resident of the Hansi regions Dhandheri hamlet who sacrificed his life in J&K. The name of the village school was changed to honour the martyr on the same day. Schools in Haryana will remain closed today on the occasion of the 77th Independence Day celebrations. Chief Minister Manohar Lal Khattar on Tuesday announced that all schools in the state will remain closed on Wednesday, August 16. On the occasion of 77th Independence Day celebrations, the Chief Minister Shri Manohar Lal Khattar declared a holiday on August 16, 2023 for all schools in Haryana," posted CMO Haryana on microblogging site X, formerly known as Twitter. Earlier, schools were closed in Haryanas Nuh due to communal clashes. The schools opened after a hiatus of 10 days. The District Magistrate of Nuh, Dhirender Khadgata had notified, In view of the normal situation in the area, it has been decided to open all educational institutions from August 11. Similarly, bus services of Haryana State Transport are also being fully restored from August 11." Meanwhile, on Independence Day 2023, the central government invited 50 CBSE and KVS teachers from across the country to celebrate the occasion at the Red Fort. The teachers were shortlisted by the department of school education and literacy based on their outstanding performance in their fields. The education ministry recently shared the happiness of attending the 77th day along with Prime Minister Narendra Modi and other dignitaries. One day before the celebration, shortlisted teachers were taken on a tour of India Gate, the War Memorial, and the Pradhanmantri Sangrahalaya. Some national award-winning teachers from Kendriya Vidyalayas and CBSE were present on the occasion. This includes Rekha Mittapalli, Rajni Baliya, S Bharat Ratna, and DK Singh who were invited to participate in the celebrations. Presenting their gratitude to the Education Ministry for the invitation teachers called the initiative a lifetime opportunity. The Himachal Pradesh Education Department has issued an order requesting all schools and colleges remain shut today due to the persistent rain across the state. According to the directive, all government and private schools and universities would stay closed on August 16. The order was put in place with student safety and well-being in mind, according to a statement from the authorities. Schools, colleges, and other educational establishments will all be closed today. For updates on the same, parents and students are urged to get in touch with the respective schools. Arindam Chaudhary, the Deputy Commissioner of Mandi district, has ordered the closing down of all schools and colleges in the region on August 16 and 17. This decision was made owing to road closures in the district caused by severe rainfall and landslides. According to the directive, all private and government educational institutions, vocational training centres, and Anganwadi centres in the district would be closed on August 16 and 17. Schools and colleges throughout Shimla will also be closed on August 16 and 17. Himachal Pradesh University has likewise cancelled all of its classes till August 19, and the University Library has been directed to remain closed until August 20. However, all staff members, both teaching and non-teaching must report to the university. Previously, on August 14, a notice was issued in Himachal Pradesh to close all schools for a single day. However, due to the extreme weather conditions, an order has been made to prolong the holiday. Kangra, Mandi, and Shimla districts have been issued an orange alert while the remaining districts have a yellow alert issued. The same warnings are being issued for today. Since Sunday, Himachal Pradesh has seen torrential rains that resulted in many road closures, landslides, and cloudbursts that have brought about both loss of life and homes. 16 people lost their lives in two landslides that occurred in Shimla on Monday, one at a Shiva temple on Summer Hill and the other at Fagli. Rescue activities are being carried out in the landslide-affected districts of Summerhill and Fagli by the National Disaster Response Force (NDRF), the Army, and the State Disaster Response Force (SDRF). Six more arrests have been made in the Jadavpur University student death case. A total of nine arrests have been made so far. Police have now confirmed six more arrests were made today morning while three arrests were made earlier in connection with the death of the 18-year-old JU student. Raids were conducted by the Kolkata police at different places of Purbo Medinipur and South 24 Parganas last night for securing these arrests. The police arrested four people overnight. Multiple teams of the Kolkata police have conducted the operations. According to the police, four more arrests including both ex-students and present students have been made. Also read| Jadavpur University: The Ragging & Raging Questions After 17-Year-Olds Death | News18 Ground Report The students who have been arrested include Md Arif, a third-year civil engineering student, Md Asif Azmal, a fourth-year electrical engineering student, and Ankan Sardar, also a third-year civil engineering student. Among the ex-students of JU include Saptak Kamilya, Asit Sardar, and Suman Naskar. The police had earlier arrested Deepshekhar Dutta, a second-year economics student, and Manotosh Ghosh, a second-year sociology student, over their alleged role in the incident. Meanwhile, the governor has called a court meeting today in connection with the case. TMCP and BJP youth wing all will sit in a demonstration today in different areas of Jadavpur University. The dean of students and registrar of JU has been asked to meet Joint CP Crime at Lalbazar today at 3 PM. Last week, the police arrested an ex-student who was later produced in the court Alipore Court. The accused is a former MSc student, Sourabh Chowdhury. He was taken into custody for interrogation. An 18-year-old student had died after allegedly falling from the second-floor balcony of the main hostel. He was grievously injured and was undergoing treatment at the KPC Medical College where he succumbed to the injuries. If you or someone you know needs help, call any of these helplines: Aasra (Mumbai) 022-27546669, Sneha (Chennai) 044-24640050, Sumaitri (Delhi) 011-23389090, Cooj (Goa) 0832- 2252525, Jeevan (Jamshedpur) 065-76453841, Pratheeksha (Kochi) 048-42448830, Maithri (Kochi) 0484-2540530, Roshni (Hyderabad) 040-66202000, Lifeline 033-64643267 (Kolkata) The registration window for the special round 4 of Maharashtras Centralised Admission procedure (CAP) for First Year Junior College (FYJC) will commence tomorrow, August 17. Candidates will be eligible to sign up for FYJC special round 4 admissions via the official website- 11thadmission.org.in. Students can get their documents validated and wrap up the application process for enrolment at their assigned college until August 23, according to the timetable issued by the board. Additionally, during this particular period, applicants can alter their selection of colleges. In contrast to the normal rounds, students who do not enrol in the designated college in the special round will not be barred from participating in subsequent rounds. Students from all categories will be allowed to apply for the available seats at minority colleges this time around. A registration fee of Rs 125 must be paid by applicants for round 4 of admission in 2023. Payment options for the registration fee include debit or credit cards, internet banking, and CSC centres. FYJC Special Round 4 Admissions 2023: Schedule New Registration, fill/edit application form and document verification August 17 to August 23 Data processing for CAP allotment August 24 to August 25 Publishing of Allocation August 26 Admission confirmation for CAP August 26 to August 31 FYJC Special Round 4 Admissions 2023: Documents required Candidates who are applying for FYJC round 4 admissions are required to submit the documents listed below at the time of admission. Aadhar Card Domicile Certificate SSC Certificate Marksheets Mobile Number EWS Certificate Category Certificate PwD Certificate The online admission process for std 11 students into all recognised Junior Colleges affiliated to the Maharashtra State Board is carried out through the Centralised Admission Process for First Year Junior College. The state of Maharashtra has been divided into five regions to carry out the FYJC admissions and the regions are Mumbai, Pune, Amravati, Nagpur and Nashik. The Centralised Admission Process (CAP) for students seeking admission to junior colleges (FYJC or class 11) was held online this year. According to sources, the Mumbai Metropolitan Region (MMR) has around 3.7 lakh seats, including Vasai, Bhiwandi, and Panvel. UK Prime Minister Rishi Sunak attended a Ram Katha by spiritual preacher Morari Bapu organised at the Cambridge University campus on Tuesday, which also happened to be Indias 77th Independence Day. Sunak, who turned up as Murari Bapus katha was concluding, said he was not attending the event as a prime minister but as a Hindu. Britains first Indian-origin Prime Minister also highlighted the significance of the event coinciding with Indias Independence Day. Bapu, I am here today not as a Prime Minister, but as a Hindu. For me, faith is very personal. It guides me in every aspect of my life. Being Prime Minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country, Sunak said, opening his address at the gathering. Rishi Sunaks personal faith Sunak began his address with the chant Jai Siya Ram" and expressed his honour to be present at Morari Bapus Ram Katha on Indian Independence Day. Sunak, the first Indian-origin prime minister of the UK, is a practicing Hindu. Sunak said he was proud to be British and Hindu as he reflected upon his childhood years in Southampton where he often visited his neighbourhood temple with family. Not here as PM but as a Hindu, UK PM @RishiSunak greets with Jai Siya Ram as he attends Morari Bapu's Katha at Cambridge University pic.twitter.com/atO95iQjtf Payal M/ / / (@payalmehta100) August 15, 2023 Growing up, I have very fond memories of attending our local mandir in Southampton. My parents and family would organise havans, pujas, aartis; afterwards, I would help serve lunch and prasad with my brother and sister and cousins, said Sunak. Our values and what I see Bapu does each day of his life are the values of selfless service, devotion and keeping faith. But perhaps the greatest value is duty or sewa, as we know it. These Hindu values are very much shared British values, he noted. He also referred to a portrait of Lord Hanuman that featured in the background on the stage and said, Just like Bapu has a Golden Hanuman in his background, I am proud that a Golden Ganesha sits gleefully on my desk at 10 Downing Street." Earlier in 2020, Rishi Sunak shared the special moment when he first lit Diwali diyas outside No. 11 Downing Street as the first British Indian Chancellor in 2020. Who is Morari Bapu? Morari Bapu is a famous exponent of the Ram Charit Manas and has been reciting Ram Kathas for over fifty years across the world. His nine-day Ram Katha at Cambridge University commenced on August 12 with a reception by Barbados-born Sonita Alleyne, the 41st Master and the first woman to lead Jesus College since its inception in 1496, and will run until this weekend (August 20). Born in 1946 in Gujarats Bhavnagar, Bapu still lives there with his family. According to his website, Bapu memorised the entire Ram Charit Manas at the age of twelve and had begun reciting Ram Katha at the age of 14. He belongs to the Vaishnav Bava Sadhu Nimbarka lineage, his website states. After Cambridge, Bapu is scheduled to travel to Nepal for reciting Kathas following which he will recite Kathas in Gujarat and Kerala. Morari Bapu recites his Kathas from Ramcharitmanas, written by Goswami Tulsidas in Avadhi Hindi in the 16th century. As India celebrates its 77th Independence Day on Tuesday, the day is marked by myriad festivities, including kite-flying. People thronging their rooftops and a sky full of kites are a common sight during Independence Day across the country. This year is no different, as neighbours hold kite-flying competitions to celebrate the national holiday. Kite-flying isnt just restricted to a sport during Independence Day but holds cultural and historic significance, especially in the freedom struggle against the Britishers. Lets take a look at this culturally-rich tradition. Why Kite-flying is Popular on Independence Day? Kite flying is a tradition followed in north India, particularly in cities like Delhi, Lucknow, Muradabad and Bareilly. The tradition is so popular in Old Delhi or the Shahjahanabad area where terrace parties are held with kite-flying competitions with Bollywood songs in the background. The sky around Delhi is full of kites, while the terraces in the neighbourhoods of Chandni Chowk, Daryaganj, Hudson Lines, Kingsway Camp, Kamla Nagar and Tilak Nagar are full of onlookers and participants. The tradition also finds its existence in pre-Independent India where it had roots in the freedom struggle. Before India attained independence from the British in 1947, freedom fighters came up with famous slogans like Simon Go Back to protest the Simon Commission in 1928. The slogans became so popular that people across the country began writing it on kites and sending those skyward. Therefore, kite flying symbolised patriotism and mark for a complete and absolute change. The tradition of flying kites with messages written on them is very old. In 1927, freedom fighters flew kites written with Simon, Go Back to protest against the British rule," Nibhul Pathak of Kite Club India, told PTI. Kites have become an expression of independence and a way of resisting colonial power over time and is still practiced today. On every Independence Day, the young and old congregate on rooftops of cities and engage in kite-flying competitions. It has become a cultural motif of the Delhi tradition along with kabootarbazi (pigeon-fighting) and mushairas (a poetic symposium), which is practiced till today. During the late 19th and 20th century, kites were used for military purposes, to spy on enemies, fly lethal explosives against aircraft and for similar motives. Flown in Other Festivals Apar from the Independence Day, kite-flying is also practiced during festivals in North India including Makar Sankranti, Basant Panchami and others. In Gujarat and Rajasthan, kite-flying is a very hugely popular event during the festival of Makar Sankranti, while in Bengal it is flown during events like Vishwakarma Puja, Akshay Tritiya and others. In Punjab, it forms a vital aspect of the Basant Panchami festival that marks the arrival of spring. Ban on Chinese Manjha The Delhi High Court has directed the Delhi Police to ensure there is no sale of Chinese manjha in the national capital during the Independence Day period. Justice Prathiba M Singh noted that the Delhi police has taken several steps to stop the sale of Chinese manjha and even registered 284 cases from February 16 to August 3 in an effort check the menace. It is directed that Delhi Police shall continue to take steps to restrain the sale of Chinese manjha in Delhi even during the forthcoming Independence Day period, which is the kite-flying season, the court said in an order released on Thursday. The court was hearing four petitions by family members of those who died or got injured by Chinese manjha while riding motorcycles. On February 10, the court had directed the crime branch of Delhi Police to investigate the manufacture, sale, purchase and storage of Chinese synthetic manjha, used for flying kites. The Mumbai Police have arrested two college students for posting a video clip of Pakistans Independence Day celebration as their status on a social networking platform, an official said on Wednesday. The two male students from Colaba area here were placed under prohibitory arrest late Monday night and later released after a warning, he said. The teenage students had posted a clip of Pakistans flag on their Instagram story status, according to the Colaba police. A Colaba-based businessman brought it to the polices notice, claiming two residents of the area had posted about Pakistans Independence Day as their story status on Instagram which could affect the social climate. Based on the complaint, the Anti-Terrorism Squad (ATS) tracked down the students late Monday night and brought them to the Colaba police station. The arrest, made under CrPC section 151 (3), was prohibitory in nature, the official said. The police and the ATS questioned the two students and the offensive video, which was forwarded to them by their friend, was removed from their mobile phones, the official added. On the occasion of Independence Day, Isha Foundation launched the Yoga for Stress Management and Holistic Wellness programme in collaboration with Southern Command of Indian Army. Through this collaboration, free week-long Classical Hatha Yoga programmes will be conducted by Isha Hatha Yoga teachers for more than 10,000 serving soldiers in 23 locations in nine states falling under the jurisdiction of the command. The collaboration aims to bring holistic wellness to the soldiers who otherwise undergo a lot of stress under testing conditions. Addressing the inaugural ceremony, Sadhguru, Founder- Isha Foundation, in a video message, said, As soldiers, you have done enough for your physical fitness and wellbeing, but to bring a different level of mental and energetic capability within you, yoga and the technologies for inner wellbeing can make a phenomenal difference. Weve already taught this yogic processes for thousands of soldiers and other forces and also we have trained over 300 trainers within the forces, and now we want to offer this to Southern Command, which is an important part. Isha Foundation, in partnership with the Indian Army, is providing classical Hatha Yoga practices to over 10,000 soldiers across 23 locations in 9 states in 6-8 months. The initiative was launched on Indias 77th Independence Day in the presence of Lt Gen Ajai Kumar Singh, pic.twitter.com/ti1SyM0oiG Isha Foundation (@ishafoundation) August 15, 2023 Later, posting about the initiative on microblogging site X, former Twitter, Sadhguru said, In willingly committing their lives to protect & defend the Nation, our men & women in uniform are offering the highest commitment & the greatest service to the Nation. Most important to enable them to access the magnificent tools that this Culture offers to cultivate balance, stability & inner wellbeing. A Privilege to offer Isha Classical Hatha Yoga to the Southern Command, Indian Army- this will bring a different level of mental and energetic capability within you & will make a phenomenal difference both in terms of how you perform & how you experience your life. Best Wishes & Blessings. In willingly committing their lives to protect & defend the Nation, our men & women in uniform are offering the highest commitment & the greatest service to the Nation. Most important to enable them to access the magnificent tools that this Culture offers to cultivate balance, https://t.co/BaBbEzlxn8 Sadhguru (@SadhguruJV) August 16, 2023 Lt Gen Ajai Kumar Singh, AVSM, YSM, SM, VSM, GOC-in-C, Southern Command inaugurated the ceremony and highlighted the importance of mental wellness in the Indian Army and how yoga can be used to ameliorate mental health issues prevalent in the society in general and Indian Army in particulars. With rising stress, suicides and marital discords, its very important that we connect back with our cultural and spiritual heritage. I am grateful to Sadhguru for helping us connect with our culture, said Lt Gen Ajai Kumar Singh. GOC-in-C spoke to the audience about the fire sheaths of existence as per the Panchkosha theory. The efforts of the Isha Foundation towards joining the cause of mental wellness of Indian Army were noted with gratefulness. The Army Commander enumerated the programs which have been and are in the pipeline with Isha Foundation as the yoga partner to Southern Command. Isha Hatha Yoga teachers, who have undergone an intensive 21-week teacher training programme at the Isha Yoga Center in Coimbatore, Tamil Nadu, will teach classical Hatha Yoga practices such as Surya Kriya and Angamardana to the soldiers. The soldiers will also learn Nadi Shuddhi, a practice that cleanses the nadis the pathways through which pranic energy flows bringing balance and psychological well-being; and Isha Kriya, a 12-minute meditation designed to cope with hectic pace of modern life. The first set of batches with thousands of army participants began on Independence Day in cities, including Mumbai, Pune, Ahmedabad, Gwalior, Jhansi, Secunderabad, Chennai and Bengaluru, among others. The continuity in learning and practice of Holistic Wellness will be ensured further and an intensive Train the Trainers residential programme is also being conducted at Isha Yoga Center, Coimbatore from September 1-14, 2023, where instructors selected from units in Southern Command will be trained as certified Trainers to conduct Yoga Programs in their unit for times to come. Representing HDFC Bank Parivartan, which has partnered with Isha in enabling the well-being of the soldiers, the ceremony was attended by Raja Upadhya, Senior Vice President of HDFC Bank. YEREVAN, AUGUST 16, ARMENPRESS. The Azerbaijani military has again falsely accused Armenia of opening fire on the border, the Armenian defense ministry warned Wednesday evening. The statement issued by the MoD [Ministry of Defense] of Azerbaijan that allegedly on August 16, at 5 p.m., units of the Armenian Armed Forces opened fire towards the Azerbaijani combat positions located in the southwestern part of the border, is another disinformation, the Ministry of Defense of Armenia said in a statement. Not just the Islamic State (IS or ISIS), two other terror outfits Students Islamic Movement of India (SIMI) and Indian Mujahideen (IM) too, were involved in the recent Pune case, indicating regrouping under one umbrella, according to sources from security agencies. ALSO READ | Hi-Tech Terror Attack Bigger than 26/11: What ISIS Duo Was Planning for Mumbai | Exclusive from Intel Sources The National Investigation Agency (NIA) arrested four accused one from Mumbai, one from Pune and two from Thane on July 3. The Pune Anti-Terrorism Squad (ATS) on July 18 arrested two, who were working for the Islamic State Khorasan Province (ISKP) offshoot SUFA and were wanted in an NIA case, from Kothrud. According to sources, direct links are emerging between cases from Hazaribagh, Uttar Pradesh and Maharashtra. They all lead to Saqib Nachan, who served a 10-year sentence for his role in the 2002-03 triple blasts in Mumbai, they said. Arrested accused Shahanawaaz Alam is the key player in the terror plan so far, they added. All old terror outfits that were almost dead in terms of activities have been recycled again. They have done everything cleverly and saved themselves from the agencies. It is good that they were caught, otherwise their plans were deadly, they said. Two arrested by Pune ATS, in connection with NIA case in Rajasthan, wanted to carry out a much bigger attack than 26/11 #Mumbai terror attacks, at multiple locations, top intelligence sources to CNN-News18. #Exclusive inputs by @manojkumargupta #TheRightStand | @AnchorAnandN pic.twitter.com/xO5tEQvIyt News18 (@CNNnews18) August 1, 2023 KEY REVELATIONS FROM SECURITY AGENCY SOURCES They are all hardcore, higher grade radicals trained in IT, cyber, explosives and improvised explosive devices (IED). There were ideological differences between the merged terror outfits, but they decided to start work again. The role of SIMI and IM is clearly visible. They have a foreign handler from Iraq or Syria. In the Pune case, everyone got funding at different times, which is different from the ISIS style. The operatives are getting regular foreign funding. CASE FILES Last years Mangaluru auto blast and the recovery of explosives from Chittorgarh clearly show the presence of foreign handlers, say sources. The accused from these two cases, along with the 2016 Ratlam ISIS case, and old SIMI radicals are linked to the umbrella, they said. A lot of recycled radicals are also in the race. Even those the agencies left after questioning or were not found to be involved have joined the group. Many of the operatives are those who are out on bail in terror cases. They are all jihadis and are trying to attempt something major, he said. Supreme Court on Tuesday ordered status quo on demolition near backside of Krishna Janmasthan Temple in Mathura. The status quo has been ordered for next 10 days. A notice has been issued to Railways and Uttar Pradesh government. Earlier, the Shri Krishna Janmabhoomi Mukti Nirman Trust had moved the apex court against the Allahabad high courts dismissal of its request for a survey of the premises of the Shahi Idgah mosque that neighbours the Mathura Shri Krishna Janmabhoomi temple. What is Status Quo: The phrase means current state of affairs". To prevent any of the parties to a dispute from acting while the matter is still being adjudicated, a judge may issue a status quo order. A bench of Justices Aniruddha Bose, Sanjay Kumar and SVN Bhatti issued notice to the Centre and others in the matter. Let there be status quo as regards the subject premises for a period of 10 days. List after one week, the bench said. The lawyer, appearing for the petitioner Yakub Shah, told the bench that 100 houses have been bulldozed. There are 70-80 houses left. Whole thing will become infructuous. They conducted the exercise on a day when Uttar Pradesh courts were closed, he said. The matter pertains to demolition of settlements near the Krishna Janmabhoomi. (With inputs from PTI) Incessant rains, leading to landslides and flood-like situation made a comeback in several parts of northern India, leaving destruction in states like Himachal Pradesh and Uttarakhand. During rain-related incidents in Himachal Pradesh, at least 71 people were killed in the last three days. This is more than the death toll of the entire month of July, Apart from this, the hill state has seen losses of s Rs 7,200 crore since June 24. All schools and colleges in Himachal Pradesh to remain closed on Thursday, i.e. August 17. The India Meteorological Department (IMD) has predicted more heavy rainfall over Himachal for the next 2 days, and over Uttarakhand for the next 5 days. Trail of destruction Due to incessant rain fall in the last few days, flash floods were seen in Kangra, Shimla and Mandi districts of Himachal Pradesh and Rupnagar district of Punjab. Here, several houses were damaged and a number of people were stuck at various locations. In Uttarakhand, torrential rain has been consistent since the past 48 hours, and this has destroyed buildings, caused landslidesthat breached the national highways to Badrinath, Kedarnath and Gangotri shrines. #WATCH | Onkar Chand Sharma, Principal Secretary, Disaster Management, Himachal Pradesh government on losses due to heavy rainfall in the state" 71 deaths reported in three days from 13th to 15th August. Losses suffered amount to around Rs 7500 crores, it is expected to rise. pic.twitter.com/H9Gl8G86zd ANI (@ANI) August 16, 2023 Rescuers have pulled out another four bodies, including those of a couple and their son, from the debris at a resort in Uttarakhands Lakshman Jhula that was hit by a landslide following heavy rain on Monday. In Punjab, villages in Hoshiarpur, Rupnagar and Gurdaspur districts were inundated following the release of excess water from the Bhakra and Pong dams. Rescue operations Till now, more than 960 people have been rescued in Himachal Pradesh, Uttarakhand and Punjab and over 10,000 people were moved to safer places, the National Disaster Response Force (NDRF) said in a statement. A total of 29 teams of the NDRF have been earmarked for undertaking relief and rescue operations in these states out of which 14 are actively deployed while the rest are on standby. Apart from this, state disaster response units, army, air force, police and local authorities are undertaking rescue operations in the affected areas. Himachal Chief Minister Sukhvinder Singh Sukhu said that at least 1,100 people have been evacuated from vulnerable areas in the Kangra district using helicopters and motor boats. Rainfall predictions In East, light to moderate rainfall is likely over over Odisha until Saturday, Jharkhand till August 18, West Bengal and Sikkim till August 17, IMD said in its latest weather bulletin. Heavy rainfall is likely over Arunachal Pradesh, Assam, Meghalaya, Nagaland, Manipur, Mizoram and Tripura till August 20. Chhattisgarh will also see heavy rainfall activity till August 20, and so will parts of Madhya Pradesh. In the remaining part of the country, there will be subdued rainfall activity for the next 5 days. Mumbai police have arrested a 26-year-old film editor and casting director" for assaulting an 18-year-old girl after she refused physical intimacy. The accused was reportedly arrested from Gujarats surat, where he fled presuming the victim died after the assault that left multiple fractures on her skull. The accused, Deepak Malakar, a resident of Bihar, was arrested on Monday, three days after he fled from Mumbai after the August 11 incident. After the assault, the victim lost consciousness. Believing she is dead, Malakar, who claims he is a film editor and casting director, ran away. The teenager, however, later regained consciousness and reached for help, according to a report by Times of India. After a two-day stay in the ICU, the victim, a first-year college student, is now recuperating in hospital. The teenager had reportedly befriended Malakar on Facebook last year. Around two months ago, Malakar approached the victims parents with a marriage proposal for her. Her parents agreed to it and let the man stay at their 1-BHK flat. During this period the accused tried to initiate physical relations with the victim, who told him that she wanted to complete her studies and try her luck in the Hindi film industry before marrying him, police said. This angered the accused, who took the girl to his friends flat in Versova on August 11 and tried to sexually assault her. When she resisted, he banged her head to the wall and rained blows on her face till she collapsed. Assuming that she was dead, he panicked, locked the flat from outside, and fled the city," said the officer as per the TOI report. However, after an hour, the victim regained consciousness and screamed for help. This alerted the neighbours, who came to her rescue and called the police. Malakar has reportedly confessed to police that he wanted to kill the victim because she had rejected his advances. The accused had switched off his phone, but was communicating with his friends by making calls from local booths and pedestrians phones in Surat. Finally, the ATM withdrawals helped us track down his location on Monday," said the officer. Police have filed a case under sections 307 (attempt to murder), 342 (wrongful confinement), 354 (outrage modesty), and 354 (D) (stalking) of the Indian Penal Code (IPC) against Malakar. In yet another imitative to provide for a more gender-sensitised and equal environment for all, the Supreme Court on Wednesday released a fresh handbook for judges to avoid the usage of gender-stereotypical terms like adulteress", mistress", prostitute", etc. The Handbook on Combating Gender Stereotypes" seeks to empower judges and members of the legal community to identify, understand, and counteract harmful stereotypes about women. Even when the use of stereotypes does not alter the outcome of a case, stereotypical language may reinforce ideas contrary to our constitutional ethos. Language is critical to the life of the law. Words are the vehicle through which the values of the law are communicated. Words transmit the ultimate intention of the lawmaker or the judge to the nation. However, the language a judge uses reflects not only their interpretation of the law, but their perception of society as well," Chief Justice of India DY Chandrachud says in the foreword of the handbook. Where the language of judicial discourse reflects antiquated or incorrect ideas about women, it inhibits the transformative project of the law and the Constitution of India, which seek to secure equal rights to all persons, irrespective of gender," he adds. The handbook provides for alternate terms that shall be used instead of the stereotypical words. For example, adulteress" shall be referred to as woman who has engaged in sexual relations outside of marriage", affair" as relationship outside of marriage", child prostitute" as child who has been trafficked", etc. The handbook also goes on to address the stereotypes that exist in society and also shows the reality behind them. Just like theres a stereotype that it is not possible for a man to rape a sex worker", while the reality is it is possible for a man to rape a sex worker. Sex workers do not consent to engage in sexual relations with any or all men by virtue of their profession. The offence of rape may be made out if the sex worker does not consent for any reason, including the reason that the man was unwilling to pay her. Sex workers are one of the groups which are most vulnerable to sexual violence." Addressing a gathering at the Supreme Court on Tuesday during the Independence Day celebrations, the CJI announced the release of the handbook. Our aim for the future is to ensure that our judicial systems are capable of managing the expectations of the Indian people. Legitimacy of our institutions can be secured only when the courts establish themselves as robust independent institutions, impervious to the identity of the litigant before us, but cognisant at the same time of their context. In this regard, the judges and lawyers should conduct themselves in a manner which will inspire confidence about the independence and the integrity of our legal processes," the CJI said. Recently, the Supreme Court released the Sensitization module for the judiciary on the LGBTQIA+ community and will, very soon, be releasing the Handbook on Combating Gender Stereotypes in Judicial decision-making. These efforts are aimed to ensure that we look inwards, question our biases, and insulate judicial institutions from embodying and entrenching those biases," he added A 20-year-old-youth was allegedly killed when his uncle hurled some explosive material containing pellets on him in Rajasthans Churu district last night, police said on Wednesday. The accused also received injuries in the incident that occurred in Ratan Nagar police station area, said Deputy Superintendent of Police Rajendra Burdak. He said that the accused Jhabar Singh, who hails from Hanumangarh district, is married to a woman belonging to Churu. The woman was living separately for the last three years due to a dispute and Singh alleged that her parents had married her somewhere else. On Tuesday evening, Singh went to the house of his in-laws with the explosive material which he had prepared himself and hurled it at the main gate. When his brother-in-laws son Monu Singh (20) came outside on hearing the sound, Singh threw another set of explosive material in which both of them received injuries from pellets. The police official said that Monu Singh died on the spot while Jhabar Singh escaped in an injured state. He was later caught from an agricultural farm and admitted to a local hospital. The police official further said that the nature of the explosive was not immediately clear and the matter is being further investigated. Genetic mutations sometimes cause human babies to be born with physical defects or deformities. In 2021, Iraq made news when a boy was born with three penises, the first such case reported in the world. Earlier this year, a baby boy in Rajasthan was born with a third arm, protruding from his back. These mutations are more common in humans. There are cases where animals are also discovered to be born with such abnormalities. One such case has been seen in Bihars Jamui district. The locals of Koldiha village in Jamui witnessed a rare occurrence when a cow belonging to one of the villagers gave birth to a calf with six heads and two heads. In most rural places in the country, a cow giving birth to a calf in a house is considered an auspicious event. With this rare birth, the villagers got enthusiastic as they believed it to be a divine intervention. Relatives of villagers of Koldiha travelled from far-off places to see the calf. The calf was worshipped with conches blown, and incense sticks being offered. The joy of the villagers was short-lived as the calf did not survive. The calf was born around 8 pm; and by dawn at 5 am the next day, it tragically died. Its death left a cloud of gloom and disappointment among the villagers of Koldiha. It is not unusual for animals born with deformities to be worshipped in the rural regions of India. In 2020, a goat in Rajasthan had given birth to a kid with an eerie-looking human face. Its face resembled an extremely grumpy old man and left villagers amused. They later started worshipping the baby goat as an avatar of god. According to experts, the goat had cyclopia, a rare birth abnormality in which the genes that normally produce face symmetry malfunction. Enraged over being asked to pay a fine for driving on the wrong side and talking on his mobile phone, a man rammed his car into the police interceptor vehicle, killing an Assistant Sub Inspector (ASI) on the spot and suffering fatal injuries himself, according to police. The driver of the interceptor vehicle was also seriously injured in the incident that occurred on the Jodhpur-Nagaur highway on Tuesday evening. ACP (Mandore) Piyush Kavia said on Wednesday that the accused was identified as Hari Shankar Vaishnav. He was driving to Nagaur from Jodhpur and was also said to be under the influence of liquor when the incident occurred. ASI Bhanwar Lal Bishnoi was on duty on the interceptor vehicle near Ayurveda University along with driver Ashok and constable Manish. He spotted the car coming from the wrong side and the driver talking on his mobile phone, said Kavia. Bishnoi signalled the driver to stop the car and objected to his driving on the wrong side and talking over the phone while driving. The driver entered into an argument with Bishnoi and sped away when asked to pay a fine. Kavia said that after covering some distance, he abruptly turned his car and sped straight towards the interceptor vehicle at an exceedingly high speed. Before the policemen on duty could evade a collision, he rammed his car into the interceptor vehicle, causing it to overturn. Bishnoi was crushed to death on the spot, while police vehicle driver Ashok was seriously injured. Vaishnavs vehicle was badly damaged and he was tossed out of the car, sustaining serious injuries. He was declared brought dead at the hospital. Ashok was being treated for multiple fractures. Two Nepalese nationals were killed and five others injured when a house collapsed at Helang near subsidence-hit Joshimath in Uttarakhands Chamoli district, officials said on Wednesday. There were seven people inside the two-storey house when it collapsed at around 8 pm on Tuesday. Rescue operations were launched immediately after the incident. One of them died on the spot while the other succumbed to injuries at a hospital early on Wednesday, they said. Five people have been rescued from the rubble of the house out of whom two are in a serious condition, he said. The house was built near a crusher unit on the banks of the Andalusians river. People working in the crusher unit were living in the house. The deceased were identified as Anmol (19) and Prince (21), both from Nepal. World Health Organization (WHO) Director-General Tedros Adhanom Ghebreyesus arrived in India on Wednesday to participate in a two-day Traditional Medicine Global Summit in Gandhinagar, Gujarat. Tedros announced his arrival on the social media platform X for the summit that is being co-hosted by the Indian government, which holds the G20 presidency this year. The summit, which will be held alongside the G20 Health Ministerial meeting, is centered around the core themes of evidence and learning, data and regulation, biodiversity, and innovation and digital health. A joint dialogue with the G20 ministers, to be held during the Summit, will focus on the contributions of Indigenous knowledge and traditional medicine to the well-being of societies and economies. Delighted to be in #India for the @WHO Traditional Medicine Global Summit, the Ministerial Meeting on Ending TB in @WHOSEARO and the G20 Health Ministers Meeting. Namaste India! WHO chief wrote on X, formerly known as Twitter. Delighted to be in #India for the @WHO Traditional Medicine Global Summit, the Ministerial Meeting on Ending TB in @WHOSEARO and the G20 Health Ministers Meeting. Namaste India! pic.twitter.com/908fzLAGjR Tedros Adhanom Ghebreyesus (@DrTedros) August 16, 2023 The first WHO Traditional Medicine Global Summit will look anew at the vast potential and applications of traditional medicine amidst important challenges and opportunities to achieve universal health coverage and well-being for people and the planet. In a statement, WHO said the Summit will catalyse political commitment and collective action toward the evidence-based integration of traditional medicine for the health and well-being of people and the planet. The focus on key themes of relevance to traditional medicine will help to chart a roadmap to scale up scientific advances in traditional medicine systems and practices, including research, evidence and learning, policy, data and regulation, clinical practice, innovation and digital health, biodiversity and conservation, and equitable sharing of benefits," the statement added. The Expert Advisory Panel composed of nine members from across WHO regions is advising on the content and coherence of the Summit, and its structure, speakers, outcomes, lessons, and follow-up actions. The Summit will be webcast live on this page, with translations available in all languages of the United Nations and Hindi. YEREVAN, AUGUST 16, ARMENPRESS. On August 16, Minister of Foreign Affairs of the Republic of Armenia Ararat Mirzoyan had a telephone conversation with Sergey Lavrov, Minister of Foreign Affairs of the Russian Federation, the foreign ministry said in a press release. Ararat Mirzoyan emphasized the imperative to prevent the humanitarian catastrophe resulting from Azerbaijan's 8-month-long illegal blockade of the Lachin corridor and the ethnic cleansing in Nagorno-Karabakh. Ahead of the emergency meeting of the UN Security Council, Ararat Mirzoyan emphasized the need for effective use of the existing mechanisms and clear steps aimed at lifting the blockade of the Lachin corridor in accordance with point 6 of the Trilateral Statement signed by the leaders of Armenia, Russia and Azerbaijan on November 9, 2020, and the Orders of the International Court of Justice of February 22 and July 6, 2023. Back in 2022, Soni Razdan had treated fans to some never-before-seen photos of Alia Bhatt and Ranbir Kapoor. This year held significant milestones for the couple. They not only exchanged wedding vows in April but also welcomed their daughter, Raha, in November. Soni took to Instagram to share a reel that recapped the past year and it featured unseen moments of the couple from their time in Masai Mara, including the day Ranbir proposed to Alia and their wedding. Cut to present day in 2023, during an Instagram Q&A session, Alia was asked whether Ranbir was the one behind her profile picture on Instagram. In response, she uploaded another image from their trip to Kenya, captioning it, Yes!!! Even this one.. hes my most fav photographer.. EVER!!" The picture captures Alia, kneeling in front of a bonfire with a jeep in the background, suggesting they might have been on an animal safari. Shes wears a camouflage shirt, khaki pants, and boots, with her hair down and no makeup. On being asked about managing work and parenting, she wrote, Parenting is a lifelong role. . I dont think you can ever have all the answers or be perfect.. all I strive to do is live each day with love and only love.. because theres no such thing as too much love." Not long back, in an interview with Elle, Alia had shared that little Raha is quite attentive. She had said, She is pretty small right now. But, I love reading books as she listens attentively. Im planning to launch storybooks soon. I have a couple of ideas, but I am not very good with language. So I may or may not write them. But, my sister Shaheen Bhatt will definitely be a part of this. The idea is to release a series of nine books, which are based on emotions like joy, kindness, and hope." On the work front, Alia is basking in the success of Rocky Aur Rani Ki Prem Kahani. Alia Bhatt has created a stir on social media after she revealed in a recent video that her actor-husband Ranbir Kapoor would often ask her to wipe off" her lipstick when he was her boyfriend because he liked her natural lip colour. The video in question has sparked a massive outrage on social media, with netizens calling Ranbir a controlling husband". For more info: Alia Bhatt Sparks Outrage As She Reveals Ranbir Asks Her To Wipe Off Lipstick: This Is Toxic Farhan Akhtar has broken his silence on the massive backlash he received for casting Ranveer Singh in Don 3. The director announced earlier this month that Ranveer will be replacing Shah Rukh Khan in Don 3. The announcement was met with divided reactions. A majority of fans were disappointed with the replacement while others were wishing Ranveer the best to fill the big shoes. Addressing the reactions, Farhan pointed out that he faced a similar reaction when he brought in Shah Rukh to fill Amitabh Bachchans shoes as Don. However, Farhan feels Ranveer is going to be amazing as Don. For more info: Farhan Akhtar On Backlash For Replacing SRK With Ranveer Singh in Don 3: When Shah Rukh Did It Welcome 3 has been making headlines for a long time and now the makers have finally announced that the third film in the franchise will be titled Welcome To The Jungle. On Wednesday, Producer Firoz Nadiadwala shared the big news with fans and revealed that Welcome 3 will hit theatres on Christmas next year. FIROZ A NADIADWALA LOCKS CHRISTMAS 2024 FOR WELCOME 3 #WelcomeToTheJungle is the title of the third instalment of #Welcome franchise Producer #FirozANadiadwala has decided to bring the family entertainer in #Christmas2024," trade analyst Taran Adarsh wrote while sharing the big update. For more info: Welcome 3 Is Titled Welcome To The Jungle, Film To Hit Theatres On Christmas 2024 Sonam Kapoor shared a cryptic post just a day after Rana Daggubati issued an apology to her. Rana Daggubati recently claimed that a big big Hindi cinema heroine wasted Dulquer Salmaans time on set on a movie they were working on. He claimed that time was wasted because she was engaged on a call with her London-based partner. Many assumed it was Sonam but Rana issued an apology on Tuesday and cleared the air. For more info: Sonam Kapoor Shares Cryptic Note on Small Minds After Rana Daggubatis Apology Imran Khan on Wednesday gave fans a glimpse behind the scenes of his action thriller film Luck. In a candid Instagram post, Imran shared a series of behind-the-scenes (BTS) photos, offering a sneak peek and revealing an unexpected incident that took place during the shooting. For more info: Imran Khan Shares BTS Photos Of Luck, Reveals He Burnt His Eyelashes During Shoot; Check Post BLACKPINKs Lisa and TAG Heuer CEO Frederic Arnault have been at the centre of attention due to their alleged dating rumours, which have intrigued their fans. The recent sightings together at the Los Angeles airport, have reignited speculation about their relationship. Just a few days back, rumours gained momentum as many speculated that the two are in Greece. However, the latest photograph, showing them sitting together in a private airport lounge, has intensified the curiosity among fans. Lisa & Frederic Arnault in Los Angeles California pic.twitter.com/DcJOtgwXCt blinkwebb (@blinkwebb) August 14, 2023 The rumours escalated when reports emerged that Lisa boarded a private jet owned by Frederic Arnault in New Jersey. This happened after BLACKPINKs two Born Pink shows at MetLife Stadium. Together, they jetted off to Los Angeles, while the other BLACKPINK members, Jisoo, Jennie and Rose took separate routes to Las Vegas for their North American tour stop at Allegiant Stadium. This development has fuelled further speculation about their connection. Earlier, the spotlight was on Lisas Instagram posts during her Greek vacation, after Geraldine Guyot, sister-in-law of Frederic Arnault, shared posts from similar locations alongside her husband, Alexandre Arnault. Fans speculated that the two couple are on a double date, sparking excitement among her followers after witnessing her enjoying quality time with the Arnaults. As of now, both Lisa and Frederic Arnaults representatives have not yet officially confirmed or denied the dating speculation. However, social media users are convinced of their relationship, after pictures of them at various events went viral in the past couple of months. Amidst Lisa and Frederics speculations, earlier their agency had confirmed that Jisoo was officially in a relationship with actor Ahn Bo Hyun. Additionally, rumours suggest Jennie and BTSs V are also together, although theres no official confirmation. Similarly, Rose has been linked to Jeremy Erlich of Spotify. Reportedly, Lisa and Frederic Arnaults romance is said to have started last year, after Frederic was spotted attending a BLACKPINK concert. This year, the alleged couple were seen together in Paris. Lisa started her career in 2016 alongside Blackpink bandmates, Jennie, Jisoo and Rose, and since then the group has given several hits, they are even among the most followed K-pop artists. Guns & Gulaabs is all set to release this weekend. The series features four stellar actors Dulquer Salmaan, Rajkummar Rao, Gulshan Devaiah and Adarsh Gourav and is helmed director duo Raj & DK. The trailer has generated quite the buzz among fans. While fans are looking forward to watching the Netflix series, it has now been revealed that the series trailer has recorded most-viewed Indian series trailer. At the time of reporting, Guns & Gulaabs has garnered over 75 million views on YouTube. Many are touting that this makes it the most-viewed Indian series trailer on the platform to date, a testament to the fan excitement around for this genre-blending series from ingenious writer-creator-director duo Raj & DK. The series is set to present their unique blend of original and unconventional comedy together with romance, crime, action and more, sure to capture audiences with their exceptional storytelling. With an ensemble cast including Rajkummar Rao, Dulquer Salmaan, Adarsh Gourav, TJ Bhanu, and Gulshan Devaiah, the show takes viewers on a journey back to the 90s, where the enthralling and pulpy storyline unfolds in the distinct town of Gulaabganj. Amidst comedic power struggles and revenge plots, the story follows a smitten mechanic, a reluctant heir to a ruling gang, and an officer-turned-agent of chaos. Speaking of their first collaboration with Netflix India, Raj & DK said in a press release, Guns & Gulaabs has been an exhilarating journey for us! This time we went back to our favourite territory embracing the storytelling style of our earlier films (99, Shor In The City). And somewhere along this process, we found ourselves creating a genre-mash in a pulpy, retro style. Netflix has been a like-minded partner sharing the same unbridled passion as us to present this unique, quirky story. And it marks the beginning of an exciting collaboration that we hope audiences will embrace. Guns & Gulaabs is set to release on Netflix on August 18, 2023. Bipasha Basu Singh Grover recently made a big reveal about her daughter Devi in Neha Dhupias live session on Instagram, which was presented by the latters initiative, Freedom To Feed. Bipasha shared that Devi was born with two holes in her heart and that she underwent surgery when she was just three months old. She also revealed conceiving through IVF and that she was all alone when her husband, Karan Singh Grover, had to be away from the city for a shoot and how she refrained from informing her family about Devis health. And now, in an exclusive chat with News18, Neha lauds Bipashas courage for going through one of the toughest phases of her life and speaking about it on a public platform. The big moment of bravery was when she talked about her daughter. Its a lot for you to take in at that point. Five minutes before the live, she told me that she only wants to speak the truth and that she wants to share something. I told her that I would take her to a point where she could share her story if she feels comfortable, she recalls. Neha tells us that looking at Bipasha breaking down during the live session, she too couldnt hold back her tears. Talking about it, Neha says, The conversation was supposed to be about her IVF journey, which in itself is very hard. But then, she told me about Devi and what she went through. And I just kept looking at her. I thought that it was so brave of her to talk about it. People listened to it because of who she is. She didnt hold back. She broke down. I also couldnt hold myself back. For Neha, it was a rather overwhelming moment to hear another mother speaking about the difficulties she braved along with her new-born. To be able to just watch another mother talking about getting the strength to talk and going ahead and doing the surgery felt something else, she remarks. But the conversation went on to inspire many other parents, who have been reaching out to Neha to praise Bipasha and share their own ordeal. You wont believe how many people she inspired! We got so many DMs on our community and we received messages from North America, south India, Maharashtra and different other parts of the world. They wrote their stories and what theyre going through, she shares. Bipasha, on the other hand, has been helping many of them whose children have also been suffering from ventricular septal defect. I was forwarding all those DMs to Bipasha. It took me three days. Bipasha sent them their love and even shared her doctors number. We insisted that she talks about it so that other parents living in fear can go out and fight this like she did, states Neha. Revisiting the live session, the Tumhari Sulu (2017) and A Thursday (2022) actor tells us, My heart definitely went out to her as a mother but I also looked at her in awe because she was brave enough to talk about it. She probably changed a life somewhere. Thats why I say every moms a hero, whether shes the one sitting at home balancing her life and making sure everybody goes to work or to school happy, is well fed and is tucked into bed at night or shes balancing both her personal and professional life or is bouncing back to work. In my daughters language, moms are superheroes without a cape like Harley Quinn (laughs). Alia Bhatt and Ranbir Kapoor are head over heels in love with each other and there is no doubt about it. They have always given fans couple goals. Today, the Gangubai actress held an Ask Me Anything Session on her Instagram stories and answered many questions. Right from Raha to handling her work, Alia replied. Among this, she also shared a picture with Ranbir Kapoor which grabbed attention and went viral in no time. A fan asked her what is the best thing about Ranbir Kapoor. The actress shared the photo in which Ranbir is seen kissing Alia on her forehead and holding her close to his arms. She writes, hes my happy place cause I can be my truest most authentic self with him. Alia was also asked whether Ranbir was the one behind her profile picture on Instagram. In response, she uploaded another image from their trip to Kenya, captioning it, Yes!!! Even this one.. hes my most fav photographer.. EVER!!" The picture captures Alia, kneeling in front of a bonfire with a jeep in the background, suggesting they might have been on an animal safari. Shes wears a camouflage shirt, khaki pants, and boots, with her hair down and no makeup. Take a look here: On being asked about managing work and parenting, she wrote, Parenting is a lifelong role. . I dont think you can ever have all the answers or be perfect.. all I strive to do is live each day with love and only love.. because theres no such thing as too much love." In an interview with Elle, Alia had shared that little Raha is quite attentive. She had said, She is pretty small right now. But, I love reading books as she listens attentively. Im planning to launch storybooks soon. I have a couple of ideas, but I am not very good with language. So I may or may not write them. But, my sister Shaheen Bhatt will definitely be a part of this. The idea is to release a series of nine books, which are based on emotions like joy, kindness, and hope." On the work front, Alia was last seen in Rocky Aur Rani Ki Prem Kahani with Ranveer Singh. She is making her Hollywood debut with Heart of Stone. Shilpa Shetty has given a befitting reply to trolls who slammed her for hoisting the tricolour on Independence Day with shoes on. On Tuesday, Shilpa shared a video on her Instagram handle in which she was seen hoisting the National Flag with her family. Reacting to Shilpa Shettys post, one of the users wrote, When youre hoisting the National Flag, I urge you to touch the rope of the flag only after removing your footwear." Several others also slammed the actress and asked her to remove her shoes. Reacting to the negativity, Shilpa commented on her post and explained that one needs not remove shoes while hoisting the national flag. She asked the trolls to stop spreading negativity and urged them to get their facts right. I am aware of the Rules of conduct while hoisting the flag, the RESPECT for my country and THE FLAG stems from my heart and not up for questioning. I am a proud Indian. Todays post was to share and celebrate that emotion. To all the trollers (that I ignore usually) DO NOT appreciate YOU airing your ignorance and spreading negativity on this Day. So get your facts right and please BACK OFF (folded hands emoji), Shilpa wrote. Several fans also jumped into Shilpa Shettys defence and schooled trolls. Shoes have nothing to do with the National Flag. In defence establishments, the flag is hoisted and lowered daily. All the defence personnel are in full uniform including shoes. Wearing shoes at the time of hoisting or lowering the national flag doesnt lead to disrespect to the national flag," one of the comments read. Later, the actress also took to her Instagram stories and shared a screenshot of a Google search, Can we hoist Indian flag with shoes? The response read, The Flag Code of India does not restrict hoisting of the national flag with shoes on. Meanwhile, on the work front, Shilpa Shetty will be next seen in Rohit Shettys Indian Police Force which stars Sidharth Malhotra in the lead. Besides this, she is currently also seen as a judge in Indias Got Talent. The Marvels has already sparked intrigue among global audiences with the power-packed team-up of Captain Marvel, Ms. Marvel, Monica Rambeau, and Nick Furys bold and stronger return to the Marvel Cinematic Universe. While the lead cast is bound to have everyones attention, fans are also curious about Park Seo Joons role in the film. The South Korean actor will be making his MCU debut with the film. A few weeks ago, when The Marvels trailer released, fans were thrilled to spot the actor and it led to innumerable theories. Now, director Nia DaCosta and producer Mary Livanos finally shared some details on the K-drama stars role. Producer Mary Livanos revealed, Hes a definite ally to Carol Danvers. Someone from her past that is meaningful as a friend to her, and so he and his people are important to Carol. Director Nia DaCosta added, His character is really awesome, and [its] really fun when you realise his relationship to our hero". Opening up about the planet of Aldana appearing in Marvel Cinematic Universe, the director added, It was really important to me that every planet felt like a completely different space in terms of colour, lighting, and just energy because if you have the entire universe at your disposal, the planets cant feel the same. Thats one that is very much high-key, colorful, bright." Fans are speculating that Park Seo Joon plays the role of Prince Yan of the vibrant planet Aldana where the habitants communicate only through rhythms. The theory stemmed from a scene in the trailer in which Park Seo Joon and Captain Marvel were seen dancing. The director also fueled the intrigue around the theory by calling the planets Bright worlds that you havent seen before." Starring Brie Larson, Iman Vellani, Teyonah Parris, and Samuel O Jackson in pivotal roles, Marvel Studios The Marvels also stars Seo-Jun Park and Zawe Ashton in Pivotal roles. The Marvels will release in theatres this Diwali in English, Hindi, Tamil and Telugu. The buzz around the Hrithik Roshan and Deepika Padukone-starrer Fighter has been constant since its announcement. Fans are eagerly waiting to see them two superstars in a fresh pairing. Directed by Siddharth Anand, Fighter is being hailed as Indias first aerial action film and also features Anil Kapoor in a pivotal role. On Independence Day, the makers released a motion poster. Deepika Padukone shared the video on her social media. Now, Shah Rukh Khan has taken to social media to give the team a huge shoutout. Taking to X and sharing Hrithik Roshans post of the teaser, he wrote, Wow this Threesome of @iHrithik @deepikapadukone @AnilKapoor is looking Awesome. All the best Duggu and Sid. Keep winning the fights, both of u.with love." Wow this Threesome of @iHrithik @deepikapadukone @AnilKapoor is looking Awesome. All the best Duggu and Sid. Keep winning the fights, both of u.with love. https://t.co/kwT5fjBac8 Shah Rukh Khan (@iamsrk) August 16, 2023 After WAR and Pathaan, Siddharth Anand is gearing up for yet another grand release with Fighter. Fighter marks Hrithik and Siddharths third film together. The duo previously worked together in Bang Bang (2014) and War (2019) co-starring Tiger Shroff and Vaani Kapoor. Fighter is also the second time that Siddharth will be working with Deepika Padukone. They previously joined hands for Pathaan (2023), headlined by Shah Rukh Khan and co-starring John Abraham. This will be the first time that Hrithik and Deepika will be sharing the screen. Besides this, it also promises to be Indias first-ever aerial action franchise. The film will hit theatres on January 25, 2024. Following the record-breaking success of Pathaan, Shah Rukh is all set for the release of his action-packed film, Jawan. It features a stellar cast including Nayanthara, Vijay Sethupathi, Sanya Malhotra, Priyamani, Sunil Grover, and others. Deepika will also make a special appearance in the film. There may come a time when we believe we have reached the end of the road in the darkest night. That will be the moment when dazzling new day dawns on our horizon these lines aptly depict Kashmirs situation on August 4, four years back. Can anyone in this digital age world imagine movies not being played in theatres, not having a mall in the entire state, getting pelted with stones on roads, school children not being able to go to schools regularly, citizens unable to unfurl the national flag on republic day, and people unable to celebrate festivals peacefully? Many more such abnormal things were considered normal for the people of Jammu and Kashmir and Ladakh. Everyone lost hope for the future of the land and people. The abrogation of Articles 370 and 35 A on August 5, 2019, was that final hope The dawn happened four years back, the dark clouds have started to clear and the people of J&K and Ladakh are finally witnessing a new dawn on all fronts under the Narendra Modi government. Change in four years Jammu & Kashmir is the pride of every Indian. Together we have to take J&K to new heights, PM Narendra Modi had said. After the abrogation of Articles 370 and 35 A, the Modi government took many initiatives since 2019 which led to a paradigm shift in Kashmir. All central laws became applicable in J&K like the Child Marriage Act, the Right to Education, reservation law, land reforms, etc. Safe borders, Safe citizens, Safe valley J&K is now witnessing peace and stability. Terror incidents have come down from 418 in 2018 to 26 in 2022. Terror incidents in the valley have decreased by 50 per cent since 2018. Stone pelting incidents have been reduced to near zero from 1458. Infiltrations into the valley have almost nullified. The District Development Council elections were conducted in 2020 for the first time. Panchayat elections will be held later this year. People are finally able to utilise their rights in a democracy after 73 years at the grassroots level also. Sab Ka Saath, Sab Ka Vikas, Sab Ka Vishwas Could anyone even think in his dreams that J&K would get proposals of investments worth Rs 66,000 crore, providing jobs to over 2.93 lakh youth? It is a reality now. Modi government has commenced a business revival package with over Rs 1300 crore. J&K received all-time high investments of Rs 1547 crore in 2022-23. J&K Infrastructure Development Finance Corporation Limited was formed in 2018 and 2375 projects at the cost of Rs 7110 crore have been approved. The scheme for the industrial development of J&K was launched with Rs 28400 crore outlay. The UT received Rs 14,000 crore investments between 1947 to 2019 and humongous Rs 81,222 crore investments in four years from 2019-2023. Exports of Kashmiri handcrafts touched an unexpected record-setting of Rs 1116 crore. Lt Governor Manoj Sinha laid the foundation stone of the first international mall in Jammu and Kashmir Mall of Srinagar. It is the first significant Foreign Direct Investment in J&K. Through Prime Ministers Development Package, 29 projects have been completed and 53 projects of Rs 58,477 crore in Jammu & Kashmir and 9 projects of Rs 21,441 crore in Ladakh are under progress. 8.45 km long new Banihal Tunnel has been opened this year. Under PM Gram Sadak Yojana, 18001 km of roads were constructed covering 2074 places. Water connectivity has been enhanced through the Main Ravi Canal, Tral Lift Irrigation Scheme and comprehensive flood management plan of river Jhelum. J&K became Open Defecation Free under the Swachh Bharat Abhiyan. The government initiated the largest-ever recruitment drive in a transparent manner for which an Accelerated Recruitment Committee (ARC) was constituted for identifying Gazetted, Non-Gazetted & Class-IV posts in the UT of J&K. Since 2019, a total of 29,813 persons have been recruited. In addition, 5523 vacancies have been referred to Recruiting Agencies. Jammu is the only city to have IIT, IIM and AIIMS. Seven medical colleges, two state cancer institutes and 15 nursing colleges have been operationalised in J&K. Two AIIMS, one for each division, were sanctioned with an estimated budget of Rs 2000 crore each. The number of Government Degree/Engineering Colleges has increased from 96 to 147. Kashmiriyat Azadi Ka Amrit Mahotsav shall be celebrated in 75 offbeat destinations to boost rural tourism and local culture. A record high of 1.88 crore tourists visited the UT in 2022. The G20 Working Group meeting was held in Kashmir from May 22-24. It was the first-ever global diplomatic event to be conducted peacefully till now in Kashmir. 25,000 Kashmiri Muslims conducted the Muharram procession peacefully through the heart of Srinagar through Laal Chowk after a gap of 34 years, joined by Lieutenant Governor Manoj Sinha. Kashmiri Pandits celebrated Navreh after 32 years. About 31 years ago, Narendra Modi along with veteran Murli Manohar Joshi hoisted the national flag for the first time in Lal Chowk. And last year, on Independence Day, a 750-square feet National flag was displayed at Lal Chowk. Paradise on Earth This is not Switzerland or Austria. This is India, and this is Kashmir where the G20 will take place. Its called the paradise on Earth, a place that has preserved the Earth and can be the solution to climate change. In Kashmir, we see Muslims, Hindus, Sikhs, and Christians all living in peace and enjoying their diverse land while contributing to world innovation and development for the future, British-Arab influencer, Amjad Taha, had said earlier this year. After four years of abrogation of Article 370, there is perceptible progress in security along with significant improvement in infrastructure and economy. The environment of guns, stone pelting, radicalism and fear has faded significantly. People no longer talk about only these aspects. There is a sense of safety and enthusiasm regarding Kashmir. There is a visible improvement in all aspects like infrastructure, educational institutions, healthcare, trade, connectivity investments etc. Participation of people in elections has increased. Citizens are following and enjoying their own local traditions happily. The streets of Kashmir, which once witnessed stone pelting, are now bustling with life and activities. The perception of Kashmir has changed from both internal and external views. People now talk about the progress achieved in all aspects and mainly the beauty of Kashmir which can be attributed to the flourishing tourism. The pride, patriotism and harmony of Kahmir is fully visible. This is the Kashmir which was envisioned by Syama Prasad Mookerjee who sacrificed his life for the same cause. The vision has now become true under PM Narendra Modi-led BJP government. Be it democracy or the resolution of development, today Jammu & Kashmir is setting a new example for the whole country the words of Prime Minister Modi stand true. The author is Delegate of BRICS Political Parties Plus Dialogue Summit, State Executive Committee member, State Media Panelist & State In-charge of Policy & Research of Mahila Morcha, BJP Andhra Pradesh. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect News18s views. YEREVAN, AUGUST 16, ARMENPRESS. The Azerbaijani Defense Ministry continues to disseminate disinformation, the Armenian Defense Ministry warned Wednesday evening. The statement disseminated by the MoD of Azerbaijan that allegedly on August 16, at around 6:20 p.m., units of the Armenian Armed Forces discharged fire against the Azerbaijani combat positions located in the eastern part of the border, does not correspond to reality, the Armenian Defense Ministry said in a statement. Azerbaijan released three different fake news reports on August 16: twice falsely accusing Armenia of opening fire on the border and falsely accusing Armenia of an attempted raid. All accusations were denied by the Armenian authorities and described as disinformation. On August 14, Pakistan marked the 76th anniversary of its Independence Day amidst continuing uncertainties and imponderables. The Pakistan Democratic Movement (PDM) government, led by Prime Minister Shehbaz Sharif, had dissolved the National Assembly on August 9, three days ahead of the expiry of its term. Pakistans constitution requires that national elections be held under a caretaker government to be decided, if possible, through an agreement between the prime minister and the Leader of the Opposition. In this case, that was to be between Sharif and Raja Riaz. Riaz was a member of the National Assembly belonging to former Prime Minister Imran Khans Pakistan Tehreek-e-Insaaf Party (PTI) but became a dissident. He voted for the no-confidence vote against Khan in April 2022 and did not resign from the Assembly when the Khan loyalists did. Thus, he became Leader of the Opposition, although a weak one. This helped Sharif and him coming to an agreement on the name of the caretaker Prime Minister. Sharif and Riaz settled on the name of Senator Anwar-ul-Haq Kakar who is a little-known politician belonging to Baluchistan, although he is a Pushtoon himself. Kakar was given the oath of office of Prime Minister by President Arif Alvi on August 14. He will now form a caretaker government. Its main constitutional role would be to carry on routine administration and help the Election Commission to conduct free and fair elections. The constitution mandates that these should be held within ninety days of a pre-mature dissolution of the National Assembly but it is unlikely that this stipulation would be adhered to. Pakistans Council of Common Interests, which consists of the Central and the Provincial Governments decided, earlier this month, to accept a digitally conducted census. This would require the delimitation of constituencies. It would not be possible to conduct this exercise within three months. Hence, elections will be delayed till perhaps the first half of next year if not later. The PTI-friendly Pakistan Supreme Court Chief Justice Umar Ata Bandial will be retiring next month. His successor, whose appointment has already been announced, will be Faez Isa. While he may insist that elections be held at the earliest, it is likely that he will show a degree of leeway to the anti-PTI parties and also the Army by not creating judicial embarrassments for the delay in the elections. The outgoing PDM government amended the constitution empowering the caretaker administration to take economic decisions relating to ongoing bilateral and multilateral arrangements with foreign countries. This was also a clear indication that elections would not be held by November, as constitutionally required. There is another, and certainly a most important angle, to the timing of the next election. After the PTI supporters violent protests of May 9 in which many military installations, including the residence of Corps Commander Lahore, were entered and partially ransacked, there is no way Army Chief Asim Munir can contemplate a situation in which the PTI, either led by Imran Khan or otherwise, comes to power. The Army had then stated that what the external enemies of Pakistan (read India) were never able to achieve, internal irresponsible political parties were able to do so. Thereafter, the Army targeted senior PTI leaders, some of whom left the party. It also encouraged the PDM government to proceed with corruption cases against Imran Khan. Khan has been convicted in the Toshakhana case. He was awarded a prison sentence and has been imprisoned. Consequent, to his conviction he cannot contest an election for the next five years unless the judiciary intervenes to reverse the decision. The question to which no one has a definite answer relates to the toll that these judicial actions and conviction has taken on Khans political popularity in the crucial province of Punjab. The assumption is that with Khan out of electoral reckoning, the exodus of leaders from the PTI would continue and the party will become ineffective in the coming elections, if not implode. Asim Munir would like that to happen. For that too, a delay in the elections would be useful. It would give the Army time to wean away Khans support from the grassroots by painting him as so ambitious that he is willing to damage Pakistan by creating a rift between the Army and the people. The basic message being sent out by Asim Munir is that no one can create fissures between the Army and the people. He strongly reiterated this signal during his address on August 14 at the Azadi Parade on Independence Day at the Pakistan Military Academy at Kakul. Munir said, The Army and people are one. In what can only be considered as an attack on Imran Khan and the PTI, he said, We continue to withstand the evil designs of the inimical forces, forces of instability and chaos which are eager in their failed attempts to undo Pakistan. It is never easy to manage elections but the Pakistan Army has the instrumentalities to attempt to do so. In any event, Asim Munir knows that it is either him or Khan. Both cannot be in the same Pakistani scabbard. Hence, a delay in the elections is inevitable. During the coming months, the traditional mainstream parties will begin reaching out to their traditional constituencies and also attempt to expand their footprint. The PML(N) would also like to bring back Nawaz Sharif from his London exile to Pakistan. It is uncertain how that will play out. While the politics of the parties will take place, for India, the issue would be, as it always is, how will the Army conduct itself. This question acquires an urgency because Asim Munir has not given any firm indication of the kind of relations he would like with India. A glimpse of his attitude towards India was revealed in his Kakul speech. After spewing the usual Pakistani venom against India on Jammu and Kashmir, Munir referred to India-Pakistan ties. Even if allowances are made for the occasion and the venue of his speech, Munirs formulations reeked of complete hostility against India. He repeated the hackneyed accusation that India has never reconciled to the idea of Pakistan. He went on to say, The strategic calculus of our arch-rival skewed by its out-sized ambition carrying an illusion of being a great power and blinded by Hindutva driven hyper-nationalism needs serious attention of the world. What Munir and the generals should know is that the world is not blind to the great contrast between India, which is making steady progress and seeking to maintain balance in a complex and diverse society and Pakistan, which has promoted terrorism which is now biting it. This was seen when Munir reminded the Afghan Taliban of the hospitality that Pakistan had given to the Afghans and, at a minimum, expected that it would not allow Afghan territory to be used against Pakistan. The Pakistani generals swagger of two years ago, when with their assistance, the Afghan Taliban gained a military victory has now been replaced by pleading with them to desist from assisting the TTP. The generals are only getting a taste of their own medicine! What is obviously most painful to them is that it is being given by the beneficiaries of Pakistani policies. But then such are the vagaries of statecraft and interstate relations. While Pakistan has to set its house in order, yet, its capacity for irrational conduct can never be ruled out. The history of India-Pakistan relations bears witness to the veracity of this assessment. Hence, in the coming months, India will have to be alert and cautious especially as Asim Munir will look upon India holding the G20 summit and its economic progress with great envy. The writer is a former Indian diplomat who served as Indias Ambassador to Afghanistan and Myanmar, and as secretary, the Ministry of External Affairs. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect News18s views. It really astonishes me to see how even the so-called intellectuals of the country wax eloquence on a given topic without doing their basic homework or verifying the relevant facts of the issue at hand. The result is that they get it all wrong as the noted writer Chetan Bhagat does in his article, How Much Hate is Too Much (TOI, July 28, 2023). His article suffers from a lack of elementary knowledge, makes sweeping but erroneous assumptions and consequently comes to conclusions that are faulty, to say the least. The drop head to his article states that it doesnt take much for a soft, seemingly harmless bigotry to turn into something reprehensible. True, what happened in Manipur the violent killings, the burning of houses and especially the parading of naked women was reprehensible. But that is where the facts end. The rest is all conjecture that stems from hearsay and weak logic. To begin, the Manipur violence is not about majoritarianism or Hindutva. Therefore, Chetan Bhagats attempt to link the two to formulate an opinion stands on shaky ground. The discord in Manipur is essentially a conflict between two tribes the Meiteis and the Kukis and such hostilities are common in the Northeast. The Meiteis are predominantly Hindu (83 per cent) but there are a significant number of Meitei Muslims, Christians and Sanmahis as well. The Kukis mostly follow Christianity, however, this has not prevented Kukis from attacking Christian Meiteis or razing down Meitei churches. Religion is not the driving force behind this enmity. With Chetan Bhagats basic premise demolished, all his other theories about Hindu entitlement, bigotry and soft Hindutva fall by the wayside. He states that a small section of hardline Indians (read Hindus) want to expel every minority from India. By the same token, there is a small section of Muslims who want to turn India to Ghazwa-e-Hind and a section of the Christian clergy who desire to convert the entire Hindu community. It is important to understand that all ideologies and religions comprise a wide spectrum of thought with extremes of interpretations. Naxalites, an offshoot of the Communist movement, indulge in systematic and widespread massacre of police and civilians and then we have terrorists who kill in the name of religion. But do we blame all Communists and hold the primary religion responsible for the misdeeds of a few? The answer is in the negative. Similarly, the Hindutva movement comprises a wide spectrum. At the periphery are people who hold extreme views, and detractors have made every attempt to promote these extremists as representative of this philosophy. The so-called gau rakshaks who indulge in lynchings, or the lumpen elements who rape and maim at will, are not the true votaries of Hindutva and do not reflect the majority view. Attempts to equate the two is rank misinformation. Amartya Sen, the Nobel Laureate (no fan of Hindutva) concedes this point in The Argumentative Indian (p.53): while the hard core of Hindutva advocates is relatively small, around them cluster a very much larger group, whom I will call proto-Hindutva enthusiasts. They are typically less zealous than the Hindutva champions and are opposed to violence in general (and are typically put off by it) . Ignorance and malicious deception have contributed to making Hindutva (Hindu nationalism) a much-misunderstood and much-maligned ideology. Often, terms like militancy and fundamentalism are used in association with this movement. Therefore, it is important to know what Hindutva is really about. It has developed over hundreds of years and by a series of well-respected thought leaders. I will try to explain in simple terms what Hindutva really stands for. Hindutva defies precise definition, especially in an alien language like English. People have tried to define it in different ways. Some claim that it is a combination of Hindu and tattva (principles). The closest description of Hindutva in English would be Hindu-ness. To me, it is a feeling; a state of mind that has evolved from a deep sense of hurt and one which seeks dignity and justice with civilized assertiveness. While the term Hindutva was coined by Veer Savarkar, a Hindu nationalist and freedom fighter (against British colonialism) in the 1920s in response to Muslim extremism, the concept of a need for Hindu assertiveness dates back to the times of Shivaji. Shivaji was a 17th-century Hindu chieftain from central India who repulsed the tyrannical Mughal rule of Aurangzeb and restored Hindu primacy to the greater part of India. Indian history from the 7th century onwards till the 20th century has been one long, tragic story of repeated foreign invasions, inhumane butchery of millions of innocent Hindus, senseless destruction of hundreds of Hindu temples, and economic devastation that reduced one of the richest countries in the world to unimaginable penury. Islamic invaders who reached Indias borders in the 7th century A.D. gave a new meaning and a new dimension to the words destruction, loot, repression and human carnage. The brutalities of this era are clearly corroborated by world historians and are not the fantasies of Hindu nationalists as some in India claim. American historian Will Durant in The Story of Civilization categorically states that the Islamic conquest of India is probably the bloodiest story in history. He adds, It is a discouraging tale, for its evident moral is that civilization is a precarious thing, whose delicate complex of order and liberty, culture and peace may at any time be overthrown by barbarians invading from without. It was in this setting that the process of Hindutva began taking shape. I would have considered it perverse had such all-consuming evil evoked no resistance or response from the Hindus. I would have considered the Hindus effete had they not rebelled against this injustice. It rankles me even today when people try to gloss over these atrocities or attempt to mitigate the magnitude of these crimes. I am willing to forgive, but I am not willing to forget. For to forget is to leave the door open for these atrocities to recur. Subsequent exploitation by the British further helped to strengthen this suspicion of foreigners and their motives. Independence from the British in 1947 held forth a lot of promise for the Hindu: at last, almost one thousand years of subjugation was coming to an end. Little did he realise that he would continue to be at the receiving end (see the chapter Hindu Anger) as a result of a warped philosophy of Nehruvian secularism, which was the result of the fear of Nazism and ignorance of Hindutva. When one views Hindutva against this background, one realises it is not about superiority. By no means it is a hate rant. But I must admit that it is not poetry of love. Rather, it is a pragmatism that is destined to confer on the Hindu his lost sense of pride, and ensure the protection of his interests. It is the agonising cry for justice and dignity of people long suppressed and tortured; a cry that embodies the agony of the past and a new-found confidence of the present which together hopes to ensure a secure future. That it is not directed against other religions was made clear even by Savarkar (denigrated as the epitome of hatred) in his treatise, Hindutva: Therefore even from the point of Indian nationality, must ye, O Hindus, consolidate and strengthen Hindu nationality; not to give wanton offence to any of our non-Hindu compatriots, in fact to anyone in the world but in just and urgent defence of our race and land; to render it impossible for others to betray her to or subject her to unprovoked attack by any of those Pan-isms that are struggling forth from continent to continent. The early 1900s were not an age of political correctness and one could have got away with anything one wished to say. The fact that Savarkar expressly underlines his commitment not to offend others is a clear indication that it was not hate that spawned this philosophy. To oppose foreign invasion, to oppose suppression of people is the moral duty of the civilized world. This was and is the basis of Hindutva. The intensity of the morality behind this concept cannot be questioned and I challenge the detractors (which includes professors and so-called academics) of Hindutva to counter this with rational argument, if they have any. The Supreme Court of India, in one of its verdicts in 1995, re-emphasised what the proponents of Hindutva have been saying all along: it is not a negative philosophy; it is not directed at others. Here is the conclusion of the court: It is a fallacy and an error of law to proceed on the assumption that any reference to Hindutva or Hinduism in a speech makes it automatically a speech based on the Hindu religion as opposed to other religions or that the use of the word Hindutva or Hinduism per se depicts an attitude hostile to all persons practising any religion other than the Hindu religionand it may well be that these words are used in a speech to emphasise the way of life of the Indian people and the Indian cultural ethos. There is no such presumption permissible in law contrary to several Constitution Bench decisions. Neither do Hindus exhibit a sense of entitlement. If this were so, there would be provisions favoring them in the Constitution as we see in Pakistan and Bangladesh where all non-Muslims are relegated to second-class status as per the Constitution of those countries. All that the Hindus want is equal rights for all, including Hindus. That is why the BJP is asking for a Uniform Civil Code, not a Hindu First injunction. Finally, there can be no distinctions like hard or soft Hindutva. Hindutva per se is non-violent: a civilized assertiveness for justice. If there was truly a hard Hindutva, it would have resulted in a hundred Hindu terrorist groups sporting AK-47s, indulging in the type of ethnic cleansing that we saw in Kashmir wherein a quarter million Hindus were driven out of their homes. In conclusion, Hindutva is not bigotry and neither do Hindus have a sense of entitlement. Hindus alone need not feel apologetic or accept blame for the happenings in Manipur. This is false messaging aimed to burden Hindus with a guilt complex and create confusion in their ranks. The happenings in Manipur is a matter of shame for all Indians. The writer is a US-based author. Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect News18s views. The New York Times is at it again. A week before India celebrated its 77th Independence Day, it came up with another alarmist article, titled India Is On The Brink (9 August 2023). The latest provocation was the violence in Manipur. The article, written by Debasish Roy Chowdhury, a Hong Kong-based Indian author, is deeply problematic and propagandist. It says that the fuse for the current unrest in Manipur was lit by the politics of Hindu supremacy, xenophobia and religious polarization championed by his (Modis) Bharatiya Janata Party. Its ironical to see a Hong Kong-based author criticising democracy in India! It continues, In Manipur, Christians are bearing the brunt as the states BJP government stokes the insecurities of the majority ethnic Meitei, who are predominantly Hindu. State leaders have branded the Kuki who populate the hill districts and who are mostly Christian as infiltrators from Myanmar, blamed them for poppy cultivation intended for the drug trade and evicted some of them from their forest habitats. Even a cursory look at the history of violence in Manipur, including the current one, would show that its a battle between two ethnic groups of Manipur, and the religion aspect is peripheral. The mischievous attempt to project the violence as a Hindu-Christian battle, in which Christians, which tribal Kukis have converted into in the last one century, are facing the persecution of the worst kind is to give the rising, new India a bad name globally. It fits into the vastly jaundiced Western narrative, of which NYT is a prominent flagbearer, showcasing India to be currently passing through a nightmarish majoritarian phase. While reading the NYT article, one would assume that a particular community (read Christian Kukis) is at the receiving end of violence. The truth is that both communities (Christian Kukis and Hindu Meiteis) have been grievously hurt and brutalised, with one set of atrocity dwarfing the other. Also, to give the ongoing Manipur crisis a political colour is to turn a blind eye to a long history of violence in the state; in fact, since 2014, the situation in the region has seen a discernible improvement. For the first time in 75 years, it finds itself in the mainstream of the Centres development initiatives, and not on the margins. There was a time when the Manipur valley would find itself being forcibly blocked and cut-off by insurgent groups from the rest of the country for months at a stretch. As for poppy cultivation and large-scale infiltration from Myanmar, especially since the tumultuous resumption of the military rule in that country, these are open secrets in Manipur and have been confirmed by several independent, non-partisan sources. The fact is that the current violence in Manipur was the result of a court ruling in favour of granting Meiteis a tribal status and thus the resultant benefits that have long been enjoyed by the Kuki and other hill tribes. This, in itself, is a vindication that the violence isnt a majoritarian project. The NYT, by brushing aside these obvious realities, brandishes both its ignorance and inherent anti-India bias. As for author Debasish Roy Chowdhury, he has had a palpable anti-India, anti-Modi predisposition for a while now. Last year, when there was no crisis in Manipur, he still condemned Modis India as a country Where Global Democracy Dies. And in his 2021 book, To Kill a Democracy: Indias Passage to Despotism, co-authored by John Keane, he refused to call India the worlds largest democracy. India is the worlds largest case of endangered democracy, he wrote disdainfully. Worse, he, among other equally ludicrous things, tried seeking Indian democracys legitimacy through the statement of Periyar EV Ramasamy, Tamil founder of the so-called Self-Respect movement, who wanted Brahmins to be driven away from this land (Tamil Nadu), directed his followers to burn the pictures of Nehru and Gandhi and the Constitution of India, and also advocated the beating and killing the Brahmins, besides burning their houses. The all-pervasive Islamo-missionary-Western narrative, blindly swallowed and pushed forward by Left-liberal Indian intellectuals, of diminishing religious freedom in India needs to be countered head on. First, lets go by numbers and numbers never lie. The growing minority population in India exposes the big majoritarian lie being pedalled by mythmakers of the West and their Indian field agents. While the Muslim population in India, between 1951 and 2011, grew by 4.4 percentage points to 14.2 percent of the population, Hindus declined by 4.3 points to 79.8 percent. Even in Gujarat, the so-called laboratory of Hindutva, the numbers have not seen any dip. In sharp contrast, Hindu population in Pakistan and Bangladesh has seen a sharp decline in the past seven-and-a-half decades. The reality is theres no country in the world that has been culturally and civilisationally so welcoming and accommodative and legally and constitutionally, since 1947, so tilted in favour of minorities. Historically, India has provided refuge to all persecuted communities from Zoroastrians to Jews that have not just retained their traditional way of life but also flourished in the Indic ecosystem as never before. Post-Independence, too, the minorities have officially received equal, if not the preferential, first claim on resources treatment. In India, this first claim on resources, especially for the largest of minorities, is government agnostic; in fact, it continues with a greater zeal during the current Hindutva government at the Centre. Numbers again dont lie. So, in the last eight years of the Modi government, the Muslim community, comprising just over 14 percent of Indias population, received 31.3 percent houses under the Pradhan Mantri Awas Yojana, 33 percent of funds under the PM-Kisaan Samman Nidhi Yojana, and 36 percent of loans under the Pradhan Mantri Mudra Yojana. Then there is a PM Shadi Shagun Yojana, exclusively for Muslim girls, under which they would get Rs 51,000 if they complete graduation before marriage. To add to them other monetary benefits for minorities, from scholarships for Muslim students to monthly stipends for moulvis, and the appeasement cycle becomes all-pervasive. Appeasement is still fine. But whats truly unsettling are the legal-constitutional discriminations against the majority community that continue unabated during the so-called majoritarian government in India. While Indias minorities are free to run their religious and educational institutions unhindered by State interference, Hindus having no such freedom find themselves being constitutionally-legally mandated to be treated as the second-class citizens in their own country Anand Ranganathan calls them the eighth-class citizens subject to state-sanctioned apartheid in his just-released book, Hindus in Hindu Rashtra. So, why does NYT fail to see whats so obvious, statistically and otherwise: That there is no discrimination, far less persecution, of minorities in India. In fact, if anything the majority community has been at the receiving end of political appeasement in favour of Muslims as well as legal-constitutional discrimination against Hindus. But, as the NYT logo suggests, the American newspaper prints only those news reports and analysis that it thinks are fit to print. No wonder, it was unabashedly pro-Hitler in the 1930s, serving as a sturdy fount of Dr Goebbelss propaganda, or accusing Poland of starting World War II by invading Germany, or, worse, downplaying the Nazi Holocaust, as Ashley Rindsberg writes in The Gray Lady Winked. The NYT management, in the name of printing all the news thats fit to print, chose to conceal the brutal, inhuman side of Nazis in the 1930s and 40s. Following the same template, it today prefers an autocratic Xi Jinping over a democratically-elected Narendra Modi. For it, Chinese hegemony is another name of democratic benevolence, and Indian pluralism is nothing but the worst of Hindu majoritarianism on display. (This is Part 1 of a two-part series. The second part will analyse how Indias majority community has been legally and constitutionally discriminated against in the past seven decades.) Views expressed in the above piece are personal and solely that of the author. They do not necessarily reflect News18s views. In a departure from tradition, the Bharatiya Janata Party may declare its candidate list for the upcoming Chhattisgarh assembly polls even before the election dates are announced. Even before the Election Commission announced dates, the BJP held its first Central Election Committee (CEC) meeting in Delhis party headquarters to discuss names. A similar discussion took place for Madhya Pradesh on how to strengthen the organisation further in the run-up to the polls. Another meeting over MP is likely to take place in the next week or fortnight. The meeting was attended by Prime Minister Narendra Modi, BJP president JP Nadda, union home minister Amit Shah, union defence minister Rajnath Singh, general secretary (organisation) BL Santhosh, and Maharashtra deputy chief minister Devendra Fadnavis, among others. Union minister Mansukh Mandaviya and Rajya Sabha member Om Mathurwho are in-charge of Chhattisgarh for the partywere also attending. So were union ministers Bhupender Yadav and Ashwini Vaishnavin-charge of MP for the party. BJPs chief ministerial face in ChhattisgarhRaman Singhwas present along with other senior leaders from the state. Also in attendance was Madhya Pradesh CM Shivraj Singh Chouhan with other state leaders. BJP sources indicated that the 90 assembly seats of Chhattisgarh were categorised into 4 sections: A, B, C, and D. While A represents seats where the BJP won and keeps winning, B denotes constituencies where it lost once or at most twice. C stands for those seats where the saffron party lost twice, consecutively, while D represents the constituencies where the BJP never won and are considered opposition strongholds. Sources said the BJP on Wednesday discussed 27 weak seats with the bulk of them from category C. They added that while no decision was taken on candidates, names proposed by the state unit were discussed at length. According to the sources, it is unlikely that names will be announced immediately as the BJP has a system in place under which both state and districts have their own election committees, which is not the case right now in Chhattisgarh. However, sources indicated that in the coming days, the BJP leadership may unofficially communicate to certain leaders in the state that they should start campaigning in particular seats. The idea behind this early poll committee meeting, sources said, is to let candidates have more time to prepare on the ground and exploit the edge over the opposition. Particularly, since the focus is on weak seats, the BJP will also send central leaders to the state soon to formulate customised strategies for their constituencies, added a BJP insider. In the 2018 assembly election, Raman Singh, faced with 15 years of anti-incumbency, was routed from the state as the Congress swept to power with 68 seats in the 90-member house. The BJP only managed to get 15 seats. Farmers and tribal voters evidently deserted the BJP in 2018. However, in the election before that, the party had won 49, the Congress 39, while one seat each was bagged by the BSP and an independent candidate. Also, a few months after the 2018 polls, the BJP netted 9 of Chhattisgarhs 11 Lok Sabha seats. Madhya Pradesh has been a bastion of the saffron party for a long time. The strength of the Shivraj Singh Chouhan-led government in the state stands at 123 in a 230-member assembly. This also includes 22 MLAs who switched over from the Congress with Jyotiraditya Scindia, resulting in the capitulation of the Kamal Nath government. In 2018, the Congress delivered a triple blow to the BJP by winning assembly polls in Madhya Pradesh, Rajasthan, and Chhattisgarh. Maharashtra Politics: Amid the speculation of something brewing in the two factions of Nationalist Congress Party president (NCP) following reports of a secret meeting between Sharad Pawar and his nephew Ajit, Uddhav Balasaheb Sena leader Sanjay Raut on Wednesday claimed the senior Pawar will not join hands with the Bharatiya Janta Party (BJP) in his lifetime. I dont think Sharad Pawar will join hands with the BJP till the time he lives. He is rebuilding his party, Raut said. A meeting between the Pawars at the residence of a businessman in Pune on Saturday has created a buzz in political circles that Ajit Pawar has offered his uncle and cousin, Supriya Sule, berths in Union Cabinet if they join the BJP-led NDA-alliance in Maharastra. Sharad Pawars party is an ally of the opposition Shiv Sena (UBT) and Congress in Maharashtra. Asked whether Ajit Pawar gave any offer to Sharad Pawar during the meeting, Raut said, When did Ajit Pawar become so big to give an offer to Sharad Pawar." It is Sharad Pawar who made Ajit Pawar. Sharad Pawar has been the chief minister of the state for four terms and served as the Union minister multiple times, Raut added. His remarks came days after an editorial in the Shiv Sena (UBT) mouthpiece Saamana said the frequent meetings between Sharad Pawar and his nephew were tarnishing the image of the NCP founder. The Marathi daily also said it is amusing that Ajit Pawar is frequently meeting his uncle and the latter is also not avoiding it. Talking to reporters, Raut also claimed a prime minister of the opposition INDIA alliance will hoist the national flag at the Red Fort in Delhi next year 2024 and the country is waiting for that auspicious moment. Earlier, speaking in his hometown Baramati on Tuesday, Sharad Pawar said some people in the party have taken a different path, but once they realize the situation, their stand may change." Whether they change their stand or not, we will not deviate from the path we have chosen, he told a gathering. I have told Maharashtra (voters) to vote for someone. And now, I can not tell them to vote for someone whom we have opposed all along," Pawar senior added. Nationalist Congress Party (NCP) chief Sharad Pawar on Wednesday clarified that his meeting with Maharashtras deputy CM and his nephew Ajit, who split the party to join the Sena-BJP government in Maharashtra, was not secret. ALSO READ | The Maha Picture: Pa-War or Power Struggle? Uncle, Nephew Ajit Keep MVA, BJP Guessing He had come to meet me as a senior member of the Pawar family. All of you saw that after the meeting, I came out and even accepted flowers from well-wishers. We also discuss family issues in such meetings, which I cant reveal every time, he said. The recent meeting between Sharad Pawar and Ajit Pawar has again fuelled speculation that something is still brewing in NCP@mayuganapatye shares all the details#Maharashtra #SharadPawar #AjitPawarRead more here: https://t.co/MmEhmtqI47 pic.twitter.com/Wur36xVBR6 News18 (@CNNnews18) August 15, 2023 ON PM MODIs I-DAY SPEECH Pawar criticised Prime Minister Narendra Modi for not speaking on the North-East during his Independence Day speech, instead following in the footsteps of Maharashtra deputy CM Devendra Fadnavis and saying he would be back. Pawar further reminded the PM that Fadnavis came back to power, but not as the Chief Minister of Maharashtra. It was expected that the PM would speak on the North-East, but it seems that he felt that the issue was not that important and spoke about other things. ALSO READ | Will Never Go With BJP Nothing Wrong With Seeing Family: Sharad Pawar After Meeting Ajit North-East is important for the future of India. It has got the China border and there is a need to take extra care there. What is happening in the North-East is not good. Policemen are getting attacked and two communities are fighting for the past 90 days. But the PM spoke about it only for three minutes before the monsoon session outside Parliament and later for a few minutes on the floor of the house during the no-confidence motion. The PM should have gone there and given confidence to the people of the North-East. He must have felt that visiting the North-East is not important. In his speech, PM Modi said, The Central and state governments have been working on maintaining peace. The whole country stands with the people of Manipur. Peace is the only way to resolve all disputes. WILL STAY WITH INDIA Pawar reiterated his stand that he would not join hands with the Bharatiya Janata Party (BJP) and would stay with the Opposition bloc, Indian National Developmental Inclusive Alliance (INDIA). If you see the map of India, the BJP is in power in very few states, in states like Maharashtra and Madhya Pradesh, all of us know how they destabilised the government and came to power. Right now, the situation is not favourable for the Modi government in the country. EC NOTICE; RALLY IN DHANANJAY MUNDES STRONGHOLD In the press conference, Pawar said he had received a notice from the Election Commission regarding the party symbol and queries related to the party. He said they have submitted their reply and will also be moving court over the Ajit Pawar-led faction using his photo on their banners and posters. While Ajit is trying his best to convince Pawar and settle the dust, the NCP chief is adamant on not joining the BJP camp. Pawar will hold a rally in Beed city on Thursday. Beed is a stronghold of NCP leader Dhananjay Munde, who has joined the Ajit faction and is now a cabinet minister in the state government. This will be the second rally which Pawar will address in the constituency of Ajits aides. His first rally was in Yeola in Nashik district, the constituency of Chhagan Bhujbal. Madhya Pradesh Chief Minister Shivraj Singh Chouhan on Wednesday targeted senior Congress leaders, Digvijaya Singh and Kamal Nath, alleging that they had nothing to do with Hindutva. Digvijaya Singh, however, criticised the ruling Bharatiya Janata Party (BJP), CM Chouhan, and Prime Minister Narendra Modi, and asked whether they have taken the oath of the Constitution or of Hindu Rashtra". The chief minister was responding to a query on the recent remark made by Kamal Nath, who is chief of the Congresss Madhya Pradesh, that since Hindus are in the majority in India Hindu Rashtra is not a debatable issue". During an interaction with reporters at Hubli in Karnataka on Tuesday, Digvijaya Singh, former Madhya Pradesh chief minister, accused the media of distorting Naths comment and added that those who took the oath of the Constitution but were talking about Hindu Rashtra should first resign. When asked by reporters about Singhs statement, CM Chouhan said, Neither Digvijaya Singh nor Kamal Nath have anything to do with Hindutva, nor with the country or the society. They keep saying whatever they find right in order to reap the harvest of votes. They have nothing to do with anyone." In Hubli, Singh said that the country belonged to everyone and people from every religion fought for the freedom of the country. Singh alleged that the media distorted Kamal Naths statement. Whoever has taken the oath of the Constitution and occupies a postIf they talk about Hindu Rashtra, then they should first resign," Singh said. In Bhopal on Wednesday, Singh once again accused the media of distorting Naths Hindu Rashtra remark. You people have misrepresented Naths statement. He never said what you people and the BJP are saying. I want to ask the BJP, PM, Home Minister, and CM whether they have taken the oath of the Constitution or of Hindu Rashtra?" Singh, who is Congresss Rajya Sabha member, said. On August 8, some reporters had sought Naths comment on spiritual preacher Dhirendra Shastris purported demand to make India a Hindu Rashtra. Nath had said, Worlds largest Hindu population lives in our country. Eighty-two per cent of Hindus reside here. It is not a debatable issue. It is not something to be told. These are statistics. What is the need to mention it separately?" Assembly polls in Madhya Pradesh are due in November this year. Congress veteran and former Madhya Pradesh chief minister Digvijaya Singh on Wednesday said his party, if it gets elected to power, will not ban Bajrang Dal, but goons" and rioters will not be spared. Assembly elections in Madhya Pradesh, currently ruled by the BJP, are due this year-end. Singh also defended Madhya Pradesh Congress chief Kamal Nath over the latters comments on Hindu Rashtra. Bajrang Dal is the youth wing of the Vishva Hindu Parishad. The VHP has disassociated itself from cow vigilante Bittu Bajrangi arrested in connection with communal clashes in Haryanas Nuh district. Talking to reporters in Bhopal, Digvijaya Singh said, Bajrang Dal is a group of goons and anti-social elements This country belongs to all, so Modi ji (PM Narendra Modi) and Shivraj ji (MP CM Shivraj Singh Chouhan) stop dividing the country. Establish peace in the country which will lead to development." Asked whether the Congress will ban Bajrang Dal if the party gets elected to power, the Rajya Sabha member said, We will not ban. There may be some good people in Bajrang Dal. But, those who are goons and involved in rioting will not be spared. To a query on Kamal Naths remarks on Hindu Rashtra", Singh said, You people have misrepresented Naths statement. He never said what you people and the BJP are saying. I want to ask the BJP, PM, Home Minister, CM whether they have taken oath of the Constitution or of Hindu Rashtra? On August 8, some reporters sought Naths comment on spiritual preacher Dhirendra Shastris purported demand to make India a Hindu Rashtra. Nath had said, Worlds largest Hindu population lives in our country. 82 per cent of Hindus reside here. It is not a debatable issue. It is not something to be told. These are statisticsWhat is the need to say it separately? Digvijaya Singh also accused the BJP regime in the state of rampant corruption while citing the case of collapse of some statues at the Mahakal Lok in Ujjain due to strong winds in May this year. Bihar Chief Minister Nitish Kumar on Wednesday arrived in Delhi to pay tributes to Atal Bihari Vajpayee on his death anniversary. Kumar, the JD(U) leader had served as a minister in Vajpayees cabinet. Kumars previous NDA allies were also present at the memorial. The Bihar Chief Minister also extended birthday greetings to his Delhi counterpart Arvind Kejriwal, whom he is also likely to meet in the national capital. According to a statement issued by the Chief Ministers office, Kumar wished a long and healthy life to Kejriwal, the Aam Aadmi Party chief. Now firmly in the anti-BJP camp, Kumar, one of the key players in the INDIA coalition, is also expected to hold talks with opposition leaders ahead of the meeting of the bloc scheduled in Mumbai later this month. Details of his Delhi itinerary were not known though JD(U) sources said meetings with top leaders of the Congress, besides Kejriwal could be on the cards. Maharashtra Congress president Nana Patole on Tuesday said it was a matter of concern for his party that NCP chief Sharad Pawar and state deputy Chief Minister Ajit Pawar were meeting secretly. Sharad Pawar is part of the Maha Vikas Aghadi alliance of Shiv Sena (UBT), Congress and NCP, while his nephew Ajit Pawar split the Nationalist Congress Party last month to join the Eknath Shinde-led Shiv Sena-BJP government. Asked by reporters about Pawar senior meeting Ajit in Pune on Saturday, Patole said, It is a matter of concern for us and we do not approve of the meetings taking place between the Pawars secretly. However, this matter will be discussed by the top leaders of the Congress. The INDIA alliance will also discuss it, so it would not be appropriate for me to discuss it further. The Congress has decided to join hands with everyone who is willing to oppose the BJP. There is no grain of truth in the speculation that Congress is thinking of contesting Lok Sabha elections without taking Sharad Pawar along, he stated. Meanwhile, speaking in his hometown Baramati, Sharad Pawar said some people in the party took a different path, but once they realize the situation, their stand may change." Whether they change their stand or not, we will not deviate from the path we have chosen, he told the gathering. I have told Maharashtra (voters) to vote for someone. And now, I can not tell them to vote for someone whom we have opposed all along," Pawar senior added. He will be holding a public rally in Beed on Thursday, he announced. YEREVAN, AUGUST 16, ARMENPRESS. China is closely following the situation in the Lachin Corridor and is calling for existing disputes to be resolved through dialogue, discussions and consulations, the representative of China to the U.N. said during the UNSC emergency meeting on the humanitarian situation in Nagorno-Karabakh resulting from the Azerbaijani blockade of Lachin Corridor. China is closely following the developments and has always called for disputes related to the Lachin Corridor to be resolved through dialogue, discussions and consultations, the Chinese envoy said, adding that a common path to security and development is in the interests of both Armenia and Azerbaijan. He called on Armenia and Azerbaijan to continue making mutual concessions based on the existing statements signed during talks and resolve disputes based on norms of international law. China supports all diplomatic efforts that would contribute to this goal, he added. In a practical move by the Arvind Kejriwal-led Aam Aadmi Party (AAP) government, the newly created National Capital Civil Services Authority (NCCSA) will resume its meetings following directions issued by AAP cabinet minister Atishi who also holds the portfolio of services. The decision is expected to end the stalemate over the meetings of the NCCSA. It must be noted that the chief minister had skipped the meetings, arguing that there will be more clarity after Parliament passes the GNCDT Amendment Bill. As you all know the GNCDT Amendment ordinance and now the Bill has been passed by Parliament. It provides for the creation of a National Capital Civil Services Authority in Delhi. Since the past few months, the meeting of the National Capital Civil Services Authority was not happening as there were many obstacles between the government and the bureaucrats. However, as we respect the Constitution and the Bill has been passed by Parliament, we will respect the law. To ensure that NCCSA has its meeting soon, the Delhi government has taken a decision. To ensure that there is a dialogue between the NCCSA and all the departments, as Services Minister and Vigilance Minister, I have issued an order. Now, we hope that meetings of the National Civil Services Authority will happen on a regular basis. We have challenged this law in the Supreme Court. However, as long as this Services Act is a law in this country, we will respect the law so that the work of the people of Delhi is not affected, said Atishi. Importantly, the minister also clarified that chief minister Arvind Kejriwal, who had skipped the meetings in the past, will attend the meetings from now. The Kejriwal government has promised the people of Delhi that it will not let the work of Delhiites come to a halt, she said. The National Capital Civil Services Authority consists of three members the chief minister, chief secretary and principal secretary, home. A quorum of two is needed to take any decision, which means that the chief minister can be overruled by two bureaucrats. One point that the minister reiterated is that the provision of the NCCSA in the matter of administration of Delhi is law now and law must be respected. The AAP government has already moved the SC challenging the provisions of the ordinance and hopes for relief from the top court. The constitutional question which was raised by the CJI himself whether Parliament by virtue of a law can amend the Constitution, strip the powers of an elected government and had referred the case to the Constitution Bench is still valid. We hope the Constitution Bench of the Supreme Court hears this issue in the same manner as before, the minister said. Asked whether the government has formed any committee for coordination between NCCSA and various departments of the Delhi government, Atishi replied in the negative. No committee is being formed for coordination. However, an order on how the meetings will happen, how the authority will view and decide on the proposals of various departments has been issued. The greater the coordination between the elected government and the departments and the NCCSA, the smoother will be the functions of the NCCSA, the minister clarified. The directions issued by Atishi, who is also Minister Services and Vigilance, are that All proposals for transfers and postings under section 45 H (1) will be put up to NCCSA with the approval of Minister Services. After obtaining the approval of minister Services, Secretary Services shall send the proposal to the member secretary of the NCCSA for putting up for putting up before the NCCSA. Secondly, all proposals for Vigilance and non-Vigilance related matters under section 45 H(2) shall be put up to NCCSA with the approval of Minister, Vigilance. After obtaining the approval of Minister Vigilance, Secretary Vigilance shall send the proposal to Member Secretary of NCCSA for putting up before the NCCSA." The minister, when asked whether the government anticipates any objections from the Lieutenant Governors office or bureaucrats who are a part of the NCCSA, said, We can hope that all stakeholders, whether the Lieutenant Governor or officers, will want that the authority resumes its meetings so that the work of the people of Delhi gets done. Delhis elected government wants that there is proper coordination between all departments of the GNCTD and the NCCSA and we hope that all officers and the Lieutenant Governor cooperate. Amid the buzz over a meeting between Maharashtra Deputy Chief Minister Ajit Pawar and his uncle Sharad Pawar, ex-CM Prithviraj Chavan said that those who align with the Congress will stay with it and those keen to go with the BJP are free to do so. Speaking to a Marathi channel in Satara, Chavan said on Wednesday the Congress is preparing strongly as it wants to defeat the BJP in the 2024 Lok Sabha elections. Asked if last weeks secret meeting between NCP founder Sharad Pawar and Ajit Pawar and recent developments in the NCP will impact the three-party bloc of Maha Vikas Aghadi (MVA), Chavan said the leaders of the respective parties will take whatever decisions need to be taken. The MVA comprises the Congress, Uddhav Thackeray-led Shiv Sena (UBT) and the Sharad Pawar faction of the NCP. Referring to the NCP, Chavan said whatever is happening in their party, the leaders of that party would be able to comment. The NCP split last month after nine senior leaders of the party, including Ajit Pawar, joined the ruling dispensation of BJP and Eknath Shinde-led Shiv Sena in Maharashtra. On August 12, political circles were abuzz after a secret" meeting took place between Sharad Pawar and Ajit Pawar at a businessmans home in Pune. Senior NCP leader Jayant Patil was also present. Chavan said those with the Congress as part of the MVA will continue to fight communal parties. Those who align with us will stay with us. Those who want to go with the BJP can do so. Nobody is holding anyones hand. The picture will get clearer gradually. We, as Congress, are preparing strongly because we want to defeat the BJP in the 2024 elections, said Chavan. Asked about reports claiming that the Congress will contest elections along with the Shiv Sena (UBT), Chavan said he had no such knowledge. Any such matter (regarding alliance) will be taken up by the core committee of the MPCC (Maharashtra Pradesh Congress Committee), and the approval will come from AICC (All India Congress Committee) in Delhi after discussions with the ally, he said. I will be back next year to address you from the Red Fort there couldnt have been a more confident statement from Prime Minister Narendra Modi about his partys prospects for 2024, that too from the ramparts of the Red Fort. There are strong reasons behind Modis confidence. One, the prime minister strongly feels that he has run a corruption-free regime for the last nine years and people will reward him for the same when they cast their ballot in the general elections last year. Second, Modi feels he has always acted in national interest, to make the country stronger and his actions have been in the favour of India. Modis campaign for the general elections could revolve around these themes that if the people feel he has delivered on the na khaunga, na khane dunga promise, and if has always put the country first in his actions let people give him another term. The underlying peoples sentiment that the prime minister is also appealing to is the hope yet again for a stable and full-majority government, and not a khichdi sarkar like the one promised by the INDIA alliance. A senior cabinet minister told News18 that the PM is of the firm belief that the country has moved on from electing unstable coalition governments or political dynasts and people want the winning party to get a clear majority so that big reforms are carried out. While the UPA under Sonia Gandhi could make a rainbow coalition win in 2004, the times have changed and Rahul Gandhi wont be able to repeat his mothers feat in 2024, the senior cabinet minister argued. Another senior minister told News18 that the BJP is looking at an excellent result in 2024 in three big states Uttar Pradesh, Maharashtra and Bihar, which alone send 168 MPs to the Lok Sabha. Uttar Pradesh could be a big sweep like 2014 there is no alliance this time unlike 2019 between SP and BSP. Yogi Adityanath is in a strong position as CM and the Ayodhya Ram Temple inauguration and Gyanvapi matter will help the BJP. Akhilesh Yadavs situation and image is like that of Rahul Gandhi. In Bihar, Nitish Kumar has lost all credibility. In Maharashtra, we have aligned forces with new factions of Shiv Sena and NCP which will help us win big, the senior minister said. The Congress decided to give the Independence Day function a miss where ample hints were thrown by Modi on how he plans to approach the 2024 elections. The speech was in fact vintage Modi style. His attack on the three ills plaguing the country corruption, appeasement and dynasty politics was a direct comment on the Congress party and how it has been rejected by the electorate both in 2014 and 2019. Modi also spoke from Red Fort about how his government has stopped serial bomb blasts and terror attacks that rocked the UPA era, and hence has made the country and its borders much more secure. This forms a potent cocktail of assurances and a super-confident Modi that the Congress is up against in less than a year. As AI becomes increasingly popular in Silicon Valley this year, tech giants like Netflix and Amazon are eager to hire AI experts for positions similar to those offered by OpenAIthe creator of ChatGPT. With these roles, people skilled in AI can land offers of up to $900,000, which translates to over Rs 7 crore per annum. According to The New York Post, these positions are currently open in the United States. Specifically, Netflix is looking for employees to work on its Machine Learning Platform and measure its success. A college degree is not an official requirement for the job. Moreover, the role is also quite versatile, with the option of working from the office (in Los Gatos, California) or remotely from home in the West Coast time zone. As for Amazon, the job offer by the company is for the role of a senior manager in applied science and generative AI, and Amazon is willing to offer as much as $340,300 per year. This role is focused on leading a science team focused on computer vision, latent diffusion models, and the related foundational models to produce generative imagery and videos, Amazons job description reads. The New York Post further notes that these figures would place the people who land these roles at Netflix and Amazon in Americas top 1% of earners. This suggests that AI-based roles are in demand, and those skilled in the trade can land lucrative rolespotentially even outpacing some of the most popular roles for making serious money. Recollections of childhood often evoke memories of carefree days, filled with simple pleasures like chasing bubbles and building castles of imagination. At present, an interesting trend called kidulting is taking over the world. Kidulting has been popular, reportedly, since the onset of the Covid-19 pandemic. Kidulting means adults engaging in activities typically earmarked for children. Kidulting encapsulates the phenomenon of mature individuals immersing themselves in the experiences that once brought them unconditional joy, specifically during their childhood. It can be considered as a re-acquaintance with the innocence and joy of the bygone days, momentarily suspending the complexities of the present. Well and good reports that kidulting is based on the psychology of nostalgia and the therapeutic practice of inner-child work. It helps adults evoke the carefree vibes of yesteryear and boosts their creativity and mood as a result. During the initial months of the pandemic, the concept of kidulting garnered considerable traction. Bloomberg reports that a 2021 survey of 2,000 US parents reveals that they have bought toys for themselves. This sheds light on the shift in perception, where toys transcend their conventional designation as mere childs playthings. In practice, kidulting is a natural, simple return to known, feel-good childhood activities, clinical psychologist Carla Marie Manly, PhD, author of Joy From Fear, told Well and good. The familiarity of the activity is often the perfect backdrop for unwinding., she added. Prominent brands have also embraced the essence of kidulting, catering to this newfound trend among adults. The introduction of adult-oriented Happy Meals by McDonalds, complete with collectable items, serves as a prime example. Meanwhile, cultural influencers on platforms like TikTok revived fashion trends reminiscent of the early 2000s, resonating with a mature audience seeking to relive their past. Beyond material pursuits, designated spaces now invite adults for an escapade into their earlier years. Establishments like Dopamine Land in London and Wondr in Amsterdam call for adults to engage in recreational activities typically reserved for children. These havens facilitate a temporary departure from the obligations and complexities of adulthood, allowing participants to relish carefree amusement. Even if there were difficult moments in your childhood, perhaps even trauma or neglect, remembering the glimmers of happiness can spark hope in you as an adult, says clinical psychologist Trish Phillips, PsyD, while talking to Well and good. Amidst an environment characterised by strain and unease, the attraction of indulging in activities reminiscent of simpler times intensifies. Kidulting offers an antidote to the complexities and anxieties that accompany modern living. It offers a momentary respite, devoid of commitments and objectives, providing a space for complete amusement. Psychologist Phillips further says that mindful kidulting, consciously learning, and engaging in the activities one wishes one could have done as a child, can bring a feeling of joy, calmness and gradual healing over the years. An angry mob in Pakistans Jaranwala vandalised at least five churches over allegations of desecration of Islams holy book. In the wake of the incidents, paramilitary forces have been deployed to protect the minority Christian community. The attacks took place after a Christian man and his sister allegedly desecrated the Quran and made objectionable comments. The Christian community has reportedly been besieged by the mob. Pakistan Rangers have been called in to control the situation and protect the Christian community living in Chamra Mandi Jaranwala of Faisalabad district of Punjab province, some 130 km from the provincial capital Lahore. Imran Bhatti, the pastor of the Jaranwala, told the local media that the mob had torched" five churches so far in Jaranwala. They include the Salvation Army Church, United Presbyterian Church, Allied Foundation Church, and two churches at Shehroonwala located in the Isa Nagri area in the Jaranwala. Bhatti added that the house of a Christian cleaner, who was accused of blasphemy, was also demolished. Heavy contingent of police reached the spot after an enraged mob ransacked at least five churches and set fire to furniture, copies of the Bible, Cross, etc," a Christian resident of the area told PTI, requesting anonymity. He said a large number of people attacked the churches following the announcement from mosques that a Christian man had desecrated the Quran. Seeing the enraged mob heading towards the Christian community in Chamra Mandi, the Christians ran for their lives, some succeeded in leaving the area while others locked themselves up in their houses," he said. As a heavy contingent of police struggled to control the mob, Assistant Commissioner Faisalabad sent a SoS to the Pakistan Rangers to rush to the area to control the law-and-order situation. Despite the best efforts of police, the law-and-order situation stands still too sensitive and vulnerable. You are requested to send at least two companies of Rangers to control the law-and-order situation in Jaranwala tehsil Faisalabad," the assistant commissioner wrote to the Punjab Home Department. Christian Man, His Sister Booked for Desecrating Quran Jaranwala City Police Station official Asif Ali told PTI that Muhammad Afzal and four other Muslims of Chamra Mandi accused Raja Amir Masih and his sister Raki Masih of desecrating the Quran and making objectionable comments about the Prophet. Police booked both brother and sister under 295-C and 295-B of Pakistan Penal Code and constituted a police team for their arrest," he said and added the police had secured the house of the accused as a mob also surrounded it and pelted it with stones. The Masih family had already fled, Ali said, adding that no FIR for the ransacking of churches has been registered yet. Interim PM Warns Action Against Those Targeting Minorities Interim Prime Minister Anwaar ul Haq Kakar said stern action would be taken against those who violate the law and target minorities. I am gutted by the visuals coming out of Jaranwala, Faisalabad. Stern action would be taken against those who violate the law and target minorities. All law enforcement has been asked to apprehend culprits & bring them to justice. Rest assured that the government of Pakistan stands with our citizenry on an equal basis," he said in a post on social media site X, formerly known as Twitter. Under 295C, suspect(s) face the death penalty or life imprisonment for defiling the Prophet. Under section 295 B, whosoever desecrates a copy of the Quran or of an extract therefrom or uses it in any derogatory manner or for any unlawful purpose shall be punishable with imprisonment for life. Punjab police chief Usman Anwar said the police were negotiating with the protesters and the area had been cordoned off. There are narrow lanes (in the area) in which small two to three marla churches are located and there is one main church they have vandalised portions of the churches," Anwar was quoted as saying by Dawn.com. The official said that efforts were underway to contain the situation by engaging with peace committees and police across the province have been activated. The assistant commissioner of the area, a member of the Christian community, has also been evacuated after people turned against him, Anwar added. However, Christian leaders alleged that the police remained silent spectators. President Bishop of the Church of Pakistan Azad Marshall said that Bibles have been desecrated and Christians have been tortured and harassed having been falsely accused of violating the Holy Quran. We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland that has just celebrated independence and freedom, he said in a post on X, formerly known as Twitter. Bishop Marshall added that all priests, bishops and lay people were deeply pained and distressed at the incident. Pakistan Peoples Party Chairman Bilawal Bhutto-Zardari said he was horrified to hear about todays attack, asserting that violating the sanctity of worship places was absolutely unacceptable. The administration must ensure the safety of the Christian community and their Churches," he said in a post on X. Minorities including Christians and Hindus in Pakistan have frequently been subjected to blasphemy allegations and some were tried and even sentenced under the tough blasphemy. According to a report by the Centre for Peace and Justice Pakistan in June last year, based on data collected from National Database and Registration Authority (NADRA), Pakistan is home to 22,10,566 people from the minority Hindu community followed by Christians 18,73,348. (With agency inputs) A Pakistani court on Tuesday remanded the president of the former primer Imran Khans party, Chaudhry Parvez Elahi, to the custody of the National Accountability Bureau till August 21 in a corruption case. Elahi,77, has been in jail in one or another case since the Pakistan Tehreek-e-Insaaf: party supporters allegedly attacked the military and state buildings on May 9, in reaction to Khans first arrest in a corruption probe. According to the PTI party, there has been immense pressure on Elahi from the military establishment to quit the party, and on his refusal, he is re-arrested after securing bail. The NAB arrested Elahi on Monday after he was released from Adiala Jail in Rawalpindi, where he was detained under the Maintenance of Public Order (MPO) Ordinance. According to a court official, on Tuesday, the Lahore accountability court gave NAB Elahis custody till August 21 in a case related to bribes in development projects which he awarded when he was the chief minister of Punjab. The NAB told the court that it wanted to interrogate the suspect for causing a loss of millions of rupees to the government exchequer against receipt of kickbacks. Elahis son and former federal minister, Moonis Elahi, said in a tweet, Pakistan was created through a democratic movement, and its sustainable development and stability is possible only with the best democracy and not with fake political cases, false accusations and forced arrests. Khan, 70, is currently lodged in the Attock jail after being convicted in the Toshakhana corruption case. The Election Commission, following his conviction, barred the politician from participating in political activities for five years. Khan has been at the centre of political turmoil since he was ousted last year from power in a no-confidence vote, raising concerns about Pakistans stability as the cash-strapped country deals with an economic crisis. In the crackdown on the PTI, over 150 former lawmakers and leaders of the party joined the kings party Istehkam-e-Pakistan Party (IPP) and PTI-Parliamentarians led by Jahangir Khan Tareen and Pervaiz Khatak, respectively. Nepals Prime Minister Pushpa Kamal Dahal Prachanda will leave for a ten-day official visit to the US and China on September 16. Prachanda will travel to the US first, where he will attend the 78th United Nations General Assembly, scheduled to take place in New York from September 18 to 26, the prime minister said while interacting with editors of major newspapers here on Tuesday. Prachanda will address the UN General Assembly on September 21 in New York, according to sources close to the prime minister. After spending five days in the US, the Prachanda will fly directly to Beijing, embarking on a four-day journey to China. Besides being part of the Nepalese delegation to the Asian Games to be hosted by Beijing, the prime minister will also engage in high-level political consultations there. During the visit, he is expected to meet Chinese President Xi Jinping, among others, according to the sources, and discuss matters relating to the construction of a cross-border transmission line with Chinese officials. Prachanda will return to Kathmandu on September 25, wrapping up his ten-day visit to the US and China. Prachanda assumed office in December last year. The 68-year-old Communist Party of Nepal-Maoist Centre (CPN-MC) leader visited India in June as his first foreign trip after assuming office for the third time. Security has been increased for Chinese nationals working in Pakistan as an aftermath of a failed terror attack targetting them in the port town of Gwadar in the restive Balochistan province, sources said on Tuesday. The Chinese consulate in Karachi confirmed the Sunday attack on its citizens who were travelling in a military convoy in Gwadar, working on the China-Pakistan Economic Corridor (CPEC) projects. Gwadar is one of the focal points of the multi-billion CPEC, with many Chinese workers working at the port. China is investing heavily in Balochistan under the CPEC. While the militarys media wing, Inter-Services Public Relations (ISPR), said it had killed two terrorists, it didnt mention the Chinese nationals in the convoy or whether they were targeted. But the Chinese consulate issued a statement saying the attacked convoy was carrying Chinese workers. A Chinese convoy from the Gwadar port project was hit by roadside bombs and gunfire on its way back to the port area from the Gwadar Airport, the statement said. It added that no Chinese citizens were killed or injured. The consulate also asked the Pakistan government to severely punish the perpetrators and take concrete and effective measures to ensure the safety of Chinese citizens, institutions and projects, Sources in the Sindh and Balochistan police and paramilitary forces said that security had been upped for the Chinese working on the CPEC projects in the Balochistan province and other parts of Pakistan. The Chinese consulate has also activated its contingency plan and reminded all its citizens in Pakistan to remain vigilant, upgrade their security measures and pay attention to the security situation to ensure their safety. Chinese nationals have frequently come under attack in different parts of Pakistan, and these incidents have not gone down well with Beijing. In July 2021, China reacted strongly when 13 people, including nine Chinese workers, were killed in a bus attack near the Dasu hydropower plant in the Upper Kohistan district of Khyber Pakhtunkhwa province. In April last year, three Chinese teachers and their local driver who were posted at the Karachi University campus were killed in a suicide attack carried out by an outlawed Baloch separatist party. Baloch separatists also carried out a failed attack on the Chinese consultant in Karachi in 2018, in which four terrorists were killed in a gun battle with security forces. Balochistan, bordering Iran and Afghanistan, is home to a long-running violent insurgency. Baloch insurgent groups have carried out several attacks targeting the CPEC projects in the region in recent times. Republican U.S. Representative Don Bacon late on Monday said the FBI had warned him that his emails had been hacked by Chinese spies, with both personal and campaign messages compromised. Bacon was told the Chinese Communist Party had access to his accounts for about a month ending on June 16, he said on X, the social media platform formerly known as Twitter. The news was first reported on Monday by the Washington Post. The hack was the result of a vulnerability in Microsoft software", Bacon said, an apparent reference to the hacking campaign that Microsoft disclosed last month, which reportedly resulted in the theft of hundreds of thousands of emails from senior U.S. officials, including U.S. Commerce Secretary Gina Raimondo and U.S. Ambassador to China Nicholas Burns. Plain and simple, the Chinese Communist Party is a bully. I will not back down. I will always stand up for freedom and human rights around the world. Rep. Don Bacon (@RepDonBacon) August 15, 2023 CNN previously reported that email accounts in the U.S. House of Representatives were targeted as part of the same campaign. There were other victims in this cyber operation," Bacon said on X. The Communist government in China are not our friends and are very active in conducting cyber espionage." A Chinese Embassy spokesperson called the development a smear" and part of a groundless narrative," adding that the U.S. government had undercut Chinas sovereignty with recent arms sales to Taiwan, a self-governed island claimed by China. The FBI and Microsoft declined to comment. A spokesperson for Bacon said the FBI had told him that Chinese hackers compromised his email and stole information relating to personal banking, political strategy and campaign fundraising. Bacon, who was elected to Congress in 2016, is a former Air Force brigadier general who currently serves on the House Armed Services Committee, which helps decide the U.S. militarys annual budget and spending plans. The congressman was likely targeted, according to his spokesperson, because of his public support for Taiwan and critical commentary about Chinas treatment of the Uyghur community. YEREVAN, AUGUST 17, ARMENPRESS. France condemns the blocking of humanitarian aid sent by Armenia to Nagorno-Karabakh, as well as the obstructions of the ICRC activities by Azerbaijan, which contradicts principles of international law, Nathalie Broadhurst, Deputy Permanent Representative of France to the United Nations said in her statement at the UNSC meeting. She said that the blockade since December 2022 has led to a humanitarian crisis in Nagorno-Karabakh. The ongoing blockade of Lachin Corridor by Azerbaijan continues to isolate the population of Nagorno-Karabakh from the rest of the world, without any lawful reason to substantiate it, and this contradicts principles of international humanitarian law, she said. France condemns the blocking of humanitarian aid sent by the Armenian authorities in July to eliminate the consequences of the situation. The same goes for obstructions to the ICRC activities, which is in violation of principles of international law. This is unacceptable. Given the severity of the situation, France calls for unconditional and immediate restoration of unimpeded movement of goods and persons in both directions, as well as continual supplies of gas and electricity to the population, the French envoy added. France remains fully committed to the agenda of lasting and just peace in the region and calls for resumption of talks to resolve all outstanding issues, including the rights and security of the people of Nagorno-Karabakh. A solution is possible only through dialogue, ruling out the use of force or the threat of force, the French representative added. Before the meeting, the French envoy delivered remarks to the press, and called on Azerbaijan to comply with its international commitments and to implement the provisional measures that have been indicated by the International Court of Justice in its February 22nd order. "France is deeply concerned by the very serious humanitarian crisis in Nagorno-Karabakh resulting from the ongoing blockade of the Lachin corridor. "The situation on the ground is dire, it continues to deteriorate day after day. "Thats why it is crucial that the Council meets today to assess the situation. We look forward to the briefing on the humanitarian situation by OCHA. "As indicated by the Minister for Europe and Foreign Affairs yesterday, the ongoing blockade of the Lachin corridor contravenes the commitments undertook by Azerbaijan in the ceasefire agreement and jeopardizes the negotiating process. "France calls on Azerbaijan to comply with its international commitments and to implement the provisional measures that have been indicated by the International Court of Justice in its February 22nd order. They are binding. "We demand the restoration of free movement for people, for goods, for cargo throughout the Lachin corridor, in both directions. We request the continuous supply of gas and electricity to the population. It is also vital to ensure a continuous access to essential services for all the populations. "France will intensify its humanitarian support to the affected population. We have decided to make an additional contribution of 3 million euros to the humanitarian action of the International Committee of the Red Cross. "France will continue to discuss the issue and to make sure that the Security council remains seized of the matter," she added. US federal law enforcement has arrested and charged a 17-year-old resident as part of a federal terrorism investigation linked to a group affiliated with Al-Qaeda. The juvenile was taken into custody by the Federal Bureau of Investigation (FBI) at his residence in Western Philadelphia on Friday, days after the juvenile was found purchasing materials that can be used to make IEDs. The work of the FBI Joint Terrorism Task Force potentially thwarted a catastrophic terrorist attack in the name of a perverted ideology that in no way, shape, or form represents the beliefs of the overwhelming majority of peace-seeking people of faith, including Muslims, District Attorney Larry Krasner said in a statement. The charges we have filed against this individual represent the most serious alleged terrorist activity prosecuted in Philadelphia County court in recent history. We intend to pursue full accountability for these crimes and will continue to work vigilantly with our law enforcement partners to protect all of our communities from hateful, ideologically driven acts of violence. In a statement issued by the District Attorneys Office, the FBI Special Agent in Charge Jacqueline Maguire said protecting the US from terrorist attacks is the FBIs number one priority. The juvenile is alleged to have been in contact with individuals and groups designated by the US State Department as global terrorists, including Katibat al Tawhid wal Jihad (KTJ), which is believed to primarily operate in Syria and is responsible for attacks including the deadly April 2017 attack on the St. Petersburg, Russia, metro and the 2016 suicide car bombing of the Chinese Embassy in Bishkek, Kyrgyzstan. Investigators with the FBI Joint Terrorism Task Force were able to connect a Meta (aka Instagram) social media account that communicated with an account affiliated with KTJ in March and April of 2023 to the juvenile. A WhatsApp account linked to the juveniles phone number was also found by investigators to have a banner of Riyad-us-Saliheen Martyrs Brigade, a Chechnya-based terrorist group, as its profile photo. On August 6, according to the Task Force, the juveniles WhatsApp profile photo was changed to the image of the ISIS banner. District Attorney Krasner added, Self-radicalisation by young people via the internet is a threat to all families. Parents: Keep an eye out for violent cults that would lure in your kids under the guise of politics or religion." The juvenile is further alleged to have received messages related to construction of improvised explosive devises (IEDs) and to have purchased materials online such as chemical cleaners that are used to construct IEDs, as well as outdoor or tactical gear," Krasner added. North Korea asserted Wednesday that a U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. It was North Koreas first official confirmation of detention of Pvt. Travis King, who entered the North while on a civilian tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. The North Korean official news agency, KCNA, said King told investigators that he had decided to enter North Korea because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. It said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda arm of North Koreas dictatorship and often releases statements and articles carefully calibrated to reflect the governments official line that the United States is an evil adversary. It is virtually impossible to confirm the authenticity of Kings comments reported in North Koreas state media. The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for Kings release, his fate rests in North Koreas hands. Perhaps the regime will try to bargain Kings life in exchange for financial concessions from the U.S. More than likely, negotiations wont be easy, and terms will be dictated by Pyongyang, she said. Some analysts earlier said North Korea might try to tie Kings release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the U.S., South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Koreas evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as despicable and only aimed at achieving Washingtons geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the American human rights issue must be dealt at the U.N. council first, calling the United States the anti-people empire of evils, totally depraved due to all sorts of social evils. In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. North Korea said an investigation into King would continue. It described Kings entry to North Korea as illegal. King, 23, was supposed to b e heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. He was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. According to U.S. officials, King who chose to serve his time at a labor camp rather than pay the nearly $4,000 fine has been declared AWOL. The punishment for being away without leave can include confinement in the brig, forfeiture of pay or dishonorable discharge and it is largely based on how long they were away and whether they were apprehended or returned on their own. The U.S. and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty, and have no diplomatic ties. Sweden provided consular services for Americans in past cases, but Swedish diplomatic staff reportedly havent returned since North Korea ordered foreigners to leave the country at the start of the COVID-19 pandemic. North Korea has previously held a number of Americans who were arrested for anti-state, espionage and other charges. But no other Americans were known to be detained since North Korea expelled American Bruce Byron Lowrance in 2018. During the Cold War, a small number of U.S. soldiers who fled to North Korea later appeared in North Korean propaganda films. U.S. officials have expressed concern about his well-being and said previously that North Korea ignored requests for information about him. YEREVAN, AUGUST 17, ARMENPRESS. Russia is concerned over the continuing blockade of Lachin corridor and considers that Armenian-Azerbaijani reconciliation is unthinkable unless there are clear and reliable security guarantees and observance of the rights of residents of Nagorno-Karabakh, the Russian representative to the UN said during the UN Security Council meeting on the humanitarian situation in Nagorno-Karabakh resulting from the Azeri blockade of Lachin Corridor. We are concerned over the continuing blockade of the Lachin corridor. Now as never before we need actual steps to be made on the ground in order to ensure prompt de-escalation of the situation around Nagorno-Karabakh, including the unblocking of the Lachin corridor and use of other humanitarian routes, Charge d'Affaires of the Russian Federation Dmitry Polyanskiy said. With support from the both sides, Russia is taking maximum efforts to ensure the soonest possible resolution of the crisis and promote overall normalization of relations of our two friendly nations, Armenia and Azerbaijan. We do our best to prevent a humanitarian disaster in the region. For this purpose, we engage at all levels of political and diplomatic channels, and also take action on the ground via the Russian peacekeeping contingent. We maintain energetic contacts with all stakeholders with an aim of immediate recovery of sustained deliveries to Nagorno-Karabakh of food, medications, and other basic necessity items, as well as resumption of electricity and gas supply. The series of statements by President of Russia, President of Azerbaijan, and Prime Minister of Armenia of 2020-2022 remains a mandatory roadmap for reconciliation of Baku and Yerevan. The potential of trilateral agreements has not been exhausted. Their key aspects are delimitation and subsequent demarcation of Armenian-Azerbaijani border with expert support from Russia, unblocking of transportation links under the auspices of the Trilateral Working Group co-chaired by Deputy Prime Ministers of Russia, Armenia, and Azerbaijan, assistance with elaboration of an Armenian-Azerbaijani peace treaty, facilitation of parliamentary and public activists dialogue. There is some progress on each of these tracks. Russia intends to proceed with meaningful efforts. Armenian-Azerbaijani reconciliation is unthinkable unless there are clear and reliable security guarantees and observance of the rights of residents of Nagorno-Karabakh on the basis of universally recognized international principles within Azerbaijans legal framework. This logic follows from the repeatedly confirmed recent agreements of the leaders of Armenia and Azerbaijan on mutual recognition by the parties of each other's territorial integrity in accordance with the 1991 Alma-Ata Declaration. At the talks of the foreign ministers of Russia, Armenia, and Azerbaijan that took place in Moscow on July 25, our side proposed realistic compromise-based solutions for de-escalation, which the parties have taken into consideration. I refer to the proposed parallel opening of passages through Aghdam and Lachin for civilians and non-military cargo. This will create the necessary prerequisites for an early launch of direct dialogue between the authorized representatives of official Baku and the Armenian population of Nagorno-Karabakh. Mutual commitments of the parties to refrain from politicizing purely humanitarian issues stand in no smaller demand. We trust that all participants in the process will find the political will to overcome their contradictions in order to alleviate the plight of tens of thousands of Nagorno-Karabakh residents and have a sustained Baku-Stepanakert dialogue. We are ready to engage with all responsible stakeholders who are interested in normalization of the situation around Nagorno-Karabakh and Armenian-Azerbaijani reconciliation at large. Those who truly adhere to this scenario, which is based on the fundamental interests of the Armenian and Azerbaijani peoples, should put aside geopolitical and all sorts of domestic political considerations. We also call for a responsible approach to the use of the Security Council platform in this context. In any case, it is Baku and Yerevan who should resolve these problems. No externally imposed schemes and solutions will replace their dialogue. For our part, we will continue to encourage such dialogue, bringing the parties closer to an original good-neighborly solution, i.a. by employing the potential of the Russian peacekeeping contingent. YEREVAN, AUGUST 17, ARMENPRESS. The United States is concerned about the humanitarian situation in Nagorno-Karabakh and urges Azerbaijan to restore free movement through Lachin Corridor, U.S. Representative to the United Nations Ambassador Linda Thomas-Greenfield said at the UN Security Council meeting on the humanitarian situation in Nagorno-Karabakh. The United States is concerned about the humanitarian situation in Nagorno-Karabakh. And we are deeply troubled by the closure of the Lachin Corridor, which has cut off access to essential goods and exacerbated the humanitarian situation. Access to food, medicine, baby formula, and energy should never be held hostage, Ambassador Linda Thomas-Greenfield said. We urge the government of Azerbaijan to restore free movement through the corridor so commercial, humanitarian, and private vehicles can reach the population of Nagorno-Karabakh. We also note the possibility of compromise on additional routes for humanitarian supplies. And understand that, since last December, the ICRC has facilitated medical transfers for more than 700 people in need of medical care thanks to a critical lifeline for medically vulnerable individuals through the Lachin Corridor. Neutral, impartial, humane, and independent humanitarian access and assistance including medical transfers must not be hindered. Full stop. Colleagues, I want to stress the need for the parties to continue talks aimed at a lasting, peaceful resolution to the conflict and the normalization of relations between Armenia and Azerbaijan. These peace discussions require all parties to exercise creativity, flexibility, and compromise. And let me be clear: peace in the region must include protections for the rights and security of individuals in Nagorno-Karabakh. The United States urges restraint and the immediate cessation of any activities that undermine the peace process. And we call on all sides to fully meet their obligations under international humanitarian law. The international community must continue to engage diplomatically to facilitate dialogue and a durable, dignified peace. Negotiations are vital to a lasting peace. And we support any format that allows Armenia and Azerbaijan to continue dialogue toward a peaceful resolution to the conflict. We encourage all parties to engage in direct talks, including between officials in Baku and representatives of the population of Nagorno-Karabakh. The United States is committed to promoting a peaceful, democratic, and prosperous future for the South Caucasus region. And we will continue to engage bilaterally and multilaterally with all partners to help build this brighter future, she added. A Southern California judge charged with killing his wife during an argument while he was drunk pleaded not guilty to murder Tuesday, and his lawyer says it was an "accidental shooting." Los Angeles Superior Court Judge Ricardo Ocampo ordered Orange County Superior Court Judge Jeffrey Ferguson to surrender his passport, submit to searches, and wear monitoring devices for blood alcohol content and GPS as part of new bail conditions sought by prosecutors, the AP reports. Ferguson, who is free on $1 million bail, also is barred from possessing firearms and alcohol and frequenting places such as bars and liquor stores. After the hearing, Ferguson's lawyers told reporters the longtime judge and former prosecutor was confident a jury would agree with him that no crime was committed. "This was an unintentional, accidental shooting and not a crime," attorney Paul Meyer said. The hearing was held in Los Angeles because Ferguson has been a judge hearing criminal cases in a courtroom about 25 miles to the southeast in Orange County. The next hearing in Ferguson's case was set for Oct. 30. The 72-year-old was arrested on Aug. 3 at his home in the upscale neighborhood of Anaheim Hills after police found his wife, Sheryl Ferguson, shot to death. Prosecutors said in court filings that the couple had been arguing and the judge was drinking when he pulled a pistol from an ankle holster and shot her in the chest. The couple's adult son and Ferguson called 911, and Ferguson texted his court clerk and bailiff to say he had shot his wife, according to the filings. He texted: "I just lost it. I just shot my wife. I won't be in tomorrow. I will be in custody. I'm so sorry," according to the filing. Ferguson was charged with one count of murder and weapons-related enhancements. The arrest shocked the Southern California legal community and officials have been grappling with how the case should be handled. (Read more California stories.) A YouTube influencer is finding out the hard way that publicly slamming a French wine tour, including by calling for a wine expert's death, isn't the way to hold onto sponsors. On her Aug. 6 Cancelled podcast , Tana Mongeau got into the weeds on her recent visit to France, which included a trip to a Champagne house in an unidentified town. On that tour, the 25-year-old says she wasn't feeling so hot due to being hungover and having stayed up until dawn the night before, and that she hadn't known the wine tour was going to be on foot. Mongeau also didn't love that there wasn't as much wine tasting on the tour as she would've liked. "I don't want to learn about fertilization of grass in the middle of France in a town of a population of 300 at 8:34am after I've just walked a mile and a half and there's yet to be a glass of wine in my hand," she griped. But it was one other comment in particular that raised the biggest red flag, directed toward oenophile Cynthia Coutu, who was leading the tour. "I literally, I absolutely want her dead. At the hands of me," Mongeau said. To make matters worse, the influencer then inserted her anger over the situation into an ad for a sponsor she read out loud on the air. "With Babbel I could have told Cynthia the wine tour lady to shut the f--- up in her native language," Mongeau said, referencing the e-language platform, per NBC. She didn't mention Cynthia's last name, but NBC figured out it was Coutu based on the hints Mongeau dropped. Both Coutu and Babbel are now pushing back. Coutu notes that whoever booked Mongeau's tour was given a full itineraryincluding a mention that participants should "wear comfortable walking shoes"and that Mongeau was "extremely disrespectful" during the tour, including donning earbuds to listen to music. Coutu adds she started getting "strange" messages and bad reviews online after Mongeau's podcast, which is when she reported Mongeau's death threats to police. A rep from Babbel also says they'll be vetting sponsorships more carefully from now on. "This absolutely does not align with Babbel's company values," Matt Horsburgh told NBC in an email. "Tana is obviously not a person who understands or celebrates the differences in cultures, and we regret to be in any way involved with her." How badly will this hurt Mongeau? Last month, Forbes posted a piece on her in which it claimed she may be "impossible to cancel." (Read more influencer stories.) Arkansas education officials on Monday said an Advanced Placement course on African American studies won't count toward a student's graduation credit, prompting criticism from Black lawmakers who said the move sends the wrong message, the AP reports. The Arkansas Department of Education didn't bar schools from offering the course, as Florida did earlier this year when it told the College Board it violated state law. The department said the class, which is offered at a handful of schools in Arkansas, could still count toward students' grade point averages. But the Education Department said the class couldn't be part of the state's advanced placement course offerings since it's still a pilot program and hasn't been vetted by the state yet. The department cited a state law enacted this year that places restrictions on how race is taught in school but did not say the course violates those prohibitions. "The department encourages the teaching of all American history and supports rigorous courses not based on opinions or indoctrination," Department spokeswoman Kimberly Mundell said in a statement. The College Board revamped its course following Florida's decision, but faced criticism that it was bowing to political pressure. Arkansas education officials cited the ongoing revisions. "Without clarity, we cannot approve a pilot that may unintentionally put a teacher at risk of violating Arkansas law," Mundell said. When asked whether there's anything in the course that currently raises that concern, Education Secretary Jacob Oliva said that would have to be part of the review process of the final version. Said the College Board in a statement, "On this first day of school, we share in their surprise, confusion, and disappointment at this new guidance that the course won't count toward graduation credits or weighted the same as other AP courses offered in the state. Throughout the first pilot year, we heard countless stories from the classroom about how this course opened minds, changed lives, and provided a much richer understanding of the country." Six schools were slated to offer the course in Arkansas this year, but with districts having been informed of the department's decision just days before classes began Monday (and the department explaining that the course had previously been coded as a state-approved course in error), it's unclear what the next steps might be for the schools offering the program. (See more at the AP.) What one band's frontman may have thought was a well-meaning metaphorical embrace of all the people of the world didn't go over well in one former Soviet state. The Killers are now offering a mea culpa after lead singer Brandon Flowers invited a Russian fan who happened to be a drummer onstage to play drums during Tuesday evening's concert in Batumi, Georgia, imploring the crowd to consider each other "brothers and sisters." "Are you OK with a Russian coming up here?" Flowers asks the crowd, as seen in a clip from the Guardian . "I'm all right with it." Not all of the audience was all right with it, however, as Flowers' question was met with a mix of cheers and boos, the UK outlet notes. After the song was over, Flowers didn't let it drop. "You can't recognize if someone's your brother?" he says in the clip. "He's not your brother?" He then adds: "Am I not your brother, being from America?" The Guardian and NBC News report that some fans left the show in protest, though The Killers did apparently finish their set. The band's wading into "geopolitical waters," as NBC puts it, likely struck a nerve with Georgian concertgoers amid Russia's war with Ukraine, due to their own history with Russia, which the satellite state gained independence from in 1991. Russia invaded Georgia in 2008, however, and it continues to occupy about one-fifth of the nation, per the Guardian. "The war is in Ukraine, but many Georgians consider that ... Ukrainians are fighting Georgia's war," a political science professor from Georgia's Ilia State University tells NBC. "I was thinking that there must be some misunderstanding," one attendee said of Flowers' remarks, calling the 2008 invasion "an open wound." The Killers issued an apology after the commotion. "It was never our intention to offend anyone!" the band wrote in a statement. "We have a longstanding tradition of inviting people to play drums and it seemed from the stage that the initial response from the crowd indicated that they were okay" with it. The band says they now see how the "brothers and sisters" comment could've been "misconstrued" and reiterated, "We did not mean to upset anyone." (Read more The Killers stories.) On Tuesday, Netflix dropped a trailer for Maestro, a biopic about West Side Story conductor and composer Leonard Bernstein that's headed to theaters on Nov. 22 and to the streaming platform the following month. Netflix plugs the film as a "towering and fearless love story" and "a love letter to life and art," but all of that is now being overshadowed by the appearance of star Bradley Cooper in the title role. What stood out to viewers: the fake nose worn by Cooper, who also co-wrote, produced, and directed the film, per NBC News . Outcry immediately emerged from those who called the use of the prosthesis antisemitic and an example of "Jewface," as Bernstein was Jewish; Cooper is not. "I saw Bradley [C]ooper play the elephant man with no prosthetics on broadway. But then he plays a Jew and decides he needs a huge nose?" one detractor wrote on X, formerly known as Twitter, adding that blame couldn't be passed up the film's ladder, as Cooper is also the director of Maestro. The Media Diversity Institute notes the image of "a large, hooked nose" tied to Jewish people is one "so deeply imbedded in modern culture, that most do not acknowledge that it is actually a deeply antisemitic stereotype." The site says Jewish people weren't shown as having overly large noses until the 1930s, when Nazi propaganda took hold and made it a "common trope." In an Instagram post, British actor Tracy-Ann Oberman says Cillian Murphy didn't wear a phony proboscis for his role as Jewish theoretical physicist J. Robert Oppenheimer, and neither did Tom Conti as his Jewish colleague Albert Einstein. "If Bradley Cooper can't do it through the power or acting alone then don't cast himget a Jewish Actor," Oberman wrote, adding that sporting a nose like that is akin to wearing blackface or "yellowface," per the Times of Israel. Others noted that Cooper's prosthesis didn't even look like Bernstein's real nose. The Independent reports that actor Jake Gyllenhaal, whose mother is Jewish, had originally been a contender to make a movie about Bernstein. "That idea of playing one of the most preeminent Jewish artists in America and his struggle with his identity was in my heart for 20 some odd years, but sometimes those things don't work out," he told Deadline in a 2021 interview. (More here on "Hollywood's 'Jewface' problem.") A retired political science professor who traveled in Washington's elite social circles pleaded not guilty on Tuesday to charges that he stole tens of thousands of dollars in jewelry from his wealthy acquaintances. Lawrence Gray sold at least seven rare and valuable stolen items, including diamond earrings, a pink sapphire brooch, and a 19th-century gold pocket watch, prosecutors said. They allege he earned more than $45,000 consigning the pieces to a Manhattan auction house, reports the AP . On Tuesday, Manhattan prosecutors said they had evidence connecting Gray to a string of thefts in New York, Virginia, and several other states. Between 2016 and 2018, he sold the goods on consignment to Doyle Auction House on the Upper East Side, they said, adding that they are still working to locate some of the pieces that were sold. Gray, 79, pleaded not guilty in a Manhattan criminal court to charges of grand larceny, criminal possession of stolen property, and a scheme to defraud. Wearing a pink shirt and black blazer and leaning gingerly on a dark wooden cane, he declined to speak to reporters as he left the courtroom. He was released without bail after agreeing to give up his passport. He is due back in court on Oct. 31. The indictment is the latest in a growing list of theft allegations against Gray, a former tenured professor at John Cabot University in Rome and the longtime romantic partner of the late Washington socialite Jacqueline Quillen. In 2021, shortly after Quillen's death, her sons sued Gray, accusing him of stealing expensive heirlooms, paintings, and cash from both Quillen and her friendsa well-heeled group that included American diplomats, bankers, and businessmen. Later that year, Gray was arrested in Rhode Island on charges that he stole a diamond and sapphire brooch valued at $32,000 during a wedding in Newport. The family's lawsuit has settled for undisclosed terms; the Rhode Island case, in which Gray pleaded not guilty, is ongoing. Quillen, a wine specialist at Christie's and the heir to a Wall Street fortune, had come to suspect that Gray was stealing from her and her friends, according to the lawsuit filed by her sons. She kept detailed notes on the missing goods, and eventually broke off her relationship with Gray months prior to her death, the suit alleged. (Read more jewelry theft stories.) Human Rights Defender of Armenia Anahit Manasyan has issued a statement strongly condemning the gross violations of the fundamental rights of Armenians living in Nagorno-Karabakh by Azerbaijan. August 16, 2023, 10:02 Pregnant woman suffers miscarriage in Artsakh because ambulance was unavailable due to fuel shortages STEPANAKERT, AUGUST 16, ARTSAKHPRESS: Below is the full statement released by Manasyan. The humanitarian crisis is worsening daily in Nagorno-Karabakh: The Human Rights Defender strongly condemns the gross violations of the fundamental rights of Armenians living in Nagorno-Karabakh by Azerbaijan. It is the 247th day that the Armenians of Nagorno-Karabakh are under blockade, and two months (as of June 15) that the latter have been deprived of any kind of humanitarian aid, including basic necessities and food. The Human Rights Defender records that the blockade of the Lachin corridor by Azerbaijan has resulted in the gross violation of the fundamental rights of the residents of Nagorno-Karabakh, including the rights to life, dignity, physical and mental health and healthcare, freedom of movement, food and an adequate standard of living, housing, and other socioeconomic and cultural rights. The blockade has a particular adverse impact on the rights of representatives of vulnerable groups, such as children, women, persons with disabilities, etc. In particular, 9000 persons with disabilities, 20,000 older persons, and 60,000 women are under the blockade. The humanitarian disaster caused by the blockade is manifested in all spheres of the normal life of residents, in particular: The standard of living of the people is in a deteriorated state. Since June 15, the complete disruption of humanitarian aid supplies has created a crisis situation, including the risk of hunger and starvation. The residents of Yeghtsahogh, Hin Shen, Mets Shen and Lisagor communities of Shushi region of Nagorno-Karabakh are in a particularly terrible situation since they are under complete blockade as a result of the establishment of the Azerbaijani checkpoint. Locally produced seasonal agricultural products from different regions and communities of Nagorno-Karabakh are no longer delivered to densely populated places - Stepanakert, Martuni and Martakert. In all grocery stores, the total absence or scarcity of food, basic necessities, and hygiene items was recorded, and the acquisition of the latter is carried out through huge queues. In this context, it is also necessary to address the impossibility of providing humanitarian aid from the Republic of Armenia to Nagorno-Karabakh. Since June 15 as a result of blocking the possibility of providing humanitarian aid by Azerbaijani authorities, the humanitarian aid has remained standing as of today, arriving at Kornidzor village from Yerevan on July 26. On July 28, the representatives of the Defender's office together with the representatives of the diplomatic corps accredited in Armenia and international organizations arrived in Kornidzor, the humanitarian convoy sector, and got acquainted with the situation on the spot. Due to the daily deterioration of people's health and malnutrition, cases of fainting also continue to increase. According to the information registered by the Human Rights Defenders office a person died from starvation in NK. A resident of Stepanakert, K. Hovhannisyan, died as a result of chronic malnutrition, protein and energy deficiency. The blocking of the Lachin corridor has a negative impact on the realization of the right to health care of the people, taking into consideration the prohibitions on the transfer of patients in need of medical care to Armenia. The transportation of patients and transportation of medical supplies is carried out with the intervention of the ICRC and Russian peacekeepers. At the same time, since June 15, the Azerbaijani side has completely blocked the delivery of humanitarian aid by Russian peacekeepers and the ICRC, leading to the worsening of the humanitarian situation. There have been cases of shortage of medicines, and in some cases, the complete absence of medicines. At the same time, in the absence of sufficient food, there has been an increase in the number of premature births in NK. A case of death of an unborn child was also registered in Haterk village of Martakert region. The pregnant woman could not reach the hospital on time because of fuel shortage for emergency vehicles. Since July 25 due to an acute shortage of fuel public transport has not been functioning at all. On July 29, fundamental violations by the Azerbaijani authorities were recorded during the process of transferring patients to Armenia through ICRC. In particular, Azerbaijan abducted 68-year-old Vagif Khachatryan from the checkpoint located in the vicinity of the Hakari bridge, who was being transported to Armenia for medical treatment accompanied by the ICRC. The results of the Defender's study prove that the unlawful interference with the fundamental rights of a person is being carried out in a violation of international legal guarantees and standards. Issues related to the provision of drinking water as a result of electricity supply failures were also recorded, affecting both the quantity and quality of water provided to the residents of NK. The Defender considers it necessary to emphasize again that the current humanitarian catastrophe is a direct manifestation of the ethnic cleansing and genocidal policy by the Azerbaijani authorities incited and adduced by Armenophobia, the ultimate goal of which is to empty Nagorno-Karabakh of its indigenous Armenian population, through terrorizing them, subjecting them to constant physical and psychological attacks and pressures, depriving them of their normal life and creating desperate conditions for life. The Human Rights Defender especially emphasizes the urgency to stop the irreversible and intensifying developments of the humanitarian disaster, as well as the extreme necessity to provide real opportunities for the implementation of humanitarian missions. At the same time, the Defenders office continues to collect and analyze facts on a daily basis regarding the Armenophobic policy of the Azerbaijani authorities, as well as the continuous gross violations of human rights in Nagorno-Karabakh as a result of the blocking of the Lachin corridor, and comprehensively present them to international organizations with a human rights mandate. I attach great importance to the appropriate response of international organizations with a mandate to protect human rights and to take effective steps as a matter of urgency. The Georgia judge assigned to one of the highest-profile cases in the state's history has only been a judge for around six months. But people who have worked with Fulton County Superior Court Judge Scott McAfee say he has many qualities that could make him the right person to handle the Trump case. The 34-year-old, who was randomly assigned to handle the case, is "fair, he's smart, he listens, he's professional, and he knows criminal law really well," attorney E. Jay Abt tells the Wall Street Journal . Abt says McAfee has the patience needed to preside over the case, and both the prosecution and the defense "could have done so much worse in terms of judge selection." McAfee, who has a law degree from the University of Georgia, was appointed earlier this year by Gov. Brian Kemp, who had praised him as a "tough prosecutor," the Washington Post reports. McAfee became a Fulton County prosecutor in 2015. He became assistant US attorney for the Northern District of Georgia in 2018 and was named as Georgia's inspector general by Kemp in early 2021. Defense attorney Tom Church tells the Post that McAfee has a reputation for being diligent. "Because he's relatively new, he's going to be especially focused on getting it right and being deliberate," says Church, who has represented clients McAfee prosecuted on drug charges. Lawrence Zimmerman, another defense attorney, says he's glad he's not in McAfee's shoes. He tells the Journal that while the judge is a "fairly knowledgeable, kind person," he might be overwhelmed by the "vast and voluminous" case, with 19 defendants. He predicts that McAfee will split the case into separate trials for different groups of defendants. (Former White House chief of staff Mark Meadows is trying to have his case moved to federal court.) Rep. George Santos is notorious for inventing details about his background, among other things, but one of his campaign fundraisers went a step further and pretended to be an entirely different person, according to a federal indictment. Samuel Miele is accused of impersonating a top aide to House Speaker Kevin McCarthy in emails to more than a dozen potential donors, CNBC reports. Miele, who worked on the Republican's 2020 and 2022 campaigns, has been charged with four counts of wire fraud and one count of aggravated identity theft. According to the indictment, Miele admitted in an email to Santos that he had been "faking my identity to a big donor," the New York Times reports. He added that he was "high risk, high reward in everything I do." Prosecutors say Miele made a commission of 15% on every contribution he brought in for Santos. He impersonated the aideincluding by allegedly creating an email account in his name"for the purpose of soliciting contributions to the campaign and enriching himself through commissions earned," the indictment states. Miele was released on $150,000 bond after his arraignment in Brooklyn federal court Wednesday. The indictment doesn't name the person Miele allegedly impersonated, describing them only as a "high-ranking aide to a member of the House with leadership responsibilities," but McCarthy has confirmed it was Dan Meyer, his long-serving chief of staff who retired earlier this year. McCarthy said Santos fired Miele after he learned about his behavior. Santos wasn't named in the Miele indictment, though prosecutors said in a letter filed Wednesday that the case "may be presumptively related" to the wire fraud case against Santos. (Read more George Santos stories.) A group of 16 Tops employees and customers who witnessed the fatal shooting of 10 Black people at a Buffalo grocery store are taking a list of companies to court over the trauma they say they suffered when a white gunman opened fire. YouTube and Reddit are named in the suit, which says Payton Gendron became radicalized by content on those sites and learned information he used to carry out the attack on social media, NBC News reports. Three retailers also are named; the suit, which was filed in New York Supreme Court by a nonprofit, says they sold firearm equipment and body armor that Gendron used in the attack in May 2022. Such a lawsuit is unusual but not unprecedented. An emotional distress suit was filed in 2017 on behalf of three people who were at the festival in Las Vegas where at least 59 people were shot to death and hundreds of others injured. The families of those killed in the Buffalo mass shooting filed a suit against many of the same defendants in July, including the social media companies. Gendron, who is white, admitted to picking the store after looking into which ZIP codes have the highest percentage of Black residents. He wore body armor and used an assault-style rile to shoot people in the store. One plaintiff said she still feels uneasy at work in the presence of white people, per Reuters, and another said she has "enormous guilt and anger" because of her feeling that she survived the attack because she is white. Another said she has had panic attacks when unable to spot an exit at stores. Because of the defendants' negligence, the suit says Gendron was able to acquire "the racist motivation, tools and knowledge necessary for him to commit the mass shooting." A YouTube spokesman said Wednesday the platform has invested in spotting and removing extremist content; the other defendants did not immediately comment. Gendron's parents also are named in the suit. (Read more Buffalo shooting stories.) The Kansas newspaper whose offices were raided by police last week has had its seized belongings returned, after the county attorney decided there was "insufficient evidence" to justify the search. Joel Ensey said he has withdrawn the search warrant under which officers raided the Marion County Record, touching off a national reaction, NBC News reports. Police had said that an "employee of the newspaper may have committed" a computer-based crime, Ensey wrote in a statement. But he added that his review, conducted on Monday, did not find that a connection had been established between that suspicion and the newspaper office and the items taken. The Kansas Bureau of Investigation is looking into the case and said Wednesday that its work will continue independently, without an examination of the items. The findings of that investigation will be presented to Ensey, the agency said. Marion Police Chief Gideon Cody did not immediately comment on Ensey's announcement. Bernie Rhodes, a lawyer for the weekly paper, said a forensics expert will examine the items that were taken, per KSHB. A "forensic copy" will be made, Rhodes said, and the two versions will be compared to see whether any information was accessed or altered. Organizations that champion press freedom expressed outrage at the raid, and one of them welcomed the county attorney's decision on Wednesday. "But we still need answers as to how this happened," said Clayton Weimers of Reporters Without Borders, per CNN. "Law enforcement cannot simply raid media organizations at will." (The paper's co-owner watched the raid in tears, then died the next day.) Sorry! This content is not available in your region Fairbanks, AK (99701) Today Periods of rain. High 54F. Winds SSW at 5 to 10 mph. Chance of rain 90%. Rainfall near a half an inch.. Tonight Periods of rain. Low 49F. Winds SSW at 5 to 10 mph. Chance of rain 90%. Rainfall around a quarter of an inch. Fairbanks, AK (99701) Today Light rain early...then remaining cloudy with showers in the afternoon. High around 55F. Winds SW at 5 to 10 mph. Chance of rain 70%.. Tonight Considerable cloudiness with occasional rain showers. Low 49F. Winds light and variable. Chance of rain 50%. Press Release August 16, 2023 CHIZ WANTS TO AUGMENT PCG'S INTELLIGENCE FUND Sen. Chiz Escudero on Wednesday (Aug 16) expressed his willingness to help the Philippine Coast Guard (PCG) augment its intelligence fund allocation in the 2024 national budget to make it more effective in the performance of its duties in protecting and defending the country's territorial waters. Guesting on ANC's "Headstart" this morning, Escudero said he will support the Coast Guard during budget deliberations in the Senate, noting that the agency's P10-million intelligence fund has not been increased since 2009. "I will look into the fact if we can augment--not from the Capital Outlays, Personnel Services or from the Maintenance and Other Operating Expenses--but from the intelligence and confidential budget already proposed by the DBM (Department of Budget and Management)," he pointed out. It's a matter of carving out from the current budget proposal, Escudero said, so as not to affect the other items, especially the appropriations meant to improve the delivery of basic social services to the Filipino people. "Para within the same amount na lang ang pag-uusapan natin. Huwag tayo magbawas ng tulay at mga school buildings. Huwag magbawas ng hospital o magbawas ng social services para dagdagan ang intelligence fund ng Coast Guard. Dapat manggaling din 'yan sa intelligence and confidential funds na prino-propose ng Executive Branch," he said. The veteran legislator, however, said that even if Congress fails to appropriate additional intelligence fund, "the President can actually augment it after the budget is passed." According to Jay Tarriela, spokesperson of the PCG on the West Philippine Sea, the Coast Guard's intelligence fund has remained unchanged at P10 million since 2009. "With what is happening right now in the West Philippine Sea, with the magnitude of the function of the Coast Guard, not to mention maritime safety and maritime environmental protection, it is important for the Philippine Coast Guard to receive a larger intelligence fund to support our operations," Tarriela said. In the same television program, the Bicolano senator also clarified that his proposal to allocate at least P100 million to fund the construction of permanent structures in Ayungin Shoal in the WPS, will not be on top of the P5.76-trillion proposed national budget for the next fiscal year. "The Senate President expressed support yesterday (Aug. 14) that he will help in carving out the budget. This is not on top of the budget; we will just carve out from the existing proposed budget an amount to do this," Escudero stressed. He also thanked his colleagues who welcomed his proposal and expressed to support it in the budget deliberation. "I will put it in the budget as a cover. Sen Jinggoy (Estrada) said it might not be enough and I said 'I would have been happy with the P100 million but if you want to increase it, you have my blessing,'" Escudero said. Artsakh Republic came under Azerbaijani gunfire on August 15 while working in the grain fields. August 16, 2023, 12:33 Azerbaijan fires at grain-harvesting combine in Artsakh STEPANAKERT, AUGUST 16, ARTSAKHPRESS: The farmer was working with his combine harvester in grain fields Tuesday evening in the village of Avdur when Azerbaijani troops opened small arms fire from adjacent outposts. Fortunately, this person managed to escape the shooting, and only the combine harvester was damaged, informs the Artsakh Ministry of Foreign Affairs. Due to the shooting, however, agricultural work was stopped. The report on this incident was forwarded to the Russian peacekeeping troops in Artsakh. TDT | Manama The Daily Tribune www.newsofbahrain.com The Indian School Bahrain (ISB) celebrated the spirit of freedom and unity as it marked Indias 77th Independence Day with patriotic fervour and enthusiasm yesterday. ISB Hon. Chairman Prince S Natarajan, EC Members Binu Mannil Varughese, Mohammad Khursheed Alam, Premalatha NS, Ajayakrishnan V, Riffa Campus Principal Pamela Xavier, Officiating-Principal Baboo Khan, Physical Education HoD Saikat Sarkar, and staff attended the ceremony held at the Isa Town Campus. The celebrations began with the unfurling of the national flag by Hon. Chairman Prince S Natarajan followed by the national anthem. School band played patriotic songs. Independence Day is an opportunity to remind ourselves of the sacrifices that were made to achieve our freedom and to work towards a better future for our children, said Prince Natarajan in his presidential address. He added, Instilling a strong sense of national pride and duty in our young students is our goal through these celebrations. With a legacy of providing quality education, The Indian Schools Independence Day celebrations were an echoing success, capturing the essence of patriotism and unity. TDT | Manama The Daily Tribune www.newsofbahrain.com Foreign Minister Dr. Abdullatif bin Rashid Al Zayani highlighted the 2022 EU Human Rights Reports, which commended Bahrains record and cited the Kingdoms key achievements in this field. He stressed Bahrains commitment to respecting and promoting human rights, civic, political, economic, social and cultural rights and protecting the dignity of human beings, without any discrimination as to gender, origin, language, religion or creed. The minister highlighted Bahrains strides within the development momentum led by His Majesty King Hamad bin Isa Al Khalifa, who laid the foundations of democracy and parliamentary life, enshrining the separation of powers and their cooperation in accordance with the Constitution. Dr Al Zayani, also Head of the National Human Rights Commission, lauded HM the Kings role in promoting the values of tolerance, peaceful coexistence between religions, civilisations and cultures, supported by His Royal Highness Prince Salman bin Hamad Al Khalifa, the Crown Prince and Prime Minister. He looked forward to stepping up cooperation with the European Union in the human rights, political and developmental fields, commending the outcome of the 6th Informal Human Rights Dialogue held on October 27, 2022 in Manama, which resulted in many joint projects and activities. He reiterated Bahrains firm commitment to continuing human rights strides in line with its values and constitutional pillars that uphold human rights and dignity on the basis of equity and respect within HM the Kings wise approach. A number of politicians, writers, and human rights advocates in Turkey have called on the international community to intervene in the humanitarian crisis in Artsakh due to its ongoing blockade by Azerbaijan,news.am informs, citing Gercek News. August 16, 2023, 16:41 Group of Turkey intellectuals appeal to world regarding humanitarian disaster in Artsakh STEPANAKERT, AUGUST 16, ARTSAKHPRESS: "A group of Turkish intellectuals has appealed to the world community, calling for immediate intervention to prevent a potential disaster and alleviate people's suffering," the aforesaid medium wrote. "The ruthless blockade, approved by the Baku government and supported by Ankara, has not only isolated the Armenian population of Nagorno-Karabakh, but has also raised fears of impending genocide. This serious situation has prompted Turkish influential figures to raise their voice, advocating for international actions to end the blockade and offer a lifeline to the besieged residents," Gercek News added. The essence of this call is the conviction that it is not permissible to wait until a humanitarian disaster occurs in Nagorno-Karabakh. "It is obvious that basic necessities cannot reach Karabakh, and residents are trapped without access to emergency medical care. Along with the deepening of the crisis and in confirmation of the concern of the international community, the dictator of Baku, Ilham Aliyev, continues to openly and constantly threaten the people of Karabakh to the extent that the former chief prosecutor of the International Criminal Court, Luis Moreno Ocampo, published an important article which qualifies Aliyev's actions in Karabakh as genocide, the aforesaid group noted in their statement, which contains a call to all countries and international organizations that the international community should take an active position in this regard. By Kaitlyn Smith and Serena Austin New Canadian Media Canadian universities and colleges raked in more than $9.6 billion in tuition from international students in a single year. Despite making up just 17 percent of students studying in Canada, international students contributed 43.5 percent of all tuition fees collected in 2020, according to the most recent survey by Tuition and Living Accommodation Costs (TLAC). It reports 373,599 international students attended a university or degree-granting college in 2020/2021. TLAC does not calculate the total amount international students pay in tuition across the country and it doesnt keep a record of this population by level of study. Statistics Canada, however, tallies fees spent by undergraduate or graduate study. Based on the average cost of tuition for these students that year, domestic and foreign students spent a total estimated $22 billion. Universities and colleges are collecting more from international students every year with increases in tuition, while prices for domestic or in-province students remain at a similar or fixed rate regardless of government grants institutions receive of up to 80 percent in some provinces. Lacking a cap on how much tuition can be raised, and less financial aid services than the Canadian students, are among the most common complaints from international students, said Damanpreet Singh, international student representative for the Canadian Federation of Students. The University of British Columbia, for example, increased tuition fees for international students for 2023/2024 by five percent for new students, and three percent for those returning for another year of study. UBC expects to collect $54 million more in tuition than it did last year. The university also expects to be short $1 million in fees from domestic students. Montreals McGill University is one of the only schools in Canada that has introduced a Guaranteed Tuition Model for new international students to establish fixed fees for each term, and eliminate uncertainty around tuition costs, according to the universitys latest budget. Still, tuition fees remain the second-biggest revenue stream for McGill. Out of all student groups, international undergraduates pay the most in tuition fees at universities across Canada: StatsCan. A spokesperson for the Nova Scotia Community College (NSCC) explained in an email to New Canadian Media that federal and provincial taxes subsidize post-secondary schools to support domestic students. Tuition for international students is not subsidized here, said the NSCC spokesperson. International students have not contributed to provincial or federal taxation, which contributes to [subsidizing] tuition. In March, Ontario extended its tuition freeze for the upcoming year for residents from the province, which the University of Toronto estimates has cost the institution $195 million in revenue since 2019. The university expects to make almost $3 million in 2023-2024, including in student tuition, and fees for international students will be increasing by 2.1 percent. NSCC has not had a tuition fee increase in the past two years, the colleges spokesperson said, and tuition only accounts for 15 percent of its revenue. At the University of Toronto, it accounts for 88 percent of revenue a 20 percent jump from last year, despite the tuition freeze. The university did not comment on questions from NCM about the potential pressure provincial funding has on fixing tuition fees. Torontos George Brown College reported collecting more money in international student tuition fees ($164,845) than it did from government grants and subsidies ($141,946) in 2023/2024. Ontario remains the most expensive province in Canada to study to attend post-secondary schools, where international students pay more than $40,000 a year for undergraduate studies in 2022-2023. The rest of the provinces, except B.C., charged under $30, 000 according to Statistics Canada. Samarjeet Singh, a former international student who graduated from Cape Breton University this May, has advice for others like him who come to Canada to study: dont worry about permanent residency. The main thing that students should keep in mind when theyre planning to come to Canada is to think of a career dont think of residency, said Samarjeet, who graduated from Cape Breton University this May and is now training to be a public health inspector in Manitoba. Chasing permanent resident (PR) status is what keeps international students from pursuing better job opportunities, he said. As long as I have a good job, and I can continue to get a permit to work, thats good enough for me. New research involving 1,300 international students in B.C. reveals they are getting stuck in jobs outside their fields of study and that post-secondary institutions put more emphasis on recruiting students than ensuring their success. On top of paying more than five times what domestic students spend on tuition, international students face increased pressure to excel at their university or college. In the 2022-2023 school year, a Canadian undergraduate student paid $6,834 while an international student paid $36,123. If you fail in three subjects, you will be thrown out of the college, said Jaspreet Singh, an international student at Conestoga College in Ontario and vice-president of the Sikh Student Association there. Jaspreet said he knows international students arent getting enough food or sleep because their time is spent studying or working. He wants schools to prioritize international students mental well-being, and employment success. Although he says he cant deny the value of his education, he recognizes that studying in Canada isnt easy. Despite these difficulties we are optimistic about the future, said Jaspreet, so that gives us strength. CIFAR encourages all Canadians to enhance their AI literacy with new free online course: Destination AI TORONTO, Aug. 15, 2023 /CNW/ - Today, the Canadian Institute for Advanced Research (CIFAR), released findings from a study titled, Incautiously Optimistic, which suggests: Canadians are so caught up playing with new AI tools, they aren't considering the full scope of their risks and opportunities. The organization shared these results as it launched a new free online course in French and English, Destination AI, designed to raise the public's AI literacy. CIFAR is a global research organization that among other initiatives leads the Pan-Canadian Artificial Intelligence Strategy. "Increasingly, AI technologies are being applied to decision-making processes that directly impact people, such as screening resumes, analyzing healthcare data, or assessing mortgage risk," says Elissa Strome, executive director of the Pan-Canadian AI Strategy at CIFAR. "As AI takes on larger roles in our daily lives and work, it is essential that all Canadians develop at least a basic literacy on this topic." This need is reflected in the latest research which included a national social listening audit that analyzed 6.9 million posts with AI mentions over a two-year period, and AI-related online search queries over the past five years. It found that positive discourse far outweighed negative ones by an almost two-to-one ratio, and every age demographic appears to be engaged. Quebecers produced almost double the AI conversation online compared to other parts of the country (63 per cent versus 32 per cent). Canadians appear to be throwing caution to the wind, enthralled by new AI tools. They're in "play mode," experiencing shiny object syndrome. Case in point: searches for "ChatGPT" overtook searches for "AI" this past spring. The public seems to be less aware of important concerns such as fairness and bias essential considerations for responsible AI. These were noticeably absent at scale in the data. Giving Canadians an important holistic view, Destination AI provides direct teachings from global AI experts including Yann LeCun, chief AI scientist, Meta; Julie Owono, executive director, Internet Without Borders; and Stuart Russell, professor, University of California, Berkeley. The self-paced course, available in both official languages, can be finished in less than a day, and a digital certificate is issued upon completion. Specifically, the course will help Canadians to: Understand the meaning behind different AI terms (e.g. Machine Learning versus Deep Learning, or Big Data versus Data Sciences.) Debunk common AI myths such as: "AI programs are smarter than humans". See the bigger picture behind AI's potential, including how AI is playing a role in disease prevention, helping to predict global disasters like famines, and how AI scientists are using data to help clean oceans. Consider ethical challenges of AI and data use related to privacy, disinformation, bias, and algorithmic decision-making. The Public Awareness Working Group of Canada's Advisory Council on Artificial Intelligence after consulting with the public about their perspectives on AI in 2021 recommended that a free online course for AI literacy be made available to all Canadians. CIFAR's Destination AI answers that call. The report, Incautiously Optimistic, identifies three action items that can promote AI for public good across the country. These include: Counter AI systems biases: Canada needs to diligently search for, guard against and mitigate blind spots and biases in building AI systems. Canadians must hold policymakers and regulators to account, ensuring representation and inclusion among teams that are building and safeguarding AI. Raise AI literacy across Canada : As the world wakes to the opportunities of AI, this is Canada's chance to raise AI literacy so citizens can better understand the opportunities and risks that AI advancements pose. Promote public engagement: Canada needs deeper public engagement on policy and regulation development. Such interactive dialogue can keep the focus for decision-makers on AI development for social good, and equity in citizen rights. "Many of today's global AI tools have come about because of Canadian-led research, and we continue to play a leading role in the advancement of responsible AI," notes Strome. "Continuing along this path, Canadians can and should demand that companies and institutions deploy these technologies responsibly and safely, with rules enforced by our governments and regulatory bodies. To do this, we need an informed public, and this course is a step towards that." Destination AI is available online now for all Canadians at: www.cifar.ca/destinationai. Methodology for the study and report: Incautiously Optimistic: This research consisted of a national social media listening audit performed on NetBase Quid, an AI-powered consumer and market intelligence tool, to analyze AI trends being populated in Canadian social media conversations. Volume of mentions, sentiment score, engagement, reach, and share of voice were tracked. This audit analyzed data from January 20, 2021, to April 20, 2023. While it's difficult to quantify the exact margin of error, research studies on sentiment analysis tools generally report accuracy levels ranging from 70 per cent to 90 per cent. This study also included search volumes and data from Google Trends and Google's People Also Ask (PAA) feature on AI-related queries searched online by Canadians. Searches from April 20, 2017 to April 20, 2023, were analyzed and included in this research analysis. About CIFAR: CIFAR is a Canadian-based global research organization that convenes extraordinary minds to address the most important questions facing science and humanity. By building long-term, interdisciplinary, global communities of collaboration, we provide the world's top researchers with an unparalleled environment of trust, transparency and knowledge sharing. Our time-tested model inspires new directions of inquiry, creating a culture of risk-taking, accelerating discovery, and yielding breakthroughs across borders and academic disciplines. Through knowledge mobilization, we are catalysts for change in industry, government and society. In 2017, the Government of Canada appointed CIFAR to develop and lead the Pan-Canadian Artificial Intelligence (AI) Strategy, the world's first national AI strategy. Our community of researchers includes more than 400 researchers from 161 institutions in 18 countries. Our fellows, chairs, scholars, and advisors are among the most highly cited researchers in the world. Twenty Nobel Prizes have been awarded to CIFAR-affiliated researchers. We are supported by the governments of Canada, Alberta and Quebec, as well as foundations, individuals, corporations and Canadian and international partner organizations. Media Resources: Imagery B-Roll Report: Incautiously Optimistic SOURCE Canadian Institute for Advanced Research (CIFAR) For further information: Media requiring further information or interviews, please contact: Paige Calvert, Senior Account Director, Edery & Lord Communications, Tel: 778.997.4421, Email: [email protected] VANCOUVER, BC, Aug. 15, 2023 /CNW/ - Generative AI Solutions Corp. ("GenAI" or the "Company") (CSE: AICO) (OTCQB: AICOF) announces that it has entered into an asset purchase agreement (the "Purchase Agreement") to acquire certain intellectual property assets (the "Transaction"). The Company is pleased to announce that it's subsidiary, 1431885 B.C. Ltd. ("Company Subco"), has entered into the Purchase Agreement dated August 14, 2023 with Metachain Technologies Inc. ("Metachain") to acquire certain intellectual property assets (the "IP Assets") from Metachain, which include all intellectual property rights, source code, interface and other elements of a software package required to operate an artificial intelligence ("AI") asset which will function as a virtual assistant mobile application (the "App") expected to be marketed under the name "SpeakGPT". In particular, the IP Assets will include: App Light Paper: The detailed documentation, commonly known as the light paper, provides an overview of the App's features, functionality, and technical specifications, serving as a valuable resource for understanding the conceptualization and design of SpeakGPT. Text-to-Speech Implementation: A robust text-to-speech functionality has been built into the SpeakGPT prototype using an open-source library, ensuring flexibility, accessibility, and compatibility with multiple languages. This implementation involves a text-to-speech model that is expected to run on the Company's and Metachain's servers, providing several benefits, including cost savings. By utilizing in-house solutions, the Company expects to avoid additional expenses associated with paying for third-party services for text-to-speech functionality. Source Code - GitHub Repository: The complete source code for SpeakGPT, including all code modules and files necessary for building, modifying, and enhancing the application, will be transferred to the Company Subco via a GitHub repository. With access to the source code, Company Subco will have full control and ownership of the technology powering SpeakGPT. Prototype App for iOS and Android: The prototype version of SpeakGPT is fully functional and compatible with both iOS and Android platforms. It demonstrates the core features, including the ChatGPT API integration, animated visual interactions, and the text-to-speech functionality. The prototype serves as a solid foundation for further development and refinement. Among other things, the App is expected to enable users to export materials in various formats (PDF files, images, text files) through voice commands, enhancing convenience and productivity, and will have multilingual voice support and voice options to cater to a global user base, promoting inclusivity and user satisfaction. Pursuant to the terms of the Purchase Agreement, the total consideration payable by the Company is $60,000 USD, which will be settled through the payment on closing by the Company Subco of $50,000 USD in cash and 300 common shares of the Company Subco at a deemed price of USD$33.33 per common share, representing 30% of the issued and outstanding equity securities of the Company Subco. The Company Subco was formed as a special purpose vehicle for the purpose of acquiring the IP Assets from Metachain. Prior to the closing of the acquisition of the IP Assets, the Company Subco will at all times be a wholly owned subsidiary of the Company, and following the closing of the acquisition of the IP Assets, the Company will hold 70% of the equity interest of the Company Subco. It is expected that the acquisition of the IP Assets will close on or about August 21, 2023. On Behalf of the Board, Ryan Selby CEO, Director, and Chairman of the Board Generative AI Solutions Corp. Toll-free North America: +1-833-879-7632 Outside North America: +1-406-879-7632 [email protected] www.genai-solutions.com ABOUT GENERATIVE AI SOLUTIONS CORP. GenAI is a pioneering artificial intelligence company focused on developing a vertically integrated AI solutions business through its proprietary MAI Cloud platform, with the development and commercialization of AI-powered tools and solutions for businesses and consumers across multiple industries. At GenAI, our mission is to harness the power of AI to create transformative products and services that benefit business and consumers across various sectors. Our team of talented AI professionals and engineers are dedicated to developing state-of-the-art AI-based solutions that have broad applicability and can be seamlessly integrated into diverse workflows. By leveraging our MAI Cloud platform and our expertise in machine learning, natural language processing, and data analytics, we build versatile high-performance tools that redefine efficiency, productivity, and user experience. For more information on GenAI, please visit www.genai-solutions.com. Trading in the securities of the Company should be considered highly speculative. This news release contains "forward-looking information" and "forward-looking statements" within the meaning of applicable securities laws (the "forward-looking statements"), within the meaning of applicable Canadian securities legislation, including statements relating to the completion of the Transaction, the conditions to completing the Transaction, the future integration of the IP Assets into the business of the Company, the benefits from the App, the satisfaction of closing conditions, the terms and conditions of the Transaction, the business plans, competitive strategy and objectives of the Company upon completion of the Transaction, the opportunities and growth in the use of the IP Assets, market conditions, maintenance and/or expansion of the book of business, and the potential benefits of the Transaction. Forward-looking statements are statements that are not historical facts and are generally, although not always, identified by words such as "expect," "plan," "anticipate," "project," "target," "potential," "schedule," "forecast," "budget," "estimate," "intend" or "believe" and similar expressions or their negative connotations, or that events or conditions "will," "would," "may," "could," "should" or "might" occur. All such forward-looking statements are based on the opinions and estimates of management as of the date such statements are made. These forward-looking statements are made as of the date of this news release. Readers are cautioned not to place undue reliance on forward-looking statements, as there can be no assurance that the future circumstances, outcomes or results anticipated in or implied by such forward-looking statements will occur or that plans, intentions or expectations upon which the forward-looking statements are based will occur. While we have based these forward-looking statements on our expectations about future events as at the date that such statements were prepared, the statements are not a guarantee that such future events will occur and are subject to risks, uncertainties, assumptions and other factors which could cause events or outcomes to differ materially from those expressed or implied by such forward-looking statements. Such factors and assumptions include, among others, the conditions required for the completion of the Transaction, that the conditions for the Transaction will be fulfilled, the Company's ability to integrate the IP Assets and its technology into the business of the Company, the maintenance and expansion of existing services and uses for users of the IP Assets of the Company, and the benefits of the App, market acceptance and demand of the Company's technology and related products, the effects of general economic conditions, changing foreign exchange rates and actions by government and regulatory authorities, the effects of COVID-19, both positive and negative, the future of the market for Company's programs and misjudgments in the course of preparing forward-looking statements. In addition, there are known and unknown risk factors which could cause our actual results, performance or achievements to differ materially from any future results, performance or achievements expressed or implied by the forward-looking statements. Known risk factors include risks associated with the impact of general business and economic conditions; the ability of the Company to successfully integrate the IP Assets into its existing operations; unexpected changes in market and technological trends and governmental policies and regulations affecting the Company, the risk that a closing condition to the Transaction is not satisfied or is not satisfied on a timely basis, including, without limitation, the parties' ability to satisfy closing conditions and receive necessary approvals; the Company's ability to continue onboarding clients; risks related to global pandemics; influence of macroeconomic developments; business opportunities that become available to, or are pursued by the Company; reduced access to debt and equity capital; litigation; the volatility of the stock market; competition; future sales or issuances of debt or equity securities; use of proceeds; dividend policy and future payment of dividends; liquidity; and the market for the Company's securities. Although we have attempted to identify important factors that could cause actual actions, events or results to differ materially from those described in forward-looking statements, there may be other factors that cause actions, events or results not to be as anticipated, estimated or intended. There can be no assurance that forward-looking statements will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking statements. We are under no obligation to update or alter any forward-looking statements except as required under applicable securities laws. For the reasons set forth above, undue reliance should not be placed on forward-looking statements. SOURCE GENERATIVE AI SOLUTIONS CORP. Given the tower's prominent location, the team engaged internationally renowned Canadian architects, Wallman Architects, to develop the design for the building, that is set to change the Toronto skyline indefinitely. "We are honoured to be a part of this project, especially knowing how important this building will be, given its prime location. The Toronto skyline, photographed from the lake with the CN Tower in the frame, is one of the most used visuals when describing our City and knowing that our tower will forever be positioned immediately next to the CN Tower really pushed us to come up with a sophisticated design, that we are extremely proud of" says Rudy Wallman, Principal of Wallman Architects. Queens Quay West, considered to be the centerpiece of the Central Waterfront Revitalization Project that commenced more than a decade ago, today is a thriving world-class cultural, residential, and recreational hub, steps away from the Rogers Centre, Scotiabank Arena and Ripley's Aquarium. The Tower's elegant and innovative interior has been designed by U31award-winning interior architecture and design studio. With a blend of rebellious chic inspired by the British Monarchy and British design and fashion, U31 brings forth a harmonious balance between opulence and modernity, designing spaces that are both inviting and visually stimulating. Offering contemporary style with subtle nods to regal sophistication and 'Bond-esque' glamor, the Q tower stands in a league of its own. At Q Tower, the pursuit of excellence extends beyond architectural mastery and into artistic brilliance. In his first-ever North American partnership, Michael Moebius, internationally acclaimed artist and photographer, renowned for his hyper-realistic illustrative portraits featuring celebrities blowing large bubblegum bubbles, will collaborate with Q Tower. His artwork is a cultural phenomena that has graced the covers of Robb Report, Mayfair and Grazia, as well as the walls of trailblazers and celebrities like Beyonce and Jay Z, Paris Hilton, Rihanna and many more. In his works, global figures such as Audrey Hepburn, The Beatles, and Marilyn Monroe are painted in monochrome or sepia with vibrancy and flair being infused through the colored bubbles. His stunning additions to the Tower are sure to give residents an in-home experience fit for Hollywood Royalty. Q Tower will feature the instantly recognizable image of Queen Elizabeth II adorned with a big bubble as its artistic focal point. The Tower's infusion of art into living spaces adds character, emotion and inspiration that has never before been created with Michael Moebius' work in North America - Aligned with the developers' commitment to building interconnected communities that have an artistic fervor woven into every project. Moreover, the beautifully designed interior spaces of Q Tower will also be home to some new additional artworks from the renowned artist, including an homage to the greatest British spy: James Bond. "Q Tower epitomizes modern elegant living, offering residents spectacular million-dollar protected views of the iconic CN Tower and beautiful waterfront," said Brian Brown, Principal of Lifetime Developments. "With its perfect location, remarkable building and unique design, thoughtfully crafted interiors, and the inclusion of celebrity artist Michael Moebius's captivating 'Bubblegum' artworks, Q Tower sets a new benchmark for Metropolitan city living. It's the perfect complement to the landmark location, where residents can immerse themselves in the unparalleled beauty of Toronto's skyline and waterfront, to be part of Toronto's rich global culture." "Q Tower is more than just a residential project; it represents a lifestyle promise that will exceed purchasers' expectations and allow them to discover an elevated yet attainable standard of living. The central downtown location in the Harbourfront neighborhood, offers the very best of Toronto living - from being immersed in the energy and excitement of the bustling city to unmatched views of the calming waters of Lake Ontario," said Stephen Diamond, CEO of DiamondCorp. For more information or to register for Q Tower, visit www.Qtower.ca For additional information on Lifetime Developments and Diamond Corp or any of its developments, please visit: http://www.lifetimedevelopments.com and https://www.diamondcorp.ca or on social media via: @lifetime_dev and @diamondcorp_ About Lifetime Developments For over four decades, Lifetime Developments has been renowned for its creation of thriving residential neighbourhoods and successful commercial properties. With an artistic fervor woven into every project, Lifetime is dedicated to holistic city building in the Greater Toronto Area, building interconnected communities with the purpose of greatly enhancing the city's vibrant real estate and development sectors. An unrivaled passion for the industry and diverse portfolio complements Lifetime's strong company culture that is rooted in corporate and social responsibility. Lifeline, Lifetime Development's charitable foundation, was founded in 2014 out of Lifetime's commitment to actively support and enhance the communities in which they build. The foundation has since raised more than $3M for organizations that provide necessary aid and support for some of the most vulnerable and at-risk communities in the GTA and across the country. For more information, please visit us at: http://www.lifetimedevelopments.com . About Diamond Corp DiamondCorp is a Toronto based real estate development company which maintains a strong commitment to developing high-quality, innovative and award winning residential and mixed-use projects. The company has established itself as a leader in progressive city building in the GTA with a proven track record in achieving municipal approvals for complicated sites translating into the highest and best use. Working together with the local Councillor, City Staff, and community, DiamondCorp is able to achieve its land use goals, creating developments that are sensitive to the surrounding community. Since its founding in 2008, DiamondCorp has invested in 24 development projects across the GTA, totaling development density of over 21 million square feet and acts as manager of the five Whitecastle New Urban Funds which represent approximately $930 Million in capital. For more information, please visit us at www.diamondcorp.ca. About Michael Moebius: Michael Moebius, a globally celebrated artist born in Pirna, Germany in 1968, rose from the stark contrasts of communist East Germany. In the shadow of its confines, he audaciously sketched characters like Mickey Mouse, Donald Duck, and the Terminator - symbols forbidden in his homeland. Although society nudged him towards engineering, Moebius' trajectory altered profoundly after discovering Alberto Vargas' pin-ups, anchoring his dedication to figurative art. Having refined his skills at Dresden's renowned Academy of Arts, Moebius achieved a pivotal breakthrough in 1998. The Berlin Wall's collapse granted him passage to the U.S., where his masterful painting and photography immediately captured attention. His creations, characterized by their opulent union of playfulness and Pop Art essence, often showcase luminaries like Marilyn Monroe and Audrey Hepburn in delightful renditions. Esteemed magazines such as Playboy, Vogue, Vanity Fair, and Robb Report have celebrated his art, marking it an essential for global aficionados. Transitioning from East Germany's limitations to the boundless opportunities of the U.S., Michael Moebius epitomizes tenacity, passion, and unmatched artistic genius. His legacy stands as a beacon of inspiration in the worldwide art landscape. For more information, please visit us at: www.michaelmoebius.com. SOURCE Lifetime Developments For further information: For more information, photos, or to schedule an interview, please contact: Zaib Shadani, NKPR, [email protected], 647 451 8566 The 2SLGBTQIA+ communities and their allies claim: Never Without Our Pride! MONTREAL, Aug. 16, 2023 /CNW/ - The 17th edition of the Fierte Montreal Festival, presented by TD, in collaboration with Loto-Quebec, took place from August 3 to 13, 2023 and saw over 450,000 participants celebrate gender and sexual diversity. With record registration of 15,500 marchers, the Pride Parade commemorated the fights and victories of the past, honoured those who preceded us and put a spotlight on the demands of 2SLGBTQIA+ organizations. A crowd of over 200,000 people gathered along the streets of downtown Montreal demonstrating that pride is inextinguishable. Faced with a hike in hate and discrimination, our communities and allies sent a clear message: Never without our pride! Fierte Montreal amplifies the voices of 2SLGBTQIA+ communities and, during the Community Days, presented by Air Canada, its mission was attained: a record participation of over 180 2SLGBTQIA+ and allied organizations from Montreal and all over Quebec made it possible for over 145,000 visitors to get to know their work on August 11 and 12. Despite several rainy days, the free outside artistic events celebrated the creativity and resilience of our communities, at Jardins Gamelin from August 3 to 6, and on the main site, the Olympic Park Esplanade from August 9 to 13. In total, more than 65,000 festivalgoers enjoyed a multidisciplinary offer ranging from concerts to comedy, from drag shows to dance parties. More than 150 artists many emerging, indigenous and from racialized groups took the various stages. A 2023 innovation, nine shows at Club Soda, National and in SAT's Satosphere were all sold out. A series of conferences featuring issues faced by the 2SLGBTQIA+ communities, imagined and organized in collaboration with them, was a resounding success. Fierte Montreal wishes to extend a warm thank you to its four Grand Marshals, Christiane Taubira, Hetera Saskya Caila Estimphil, Scott Wabano and Yannick Nezet-Seguin, people who, thanks to their commitment, their talent and their work, inspire and contribute to the improvement of the lives of 2SLGBTQIA+ people. Fierte Montreal also highlighted the remarkable influence of the recipients of the John Banks Award, which recognize the contribution of individuals or groups who have contributed to the influence, defense and advancement of 2SLGBTQIA+ struggles, Laura Yaros; the Claude-Tourangeau Award, presented to an individual or organization for outstanding contribution to the fight against serophobia, Alexandra de Kiewit (posthumous award); and the Batisseur Award, which recognizes the significant contribution of individuals and organizations who have worked to defend the rights of 2SLGBTQIA+ people in Montreal and Quebec, Barbada. Never Without Our Partners Fierte Montreal is grateful to its faithful and more recent partners: TD Bank Group, a partner since 2008, Loto-Quebec, Government of Canada, Gouvernement du Quebec, Ville de Montreal, Tourisme Montreal, Rogers, Trojan, SAQ, Air Canada, Bubly, Radio-Canada, Prime Video, STM, Bud Light, Hydro-Quebec, Puerto Vallarta, Fugues, MTL Dans la poche, La Presse, CTV, Virgin Radio, Energie, Rouge, Le Devoir, Publicite Sauvage, Cult, Shaun Proulx Media, Parc olympique, Partenariat du Quartier des spectacles, REMI and SDC Village Montreal. About Fierte Montreal Since 2007, Fierte Montreal amplifies the voices of 2SLGBTQIA+ communities to assure their representation, their inclusion and the recognition of their rights in society. Catalysts for social change, our Festival, our community initiatives, as well as our artistic and cultural projects celebrate the creativity and resilience of our communities. Mindful of and in collaboration with 2SLGBTQIA+ communities, we call attention to their fights and realities in the wider public and the institutions. Fierte Montreal is based in Tio'tia:ke, on the unceded territory of the Kanien'keha:ka Nation. We recognize them as the custodians of the lands and waters on which we gather. Tio'tia:ke is historically known as a gathering place for many First Nations, and today a diverse Indigenous population, as well as other peoples, reside here. It is with respect for the connections to the past, present, and future that we recognize the ongoing relationships between Indigenous Peoples and others in the Montreal community. SOURCE Fierte Montreal For further information: Media Requests: Nathalie Roy, Consultant, press relations, [email protected], 514 889-3622 Guest Commentary By Sylvain Charlebois Food prices are rising, and new words are being created to explain why. Along with higher prices and smaller product sizes a trend known as shrinkflation consumers have also found themselves grappling with the concept of shelflation. If youve noticed a decline in product quality, you can blame shelflation, which refers to food items having a shorter shelf life due to disruptions in the supply chain, particularly affecting perishables like produce. Another term you need to be aware of is skimpflation, which signifies a subtle alteration in the nutritional composition of certain products. This isnt a new practice; food companies have been doing it for years. Theyve changed recipes, which can often result in discernible disparities in taste and texture. For example, a recent CBC report highlighted changes in E.D. Smiths pumpkin pie filling recipe, with vegetable oil moving down the ingredient list and water taking on a more prominent role as the third primary ingredient. Familiar products like Cheez Whiz have changed too, with cheese no longer being the main ingredient and being replaced with something called modified dairy substances. This trend extends across a spectrum of products, including granola bars, chips, chocolate, pasta, and crackers. Why are food manufacturers doing this? There are a few reasons. While skimpflation might make you think companies are just trying to save money and thats part of it theres more going on. As the cost of food ingredients surge, companies reformulate and rigorously test new recipes to keep prices down while ensuring consumers remain unaware of any changes. Admittedly, the nutritional integrity of products can be compromised in the process. But food manufacturers might also reformulate products to appeal to specific demographics, or to meet new rules and regulations. This might involve intentional alterations in flavours, calorie counts, sodium levels, fat content, or even sugar content. An upcoming law in 2026 about labels will require symbols on packages with high levels of saturated fat, sugars, and/or sodium. To avoid having such an indicator on their products, companies are changing their recipes now, resulting in revised ingredient lists for numerous food items. Essentially, skimpflation is about more than saving money. Its also a response to new rules and regulations. These practices are legal, but if you want to understand whats happening, youll need to watch food labels closely. Unfortunately, theres not much else customers can do. Skimpflation is also affecting customer service in grocery stores. A recent report from Field Agent Canada showed that many people are unhappy with the service theyre getting. Seventy-nine percent have noticed products being unavailable, 55 percent have encountered longer queues, 48 percent have found fewer checkout clerks, and 39 percent have found insufficient checkout lanes. The proliferation of self-checkout lanes has also made some customers unhappy. These issues highlight more ways companies are trying to cut costs. However, the impact of skimpflation on our food economy extends beyond products to encompass customer service. Over the past year, a report from Field Agent Canada has illuminated a host of unsatisfactory service-related experiences in grocery stores, indicative of a shift in service quality and labour issues. As a collective, 79 percent of Canadians have observed instances of product unavailability, 55 percent have encountered longer queues, 48 percent have noted a shortage of checkout clerks, 47 percent have struggled to locate store employees, and 39 percent have identified an insufficient number of checkout lanes. The proliferation of self-checkout lanes in recent years has further exacerbated consumer dissatisfaction. All these instances underscore a trend of cutbacks and cost-saving measures. The landscape of grocery shopping has undergone a transformation. Not only have products evolved, but the service provided has also undergone alterations. It is imperative for consumers to pay attention and adapt to these changes in the food market as they navigate the evolving food economy. Dr. Sylvain Charlebois is senior director of the agri-food analytics lab and a professor in food distribution and policy at Dalhousie University. The Deputy President of the Senate, Senator Barau Jibrin, has said that the All Progressives Congress (APC) would soon recover from the shock it suffered as a result of the outcome of the last elections in Kano State. Jibrin specifically expressed optimism that the party would bounce back in Kano and appealed to stakeholders of the party in the state to be united. Receiving local government vice chairmen under the umbrella of the Association of Local Government Vice Chairmen, ALGOVC, Kano State chapter, at the National Assembly, Senator Barau said with prayers and unity, the outcome of the tribunal will be favourable to the APC. APC is challenging the declaration of Abba Yusuf of the New Nigeria Peoples Party, NNPP, as the winner of the governorship election conducted on March 18 in Kano State at the Election Petition Tribunal. We are praying and hopeful that the outcome of the tribunal will be favourable to us. Everything is in Gods hands. Let us be united and work together. By Gods grace, its only a matter of time, we will bounce back in Kano State. As it is now, we are in opposition, and as such, there are a lot of expectations from us. We should be united and work together in the interest of our party, he said. Commending the local government vice chairmen for their visit, Senator Barau said he would continue to work with them to improve the standard of living of the people at the grassroots. The local government is very essential. We will continue to work with you to address the challenges facing our people. We are proud of you. Let us continue to work together, he said. Earlier, the chairman of the association, Alhaji Yakubu Musa Naira, said they were at the National Assembly to congratulate Senator Barau on his emergence as the Deputy President of the Senate. The Report of the Committee set up by Imo State Government for the recovery of land belonging to Alvan Ikoku Federal College of Education, AIFCE, has indicted two of former Governor Rochas Okorochas in-laws, Dr Uzoma Anwuka, Uche Nwosu and Senator Ifeanyi Ararume. The land recovery Committee, which indicted former Governor Rochas Okorocha of forcefully dispossessing the College of its land also indicted Hon Kingsley Uju, former Speaker, Chief Acho Ihim, Chief Charles Orie, Prince Charles Amadi and Chief Ugochukwu Hillary as some of the beneficiaries of the illegal acquisition. The Committee, in its recommendations, signed by the Chairman, Prof. Vitalis Orikeze Ajumbe and the Secretary, Barr. B. F Anyanwu, asked that Okorocha should return the land for Shell Camp within six months. It recommended that the College should receive a Certificate of Occupancy. According to the investigation, the former governor took control of the Colleges land and either distributed it to his close friends or sold it to them. It was alleged that the prior governor ordered the destruction of 101 buildings owned by College workers and then seized their belongings. A number of landed properties and structures acquired by Okorocha have been under investigation since he left office in 2015. The Imo State Executive Council was encouraged to direct the recovery of all lands belonging to AIFCE, which are now illegally in the hands of individuals and institutions. AIFCE should take full possession of the vacated land and property immediately upon recovery, while the Commissioner for Lands and OCDA should recover the land within six months, the committee advised. The committee further advised that AIFCE should give the agreed-upon compensation to the Orji natives who are the true owners of the property, and that the Army should tear down the shanties they had built there and eventually relocate to Obinze. In response, Governor Hope Uzodinma commended the Committee for their comprehensive work and assured them that the State Government will follow due process and take all necessary measures to put their recommendations into practice. Okorocha is yet to react to the committees findings. The military chiefs of the Economic Community of West African States (ECOWAS) will meet on Thursday and Friday in Accra, Ghana, amid tensions over a possible military intervention in the Republic of Niger, according to Ghanas army spokesman. ECOWAS member states had initially mulled a military intervention against those who carried out Nigers coup. The blocs heads of state had ordered a military force to be prepared to restore constitutional order during a special summit. However, they said a peaceful resolution of the conflict should continue to be pursued. Recall that ECOWAS defence ministers and military chiefs had already met last week after the coup in Niger. They drafted deployment plans after they issued an ultimatum against the putschists. Among the 15 ECOWAS members, Nigeria, Ivory Coast, Senegal, Guinea-Bissau and Benin declared their willingness to provide troops in the case of an intervention. NAN reports that Guinea, Burkina Faso and Mali, which were suspended from ECOWAS after their own coups, as is Niger now, want to support the junta in Niger militarily in the event of an intervention. The Northern Elders Forum (NEF) has advised President Bola Tinubu to remove various sanctions imposed on Niger Republic with a view to creating ways to negotiate with the military junta Recall that following the overthrow of Niger civilian government, President Bola Ahmed Tinubu ordered the immediate closure of borders between Niger Republic and disconnected power supply to that country Reacting, however, NEF Director of Publicity and Advocacy, Hakeem Baba-Ahmed, said the removal of sanctions on the country will make negotiations easier to conduct. According to him, the sanctions imposed will negatively affect the morale and welfare of citizens of both countries and will detract from the existing positive disposition towards Nigerias position. He said by removing various sanctions, it would make negotiations led by Nigeria, using all assets that both countries value, easier to conduct, adding that the forum noted the apology offered by the military leaders in Niger to our President over the manner in which his envoys, General Abdusalami and His Eminence, the Sultan of Sokoto, were received. It said President Tinubu is Chairman of ECOWAS and leader of Nigerians, adding that Nigeria is uniquely placed to engage the leadership of the Niger Republic to accept these with the minimum of delay. It will compound the security and humanitarian crises in the ECOWAS region. It is likely to weaken and further divide the ECOWAS and provide greater access for non-African interests into the lives of Africans, with negative consequences, he said. He said the ECOWAS should actively re-assess the roles and designs of non-African interests in the West African region. Besides multiple threats from armed groups in the Sahel and the region, we are becoming increasingly victims of global military manoeuvres and a scramble for our assets. He commended all leaders and persons of influence, including the Nigerian Senate, who are contributing to a genuine resolution of the situation in the Niger Republic, just as he urged President Tinubu to recognise this unique moment in history and conduct himself in such a manner that it records his role as defining statesmanship. Governor Godwin Obaseki of Edo State has said the Emilokan slogan will not win elections in the state. He spoke while receiving the leaders of Edo South Senatorial District at the Government House. President Bola Tinubu used Emilokan during his presidential election campaign. However, Obaseki said: Edo people will not accept Emilokan as a strategy in government as they are not known for that. For us, it is the people that will decide. What has happened in the last few months is strange, and even if you have ambition, this is not the time to express it. The timetable for the election is not out. You cant be part of a government and also be the one to destabilise the same government. I thought we came together and will leave together and let the people say you have done a great job, and they will now make the decision themselves. They are the ones to say that come rain or sunshine, we must continue. You are telling the world one thing and yet doing another. You are loyal to your principal and yet you are in Court with your principal. Everybody has the right to be ambitious but do it the right way. Obaseki was apparently speaking about Philip Shaibu, his deputy. Shaibu recently dragged his principal to court to stop him from removing him from office. The Governor had accused his deputy of manipulating youth council elections to favour his ambition of succeeding him. The former National Vice Chairman (North-West) of the ruling All Progressives Congress (APC), Salihu Lukman said there is no any reason why the former Governor Nasir El-Rufai of Kaduna state should not be cleared to be minister of the Federal Republic of Nigeria. Lukman stated this while speaking in an interview on Channels Televisions Politics Today on Tuesday. On Nasir El-Rufais non-confirmation as a ministerial nominee, Lukman describing as overplayed politics. Recall that 45 ministerial nominees were confirmed on August 7 following a week-long screening. But, the confirmation of former Kaduna State governor along with Stella Okotete (Delta State) and Abubakar Danladi (Taraba State) was deferred, as the the trio were said to be undergoing security clearance. He said, My personal opinion is that, again, politics is being overplayed. If other nominees are cleared, I dont see any reason why Mallam Nasir will not be cleared. Sincerely speaking, the earlier we reduce political considerations in terms of the determination of decisions with respect to appointments, the better for the country, he added. Meanwhile, Lukman, has kicked over Senator Abdullahi Gandujes emergence as the National Chairman of the ruling APC. The partys former vice chairman, who had preempted with his resignation last month, described the partys decision to elect Ganduje as incorrect. In his resignation letter dated July 26, 2023, and addressed to the then acting APC National Chairman, Abubakar Kyari, the APC stalwart explained that he would rather not become a source of distraction to the new administration of President Bola Tinubu. He said, Dr Ganduje has emerged as the chairman of the party and Im loyal; I respect it. But I disagree, it is not the right decision. That were living with a wrong decision is a different matter entirely. But as progressive politicians, we must have the commitment to continue to engage the issue such that we, collectively, are able to correct any wrong decision that has been taken. Two leading opposition figures in the country, former Vice president Atiku Abubakar and a former Governor of Kano State, Rabiu Musa Kwankwaso, recently held a meeting in Abuja. The meeting took place at the residence of the former Governor and leader of the New Nigeria Peoples Party (NNPP) late Tuesday. Kwankwaso confirmed the meeting on his Facebook page, saying that I was pleased to receive my brother, the former Vice President, His Excellency, Alhaji Atiku Abubakar, at my residence this evening. I thank Waziri for this fraternal visit. RMK. Though details of the meeting are yet to be known as those close to the two leaders have kept sealed lips, it was gathered that the meeting might not be unconnected with the political situation in the country and recent developments. Before taking oath of office, President Bola Tinubu of the All Progressives Congress (APC) met with Kwankwaso, his NNPP rival in the election. According to sources, the president considering a Government of National Unity and had planned to include Kwankwaso and some of his allies in his administration. However, neither Kwankwaso nor any of his known associates made the ministerial list, while the immediate past governor of Kano, Abdullahi Ganduje, a known political foe of Kwankwaso, had some impute in the cabinet. After Tinubu met with Kwankwaso, Ganduje had expressed worries, saying he felt abandoned. In a leaked conversation, Ganduje was heard telling Alhaji Ibrahim Masari, one of Tinubus aides that there was noise all over Kano over the meeting between Tinubu and Kwankwaso. The governor was then heard saying, What could I have told him? Now he (Tinubu) is seeing Kwankwaso as an alternative to us? No problem. Because we dont have a government? And it is even because of him (Tinubu) that we lost the government. Even if he would see him (Kwankwaso), he ought to have called us too. Or dont you understand, even if symbolically. But Gandujes body language changed in the following weeks as he warmed his way into Tinubus camp, emerging National Chairman of the ruling party and also nominating a replacement for Maryam Shetty, the nominee who was dropped for the inclusion of Gndujes former commissioner in Tinubus cabinet. Before the election Atiku and his allies tried to form a political alliance with Kwankwaso against the APC and its presidential candidate, but that was not to materialize. Even though a source close to the former Vice President said that the meeting was just for fraternal greetings, the days ahead will show weather a new political alliance is already in the offing. A legal practitioner, Mr Maxwell Opara, has filed a defamatory suit seeking N10 billion in damages against Twitter and the Department of State Services (DSS). In the writ of summons marked: FCT/HC/CV/7186/23 and filed at the FCT High Court by Malachy Nwaekpe, Opara listed Twitter Nigeria Limited and Director-General (DG) of State Security Service (also known as DSS) as 1st and 2nd defendants. The State Security Service and the Attorney-General of the Federation (AGF) and Minister of Justice are listed as 3rd and 4th defendants respectively in the suit dated Aug. 11 and filed Aug. 14. The lawyer filed the suit against the allegation that Twitter, now known as X, negligently allowed the defamatory, ethnic profiling and racist comments against him by the DSS under the leadership of its director-general on the security agencys platform on July 18 for more than 96 hours. Opara, who alleged that the post was caused to be published and made viral to millions of readers, described the message as false, defamatory, demeaning, disparaging and harmful. He, therefore, sought eight reliefs which include an order of this honourable court directing the 2nd and 3rd defendants (DG and DSS), jointly and severally, to issue a letter of apology on the 1st defendants platform twitter and a daily newspaper widely read across the federation of Nigeria. He sought an order of court directing the defendants to, jointly and severally, pay to the claimant the sum of N10 billion as general damages for the various defamatory, demeaning, disparaging and harmful words of the 3rd defendant under the leadership of the 2nd defendant which words were published and caused to be made viral by the 1st defendant in its twitter platform. An award of 10 per cent post judgment interest per annum on the entire judgment sum from the date of judgment till same is fully liquidated, he added. The matter was yet to be assigned to a judgment at the time of the report. The News Agency of Nigeria (NAN) reports that in the tweet, the DSS was quoted as having written the following, Charge and bail, overzealous uninformed IPOB/ESN lawyer Maxwell Okpara mobilises other like minded lawyers against DGSS. Futile Efforts. Well, Nigerians, beware! This is in bad faith. Transferred aggression. A Biafran republic agitator and outlawed IPOB counsel defending the suspended CBN governor. Is IPOB defending one of theirs? What a contradiction, whats the connection, may Maxwell be properly educated on points of law, please. Commentary By Lisa Baiton Canada is the fifth largest producer of natural gas in the world. The only countries that produce more than us are the United States, Russia, Iran and China. We are one of the few democratic nations with large enough natural gas reserves to export our energy while easily meeting our own needs. Today, nearly 100 percent of our exported natural gas goes to the United States. Liquefied natural gas (LNG) is one of the most sought-after commodities around the planet for two reasons. First, natural gas is a much lower emission alternative for coal and can compete with coal on price and availability. Second, after decades of relying on Russia for natural gas, countries are searching for safer, more secure supplies from trusted producers. Canadas emerging LNG export industry offers an incredible opportunity for economic prosperity. The development of LNG creates jobs, attracts investment, and generates substantial revenues. The construction and operation of LNG facilities drive employment across a wide range of sectors, including engineering, manufacturing, environmental management, transportation, and technology. As an example, a recent study entitled Our Communities Care completed by iTotem Analytics for the Canadian Association of Petroleum Producers (CAPP) showed that between 2018 to 2021, British Columbias upstream natural gas industry spent over $4.7 billion in 140 municipalities and Indigenous Nations through the procurement of goods and services from more than 2,400 businesses. Canadas reputation for political stability and as a secure trading partner makes us a top choice for countries looking to reduce their reliance on less secure regimes. Russias invasion of Ukraine has sent democratic nations around the world in search of alternate sources of natural gas to keep their economies running and to provide energy to their citizens. Contracts for LNG are often 15-to-20-year commitments, offering long-term economic stability for Canada while our international partners gain security in their critical energy supply. Canadas stringent regulations and industry practices ensure that natural gas production and LNG projects operate with high environmental standards. We prioritize rigorous methane management, energy efficiency, and emissions reduction throughout the LNG value chain, from extraction to liquefaction and transportation. On the supply side, from 2012 to 2021, natural gas producers in Canada grew production by 35 percent while driving down direct greenhouse gas emissions from production (also known as scope 1 emissions) by 22 percent and methane emissions by 38 percent, according to the Government of Canadas National Inventory of Greenhouse Gas Emissions and Statistics Canada. Critics have doubted the case for LNG in Canada, but there is a vast and growing global market for natural gas and we only need to grab a small part of that to unlock substantial benefits. Germany has fast-tracked its LNG import capacity, going from almost no ability to import LNG just over one year ago to 14.5 billion cubic metres (Bcm) annually today and the country expects to more than double that to 37 Bcm per year by 2028. Japan imports more than 100 Bcm of natural gas per year, and Korea around 60 Bcm annually. All three are natural trading partners for Canada, with the latter two already investors in Canadas burgeoning LNG industry. This is an economic opportunity for Canada. Trading high-value LNG to other countries means their dollars flow back into our economy, raising our GDP and contributing to our national economy. It will create new jobs and opportunities to open businesses. Its also important to note that two proposed LNG facilities are being driven in part by Indigenous ownership, with the industry offering opportunities for Indigenous communities to prosper for generations to come through employment and participation. And this economic opportunity brings with it the benefit of lowering global emissions by replacing coal with reliable, affordable, and secure energy sourced from Canada. Lisa Baiton is the President & CEO of the Canadian Association of Petroleum Producers. The Nigerian Air Force (NAF) has insisted that fatalities, mishaps, and crashes are sometimes inevitable for all military organisations involved in counterinsurgency and counterterrorism operations. Air Commodore Edward Gabkwet, the Director of Public Relations and Information, NAF, disclosed this in a statement on Wednesday in Abuja. Gabkwet was reacting to a video clip circulating on social media purportedly depicting the wreckage of the crashed NAF MI-171 helicopter with the bodies of victims at Chukuba Village in Shiroro Local Government Area of Niger State. According to him, it wasnt in the personality of the NAF to reply to such claims, especially those peddled by terrorists. With heightened levels of air operations, occasioned by an enhanced fleet, the likelihood of air mishaps and accidents at times becomes unavoidable and inevitable due to various reasons, he stated. Gabkwet noted that NAF, for the last eight years, has seen an increased level of air operations in efforts to check the nefarious activities of terrorists and criminal elements in Nigeria. He added that indeed, Air Marshal Hasan Abubakar, the Chief of Air Staff, disclosed that much when he hosted Governor Umaru Bago of Niger State yesterday. President Bola Ahmed Tinubu has lauded the solidarity of the Economic Community of Central African States (ECCAS) on the political impasse in the Niger Republic. This was contained in a statement issued by the Special Adviser to the President on Media and Publicity, Ajuri Ngelale, on Tuesday in Abuja. According to the statement, Tinubu spoke when he received the Special Envoy of President Ali Bongo Ondimba and the Minister of Foreign Affairs of the Republic of Gabon, Hermann Immongault, at the State House in Abuja on Tuesday. Tinubu noted that the special message of support and solidarity from President Ondimba, who doubles as the Chairman of ECCAS, expressed full support to the ECOWAS resolutions on the unconstitutional takeover of government in Niger. I appreciate the solidarity and support of President Bongo on the situation in Niger. We are working not to compound the problem. We have well-meaning people who have intervened, he said. The statement added that Tinubu also understands the fear of people on any form of military action, adding that ECOWAS is working to keep the sanctions in place, and following them to the letter. He also expressed happiness that ECCAS is with ECOWAS on the issue, noting that Interference in democratic governance is not acceptable to ECOWAS. Umo Eno, governor of Akwa Ibom, was on Tuesday spotted playing down protocols at the Victor Attah International Airport in Uyo, the states capital, to board an aircraft. The governor who was heading out of the state for an official engagement joined passengers to pass through security checks at the airport before boarding Ibom Air, the states airline. In Nigeria, it is not common to see government officials going through security checks at local airports as theyre usually ushered into the aircraft through very important personnel (VIP) routes. Sharing his experience on his official X handle (formerly Twitter), Eno commended the airline for their excellence and commitment to customer satisfaction. Today, I had the pleasure of using Ibom Air for my official engagement outside Akwa Ibom state, and I must say that the experience exceeded my expectations as usual. The seamless check-in process, comfortable seating, and friendly cabin crew created an environment that made my journey very enjoyable, he tweeted. Umo Eno, governor of Akwa Ibom, was on Tuesday spotted playing down protocols at the Victor Attah International Airport in Uyo, the states capital, to board an aircraft. The governor who was heading out of the state for an official engagement joined passengers to pass through security checks at the airport before boarding Ibom Air, the states airline. In Nigeria, it is not common to see government officials going through security checks at local airports as theyre usually ushered into the aircraft through very important personnel (VIP) routes. Sharing his experience on his official X handle (formerly Twitter), Eno commended the airline for their excellence and commitment to customer satisfaction. Today, I had the pleasure of using Ibom Air for my official engagement outside Akwa Ibom state, and I must say that the experience exceeded my expectations as usual. The seamless check-in process, comfortable seating, and friendly cabin crew created an environment that made my journey very enjoyable, he tweeted. Akwa Ibom State's pride, Ibom Air, has firmly established itself as a premier airline in the region, boasting top-notch services and unmatched reliability. With its fleet of modern aircraft and a commitment to passenger satisfaction, Ibom Air has set the bar high for excellence pic.twitter.com/DGae6gk27b Pastor Umo Eno (@_PastorUmoEno) August 15, 2023 Below are photos of Eno going through security check and boarding the aircraft. Adams Oshiomhole, senator representing Edo north, says the administration of President Bola Tinubu inherited a terrible economic situation. Since President Tinubu assumed office in May, the government has been battling to fix the economy. His pronouncement that the subsidy was over has led to an increase in the pump price of petrol and the cost of living in the country. Speaking with reporters after a meeting with Vice-President Kashim Shettima at the Aso Rock on Tuesday, Oshiomhole said there is no quick fix to the economic challenges confronting the country. The former governor of Edo said painful decisions would be needed to address the issues. The issues of the economy is work in progress. There is no quick fix. The government inherited a terrible economic situation. Everybody knows it, he said. The government inherited an economy in which our total national revenue was barely enough to service our debt burden. Nothing can be worse. But they came determined that they will have to do business unusual; to arrest the drift; stabilise the economy and then begin to move forward. Some painful decisions are necessary. It is like any of us that has been unfortunate to have an ailment that requires surgery. If you want to pretend, you can be applying vaseline, perfumes, creams and wear babariga to cover all the manifestations of that disease. But a trained doctor that believes in the ethics of medicine will tell you that you need a surgery. Senate President Godswill Akpabio says behavioural change is required to tackle the menace of corruption and failing social norms in Nigeria. Akpabio spoke on Tuesday at the national policy dialogue on corruption, social norms and behaviour change in Nigeria. He was represented at the event by Saviour Enyiekere, his deputy chief of staff. The dialogue was organised by the Independent Corrupt Practices and Other Related Offences Commission (ICPC). The senate president said behavioural change could be Nigerias single biggest instrument in addressing corruption and the destruction of social norms in the country. The process of behavioural change typically involves awareness and recognising the need for change and potential benefits and consequences associated thereof, he said. This affects gathering information, learning, and becoming aware of existing behaviours. It also involves motivation and developing the desire to change. The motivation to change certain behaviours can be influenced by personal goals, values, social pressures, or external incentives. Essentially, behavioural change becomes possible when we educate and inform by providing accurate and relevant information about unacceptable behaviours, their consequences, and alternative options. This helps individuals make informed decisions and understand the benefits of the change that we seek. I also believe that in achieving behavioural changes, incentives and rewards play a great role as they tend to reinforce desired behaviours. Tangible rewards, recognition, or positive feedback that encourages individuals to continue or adopt new behaviours have the capacity to drive people towards new behaviours. Therefore, for us as a country and a people desirous of delivering change to our society and through it, building a nation that caters for its people and makes its resources work for its people, we must use behavioural change as a tool to tackle the menace of corruption and failing social values in our country. Akpabio added that tackling corruption involves transparency and accountability, noting that the 10th senate under his leadership is positioned to bridge existing legislative gaps in the fight against corruption. However, institutions like the ICPC must enforce comprehensive anti-corruption laws that criminalize corrupt activities, and establish clear guidelines for ethical behaviours, he said. The extant laws of Nigeria have provided for the independence of the judiciary and effective law enforcement agencies to investigate and prosecute corruption cases. I also believe that we must develop the courage to promote a culture of ethics and integrity in our schools. This is because fostering a culture of ethics and integrity in the society through education and awareness campaigns will help to promote such values as honesty. UPDATE: See gravity-defying maneuvers, large crowds as Atlantic City Airshow returns (PHOTOS) The Visit Atlantic City Airshow takes to the skies above the Jersey Shore today with a full schedule of performances including the Air Force Thunderbirds and the Golden Knights parachute demonstration team. The Atlantic City Airshow, titled A Salute to Those Who Serve, is scheduled to start at 11:30 a.m. Wednesday and the weather forecast calls for morning clouds to give way to afternoon sun, according to the National Weather Service. High temperatures in Atlantic City should reach 84 degrees. The airshow, now in its 20th year, typically draws more than 500,000 people, organizers said. 76 1 / 76 2022 Meet AC Atlantic City Airshow The full Atlantic City Airshow 2023 schedule is as follows: 11 a.m.: Airspace closes for airshow, temporary flight restriction in effect, boats in place 11:30 a.m.: U.S. Army Golden Knights flag jump w/National Anthem 11:38 a.m.: U.S. Army Golden Knights mass exit show 11:44 a.m.: N.J. Air National Guard 177th FW F-16 flyby 11:45 a.m.: N.J. Air National Guard 108th WG KC-135 flyby 11:47 a.m.: NBC 10 helicopter flybys 11:50 a.m.: N.J. Air National Guard composite wing flyby (KC-135 & (2) F-16s) 11:52 a.m.: Mark Meredith Chipmunk Aerobatics 12:03 p.m.: Medical helicopter flybys 12:05 p.m.: N.J. State Police flybys 12:07 p.m.: Kyle Fowler Long EZ Aerobatics 12:20 p.m.: 436th Air Mobility Wing C-5M Super Galaxy Flyby #1 12:24 p.m.: N.J. Air National Guard UH-60 SPIES/FRIES demonstration 12:30 p.m.: 436th Air Mobility Wing C-5M Super Galaxy flyby #2 12:34 p.m.: U.S. Coast Guard MH-65E search and rescue demonstration 12:44 p.m.: FAA William J. Hughes aircraft flybys (2-passes) 12:49 p.m.: TBA demonstration 12:59 p.m.: 514th Air Mobility Wing KC-46A Pegasus flyover 1:01 p.m.: 514th Air Mobility Wing C-17A Globemaster III flyover 1:03 p.m.: HM-12 MH-53E SeaDragon flyby demonstration (2-ship) 1:09 p.m.: 80th Flying Training Wing T-38C Talon flyby #1 (2-ship) 1:11 p.m.: Tom Duffy B-25J Mitchell flight profile (3-passes) 1:20 p.m.: 80th Flying Training Wing T-38C Talon flyby #2 (2-ship) 1:22 p.m.: Patrick McAlee Extreme Flight Pitts aerobatics 1:42 p.m.: USMC AV-8B Harrier II demonstration 1:55 p.m.: U.S. Army Golden Knights mass exit show 2:15 p.m.: Jim Beasley Jr. P-51 Mustang demonstration 2:25 p.m.: Scott Francis MXS Aerobatics 2:40 p.m.: Military enlistment ceremony 2:45 p.m.: U.S. Air Force Thunderbirds 4:00 p.m.: Airshow ends 5:00 p.m.: Airspace reopens, temporary flight restriction ends The schedule and performing acts are subject to change without notice, organizers said. Changes may be due to mechanical considerations, weather conditions and other operations. The airshow is a free event. Spectators can watch along the beach in Atlantic City and surrounding towns. Our journalism needs your support. Please subscribe today to N.J..com. Brianna Kudisch may be reached at bkudisch@njadvancemedia.com. The state Department of Labor announced Tuesday it has issued stop-work orders against 27 Boston Market restaurants across New Jersey, forcing them to temporarily close until they pay $2.5 million in back wages, fines and other penalties for violating laws that protect workers rights. Boston Market, based in Golden, CO. has requested a hearing challenging the findings of the states investigation, which began in November with a tip from an employee at the Hamilton, Mercer County location, the labor department announced. Latino and Black state legislators are expressing profound disappointment over the recent discrimination allegations levied against a South Jersey municipal court, while an advocacy group is calling for a probe into how state judiciary officials handled the matter. The state Attorney Generals Division on Civil Rights filed a complaint last week accusing Millville Municipal Court in Cumberland County of discriminating against Latino defendants by denying them the opportunity to appear virtually for their court hearings. As COVID-19 rewrote the book of social norms or erased it altogether in the summer of 2020, I sought out solace the only way a New Jerseyan knows how. By going to the beach. The only difference? I was going alone. Prior to the pandemic, I had never really considered taking a trip to the beach by myself the Shore was a place to be with people. Trips down to Manasquan with my family, and later jaunts to Asbury Park with friends, have been essential to my summers ever since I could stumble around the sand. Then 2020 happened, and I found myself with Mondays off and no beach companions. With COVID cabin fever setting in, I started going by myself. A group of of up to six people pulled off two strong-armed robberies in Jersey City during the morning rush hour Tuesday, according to authorities and police radio transmissions. One occurred Downtown at 7:12 a.m. and the second one a short time later on Glenwood Avenue near Saint Peters University, Jersey City spokeswoman Kimberly Wallace-Scalcione said. Police noted in radio transmissions that the assailants fled each robbery in the same vehicle, which had Connecticut license plates. A 25-year-old woman told police she was in the area of Fifth Street and Jersey Avenue at 7:12 a.m. when she was robbed by two men. When they grabbed her bag, a struggle ensued and the victim fell to the ground, Wallace-Scalcione said. Pastor Ted Freeman, of Auburn, has announced the formation of an exploratory committee to rebuild New Guinea, the predominantly Black settlement along the Owasco River in the early to mid-19th century. Freeman, who is chief steward of FREE'EM Ministries, is a descendant of New Guinea settlers Harry and Kate Freeman, who also helped John Hardenbergh found the city of Auburn. In a news release, Freeman said he is reaching out to the city's historic and cultural sites to be part of the committee. Freeman spoke about the settlement, and his new book, "God's Free-Man," at the Cayuga Museum Carriage House Theater on Wednesday. He called New Guinea "14 acres on the upper Owasco River of freedom, income and security" and "the epicenter for the burgeoning African American community." He also said the settlement was a significant part of the Underground Railroad in Auburn. For more information, email Freeman at theothemeek.tf@gmail.com. Tobin: Native son Ted Freeman reflects on Auburn then and now Away from Auburn for 50 years, Ted Freeman has moved back home. And he didnt come back to take it easy in retirement. What does Hoboken sound like? That question will have a new answer come Saturday, Aug. 19, when the Summer Blockfest transforms 770 Jackson St. into the citys first multi-stage hip-hop and R&B festival. Victor Manuel Lopez Jr., head of the non-profit grassroots organization What Hoboken Sounds Like, noted that a celebration of the Mile Square Citys hip-hop culture has been a long time coming, in large part due to some longheld prejudices about both the neighborhood and the genre. Its a new welcoming for the city to allow us this platform, Lopez said. And, you know, I had completely forgotten that the 50th anniversary of hip hop was being celebrated on Aug. 12. So its fitting that seven days later, we can continue that anniversary with something Hoboken has never seen before. The free festival will run from 2 to 7 p.m. with DJ Dugspin and DJ Bripee spinning tunes throughout the day. Meny Myndz -- a member of Hobokens Dirt M.O.B., a national hitmaker in the late 1990s will serve as host and emcee. The live performers scheduled include a staggering two dozen names largely culled from the underground hip-hop scene in Hoboken and environs as well as students from the Hoboken School of Rock, wholl be opening the show. Although set times were not available at press time, Summer Blockfests lineup includes Chaseland, Phat Rob, Pootie, Lucaso, Rara, B. More, Indigo Morale, Dopeboidrew, Od Reek, Just Guttah, KYY, OneShotOnce, Harlem Cam, Gunner Montana, RichDoubleM, Mp14, Sosa Da Don, Jonboiiera, Automatic Mula, General Ace, Mr. Deranged, Angel Octaves, and Jayna Destiny. Its truly inspiring to see so much talent oozing from my hometown; we often see surrounding cities showcasing their artists, but rarely do we see any from Hoboken, Octaves said. To the creator of Summer Blockfest, the community and I would like to thank you for putting this together; we are so grateful for this opportunity and cannot wait to see what future events will look like. Those sentiments were echoed by several of the other performers. This town needs an artistic rebirth, a cultural renaissance, rapper OneShotOnce said. The blockfest is a good opportunity to showcase the true roots of this towns talent. Performer, engineer and songwriter Harlem Cam agreed: Hoboken is a mile square of nothing but talent, he said. I am proud to be one of the many Hoboken natives showcasing that to the community. And rapper Phat Rob added: Im proud of my city for working with the artists on putting on this showcase. This is a big event for all artists involved. Big shout out to What Hoboken Sounds Like. Were making history from here! Lopez originally hoped the blockfest could showcase the breadth of Hobokens musical culture, but circumstances intervened. We had a lot of artists from other genres -- rock, blues, and more -- but we got approved very late with little time to promote and many artists were away for vacation or not able to make it, he explained. So, our list morphed into hip hop and R&B, along with the inclusion of Hoboken School of Rock, who are also donating the live sound setup. Lopez added thats hes been heartened by the response of Hobokens business community to the festival. Weve had a great amount of sponsors come forward and its so welcoming to see all these big businesses within Hoboken becoming sponsors, because they know whats been missing in this city, he said. About three-quarters of the vendors are Black-owned businesses, Lopez noted. I feel like our culture has just been put in a bad light, but our organization is making a difference, Lopez concluded. A lot of people have said, I respect everything you guys are doing because you guys come in peace, you guys make a difference. And you know, the city has no choice but to support it, and do what they have to do, because Hoboken has not been doing what everybody else is doing. You get a lot of cities like Newark and Jersey City, theyre very open to the arts and culture. Now were gonna make that change here. The free Summer Blockfest, scheduled for Saturday, Aug. 19 rain or shine, will run from 2 to 7 p.m. at 770 Jackson St., Hoboken. For more information on What Hoboken Sounds Like and its projects, visit whathobokensoundslike.com. Jim Testa is on Facebook at facebook.com/Constant-Listener-Jim-Testa-On-Hudson-Music-108591071738628. He can also be reached at jim@jerseybeat.com. A Jersey City man has been arrested on charges he has groped at least five women on the street over a four-year period after a lengthy investigation by Bayonne police. Bryan Christopher Poinson, 40, of East Bidwell Avenue was arrested at his home on Aug. 10 and charged with five counts of sexual contact, Bayonne Capt. Eric Amato said. The first victim, a 53-year-old woman, told police she was assaulted at 2:15 p.m. while walking southbound on Avenue E in the area of 33rd Street. She told told police a man ran up to her from behind, wrapped his arms around her midsection and thrusted his groin against her as he simulating a sexual act, Amato said. After she was able to break free from his grasp, the male fled on foot before entering a vehicle and leaving the scene. No injuries were reported by the victim. On April 9, 2022 at 1:10 p.m., a 36-year-old Bayonne woman reported that a man approached her from behind as she was walking in the area of West 19th Street. While behind her, he proceeded to grab her and rub his genital area against her before fleeing on foot. No injuries were reported by the victim. Nearly a year later, April 4, 2023, a 60-year-old Bayonne woman reported a similar incident occurred to her in the area of West 55th Street. Some 11 days later, on April 15, a 46-year-old woman from Jersey City reported that a man entered her place of employment at 10:28 a.m. and approached her. As she positioned her body to allow him to pass, the male grabbed her by her waist and pulled her against his genitals before ultimately fleeing the store. No injuries were reported by the victim. On July 13, a 36-year-old Bayonne woman told police she attempting to enter a store in the area of 52nd Street and Broadway at approximately 6:30 p.m. when a man assisted her with carrying her baby stroller through the doorway. After assisting her, he proceeded to touch her buttocks with his hand, causing the victim to scream in fear and flee the store with child. The male ultimately fled the store toward Jersey City. No injuries were reported by the victim. Any individual who believes that they, too, were victimized by Poinson is encouraged to contact the Bayonne Police Detective Bureau at 201-858-6925. The man charged in the killing of Sayreville Councilwoman Eunice Dwumfour has been indicted by a grand jury, Middlesex County Prosecutor Yolanda Ciccone said Wednesday. Rashid Ali Bynum, 29, of Portsmouth, Virginia, faces charges of first-degree murder, unlawful possession of a weapon and possession of a weapon for an unlawful purpose. Bynum was arrested in Chesapeake City, Virginia, in May and extradited to New Jersey last month, officials said. He is accused of gunning down the 30-year-old councilwoman at the Camelot at LaMer luxury townhouse complex where she lived in the Parlin section of Sayreville, officials said. Her body was found in her car at the complex with multiple gunshot wounds about 7:20 p.m. on Feb. 1, prosecutors said. The vehicle had rolled down a hill following the shooting, striking two parked cars. Sayreville Councilwoman Eunice Dwumfour was shot to death Wednesday night, Feb. 1, 2023, in her car outside her home in the Camelot at La Mer luxury rental complex. Surveillance footage captured Bynum running from the scene after the shooting, officials said following his arrest. Electronic data tracked a mobile phone belonging to Bynum, showing he had traveled from Virginia to New Jersey the day of the killing. A car rented by Bynam was tracked going back to Virginia by using EZ-Pass transponders, plate readers at toll booths and eyewitness accounts, the prosecutors office said in May. Residents of the complex said they saw a man argue with her at the drivers side window on the night of the murder and then heard at least six shots. Bynum was listed as a contact in the councilwomans cell phone, officials said. Prosecutors have not publicly commented on a possible motive for the killing. Attorney information for Bynum was not immediately available Wednesday afternoon. Dwumfour, a mother-of-one, started her three-year term on council in 2022 after she and fellow Republican Christian Onuoha won seats by beating incumbent Democrats. Our journalism needs your support. Please subscribe today to NJ.com. Nicolas Fernandes may be reached at nfernandes@njadvancemedia.com. A woman was killed Monday when her vehicle was involved in a crash with a police car that was responding to a 911 call, New Jersey Attorney General Matthew J. Platkin said. Officers from the Long Branch Police Department responded to the call reporting a break-in at a home at about 4 a.m., officials said. One of the police vehicles then collided with a car driven by the suspect in the crime near the intersection of MacArthur and Elmwood Avenues, authorities said. A woman in the suspects car suffered serious injuries from the impact and was pronounced dead at Jersey Shore University Medical Center at 7:12 a.m., officials said. The driver was taken into custody and transported to that same hospital for treatment of unknown injuries, while the officer involved in the crash also received treatment and has since been released, authorities said. The Office of the Attorney General has not released the identities of the victim, suspect or officer and has not announced the charges against the driver. An investigation by the agencys Office of Public Integrity and Accountability is ongoing in accordance with a 2019 law that requires the office to probe any death that occurs during an encounter with law enforcement. Our journalism needs your support. Please subscribe today to NJ.com. Nicolas Fernandes may be reached at nfernandes@njadvancemedia.com. A former New Jersey woman who became known as Tan Mom after being accused of taking her then 5-year-old daughter with her into a tanning booth more than a decade ago is running for U.S. Senate in Florida. A Nutley resident when she became a media sensation in 2012, Patricia Krentcil has filed paperwork to challenge incumbent Republican U.S. Sen Rick Scott, according to a Federal Elections Commission filing. TMZ.com was the first to report on Krentcil entering politics. Krentcil is a semi-regular guest on The Howard Stern Show and starred in the 2023 reality television show Tan to 10. Her campaign platforms include LGBTQ+ equality and bringing diversity back to classrooms, TMZ.com reported. Krentcil was 44 when she was charged in April 2012 with child endangerment after her 5-year-old daughter was burned in a tanning salon, authorities said at the time. New Jersey law prohibits children under 14 from entering a tanning booth. Krentcil later pleaded not guilty and a grand jury declined to indict her. She said at the time she planned to move to London. But, she checked into an alcohol rehab center in Palm Beach, Florida, the following year. She was hospitalized in 2019 after a bout with pneumonia. Recent photos show Kentcil still has a heavily-tanned appearance. Our journalism needs your support. Please subscribe today to NJ.com. Jeff Goldman may be reached at jeff_goldman@njadvancemedia.com. A man has been sentenced to 50 months in prison for his involvement in a burglary spree that targeted Asian American small business owners in New Jersey and other states, U.S. Attorney Philip R. Sellinger said. Randi Barr, 42, of Vauxhall, pleaded guilty in the case to conspiracy to commit interstate transportation of stolen property in December of last year. Barr and his co-conspirators burglarized the homes of the business owners between December 2016 and March 2019, officials said. The group stole money, jewelry and other valuable items from residences in New Jersey, New York, Pennsylvania and Delaware and transported the goods between states, authorities said. In one instance in 2019, $500,000 in cash was taken from a single home in Eatontown, officials said. One of the co-conspirators told detectives that the group decided to target Asian individuals because it was believed that the victims kept large sums of currency and jewelry in their residences, according to a criminal complaint. Seven others were charged in the case along with Barr in 2021 Rabine Armour, of Easton, Pennsylvania, Kevin Burton, of Newark, Kevin Jackson, of Rahway, Thomas Rodgers, of Newark, James Hurt, of Tobyhanna, Pennsylvania, Sherman Glasco of Bethlehem, Pennsylvania, and Terrance Black, of Irvington. All of the defendants were charged with a single count of conspiracy to commit interstate transportation of stolen property. The U.S. Attorneys Office did not provide an update on the other cases. As part of his sentencing, Barr has been ordered to pay $127,661.40 in restitution and forfeiture. Our journalism needs your support. Please subscribe today to NJ.com. Nicolas Fernandes may be reached at nfernandes@njadvancemedia.com. A roundup of conversations we're having daily on the site. Subscribe to the Reckon Daily for stories centering marginalized communities and speaking to the under-covered issues of the moment. The University of Chicago has agreed to pay $13.5 million to current and former students as part of an antitrust lawsuit brought against 17 prestigious schools, claiming the institutions artificially inflated tuition prices by keeping financial aid packages low. The settlement, which was announced Monday, still needs to be approved by the U.S. District Court for the Northern District of Illinois. Under the settlement, the university has not admitted to any wrongdoing and officials maintain theyve done nothing wrong. The University of Chicago is the first of the universities to settle in the lawsuit, which was brought against them by five former university students in January of 2022. The other institutions are: Brown University, California Institute of Technology, University of Chicago, Columbia University, Cornell University, Dartmouth College, Duke University, Emory University, Georgetown University, Massachusetts Institute of Technology, Northwestern University, University of Notre Dame, Rice University, University of Pennsylvania, Vanderbilt University and Yale University. Per the suit, the institutions were a part of the 568 Presidents Group, an organization made up of universities that allegedly met frequently to swap information about financial aid practices and create a method to assess need-based aid. The students accused them of violating Section 568 of the Improving Americas Schools Act of 1994, which granted universities an exemption to antitrust laws by enabling them to admit students on a need-blind basis, meaning they wouldnt consider their financial backgrounds when deciding whether to accept them. However, the suit accuses the institutions of conspiring to favor wealthy applicants during the admissions process, thereby disfavoring students who needed financial aid. The process was also implemented when deciding whether to admit students onto waitlists, the suit states. The suit called the universities gatekeepers of the American dream. The five former students are suing the universities on behalf of themselves and others in similar situations. They claim the actions taken by the schools suppressed competition, artificially reduced financial aid and inflated the price to attend the universities. In addition, the suit alleges that the institutions overcharged approximately 170,000 financial aid recipients by hundreds of millions of dollars. Separate from the financial payout, the University of Chicago will supply the former students and their attorneys with evidence to assist their litigation efforts against the other institutions. A hearing will be scheduled for a later date to approve the proposed settlement. Part of the suit seeks to compensate U.S. citizens or permanent residents, or those who purchased tuition or room and board on their behalf, whove enrolled in one or more of the universities full-time undergraduate programs. Sammi Sweetheart Giancola has always been the stuff of Jersey Shore legend. She early on gained fame for her on-again, off-again relationship with fellow Jersey Shore cast-mate Ronnie Ortiz-Magro. Sammi Sweetheart: Jersey Shore years Giancola started off her time on Jersey Shore in 2009 briefly dating Mike The Situation Sorrentino before beginning what would be the beginning of a long, tumultuous relationship with Ortiz-Magro. Ortiz-Magro would go out drinking with the other cast mates and flirt with other women even after he and Giancola became official, but by the end of Season 1, they were an official couple. The couple stayed together through the year, but it was an argument during an on-air reunion special that led to their first breakup. According to Hollywood Life, the argument occurred after a clip aired during the January 2010 reunion taping of Giancola telling Sorrentino about flirting with a policeman. Ortiz-Magro then began berating Giancola and threatened to break up with her, even though the flirtation took place before the two even dated. Both Giancola and Ortiz-Magro were single at the beginning of Season 2 in 2010 when they were filming in Miami. Even though the two slept in the same bed, Ortiz-Magro had been known to go out and flirt with other girls on the town. While the guys didnt bring this up as an issue, Snooki and JWoww penned an anonymous note telling Giancola what was really going on. Sam, the first night at BED when you left, Ron made out with 2 girls and put his head in between a cocktail waitresss breasts. Also was grinding with multiple fat women, the note read in part. Ultimately, the letter led to Giancola breaking things off with Ortiz-Magro. Yet the two found themselves back together by the end of the second season and continued dating throughout season 3. During the shows fourth season in Florence, Italy, the two continued to date on-and-off. But when the original series ended in 2012, the couple stayed together. Sammi Sweetheart: Breakup From Ronnie and Life After Jersey Shore In August 2014, Ortiz-Magro confirmed to People Magazine that he and Giancola were no longer together. Following their split, Giancola got engaged in 2020 to longtime boyfriend Christian Biscardi. Giancola later revealed she was single in 2021 and then moved on with her current partner Justin May. While all her other cast mates returned for the first season of Jersey Shore: Family Vacation, Giancola did not return. Giancola and Ortiz-Magros relationship was so turbulent during the original Jersey Shore series that Giancola refused to return for the spin-off. Jersey Shore Family Vacation However, she was eventually convinced to come back to the current spin-off series. Upon her return, Giancola said, I dont know, Im so anti-coming back. I had that relationship drama back then. I had some fights with girls. Like, theres a lot that comes with this but being older now, what do I have to lose? Jersey Shore: Family Vacation airs Thursday nights at 8 p.m. on MTV and streams on-demand on Philo and FuboTV. Our journalism needs your support. Please subscribe today to NJ.com. Katherine Rodriguez can be reached at krodriguez@njadvancemedia.com. Have a tip? Tell us at nj.com/tips. Watertown, NY (13601) Today A mix of clouds and sun. Slight chance of a rain shower. High 78F. Winds SW at 10 to 15 mph.. Tonight Cloudy. Low near 65F. Winds SW at 5 to 10 mph. Six-day (Tuesday through Sunday) print subscribers of the Watertown Daily Times are eligible for full access to NNY360, the NNY360 mobile app, and the Watertown Daily Times e-edition, all at no additional cost. If you have an existing six-day print subscription to the Watertown Daily Times, please make sure your email address on file matches your NNY360 account email. You can sign up or manage your print subscription using the options below. David Wilcox Executive editor Follow David Wilcox Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Save Manage followed notifications Close Followed notifications Please log in to use this feature Log In Don't have an account? Sign Up Today The first Chipotle Mexican Grill in Cayuga County will open this week. A representative of the fast casual Mexican food chain told The Citizen on Wednesday that the restaurant, located on Plaza Drive off Grant Avenue in Sennett, will open Thursday, Aug. 17. The restaurant's hours will be 10:45 a.m. to 10 p.m. every day. Located near Staples and Panera Bread, the restaurant is approximately 2,400 square feet and will feature a Chipotlane drive-thru pickup lane for digital orders, and a dining room. Chipotle averages 25 jobs per restaurant, the representative said, and offers employees "competitive benefits" as well as debt-free college and mental health care programs. Founded in 1993, the chain has more than 3,100 locations worldwide. The closest one to Cayuga County is on West Genesee Street in Fairmount. In the local Mexican restaurant marketplace, Chipotle will join fellow chain Moe's Southwest Grill, also on Grant Avenue in Auburn Plaza, and the locally owned Mesa Grande Taqueria on Genesee Street. A local sit-down Mexican restaurant, Costa Grande, opened this spring in Grant Avenue Plaza in Sennett. Dinner at the restaurant Le Chat Noir meant parsing a menu where vegetable dishes could be the showstoppers next to lobster and steak, and where the oyster bar could bring you a platter of a dozen on the half shell each from a different grower. Now though, this promising young restaurant in downtown New Orleans has closed for good. Co-owner James Reuter said Le Chat Noir had become a very expensive restaurant to operate between food costs and staffing. When business slowed in the summer slump, it proved untenable. He and his business partner closed the restaurant abruptly earlier this month. Theres a map of the subcontinent painted on the wall at the new restaurant LUFU NOLA Indian Kitchen & Bar, denoting different cities and states. The LUFU menu is like a map in its own right, but one where the lines dividing regions will just not stay put, and where the interplay of adjacent countries and cultures shows up on the plate. The best Indian food is rightfully celebrated for its depth of flavor. Dishes Ive tried at LUFU bring this, but also overall the restaurant shows the depth of possibility across the range it presents. This is a restaurant where ordering one or two things can be an anguish of indecision; when I see a menu like this, I just want to sample all of it. The restaurant opened downtown in July and has been installing new cravings for the texture/flavor fireworks of pani poori, a popping-crisp preparation of fried squid and a treatment of pompano that has me rethinking the familiar pleasure of this popular Gulf catch. Council Member Freddie King said Wednesday he will work with city officials to delay a looming parklet enforcement deadline, giving him and the city time to make changes to allow many business owners to keep their popular street seating. The decision comes after King received feedback from the community overwhelmingly in support of parklets, following the city sending letters to several business owners giving them until the end of the month to remove their parklets. Kings office held a meeting at Cafe Istanbul on parklets last week and opened an online form where people could give their thoughts on them. After sifting through responses and verifying addresses, Kings office said nearly three out of every four respondents were in favor of parklets. In Kings District C, which is home to several businesses in the Marigny and Bywater that would have been ineligible to keep their parklets under rules the council passed last year, 131 respondents supported parklets while 49 opposed them. Notably, according to King, all but 14 of the opponents lived in the French Quarter. While there are a few parklets in the Quarter now, they arent allowed under last years rules nor would they be under the proposed changes to them. The results citywide were also similar, with 277 residents (74%) in favor and 98 (26%) against. King's announcement Wednesday marks a change of tune, as he told Gambit earlier this month he would not be bringing forward any citywide changes to the current parklet rules. When asked if he would be leading the charge on amending the rules Wednesday, he replied, Looks like it. The Office of Economic Development has already proposed changes to the parklet rules the council passed last summer, which many business owners said ultimately included last-minute changes they didnt know about. Among other things, the changes would remove the requirement that they only be allowed in commercial areas and easing restrictions on how many can be on a city block. The commercial area requirement is what would have made owners of R Bar, Pepps Pub and several other businesses shut their parklets down. King will then have to get the City Planning Commission to study the issue again and the council will have to pass the finalized changes, a process that will take months. Community meeting on parklets draws more than 100 residents and business owners More than 100 residents and business owners packed a community meeting at Cafe Istanbul Monday evening, speaking passionately both for and aga City Cristian A. Mabe, 20, 76 Steel St., 1729 W. Genesee St., Syracuse, was charged Aug. 9 with petit larceny. Atreyu D. Mcleod, 25, 13 Washington St., Auburn, was charged Aug. 9 with second-degree criminal trespass and resisting arrest. Uziel J. Mcleod, 24, 13 Washington St., Auburn, was charged Aug. 9 with second-degree burglary and fourth-degree criminal mischief. Leigh R. Rusin, 39, 38 Pleasant St., Auburn, was charged Aug. 10 with leaving scene of an property damage accident without reporting and unlicensed operation of a motor vehicle. County Jacob D. Gonyeau, 25, 11824 Pinewood Drive, Wolcott, was charged Aug. 10 with third-degree unauthorized use of a motor vehicle. James R. Williams, 36, 3 Abrio Drive, Moravia, was charged Aug. 9 with failure to notify of address change as a sex offender. Jeremy J. Wagner, 29, 2032 Brandt Road, Victory, was charged Aug. 9 with public lewdness. Kelly J. Ryan, 47, 13 Smith St., Churubusco, was charged Aug. 10 with two counts of first-degree criminal contempt. Derrick A. Thomas, 29, 31 Arterial West, Auburn, was charged Aug. 10 with aggravated family offense and second-degree criminal contempt. State Ashley L. Gordon, 36, Port Byron, was charged Aug. 9 with petit larceny. Michelle L. Stotler, 43, Port Byron, was charged Aug. 9 with petit larceny. Michael E. Stanton, 49, Auburn, was charged Aug. 9 with first-degree criminal possession of a forged instrument and seventh-degree criminal possession of a controlled substance. Brent M. Hagen, 34, Auburn, was charged Aug. 10 with seventh-degree criminal possession of a controlled substance. Dustin A. Brier, 25, Port Byron, was charged Aug. 10 with petit larceny. Morgan A. Case, 20, Montezuma, was charged Aug. 10 with second-degree criminal mischief. Tyshawn M. Allen, 26, Syracuse, was charged Aug. 9 with second-degree burglary and third-degree grand larceny. Tenner was accused of killing Morgan Tyrone, 24, of Pascagoula, Mississippi, after Tyrone traveled to Terrytown on the night of April 10, 2022, accompanied by her 23-year-old girlfriend and the woman's toddler son, according to authorities. Jefferson Parish Sheriff's Office detectives said Tenner used a friend's Facebook page to advertise the sale of an iPhone 13. But the phone sale was just a ruse to set up an armed robbery, according to investigators. Tyrone responded to the advertisement and negotiated to buy the phone for $300, according to authorities. Though Tenner lived in Jackson, Mississippi, he arranged to meet Tyrone in the 300 block of Friedrichs Road in Terrytown. Tenner arrived in the New Orleans area about seven days before the homicide and was staying with an acquaintance who lived in a Terrytown apartment, the Sheriffs Office said. AUBURN Three men accused of tricking an elderly Cayuga County couple into sending them thousands of dollars now have court dates after two of the three didn't appear before a judge on Tuesday. Joel F. Mathilda, 24, with a previously listed address of 2422 Prospect Ave., Apt. 3AA, Bronx; Davide Invidia Arias, 24, with a previously listed address of 4610 Middlebrook Road, Orlando, Florida; and Jose A. Fermin Vasquez, 28, with a previously listed address of 25 16th Ave., Newark, New Jersey, were all set to be in front of Judge Jon Budelmann for conferences in Cayuga County Court. All three men were previously indicted on third-degree larceny, a class D felony, and fifth-degree conspiracy, a misdemeanor. Of the three, Invidia Arias, who had a Spanish interpreter with him, was the only defendant in court Tuesday. The interpreter was also intended to translate for Mathilda and Fermin Vasquez. Mario Gutierrez, Invidia Arias' attorney, said his client does not currently accept the Cayuga County District Attorney's offer of 2 1/3 to 7 years for the grand larceny charge, satisfying the conspiracy count. Gutierrez noted that Invidia Arias is in frequent contact with him and has shown up for all of his previous court appearances. Adding that Invidia Arias has a full-time job, Gutierrez asked if his client's appearance could be waived for an upcoming motion argument. Budelmann granted the request, so Invidia Arias won't have to appear for that date, which is Oct. 10. Before Invidia Arias' conference, attorneys for his codefendants were heard. Krystal Harrington, who was recently retained by Mathilda's family, said he is currently detained at a facility in Broome County. Mathilda's next Cayuga County Court appearance was scheduled for Sept. 2. Representing Fermin Vasquez, Todd Sloan said he was under the impression his client did not have to appear in court Tuesday. Sloan asked Budelmann if he could put Fermin Vasquez on speakerphone, which the judge denied. Fermin Vasquez's next court appearance is Oct. 10. Sloan said after court that Fermin Vasquez currently lives and works in California. Sloan also said he has reached out to his client about his next court appearance, and Fermin Vasquez intends to be there. A trial start date for all three has been tentatively scheduled for Dec. 11. The three are accused of repeatedly stealing from an elderly couple in the town of Throop. According to the Cayuga County Sheriff's Office, the couple was contacted by phone by someone claiming to be their grandson, saying he was in jail and needed money to make bail after being involved in a motor vehicle accident. The couple withdrew $9,500 in cash, which they were instructed to place in a box for an Uber Package courier to pick up and deliver to an address in Syracuse. This happened two more times to the couple, police said, leading them to send more than $24,000 in cash. When the couple was contacted again in February, the sheriff's office collaborated with other law enforcement agencies to surveil the exchange in Syracuse and apprehend Mathilda, Fermin Vasquez and Invidia Arias. More than $25,000 was recovered from them, police said. Three charged in 'grandparent scam' theft of $24K from Cayuga County couple Police said three men who tricked an elderly Cayuga County couple into turning over thousands of dollars in cash on three separate occasions w Businesses are being urged by the Institute for Apprenticeships and Technical Education (IfATE) to offer more apprenticeships to young people who will soon be getting their exam results because demand is now by far outstripping supply. In 2023, 430,000 students expressed an interest in apprenticeship opportunities via UCAS a 180% increase since 2021. But the total number of apprenticeship vacancies publicised through the Department for Educations Find an Apprenticeship service was only 189,430 for the last academic year up 41% from 134,460 the previous year yet still nowhere near enough businesses are offering opportunities. The message is clear ahead of A Level, T Level and GCSE results day that more employers need to offer apprenticeships to school leavers, to meet the demand generated by improvements in standards and scope of programmes following a decade of reform. Baroness Ruby McGregor-Smith, chair of IfATE, said: The quality of apprenticeships is so much better. Young people get this, and the problem now is that demand is outstripping supply. As a former chief executive of a FTSE 250 firm and president of the British Chambers of Commerce, I understand the financial difficulties employers are facing. But I want to urge many more to offer apprenticeships ahead of A Level, T Level and GCSE results week. We know business are really struggling to recruit people with the right skills, so why not train your own? The return on investment per apprentice is estimated to be 2,500 to 18,000, we know it encourages more staff loyalty and retention, and its a fantastic opportunity to set people off onto successful career paths. To me, its a no brainer. UCAS Head of Apprenticeships, Lindsay Conroy, said: Apprenticeships can unlock doors, giving apprentices the opportunity to gain valuable industry experience and enabling employers to tap into the next generation of talent for their organisation. We know current demand for apprenticeships outstrips supply, with 40% of all UCAS undergraduate applicants now interested in an apprenticeship role about 430,000 potential apprentices with projections that interest could surge to over half a million by the end of the decade as part of the Journey to a Millon. We welcome the opportunity to work with employers, IfATE and other sector bodies to drive up supply to ensure we capitalise on this significant economic opportunity. Apprenticeships are all now designed by employers, with IfATE support, to make sure they meet industry needs. This benefits apprentices who can be confident they are acquiring knowledge and skills businesses really value. Big name employers who have increased the number of apprentices starting with them include PwC (550 starts in 2021/22 716 starts in 2022/23), Greene King (897 starts in 2021-22 951 2022-23), and Amazon (640 starts in 2021/22 1,092 in 2022-23). Andrew Bush, Chief People and Transformation Officer at Greene King, who have helped design and update brewing apprenticeships with IfATE support, said: "We believe apprenticeships are crucial, not just to help people into work but also in helping them to continue learning and building a career. Were proud to have supported more than 16,500 apprentices since we first started in 2011, growing our programme to now offer 35 different apprenticeship courses so people can choose a development path that works for them. We would always encourage businesses to look at apprenticeships as a great way to upskill employees and for people looking for an alternative option to university it means they earn from the outset without incurring student debt. John Banks, Apprenticeships Delivery Manager at Lloyds Banking Group, said: At Lloyds Banking Group we absolutely endorse T Levels and the hands-on experience they bring. We have just made our first job offers to two students who were with us last year and next year we will be scaling up job offers just as we have done with placement places! Small and Medium Enterprises (SMEs) are also well placed to give apprentices a broad understanding of the whole business. There are now over 670 employer-designed apprenticeships to choose from, including around 360 at career entry level and 160 degree apprenticeships. As well as all the traditional trades, they train people to be laboratory scientists, archaeologists, accountants, IT specialists, teachers, nurses, and in future even doctors. T Levels complement apprenticeships and are shaped by the same set of employer-defined standard. They are more classroom-based and are every bit as rigorous as A levels. Students are awarded UCAS tariff points in line with 3 A Levels and they can lead to university, other higher level training, or full-time work. Every T Level involves a substantial industry placement, typically lasting around 45 days for students, which can lead directly to job offers. Shoppers in North Devon are being encouraged to vote for local organisations and schemes that help to give children their strongest start in life. As adverts for Tescos Stronger Starts initiative hit TV screens from August 18, North Devon customers can visit their nearest store and drop the blue token they receive at checkout into the voting box for one of three local schools or young peoples projects. The initiatives aim is to support schools and childrens groups with grants of up to 1,500 to provide nutritious food and healthy activities that aid physical health and mental wellbeing, such as breakfast clubs or snacks, and equipment for healthy activities. Two out of the three blue token voting boxes by the North Devon checkouts will be dedicated to local schools, and the third will be for local community projects nominated by each stores colleagues. Customers can choose which of the three projects theyd like to support by voting with a blue token. Schools or childrens groups in North Devon that could benefit from a Stronger Starts grant are also being asked to apply by visiting www.tescoplc.com/strongerstarts. Claire De Silva, Head of Communities and Local Media, said: We are so excited to be bringing schools and childrens projects our Stronger Starts grants. Our aim is to inspire children who deserve the opportunity to thrive and give our customers the chance to vote with their blue tokens for their local schools and childrens projects that need extra help. The scheme, supported by UK community charity Groundwork, replaces the former Tesco Community Grants funding programme. Recent research from Groundwork found that 78% of schools are currently having to provide food for children from their own budget. In addition, Stronger Starts research carried out by Tesco in July found that more than half (51%) of parents agree that their child struggles to concentrate at school if they do not have breakfast. The Cayuga County Sheriff's Office on Tuesday said that Joseph Wade Czyz, 13, left his home in the area of Adams Avenue in Owasco before 10:30 p.m. Monday and has not been seen since. He is 5 feet, 6 inches tall, has hazel eyes and red hair, and weighs about 120 pounds. State police with search dogs could be seen canvassing the neighborhood around Owasco Elementary School on Tuesday evening. Anyone with information is asked to call 911. Information can also be submitted at cayugacounty.us/FormCenter/Sheriffs-Office-8/Anonymous-Tips-51. Fulton County Superior Court Judge Scott McAfee. (Courtesy of Superior Court of Fulton County) Fulton County Superior Court Judge Scott McAfee is overseeing the fourth indictment against former President Donald Trump, accusing him and 18 others of allegedly attempting to overturn the results of the 2020 election. The 98-page indictment was presented to the grand jury by Fulton County District Attorney Fani Willis on Aug. 14, with Judge McAfee assigned to the case. Judge McAfee was seated on the bench earlier this year after Georgias Republican Gov. Brian Kemp appointed him to the Fulton County Superior Court in December 2022. President Trump backed a challenger to Mr. Kemp in Georgias 2022 Republican primary for governor, but the incumbent governor handily won the contest. Mr. Kemp had previously appointed Judge McAfee to the Office of the Inspector General in March 2021. Scott McAfee is a strong addition to my administration, said Mr. Kemp at the time. His experience as a tough prosecutor equips him to search out fraud, waste, abuse, and corruption, and bring those to justice who break the law. Judge McAfee obtained his undergraduate degree from Emory University and his law degree from the University of Georgia. He has worked for Justices David Nahmias and Keith Blackwell of the Georgia Supreme Court. Before his role as inspector general, the judge worked as the assistant U.S. attorney in the Northern District of Georgia and as senior assistant district attorney in the Fulton County Atlanta Judicial Circuit, handling cases from fraud to drug trafficking. Previous Rulings Judge McAfees previous rulings could give an indication on how hell approach the latest indictment charges. President Trump faces 13 counts in the indictment, including conspiracy to commit forgery in the first degree; conspiracy to commit filing of false documents; solicitation of violation of oath by a public officer; and violation of the states Racketeer Influenced and Corrupt Organizations (RICO) Act. Judge McAfee fined pro-Trump attorney Lin Wood $5,000 in June for contempt of court for violating an order against making disparaging comments about his former legal associates, ABC News reported. I cant overlook the protracted and flagrant nature of the violation, said Judge McAfee, threatening to fine Mr. Wood $15,000 for future violations. Judge McAfee will have to pick a suitable court date making accommodations for President Trumps already existing cases. Ms. Willis said in a Monday press conference that a trial date will be secured within the next six months. President Trump and the 18 other defendants have been given until noon on Aug. 25 to surrender themselves for processing. Trump and the other Defendants charged in this Indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump. That conspiracy contained a common plan and purpose to commit two or more acts of racketeering activity in Fulton County, Georgia, elsewhere in the State of Georgia, and in other states, the indictment says. The other individuals listed in the indictment include Rudy Giuliani, John Eastman, Mark Meadows, Kenneth Chesebro, Jeffrey Clark, Jenna Ellis, Ray Smith III, Robert Cheeley, Michael Roman, David Shafer, Shawn Tresher Still, Stephen Cliffgard Lee, Harrison Floyd, Trevian Kutti, Sidney Powell, Cathy Latham, Scott Hall, and Misty Hampton. Ms. Willis claimed the charges were not politically prejudiced. I make decisions in this office based on the facts in the law, she said. The law is completely nonpartisan. Thats how decisions are made in every case to date. Trump Critical of Prosecutors Speaking about his indictment in Washington over the events of Jan. 6, President Trump said it was impossible to get a fair trial in the liberal stronghold. It is IMPOSSIBLE to get a fair trial in Washington, D.C., which is over 95 percent anti-Trump, & for which I have called for a Federal TAKEOVER in order to bring our Capital back to Greatness. It is now a high crime embarrassment to our Nation and, indeed, the World. This Indictment is all about Election Interference!!! he said in an Aug. 3 Truth Social post. In addition to concerns about the trial being held in Washington, Trump supporters are also worried about the judge who will preside over the caseU.S. District Judge Tanya Chutkan, a former assistant public defender who was nominated by President Barack Obama. Judge Chutkan also has dealt with cases involving Mr. Trump. In November 2021, she rejected the former presidents attempt to block the Jan. 6 House select committee from accessing hundreds of documents from the White House despite Mr. Trumps claim of executive privilege. Mr. Trumps arguments appear to be premised on the notion that his executive power exists in perpetuity but presidents are not kings, and Plaintiff is not President, she wrote at the time. Judge Chutkan also previously worked for Boies Schiller Flexner LLP, the same law firm as Hunter Biden, according to a report by Breitbart. Mr. Biden worked for the firm as a lobbyist between 2009 and 2014, overlapping with Judge Chutkans tenure from 2002 to 2014. Regarding the latest case, the Trump campaign posted a statement on Aug. 15. Like Manhattan DA Alvin Bragg, Deranged Jack Smith, and New York AG Letitia James, Fulton County, GAs radical Democrat District Attorney Fani Willis is a rabid partisan who is campaigning and fundraising on a platform of prosecuting President Trump through these bogus indictments. Ripping a page from Crooked Joe Bidens playbook, Willis has strategically stalled her investigation to try and maximally interfere with the 2024 presidential race and damage the dominant Trump campaign. All of these corrupt Democrat attempts will fail. From The Epoch Times Press Release August 16, 2023 Bong Go advocates for welfare and advancement of firefighters as he attends Fire Senior Leadership Course Class graduation in Davao City As a show of support for the country's firefighting community, Senator Christopher "Bong" Go attended the graduation ceremony of the Fire Senior Leadership Course Class "Tagapangasiwa" 2023-15 at SMX Lanang in Davao City on Saturday, August 12. In his speech, Go commended the graduates for their dedication to the service of the nation, often putting their lives at risk to save others. He also highlighted that the ceremony is a testament to the dedication and hard work of the graduating firefighters. "As a firefighter you (have) already dedicated your life to serving and protecting our communities. This plays unwavering courage and commitment to the face of adversity. Your dedication honing your skills and knowledge in fire service leadership is truly commendable. I take this opportunity to emphasize that your hardwork and dedication do not go unnoticed," said Go. The said course is a rigorous training program designed to develop leadership skills among fire officers. The graduates followed intensive training modules to equip them with the necessary tools to effectively lead and manage firefighting operations, disaster response, and community safety initiatives. Go said "Alam n'yo parati tayo pareho na kasama ng mga nasunugan. Kung parati kayo sa mga nasunugan, parati rin po akong pumupunta sa mga nasunugan. Ako 'yung sumusunod na tumutulong rin po sa kanila. Ang hirap masunugan at parati kong sinasabi sa kanila, sa mga nasunugan, huwag ho kayong mag-alala. Ang gamit po nabibili, ang damit nalalabhan, ang pera ay kikitain. Subalit ang perang kikitain ay hindi po nabibili ang buhay. A lost life is a lost life forever. Kaya mag-ingat at magtulungan lang po tayo." "Ako naman sa abot ng aking makakaya tinutulungan ko talaga sila. At kayo rin po, bilang unang responders doon sa lugar, salamat po sa inyong pagsakripisyo, 'yung iba sa inyo nasasaktan, namamatay kapag pumapasok. Nasa panganib talaga ang inyong trabaho. So salamat sa inyo at ako ay handang tumulong sa inyo sa abot rin ng aking makakaya," he added. Go seized the opportunity to highlight his efforts for the advancement and modernization of the country's fire bureau. Republic Act 11589, a legislation he authored and co-sponsored, aims to modernize the Bureau of Fire Protection (BFP). "The safety and well-being of our firefighters have always been at the forefront of my legislative agenda. I will continue to advocate for better resources, improve training, enhance support system to empower our brave men and women in their mission to protect lives and property. Susuporta po ako sa inyo sa abot ng aking makakaya," he assured. The law ensures the acquisition of state-of-the-art equipment, enhancement of training programs, and establishment of efficient communication systems for seamless coordination during emergencies. "Recognizing the need to equip our fire fighters, we better modernize tools and technology. As the principal author of the law, it is my firm belief that investing in the modernization initiatives enhances the safety of both our fire fighters in the communities they serve. At isinulong ko talaga ito noon -- karagdagang kagamitan at karagdagang firefighters at tsaka 'yung education campaign po sa mga kababayan natin na mag-ingat para maiwasan ang sunog," Go cited. Go also continues to advocate for better benefits for Filipino firefighters, acknowledging the sacrifices they make daily. He highlighted the importance of fair compensation and improved working conditions. "No'ng 2018 ako'y inutusan ni (dating) pangulong (Rodrigo) Duterte na unahin na doblehin ang sahod ng ating (bumbero), militar, uniformed personnel, kasama mga pulis. Hindi pa po ako senador noon pero naatasan akong kausapin yung mga mambabatas para maisakatuparan ito. Naiintindihan ko po 'yung trabaho ng isang pulis, bumbero at uniformed personnel. Kaya noong naging presidente siya sabi ko, 'boss unahin natin 'yung mga uniformed personnel, tulungan natin sila," Go shared. To show his genuine appreciation for the dedication and hard work of the graduates, Go presented tokens of recognition to the 83 graduates. New York's U.S. senators are supporting a request for a federal agricultural disaster declaration after a freeze in May destroyed crops in 31 counties, including Cayuga. The New York State Farm Service Agency submitted the disaster declaration request to the U.S. Department of Agriculture on Aug. 2. The request was based on losses reported in counties across upstate New York. A federal agricultural disaster declaration covers counties where more than 30% of a crop is lost. In Cayuga County, farmers reported that more than 30% of their apple, cherry, grape and peach crops were lost due to the freeze. In neighboring Onondaga County, grapes, onions, strawberries and wheat were the affected crops. The freeze occurred over multiple days from May 14 through May 25, but the worst period was overnight from May 17 to May 18. Severe frost damaged crops, namely apples and grapes, across New York. In a letter to Agriculture Secretary Tom Vilsack, Senate Majority Leader Chuck Schumer and U.S. Sen. Kirsten Gillibrand urged him to approve the disaster declaration for New York counties. They wrote that apple and grape crops were most affected by the freeze, but the conditions also caused damage to several other crops, including blueberries, pears and strawberries. "These growers are part of the core fiber of New York state, and have a long history of producing quality food to feed Americans," Gillibrand and Schumer said. "Now that our growers are hurting, it is imperative that we heed the call and urgently provide the support that they deserve to get back on their feet." If the disaster declaration is granted, low-interest loans will be available to growers who lost crops. Farmers could receive up to $500,000 to cover losses. Those lazy, hazy days of summer have gotten crazy as smoke from Canadian wildfires keeps blowing into the Region, making it hard to breathe. And, according to the Centers for Disease Control and Prevention. wildfire smoke, the gases and fine particles created from burning vegetation and building and other materials, can make you sick. This is the first time we have been affected by smoke this bad that I have experienced, says Kristi Ramos, a registered pulmonary function technologist and supervisor of the Methodist Hospitals Respiratory Department. In June, the Air Quality Index, which goes from 0 to more than 300, topped 200, or very unhealthy, for several days in the Region, with increased health risks for everyone. Air pollution contributes significantly to illness, and this can be measured by the Air Quality Index, says Dr. Abhaya Trivedi, a pulmonary and critical care specialist at Rush University Medical Center. The national Air Quality Standard corresponds to an AQI level of less than 100. Climate change has led to an increased likelihood of wildfires which leads to emission of high levels of air pollutants. Exposure to wildfire smoke can negatively impact your lung, heart and brain health. Ill effects can happen immediately and include difficulty breathing, headaches, quickened heart rate, chest pains, runny nose, fatigue, asthma attacks and sinus irritation. Most of us walk outside without even thinking about the air quality; however, people with sensitivity need to pay special attention, says Ramos. If you have a heart or lung condition, if you are pregnant or if you simply notice that smoke and pollution irritate your eyes, nose or throat or your breathing, it is better to stay indoors when the air quality is bad. If the air quality is bad consistently, it would benefit someone who is sensitive to find an area to live with a better AQI. Highly sensitive people may even need to invest in an indoor air cleaner to reduce indoor irritants. Older adults, children and people with respiratory and heart conditions also are more likely to get sick if they breathe in wildfire smoke. Trivedi suggests tracking the AQI during times when air quality is worsening to determine whether or not to limit time outdoors. Those developing respiratory symptoms should talk to their doctor. In times of poor air quality, which can range from moderate to hazardous, Ramos recommends wearing an N95 mask outdoors. However, the main recommendation is to stay indoors, she continues. Even indoor air can be improved by an air purification system or a portable air cleaner. Outdoor events really should be postponed or canceled in these types of situations. The American Lung Association suggests creating a clean room in your home. Choose one with no door to outside and keep the windows closed. That would limit harmful substances such as mold and outdoor pollutants. One thing you can do is make sure your indoor air quality is the best it can be. Eliminating dust, pet dander and making sure there aren't harmful things such as mold or carbon monoxide is important, says Ramos. Do not use candles, fragrances or chemicals that can cause irritations." Exercise and eating foods that promote health can help your lung function, so are exercises specific to that function, Ramos says. The latter are demonstrated in videos on the American Lung Association website, www.lung.org. Educating yourself is important. We live in a time where information on how to live healthy lifestyles is literally at our fingertips, says Ramos. We just need to apply that to our everyday lives so we can have the best chance at living a good quality life. The United Auto Workers union, which represents thousands of Calumet Region auto workers at the Chicago Assembly Plant and Chicago Stamping Plant, will vote on a strike authorization next week. UAW's contracts with Ford, General Motors and Stellantis are set to expire in about a month. Union President Shawn Fain said during a Facebook Live address with auto workers that talks were moving slowly and that auto workers expected a record contract after record profits. "It's been two weeks since I presented members' demands to the Big Three. That's two weeks since we made your priorities clear to the employers. Even the White House has taken notice of your demands," he said during the address. "The White House agrees with us that Big Three profits should be invested in collective bargaining to lift up auto workers, our families and our communities. Yet the Big Three are continuing to act as if this round of negotiations is going to be business as usual." Automakers have called for "economic realism," saying they need to make big investments in the transition to electric vehicles to safeguard their collective future. "That's the economic realism the companies want you to accept. They make billions in profits and millions in executive salaries while the rest of us live paycheck to paycheck," said Fain, who worked his first auto industry job in Kokomo. "The fact is labor costs are a fraction of what goes into the price of a car. The vast majority of the Big Three's expenses don't go to labor. They go to parts, retooling, distribution and repairs. Many experts estimate labor accounts for just 5% to 10% of the cost of a car." The cost of new cars has skyrocketed by 20% over the past three years and that's not because of labor, Fain said. "Stellantis has had the highest profit margin of any major automaker out there, union or not. That's not because of labor costs. The automakers have cried about how the transition to EVs is going to be too expensive. That's not because of labor costs. The last 10 years have been the most profitable in Big Three history. They've collectively made a quarter of a trillion dollars in North American profits," Fain said. The automakers can afford to end the tier system in which workers are paid different wages to do the same job, depending on seniority, Fain said. "Some in the media have claimed the union is engaged in class warfare. It's hard when I hear that not to just die laughing," Fain said. "The truth is the working class in this country has been under a one-sided class war for decades." In a letter to employees last week, Stellantis Chief Operating Officer Mark Stewart accused Fain of theatrics and personal insults that Stewart said will not help to reach a deal. He wrote that the company is committed to an agreement based on economic realism that supports the viability of Stellantis' operations while rewarding workers. The company, he wrote, wants to find solutions to protect Stellantis from nonunion companies with lower costs and additional costs from moving to electric vehicles. GM said Tuesday its been working hard with the union every day to get the right agreement for all of its stakeholders. We continue to bargain in good faith each day to support our team members, our customers, the community and the business, a company statement said. The Associated Press contributed to this report. The Orlando Museum of Art filed a lawsuit on Monday accusing its former director of seeking to profit from a scheme to show fake paintings that the museum had exhibited as newly discovered works by the celebrated artist Jean-Michel Basquiat, saying that the owners of the paintings had promised him a significant cut of the proceeds from their eventual sale. The lawsuit also named five co-owners of those paintings who were said to have enlisted the former museum leader, Aaron De Groft, in a plan that lawyers said would advance his own economic and personal interests by leveraging the museums reputation to legitimize the fraudulent paintings and increase their value. De Groft has denied wrongdoing. Lawyers for the museum say in the court papers that they are seeking an unspecified sum in damages for fraud, conspiracy, breach of fiduciary duty and breach of contract. The story of how the paintings ended up on the walls of O.M.A. is a truth stranger than fiction, said the lawsuit, which was filed in circuit court in Florida. O.M.A. spent hundreds of thousands of dollars and unwittingly staked its reputation on exhibiting the now-admittedly fake paintings. Consequently, cleaning up the aftermath created by the defendants has cost O.M.A. even more. If youve seen the movie Oppenheimer, which you should trust me, its gripping even though its three hours long and you know how the story ends you probably noticed several appearances by the physicist Isidor Isaac Rabi, who is portrayed in some ways as Oppenheimers voice of conscience. I was a bit puzzled when I watched, because I happened to know that Rabi wasnt a resident at Los Alamos during the Manhattan Project. But the film was historically accurate: Rabi did visit Los Alamos on occasion, and was present for the Trinity bomb test. Why wasnt Rabi at Los Alamos? The film highlights his ethical qualms. But the truth is that he was involved in another secret project applying cutting-edge science to the war effort, M.I.T.s Radiation Laboratory, which basically worked on advanced radar. The Rad Lab arguably had an even bigger impact on the course of the war than the Manhattan Project, because it turned microwave technology, originally developed in Britain, into a radar system that German submarines couldnt detect. This was a major factor in the Allies 1943 victory in the Battle of the Atlantic, which secured the sea lanes to Britain; this in turn set the stage first for the decisive defeat of the Luftwaffe in early 1944, and then for D-Day. There were other crucial scientific efforts too, like the group at Johns Hopkins that developed the proximity fuse, which made antiaircraft guns far more effective because they could bring down a plane without scoring a direct hit. All of this was made possible not just by Americas economic might but also by its cultural and social openness. At one point in the movie Oppenheimer says that the only reason we might beat the Germans to the bomb is Nazi antisemitism; indeed, Americas war effort was crucially aided by our willingness to take in and make use of the scientific talents of refugees. Lawyers for the Sandy Hook families who won historic defamation damages against the Infowars conspiracy theorist Alex Jones told a federal bankruptcy judge in Houston on Tuesday that Mr. Jones should not be allowed to use his Chapter 11 filing to evade $1 billion-plus verdicts made against him. The families asked that the judge, Christopher Lopez, order Mr. Jones to pay them the full damage awards, with no possibility of a trial or a forced settlement over a lesser amount in legal terminology, to make Mr. Joness debts to the families non-dischargeable through bankruptcy. If the judge rules in the families favor, Mr. Jones would likely be working the rest of his life to pay the debt. Mr. Jones spent years spreading lies that the 2012 shooting that killed 20 first graders and six educators at Sandy Hook Elementary School in Newtown, Conn., was a hoax aimed at gun control. Families of 10 victims sued him for defamation, and in trials in Texas and Connecticut were awarded about $1.4 billion in damages. As the cases went to trial, Infowars declared bankruptcy, and Mr. Jones declared personal bankruptcy late last year. The families have been fighting him in bankruptcy court ever since. On Tuesday, Mr. Joness lawyer, Chris Davis, argued that Mr. Jones did not show malice in an Infowars broadcast made shortly after the shooting, when Mr. Jones falsely accused CNN of staging an interview with Veronique De La Rosa, the mother of Noah Pozner, a 6-year-old who died in the attack. A Utah man accused of posing as a doctor and making at least $2 million selling a fake Covid-19 cure was arrested last week, ending a three-year manhunt that was part of a Justice Department initiative to stem illegal profiteering during the pandemic, prosecutors said this week. The man, Gordon Hunter Pedersen, 63, of Cedar Hills, Utah, was arrested last Wednesday, about a month after he was seen on a surveillance camera at a gas station roughly 40 miles south of Salt Lake City, according to court documents. He fled prosecution in 2020 after being charged with seven felonies including mail fraud, wire fraud and selling misbranded drugs with the intent to defraud and mislead, the U.S. attorneys office in Utah said in a statement on Monday. Since at least 2014, Mr. Pedersen sold products that promoted silver as a cure for various diseases including arthritis, diabetes and pneumonia, prosecutors said. During the coronavirus pandemic, Mr. Pedersen began promoting the products as a cure for Covid-19 in 2020, prosecutors said. Falsely presenting himself as a medical doctor, prosecutors said, Mr. Pedersen sold a product called structural alkaline silver, which he claimed could destroy the membrane of the virus and cure Covid-19. He promoted the false cure through YouTube videos, Facebook posts, podcasts and websites, they added. That started to change on the evening of Aug. 8, as the first reports of destruction on Maui began to filter in. Early on, Ms. Webster said, there were indications that around 10 patients might need to be flown in. But much remained unclear. Given Honolulus geography, the doctors and nurses at Straub are used to treating patients arriving by plane. The unit regularly takes in burn victims from other islands in Hawaii, from U.S. territories like Guam, from Pacific nations like Micronesia and from cargo ships at sea. But those patients usually come one or two at a time. The volume of new arrivals from the wildfire and the speed with which they arrived became a singular event in the careers of the doctors and nurses. As doctors at Straub spoke with their counterparts on Maui, in some cases reviewing photos or videos of wounds, they made decisions on which patients needed to be transferred. In some less severe cases, patients can receive care outside a formal burn unit. In other instances, a persons burns might be so extensive, and their prognosis so poor, that focusing on their comfort is more appropriate than putting them through a flight. But its that big piece in the middle that you can provide a quality of life and a real benefit, Dr. Schulz said. Theyre now treatable and you can save them and you have this facility that can do it for them that is not 2,000 miles away. The document focuses on what detractors of the election have insisted are widespread voting anomalies in Georgia during that campaign, the people said. It has been in the works for many weeks, according to one of the people familiar with the matter. Ms. Harrington has been making calls to people outside of Mr. Trumps campaign about the event, according to two people familiar with the matter. She posted on X, the site formerly known as Twitter, four hours after Mr. Trump announced the news conference. Georgia has among the most corrupt elections in the country and they havent gotten better since 2020, theyve gotten worse! she wrote. Tune in Monday! Ms. Harrington declined to comment when contacted. She also appears, although unnamed, in a key scene detailed in Mr. Trumps first federal indictment, over his mishandling of classified documents. She was in the room with Mr. Trump at his home in Bedminster, N.J., in the summer of 2021, when the former president was recorded rustling through papers and discussing a sensitive military document that he lamented he could have declassified as president. Three people familiar with the matter said she is one of the women heard speaking in a recording of that conversation, a partial transcript of which appears in the indictment. The federal prosecutors who charged former President Donald J. Trump this month with conspiring to overturn the 2020 election got access this winter to a trove of so-called direct messages that Mr. Trump sent others privately through his Twitter account, according to court papers unsealed on Tuesday. While it remained unclear what sorts of information the messages contained and who exactly may have written them, it was a revelation that there were private messages associated with the Twitter account of Mr. Trump, who has famously been cautious about using written forms of communications in his dealings with aides and allies. The court papers disclosing that prosecutors in the office of the special counsel, Jack Smith, obtained direct messages from Mr. Trumps Twitter account emerged from a fight with Twitter over the legality of executing a warrant on the former presidents social media. Days after the attack on the Capitol on Jan. 6, 2021, the platform shut down his account. The papers included transcripts of hearings in Federal District Court in Washington in February during which Judge Beryl A. Howell asserted that Mr. Smiths office had sought Mr. Trumps direct messages or DMs from Twitter as part of a search warrant it executed on the account in January. If he hadnt just been called up for jury duty last week and released, Seth Beisher said he might have been an ideal person to decide whether former President Donald J. Trump and his allies criminally conspired to overturn his 2020 election loss in Georgia. Mr. Beisher lives in Fulton County, where Mr. Trump and 18 others were indicted on Monday under the states Racketeer Influenced and Corrupt Organization Act, or RICO. He does not advertise his political beliefs I dont have any stickers on my car, he said. I dont give to either of the parties. Most important, he said, he has not made up his mind about Mr. Trumps guilt or innocence. I think I would actually be a great jury candidate, Mr. Beisher, 46, said on Tuesday as he sat with his friend at a dog park in Johns Creek, a suburb north of Atlanta. I would do my due diligence, and I would make the fair vote. Finding others like him could be difficult. Now that the charges have landed, many in and around Atlanta are contemplating what lies ahead, including the slim, but not implausible, possibility that they could be the ones deciding the outcome of the case as jurors. Border Roads Organisation (BRO) is going to lay a new road in Ladakh which will eventually replace Umling La as the world's highest motorable road soon. On Tuesday, August 15, the BRO took to social media platforms to make the announcment on the occasion of the 77th Independence Day. The new road, called Likaru-Mig La-Fukche, will be around 100 feet higher than Umling La. The current height of world's highest motorable road is 19,300 feet. On Tuesday, BRO announced that it has already started to construct this new road. which will be part of India's strategic road network along the sensitive border region. According to the BRO, the Likaru-Mig La-Fukche road will pass through an altitude of 19,400 feet and will be the world's highest motorable road surpassing the Umling La Pass. The new road, which will be constructed barely three kilometres away from Line of Actual Control with China, will offer connectivity with Fukche. The area has an advanced landing pad for the armed forces. The road will provide key road connectivity with Ladakh valley and rest of India. The Border Roads Organisation has not shared any timeline by when the new road will be complete and whether it will be accessible for civilians as well. Umling La is the highest point of the 52 km-long Chisumle-Demchok road located in southern Ladakh, about 100 kms away from Hanle village. At 19,300 feet, the Umling La Pass stands higher than the Everest Base Camp in Nepal. The road also offers connectivity to several key towns in the Chumar sector of eastern Ladakh. The Chisumle-Demchok road is especially significant because, as per a Hindustan Times report, it will assist in easier movement of military vehicles near the border areas with China. The Chisumle-Demchok is touted as an engineering marvel because construction at such a high altitude comes with its significant set of challenges. First Published Date: North Korea said on Wednesday that Pvt. Travis T. King, the American soldier who fled across the inter-Korean border into its territory on July 18, wanted to seek refuge in the isolated Communist country or a third country, according to a state media report. The report by the Korean Central News Agency is the first time the North has commented on Private Kings case. During an investigation by North Korean officials, Private King confessed that he had decided to come over to the DPRK as he harbored ill feelings against inhuman maltreatment and racial discrimination within the U.S. Army, the Korean Central News Agency said, using the abbreviation of the countrys official name, Democratic Peoples Republic of Korea. Private King admitted that he illegally intruded into the territory of the DPRK, saying that he did so because he was disillusioned at the unequal American society, the news agency said. A forensics expert hired to examine the gun that discharged while Alec Baldwin was rehearsing with it on the set of the film Rust in New Mexico, killing the movies cinematographer, has issued a new report that determined the actor must have pulled the trigger, a conclusion at odds with his account. Since the fatal shooting of the cinematographer, Halyna Hutchins, in October 2021, Mr. Baldwin has said that he had pulled the hammer back and let it go just before the gun discharged, maintaining that he did not pull the trigger. The revolver was supposed to be loaded with dummy rounds, inert cartridges used to make it appear loaded on camera, but it turned out to be loaded with a round of live ammunition. Prosecutors have been skeptical of his claim that he did not pull the trigger, and in January Mr. Baldwin was charged with involuntary manslaughter in connection with the case. A new set of prosecutors dismissed the charges against him in April, saying new evidence suggested that the gun Mr. Baldwin had been rehearsing with that day might have been modified, potentially making an unintentional discharge easier. The prosecutors said at the time that they would consider refiling charges against the actor after a new examination of the gun. If you were an American artist or writer in the 1920s, Paris was where you wanted to be. The Springfield, Ohio-born photographer Berenice Abbott (1898-1991) arrived there in 1921 by way of New York, and by early 1929 she had managed to establish herself in the French capitals flourishing interwar avant-garde scene first working as an assistant to Man Ray and later taking her own celebrated portraits of luminaries such as James Joyce and Djuna Barnes. She even changed the spelling of her name from Bernice to the more Gallic Berenice. Yet somehow this magnet for culturally minded expatriates lost its hold on Abbott the moment she set foot in Lower Manhattan on a messy January day, no less at the beginning of what was supposed to be a short trip back to the United States. She had lived in New York once, just eight years before, but in her absence the city had been scaled up: new skyscrapers were rising, the population was exploding, and every block, it seemed, was abuzz with commerce and construction. (The market crash of October 1929 was still many months away). Suddenly, Paris was passe. When I saw New York again, and stood in the dirty slush, she later recalled, I felt that here was the thing I had been wanting to do all my life. Berenice Abbotts New York Album, 1929, a small but inspiring show at the Metropolitan Museum, channels the exhilaration Abbott felt upon arriving in the city. The exhibitions focus is a disbound scrapbook with seven to nine photographs per page, all taken over the course of that year, as Abbott paced the streets (and piers, bridges and train platforms) with a hand-held camera and a compulsion to capture New Yorks unruly, cutthroat modernity. Faust found the letter in the archives of the Eisenhower library, while researching her new memoir, Necessary Trouble: Growing Up at Midcentury. In the book, which will be published on Aug. 22 by Farrar Straus and Giroux, Faust turns the tools of the historians trade on herself, and the privileged, conservative Southern world she grew up in and moved away from. It could be described as an escape from Virginia, both literally and metaphorically, and an escape from a past and a set of circumstances that were stifling, she said last month in her office at Harvard. But its also an argument for the possibility of social and political change, against what she sees as the fatalism and forgetting of today. The times I grew up in were in many ways unimaginable to younger people today, especially in the face of proclamations that nothing has changed, everything is terrible, everythings always going to be terrible, Faust said. If a younger person was parachuted into the 1950s, they would be horrified beyond belief. Growing up, the conductor Maxime Pascal was a self-identified musical dilettante. As a child in the south of France, he had some skill on the violin, and sat in on the piano lessons his mother taught. At night, he watched his father play New Orleans jazz. But he didnt really listen to classical music until he was 18. Now, though, Pascal, 37, is arguably his generations finest conductor of 20th-century music, as well as an essential interpreter of contemporary works. And his schedule reflects both the breadth of his ambition and the respect he has garnered on some of the industrys most prestigious stages. He is a fascinating artist who understands the times we live in and the role music theater can have on injecting new life in opera, said Pierre Audi, the artistic director of the Aix-en-Provence Festival in France. Pascal spent July at the festival leading his ensemble, Le Balcon, and performers from the Comedie-Francaise in Kurt Weill and Bertolt Brechts The Threepenny Opera, in a slightly altered though polarizing orchestration of his own design. This month, Pascal is at the podium of the Vienna Philharmonic for the Martinu rarity The Greek Passion at the Salzburg Festival in Austria. And in November, in Paris, Pascal and Le Balcon will continue what he said has become his lifes work as they mount Sonntag aus Licht, their fifth installment in Stockhausens immense, seven-opera, 29-hour Licht with an eye toward staging the entire cycle during the composers centennial year in 2028. The letter, addressed to Lina Khan, the chairwoman of the Federal Trade Commission, and Jonathan Kanter, who leads the Justice Departments Antitrust Division, comes as the F.T.C. appears to be closing in on its decision to bring an antitrust case against Amazon. Amazon representatives are expected to meet this week with members of the commission to discuss the possible suit, a sign that legal action may be imminent. Amazon did not immediately respond to a request for comment. Its still unclear whether the governments case will scrutinize Amazons role as a bookseller as part of its investigation of the company. While Amazon got its start nearly 30 years ago as a scrappy online bookstore, it has since mushroomed into a retail giant that has gained a foothold in other industries, with its expansion into cloud computing and its purchase of the grocery chain Whole Foods and the movie studio Metro-Goldwyn-Mayer. Even as books have become a smaller slice of the company, Amazon has become an overwhelming force in the book market. It accounts for at least 40 percent of physical books sold in the U.S., and more than 80 percent of e-books sold, according to an analysis released by WordsRated, a research data and analytics group. With its purchase of Audible in 2008, Amazon has also become one of the largest audiobook producers and retailers. The effects of the sites rise have been profound, Open Markets Institute and the other groups argued, contributing to a steep decline in the number of physical bookstores across the United States, and leaving publishers and authors beholden to the site. Amazon also has influenced which books readers are exposed to and buy, and has made it more challenging for lesser-known authors to gain exposure on the site, while blockbuster authors and celebrities whose books are likely to sell well are prominently featured. Mr. Ensey, the county attorney, was in court Wednesday and not available to comment. The search of the newspapers office came less than a week after Kari Newell, a local restaurant owner, accused The Record of illegally obtaining a government record about steps to restore her drivers license and then sharing it with a city councilwoman. Mr. Meyer has said since the raid that he and his newspaper, which did not publish anything about the document it obtained, had done nothing wrong and that the newspaper did not share the document with the councilwoman. Mr. Meyer, 69, has had a long career in journalism, working as a reporter for The Milwaukee Journal Sentinel and a professor at the University of Illinois. The Record, which has seven employees and a circulation of about 4,000, is known for its fiery editorials about local officials and uncommonly aggressive reporting for a paper of its size. But it is also a small-town paper with small-town concerns: Last weeks top story was about a 10-year-old who is learning to play guitar at a local senior center. On Wednesday, in an interview at The Records office, he said he was vindicated by the county attorneys decision, adding that he was grateful that the papers devices were being returned. He criticized the county attorney and the Kansas Bureau of Investigation for releasing statements about the decision before telling him. He proudly held up a print copy of this weeks edition, which staff members had stayed up late into the night to produce because of the missing devices. SEIZED but not silenced read the top headline, in 200-point font. Target on Wednesday said its sales declined in the second quarter, a period in which the retailer faced boycotts and right-wing backlash over its Pride Month collection. The company also slashed its full-year guidance. Target said its comparable sales fell 5.4 percent in the second quarter, which ended July 29, versus the same period last year. It said both the number of transactions and the average transaction amount declined during the quarter. E-commerce sales fell 10.5 percent. Despite the sales decline, the company reported higher profit margins than analysts expected, as it offered fewer discounts and reduced its inventory costs. Targets stock closed up 3 percent on Wednesday. In May, Target faced criticism and calls for boycotts for its displays and products tied to Pride Month, the annual celebration for the L.G.B.T.Q. community. I live on Cape Cod, and I have recently noticed something of a current trend in which beachgoers claim valuable (and sometimes scarce) real estate by arriving several hours early to set up chairs, blankets and umbrellas before leaving to return (in some cases several hours later) to eventually move into their pre-claimed territory. I always thought that you had to be on hand to occupy some piece of public space. Does an empty chair or blanket constitute actual occupancy? Do such phantom claims have any merit? Would someone have the right to ignore such maneuvers by removing these chairs or blankets? If so, what should be the response to the claimant who might return to find their items no longer claiming possession? Daniel Burt From the Ethicist: The aim of such public space is to allow as many people as possible to make the proper use of it. That aim is undermined by absentee claims that prevent others from enjoying a spot on the beach for extended periods. Its fine to leave evidence of occupancy if youre just going off to get an ice cream, say, or to visit a restroom. If you do this, though, it might be wise to leave an explanatory note. (10:15, buying a snack, back by 10:45.) Thats within the spirit of the social convention. But your beach-blanket buccaneers are abusing this convention and effectively privatizing what should be public. At the same time, moving other peoples things isnt to be done lightly. Youll certainly want to be sure that their owners havent just stepped away for an acceptable interval. The social conventions about claiming areas in these public settings are not, of course, precise. Half an hour or so strikes me as a good marker in most such circumstances, but take a poll among people you know. If the beach-spot hogs return while youre around, you can show them where their possessions are and tell them that you waited for a while and assumed they were not returning. (Should you ever mistakenly displace a bathroom-breaker, you should apologize and immediately cede the spot.) Heres what Warner Bros. and DC think we need: another superhero movie about an earnest young man suddenly forced to shoulder responsibility and fight for justice. Heres what we actually need: A superhero movie about a badass nana with a secret revolutionary past. Guess which movie Blue Beetle, premiering in theaters Friday, delivers? However, credit must be given for including a rebel grandmother, who, though not the movies titular superhero, is one-fifth of the lovable Mexican family that enlivens this paint-by-numbers superhero film, directed by Angel Manuel Soto and written by Gareth Dunnet-Alcocer. The actual protagonist is Jaime Reyes (Xolo Mariduena), a fresh-faced college graduate who arrives home to find his family struggling financially. Reyes is fruitlessly casting around for a job until he meets Jenny Kord (Bruna Marquezine), the chic heiress of Kord Industries, a colossal tech company run by her great-aunt, Victoria Kord (Susan Sarandon). When Jenny hands Jaime a stolen scarab hidden in a fast-food box, Jaime discovers the beetle is a sentient piece of ancient alien tech that has a mind of its own. It fuses with Jaime, protecting him and granting him the ability to fly, heal quickly and create any weapon he imagines. As Victoria aims to recover the beetle to create armies of destruction, Jaime must prevent her from getting it and keep his family and the world safe. Beijing (Gasgoo)- In a bid to enhance its collaboration with Huawei, BAIC Groups new energy vehicle arm, BAIC BJEV, is set to establish a new factory, as disclosed in an announcement made by BAIC BluePark on August 14. S HI; photo credit: ARCFOX BAIC BluePark stated that its subsidiary, BAIC BJEV, intends to relocate and alter the Beijing High-end Intelligent Ecological Factory (referred to as the "High-end Factory") construction project. The project's location will shift from Beijings Yizhuang area to Miyun District. It involves the acquisition of assets related to Foton Motor's Miyun Factory and the implementation of production line technology enhancements. The BAIC BJEV High-end Factory project, in the works for five years, has undergone various developments since it commenced in 2018. In March 2020, BAIC BluePark approved the investment and construction of the High-end Factory when BAIC BJEV was relocating from its Caiyu Factory to the Yizhuang area. However, the project was temporarily halted by the end of 2020 due to strategic adjustments. The recent announcement indicates that, in response to production and operational requirements, BAIC BJEV plans to modify the High-end Factory project, relocating it from Yizhuang to Miyun District with a total planned investment of 1.612 billion yuan. The revival of the High-end Factory project is closely tied to BAIC BJEV's deepening collaboration with Huawei. The announcement from BAIC BluePark highlights that, to further intensify their partnership, BAIC BJEV will collaborate with both Huawei Technologies Co., Ltd. and Huawei Terminal Co., Ltd. In this cooperative endeavor, the inaugural model is positioned as a high-end intelligent pure electric passenger car. The resurrected High-end Factory project for BAIC BJEV is set to serve as a production capacity base for its joint efforts with Huawei. The announcement reveals that, to meet the demands of new vehicle designs and production, BAIC BluePark will comprehensively upgrade the existing BE22 platform. This move aims to establish a new generation high-end pure electric intelligent connected vehicle platform for BAIC BJEV. The upgraded BE22 platform products will be manufactured by the High-end Factory. A prominent Iranian film director and an Iranian producer were sentenced on Tuesday to six months in prison for creating the film Leilas Brothers and screening it at the Cannes Film Festival without official approval, according to the countrys news media. Saeed Roustaee, the films director, and Javad Noruzbegi, who produced the film with Roustaee, were both sentenced to six months in prison by the Islamic Revolutionary Court in Tehran for participating in the oppositions propaganda against the Islamic regime, according to the conviction announcement made by the court and reported in Etemad, an Iranian reformist newspaper. The defendants aligned with the oppositional media, under the influence of propaganda, in line with the counter-revolutionary (anti-regime) forces, the announcement read. With the aim of raising money and seeking fame, it said, they prepared fodder and intensified the media battle against the religious authority. Roustaee and Noruzbegi will serve about nine days of their sentence, with the remainder suspended for five years, Etemad reported. During that period, Roustaee and Noruzbegi will be required to complete a 24-hour course about creating movies aligned with national interests and national morality and refrain from associating with other individuals in the film industry, according to Etemad. The New Jersey Supreme Court sided on Monday with a Catholic elementary school that fired an unmarried art teacher who was pregnant, ending a long legal battle that had drawn national attention at a time of fierce debate over religious freedom and the separation of church and state. The school, St. Theresas in Kenilworth, N.J., fired the teacher, Victoria Crisitello, in 2014, saying she had violated the schools code of ethics by having premarital sex, according to court documents. Ms. Crisitello sued, citing the states Law Against Discrimination, which prohibits employment discrimination based on pregnancy and marital status. The lawsuit was passed back and forth between state trial and appellate courts for years before the state Supreme Court agreed to hear the case. In its decision on Monday, the court found that the firing was protected under a religious exception to the anti-discrimination law. The law allows St. Theresas, as a religious employer, to require its employees to abide by the rules of the Catholic Church, the court said. Three people in the New York City area have died in recent weeks and a fourth person was hospitalized after contracting infections from a flesh-eating bacteria that can be caused by eating raw oysters or swimming in saltwater, health officials in New York and Connecticut said. Infections from the bacteria, called Vibrio vulnificus, are rare but extremely dangerous. The Centers for Disease Control and Prevention estimates that one in five people who become infected die. Many survivors lose limbs to amputations, according to the C.D.C. We are reminding providers to be on the lookout for cases of vibriosis, which is not often the first diagnosis that comes to mind, Dr. James McDonald, the New York state health commissioner said in a statement on Wednesday. People with open wounds should avoid swimming in warm seawater, he said. And people with compromised immune systems should be careful with eating or handling raw seafood. Just 23 retailers have opened storefronts or delivery services since the first licensed shop opened in December, and only about 18,000 pounds of cannabis have been sold so far, according to the Office of Cannabis Management. At the current sales rate, 564,000 pounds of legally grown cannabis will remain unsold by the end of the year, agency officials said. Beau Allulli Jr., 47, a photographer who had received a license and was negotiating a lease to open a dispensary on The Bowery in Lower Manhattan, said the pause on dispensary openings was not helpful to the struggling industry. It just shows the volatility and the uncertainty of what were all getting into, he said. Some licensees and lawyers have laid some of the blame on Attorney General Letitia James, whose office is responsible for defending the cannabis program against lawsuits. At the hearing last week, her lawyer struggled to answer basic questions about the program from Justice Bryant, such as distancing requirements between dispensaries. That prompted loud sighs from the crowd of licensees. The restraining order dealt another blow to Gov. Kathy Hochuls signature cannabis initiative. Her administration had planned for at least 150 dispensaries to have opened by now with the help of a $200 million loan fund to help the owners lease storefronts and cover start-up costs. But the plan failed as the fund missed key deadlines for finding investors and securing enough real estate. Officials gradually loosened rules to help licensed retailers open, and in May, regulators settled another claim that had held up licensing in five of the states most populous regions. Then, an investor agreed in June to put up $150 million to revive the states dispensary plan under terms that have not been disclosed. Why the Manhattan Project? It wasnt called the Manhattan Project because Oppenheimer was a product of Manhattan, although he was. More about that in a moment. It was christened the Manhattan Project by someone else with some New York connections, Colonel Leslie Groves (Matt Damon in Oppenheimer). But where he came from and where he had been educated had nothing to do with it. (He had been born in Albany although he grew up on one Army post after another, as the family trailed his military-chaplain father and graduated from the United States Military Academy at West Point.) It was Groves who decided that the first location of this project would be Manhattan Well park it there for the time being, said Robert Norris, a historian of the atomic age and the author of The Manhattan Project (2007). The first headquarters were in an office building across from City Hall Park in Lower Manhattan where the Corps of Engineers already had an outpost. Other important Manhattan Project work was done by scientists who were given space in the offices of a front company in the Woolworth Building, at 233 Broadway. One name that was suggested for the new, supersecret effort was a mouthful that Groves worried would get noticed Laboratory for the Development of Substitute Materials. Groves opted for plain vanilla, calling it the Manhattan Engineer District as a way to make it sound normal, Norris said. No one would be suspicious of what was going on. A campaign aide to Representative George Santos who impersonated Speaker Kevin McCarthys former chief of staff was charged with wire fraud and identity theft in a federal indictment unsealed on Wednesday. Federal prosecutors said the aide, Samuel Miele, was behind fraudulent fund-raising emails and phone calls that were sent and made to more than a dozen potential campaign contributors. In his solicitations, Mr. Miele, 27, claimed to be a high-ranking aide to a member of the House with leadership responsibilities, the indictment said. When Mr. Miele successfully obtained campaign contributions, he received a 15 percent commission. He was arraigned on Wednesday morning in federal court in Brooklyn and pleaded not guilty, according to John Marzulli, a spokesman for the U.S. attorneys office in the Eastern District of New York. He was released on $150,000 bond. The hoax calls to police departments or suicide hotlines around the country say that a man is considering killing himself and others or that a bomb has been placed in a building. The address given on the phone belongs to a synagogue that is livestreaming its services. In some cases, the callers watch in real time as police interrupt frightened worshipers. Later, clips of the incidents are posted online. The incidents are part of a string of 26 swatting calls aimed at synagogues in 12 states across the country that the Anti-Defamation League, a Jewish advocacy organization, has tracked for the last month, including at least five in New York City and state. Swatting refers to the police SWAT teams that are sometimes summoned in such cases. In New York, police officers have showed up at synagogues with bomb-sniffing dogs. In North Carolina, worshipers were evacuated. In California, callers said there was a backpack bomb hidden under a bench. In terms of the entire U.S. population (as of July 2022), those described by the census as white alone, not Hispanic or Latino made up 58.9 percent of the United States down from 69.1 percent in 2000 while the percentage of Black, Hispanic, Asian American and other minorities increased to 41.1 percent from 30.9 percent over the same period. Have American politics reached a tipping point? Eitan Hersh and Sarang Shah, political scientists at Tufts and Berkeley, contended in their Aug. 1 paper, The Partisan Realignment of American Business, that both the Democratic and the Republican Parties have undergone radical reorientations: The ongoing development of the Democratic Party as a party not of labor but of socioeconomic elites, and the ongoing development of the Republican Party as a party not of business but of working-class social conservatives, represents a major, perhaps the major, American political development of the 21st century. In an email, Hersh elaborated on their analysis: This is one of the most important developments in recent American political history because we seem to be in the midst of a realignment, and that doesnt happen every day or even every decade. One reflection of this trend, according to Hersh, is the growing common ground that cultural liberals and corporate America are finding on social issues: A company taking a position on L.G.B.T.Q. rights may at first seem like its a company not staying in its lane and getting into political questions unrelated to its core business. But if the company needs to take a position in order to satisfy its work force or because potential new hires demand political activism, then the decision is no longer just social; its economic. Another example: For a while it looked like the Republican Party could appeal to social conservatives but maintain the economic policy supported by business elites. But now, you start to see real attempts by Republican thought leaders to be more assertive in meeting the economic needs of their constituencies. As a result of this realignment, Hersh argued, a crucial battleground in elections held in the near future will be an intensifying competition for the support of minority voters: Democrats can win with college-educated whites plus nonwhite voters. They cant win with more defection from nonwhite voters. The Republicans are making the argument that their cultural and economic values are consistent with working-class Americans and that their positions transcend racial categories. If the Republican Party could move beyond Trump and focus on this vision (which, of course, is impossible with Trump there making everything about Trump), theyd be presenting a set of arguments and policies that will be very compelling to a large number of Americans, Hersh wrote. Ruy Teixeira, a senior fellow at the American Enterprise Institute who has long argued that Democrats need to regain support from white voters without college degrees and to stop defections among working-class Black and Hispanic voters, argued that the socioeconomic elite well-educated, largely white liberals are imposing damaging policies on the Democratic Party. In a recent essay, Brahmin Left vs. Populist Right, Teixeira wrote: The fact is that the cultural left in and around the Democratic Party has managed to associate the party with a series of views on crime, immigration, policing, free speech and, of course, race and gender that are quite far from those of the median working-class voter (including the median nonwhite working-class voter). Instead, Teixeira contended: Democrats continue to be weighed down by those whose tendency is to oppose firm action to control crime or the southern border as concessions to racism, interpret concerns about ideological school curriculums and lowering educational standards as manifestations of white supremacy and generally emphasize the identity politics angle of virtually every issue. With this baggage, rebranding the party making it more working-class oriented and less Brahmin is very difficult, since decisive action that might lead to such a rebranding is immediately undercut by a torrent of criticism. I asked Teixeira whether the changing Democratic Party has reached a point of no return on this front, and he emailed back: A good and big question. In the short run it looks very difficult for them to shed much of their cultural radicalism and generally make the party more attractive to normal working-class voters. Over the medium to long term, though, I certainly think its possible, if theres an internal movement and external pressures/market signals consistent with the need for a broader coalition. That is, if enough of the party becomes convinced their coalition is too narrow and therefore some compromises and different approaches are necessary. That may take some time. Michael Podhorzer, a former political director of the A.F.L.-C.I.O., agreed that There is no way to define socioeconomic elites in which it isnt obvious that both parties are dominated by socioeconomic elites. He added that since the 1970s, both left and right parties now represent different factions within the socioeconomic elites. On Aug. 14, Pedro Briones, a congressional candidate and local political leader in Ecuador, was shot down. The assassination came less than a week after Fernando Villavicencio, a presidential candidate and vocal critic of corruption, was shot dead as he left a campaign rally in the countrys capital, Quito. The killings so close to Ecuadors general election, scheduled for Sunday, have shocked Ecuadoreans and drawn global condemnation. The slayings show that no one not even a presidential candidate is safe in Ecuador. Christian Zurita, an investigative journalist and a former colleague and close friend of Mr. Villavicencio, was chosen by their political party to run in his place. What will happen next is uncertain, but it is clear that the nations intense political polarization will not help solve its crisis of violence. The shooting of Mr. Briones is under investigation, and six Colombian nationals are being held in connection with Mr. Villavicencios killing. How the countrys criminal justice system handles the ongoing inquiries will be a litmus test for the nation. Ecuadorean politicians and their international partners will need to summon the political will and resources to complete an independent and thorough investigation into the killings. If the authorities prosecute just a few hit men and leave it at that, criminal groups will only grow more brazen. But if they take the longer, tougher road rooting out and bringing to justice the masterminds behind the killings and exposing organized crimes ties to parts of the state the country may have a path back from the brink. In the Tunisian port city of Sfax, I sat this month with a group of men in a sandy, windswept park. As the sun went down, one placed the cap of his bottle on the ground, pouring in a precious portion of water for a stray cat who slinked toward him. The men, who were Darfuris, explained that they had escaped what they called a new genocide in Sudan. They saw militants burning homes, sometimes entire villages, and ran for their lives. There are dozens maybe hundreds of Sudanese currently staying in that park in Sfax, and thousands across the city. They sleep on cardboard, or mattresses if theyre lucky. They contemplate their fates, chatting quietly about their experiences and wondering where they can get food. Mostly, they wait: for money from relatives or friends, or for work that might enable them to raise 2,000 Tunisian dinars, or $647, to buy a spot on a boat and a chance at escape. Everyone I met in Sfax, which is about 80 miles from the Italian island of Lampedusa, wanted to cross the Mediterranean to Europe. They all knew they might die in the attempt. Even so, people leave every day. Some send jubilant messages from Italy; others wash up dead along the coast. The weekend I sat in the park, as many as three ships sank, leaving more than 80 people dead or missing. Ten bodies were found on beaches nearby. Last week, 41 people were reported to have died after a shipwreck off the Italian coast. Mass death has long been normalized on Europes borders. More than 27,800 people have died or disappeared in the Mediterranean Sea since 2014 and that is most likely a large underestimate. This year is shaping up to be especially deadly. Over 2,000 people have lost their lives trying to get to Europe, including more than 600 who died when a ship capsized off the coast of Greece in June. This is what a crisis of human rights, ethics and, above all, global inequality looks like. With Gasgoo Daily, we will offer daily important automotive news in China. For those we have reported, the title of the piece will include a hyperlink, which will provide detailed information. Changan Automobile approved to build factory in Thailand On August 15, Changan Automobile's proposal to invest 880 million Thai Baht ($250 million) in building an electric and hybrid vehicle production facility in Thailand has been greenlit by the Thailand Board of Investment (BOI). The planned factory, with a projected initial annual capacity of 100,000 units, aims to meet the surging demand for electric vehicles in Thailand, ASEAN, and other overseas markets. Changan DEEPAL S7; photo credit: Changan Automobile Huawei applies for LUXEED trademark for joint vehicle brand with Chery Huawei Technologies recently submitted trademark applications for "LUXEED" and (Zhijie in Chinese pinyin) in various international categories, including scientific instruments and metallic materials. This move coincides with the unveiling of the "Zhijie S7," the debut vehicle created through a partnership between Huawei and Chery. Li Auto targets aggressive Q3 production volume of up to 103,000 units On August 15, Li Auto disclosed its weekly sales figures, reporting sales of 7,200 units from August 7 to 13. By August 13, the Li Auto has sold 13,500 vehicles this month. the company's founder, Li Xiang, announced a bold projection for the third quarter of this year, estimating that the companys deliveries could range from 100,000 to 103,000 units. SAIC-GM-Wuling releases images of Xingguang sedan SAIC-GM-Wuling ("SGMW") unveiled the official images of its first sedan, the Wuling Xingguang (translated to Starlight). Boasting an entirely fresh design language, the mid-sized car is scheduled to hit the market later this year, catering to both PHEV (plug-in hybrid electric vehicle) and BEV (battery electric vehicle) enthusiasts. Tesla China slashes prices on in-stock Model S, Model X in China Tesla China announced on August 16 a significant price reduction on its Model S and Model X lineup, extending enticing discounts ranging from 54,000 yuan to 70,000 yuan. New energy smart truck maker ZERON completes Pre-A financing round Chinese intelligent new energy heavy-duty truck maker, ZERON, recently announced the completion of a Pre-A round funding amounting to 140 million yuan. This announcement marks a significant milestone for the startup, as it has managed to secure funding through three rounds within just a year since its establishment. BAIC BJEV to establish new factory as part of upgraded collaboration with Huawei In a bid to enhance its collaboration with Huawei, BAIC Groups new energy vehicle arm, BAIC BJEV, is set to establish a new factory, as disclosed in an announcement made by BAIC BluePark on August 14. Dongfeng Motor Group forecasts 75% YoY drop in H1 2023 net profit Chinese legacy automaker Dongfeng Motor Group announced a projected 75% year-on-year decline in net profit attributable to shareholders for the first half of 2023, as revealed in a recent disclosure on August 14. Decades from now, when high school students want to learn about the great conspiracy against democracy that began in 2020, they could very well start with the 98-page indictment filed Monday night in Georgia, in which former president Donald Trump is accused of leading a criminal enterprise to stay in power. No one knows whether these charges will lead to convicting Mr. Trump and the other conspirators or to keeping him from power. But even if it doesnt, the indictment and the evidence supporting it and the trial that, ideally, will follow it will have a lasting value. Unlike the other three cases against Mr. Trump, this one is an indictment for history, for the generations to come who will want to know precisely how the men and women in Mr. Trumps orbit tried to subvert the Constitution and undermine American democracy and why they failed. And it is a statement for the future that this kind of conduct is regarded as intolerable and that the criminal justice system, at least in the year 2023, remained sturdy enough to try to counter it. History needs a story line to be fully understood. The federal special counsel Jack Smith told only a few pieces of the story in an indictment limited to Mr. Trump, focusing mainly on the groups of fake state electors that Mr. Trump and his circle tried to pass off as real and the pressure campaign on Vice President Mike Pence to certify them. But in Georgia, Fani Willis, the district attorney of Fulton County, was unencumbered by the narrower confines of federal law and was able to use the more expansive state RICO statute to draw the clearest, most detailed picture yet of Mr. Trumps plot. To the Editor: Re Donald Trumps Way of Speaking Defies All Logic, by Michael Wolff (Opinion guest essay, Aug. 6): Mr. Wolff argues persuasively that much of what Donald Trump says can be chalked up to illogical and thus legally inconsequential blather and bluster. Except that is true only when one evaluates the former presidents pronouncements individually. Taken in their totality, they reveal themselves as the opposite of random scattershot. Virtually everything Mr. Trump has said in the aftermath of the 2020 presidential election pushes in the same direction: to try to reverse the election by every legal and failing that illegal means conceivable. Thus, the route to defeating Mr. Trumps my words are meaningless defense is to assemble them into their coherent and sinisterly subversive whole meaning. Richard Sclove Amherst, Mass. To the Editor: Michael Wolffs depiction of Donald Trumps language and thinking as disordered rings true after years of hearing and reading the former presidents communications. However, Mr. Wolffs argument that Mr. Trumps actions regarding the 2020 election were likely unwitting and that this may mitigate his guilt in a trial brings to mind the old punchline, I may be crazy but Im not stupid. That is, chaotic thinking does not preclude intention. Reports of the former presidents caution and calculation abound. He famously doesnt use email, typically issued questionable orders to subordinates using oblique language, and tore up, even flushed, papers in a White House toilet. His speech on the Ellipse on Jan. 6 contains a number of examples of indirect language. A week later, Mr. Giuliani made a presentation to the Georgia House in which he accused two election workers of quite obviously surreptitiously passing around USB ports as if theyre vials of heroin or cocaine. (He recently admitted in a civil court filing that he made false statements about the election workers.) The picture he painted in the Georgia House was as vivid as it was dishonest. The Georgia indictment laid it out in great detail. This is going to be the election that will be the dirtiest election, the most crooked election, the most manipulated election in American history, Mr. Giuliani said at a third December appearance in front of the Georgia Legislature. Georgia is going to be at the center of it because you have what I call the Zapruder film. If you can watch that and not realize that this was a major situation of voter fraud, then youre a fool or a liar. As he traveled from state to state, hearing to hearing, an increasing number of people inside and outside the White House threw cold water on his claims. After the Georgia Secretary of States Office proved his most serious charges patently false, Mr. Giulianis accusations began to irk Trump campaign officials. When our research and campaign legal team cant back up any of the claims made by our Elite Strike Force Legal Team, you can see why were 0-32 on our cases, one senior adviser wrote on Dec. 8, according to one of the federal indictments against Trump. Ill obviously hustle to help on all fronts, but its tough to own any of this when its all just conspiracy shit beamed down from the mother ship. Two days later, Mr. Giuliani was in front of the State Legislature, saying, Every single vote should be taken away from Biden. His fabrications did not stop with false claims about the video. He helped oversee the scheme in which false elector certificates were submitted in favor of Mr. Trump rather than Mr. Biden. Pterosaurs, the flying reptiles that lived alongside the dinosaurs, are an evolutionary mystery. They appear in the fossil record fully formed, some with 33-foot wingspans, and there is very little evidence of the ancestors that came before them. A new fossil, described Wednesday in the journal Nature, provides an elusive glimpse of a group of reptiles most closely related to pterosaurs. For the first time, we are looking into the face of a pterosaur precursor, and this animal is so bizarre, said Rodrigo Temp Muller, a paleontologist at the Federal University of Santa Maria in Brazil and an author of the study. Dr. Muller found the fossil in 2022 while doing fieldwork in Rio Grande do Sul, Brazils southernmost state. He spotted a piece of thighbone in the rust-red earth, and it was clear that it was a special fossil, he said. The bone belonged to a lagerpetid, a group of animals whose name means rabbit reptile. Lagerpetids were once considered early relatives of dinosaurs, but a study published in 2020 provided evidence that they were actually more closely related to pterosaurs. For the past year, Jean Paoli, chief executive of the artificial intelligence start-up Docugami, has been scrounging for what has become the hottest commodity in tech: computer chips. In particular, Mr. Paoli needs a type of chip known as a graphics processing unit, or GPU, because it is the fastest and most efficient way to run the calculations that allow cutting-edge A.I. companies to analyze enormous amounts of data. So hes called everyone he knows in the industry who can help. Hes applied for a government grant that allows access to the chips. Hes tried making Docugamis A.I. technology more efficient so it requires fewer GPUs. Two of his scientists have even repurposed old video gaming chips to help. I think about it as a rare earth metal at this point, Mr. Paoli said of the chips. More than money, engineering talent, hype or even profits, tech companies this year are desperate for GPUs. The hunt for the essential component was kicked off last year when online chatbots like ChatGPT set off a wave of excitement over A.I., leading the entire tech industry to pile on and creating a shortage of the chips. In response, start-ups and their investors are now going to great lengths to get their hands on the tiny bits of silicon and the crucial compute power they provide. New York City on Wednesday joined a wave of states and federal agencies in banning TikTok from government-owned devices based on security concerns, snuffing out some popular city-run TikTok accounts in the process. Jonah Allon, a spokesman for Mayor Eric Adams, said in a statement that the citys Cyber Command determined that the app posed a security threat to the citys technical networks. City agencies must remove the app within 30 days and employees will lose access to TikTok and its website from city-owned devices and networks. The TikTok accounts of Mr. Adams, the citys Department of Sanitation and the Department of Parks and Recreation all updated their bios with this message: This account was operated by NYC until August 2023. Its no longer monitored. Numerous government officials have been restricting access to TikTok in reaction to concerns that the app, which is owned by the Chinese company ByteDance, could give Beijing access to sensitive user data. New York State has banned TikTok on state-issued mobile devices for more than three years, with some exceptions. I moved to Spain about a year ago and at one of my first meals in Madrid, I saw a handsome young couple drinking some kind of unidentifiable light brown cocktail on ice with a wedge of orange and green olives. It was before noon and I was stumped. I had to know what it was. Vermouth, they told me. Before I moved to Spain, I knew of two types of vermouth: white and red. So I had to try it and it was delicious. Lighter, more subtle, more medicinal than anything Id had in America. And its a lot more than a drink. Vermouth is to Spain what a pint is to Ireland or mate is to Argentina a national pastime. Its a lifestyle, as much an activity as it is a beverage. There are establishments called vermuterias here. Historically, people drink vermouth on Sunday mornings after church. In fact, its so stitched into the culture that fer un vermut (to do a vermouth) is an expression that doesnt even require you to order vermouth. It means, lets meet for a drink in the middle of the day (another culinary surprise). If you ask enough Spaniards about vermouth, soon enough you will wind up in Reus, a Catalan city just south of Barcelona with a thousand-year history, and the drinks unofficial capital. Dorothy Casterline, who as a young researcher at Gallaudet University in the early 1960s helped write the first comprehensive dictionary of American Sign Language, a book that revolutionized the study of Deaf culture, died on Aug. 8 in Irmo, S.C. She was 95. Pamela Decker Wright, a professor at Gallaudet, the only university designed for the deaf or hard of hearing in the United States, said Mrs. Casterline died, in a hospital, from complications of a fall. As an undergraduate English major at Gallaudet, in Washington, in the late 1950s, Mrs. Casterline, who had lost her hearing at 13, caught the attention of a professor named William Stokoe. In addition to teaching literature, Dr. Stokoe was investigating the grammar and syntax of sign language, which at the time was considered nothing more than a gestural derivative of spoken English. Dr. Stokoe believed that there was much more to it. His goal, which he realized in 1965 with Mrs. Casterline and another professor, Carl Croneberg, as co-authors, was to compile the first systematic dictionary of what they came to call American Sign Language. As Vene Chun guided his Hawaiian canoe to shore past tourists learning to surf at one of Mauis public beaches, his thoughts were a jumble. He had just come from spreading ashes at sea with a family devastated by the fire that scorched the town of Lahaina farther west. For days, he and his outrigger canoe were right there, too, bringing food, water, whatever survivors needed. And the surfers? Mr. Chun, 52, stood beside his canoe in a grassy park 20 miles from the ashen disaster wearing a wreath reflecting his Native Hawaiian roots. Somehow, the flopping beginners on longboards made him smile. Theres got to be some normalcy, he said. Weve got to move on and constantly help each other at the same time. About two dozen men and one woman all unknown were photographed in front of an old astronomy building circa 1888. The round structure with a tiled roof had been the first observatory on the campus of Michigan State University, though no one knew exactly where it had once stood. In June, construction workers on the universitys campus in East Lansing, Mich., unexpectedly came across the foundation of the building, constructed in 1881. But not much is known about how long the structure was standing, why it was removed, and what observations it may have yielded, beyond that it was built by a former professor and his students. The site will be turned next summer into an undergraduate field school where Stacey Camp, a professor of anthropology, and her students will continue to excavate in search of answers. The students will receive credit while learning about the practice of archaeology. One of the things were curious about is, if we can find any artifacts that are associated with the students who were studying in there, Professor Camp said. Things like pencils, glass bottles or ceramics that students might have left behind, that would help us date the time period in which they were there. SAN FRANCISCOA federal judge for the U.S. District Court for the Northern District of California late last week dismissed a motion to sanction a class of plaintiffs who alleged an anti-competitive bribery conspiracy between Fenix International Limited and Meta Platforms executives to suppress the digital advertisement of Fenix competitors. London-based Fenix is the parent company of OnlyFans, and Meta, also based in London, is the parent company of Instagram and Facebook. Board members of the Adult Performance Artists Guild (APAG) labor union retained counsel to sue Fenix and Meta, alleging a potential quid pro quo arrangement between the two companies to suppress the digital marketing strategies for competing adult fan networks. U.S. District Judge William Alsup made the decision to dismiss sanctions against the plaintiffssought after they disavowed their conspiracy claimsbased on evidence that the law firm that represents Fenix was on both sides of the case. The firm in question, Quinn Emanuel Urquhart & Sullivan, LLP, is a white shoe law firm with a network of global offices. Miami-based partner John O'Sullivan was retained to represent Fenix through the process of the lawsuit. However, recent filings submitted by plaintiffs attorney David Azar, a Beverly Hills-based partner for firm Milberg Coleman Bryson Phillips Grossman, PLLC, identified a Los Angeles-based Quinn Emanuel partner, Kenneth Chiate, as a referring counsel due to a 28-page legal memo that identified the alleged scheme between Fenix and Meta. Mr. Chiate connected me with his clients team of professionals, and I received through them a 28-page factual and legal research memo that I understood had been prepared and refined over time by Quinn Emanuel and its client, Mr. Azar said in a court declaration dated on August 10. Azar added: I declare under penalty of perjury under the laws of the State of California and the United States of America that the foregoing is true and correct. Mr. OSullivan denied this. Judge Alsup told OSullivan that he was disturbed by the fact that Quinn Emanuel is named on both sides of the case. Law360.com reporter Bonnie Eslinger, reporting from the court, quoted Alsup as saying that this created the appearance that "the Miami office wants sanctions for what the L.A. office did. Alsup added: That troubles me greatly that your firm is involved on both sides of the case ... passing the case off to somebody else to sue your own client. AVN previously reported that claims brought against the OnlyFans corporate parent were dismissed. Further review of the order reveals that Meta is still named as a defendant. At this point, it is hard to tell whether Alsup will order the case in its entirety finally dismissed or if it will proceed with just Meta as the sole defendant moving forward. Former President Donald J. Trump, whose own response to disasters in Puerto Rico was widely criticized, took a shot at Mr. Biden on Monday. It is a disgraceful thing that Joe Biden refuses to help or comment on the tragedy in Maui, Mr. Trump said in a video posted online shortly before he was indicted in Georgia on racketeering and conspiracy charges for trying to overturn the 2020 election results. White House officials dismissed the criticism, saying that Mr. Biden has been paying close attention to the situation in Hawaii and speaking with Gov. Josh Green, Senators Mazie K. Hirono and Brian Schatz. He was briefed at the White House on Wednesday by Deanne Criswell, the administrator of the Federal Emergency Management Agency, or FEMA, after she returned from Hawaii. As he always does, President Biden directed me to move quickly and push as many resources into the area as possible, Ms. Criswell told reporters at the White House after her meeting. The president, FEMA and the entire federal family will be there to support Hawaii as long as we are needed, she added. Ms. Criswell said the fires required a really difficult search operation that has challenged emergency efforts. Trained dogs searching for survivors have needed frequent rest because of the heat, she noted, and additional canine teams have been brought to the island. The presidents aides said Governor Green had advised that next week would be a more opportune time for a visit because it would be less disruptive to search and recovery efforts. Mr. Biden plans to be in Lake Tahoe next week for vacation but will break away for the day trip to Maui. Why It Matters: DeSantiss travel has been in the spotlight. Even before entering the presidential race in May, Mr. DeSantis had encountered intense scrutiny over the ancillary costs of his many political excursions out of state and who was paying for them. A Republican in his second term, he has also faced criticism from government watchdog groups as well as his main rival, former President Donald J. Trump, who say that Mr. DeSantis has not been transparent about how much taxpayer money he was spending on travel. Jeremy Redfern, the press secretary for Mr. DeSantis, said in an email on Wednesday that Florida law required the states law enforcement agency to provide protection for the governor and his family. His record as the most effective conservative governor in American history has also earned him an elevated threat profile, and F.D.L.E. has increased the number of protective agents to ensure the governor and his family remain safe, he said. The governors office did not say whether it had been reimbursed for any of those expenses by Mr. DeSantiss campaign or Never Back Down, the main pro-DeSantis super PAC. Neither immediately commented on Wednesday. Background: DeSantis and his allies have shielded his travel records. In a state known for its sunshine laws, Mr. DeSantis signed a law in May to shield records of his travel from the public, including out-of-state political trips. The measure, which Republicans and the Florida Department of Law Enforcement argued was needed for security reasons, placed a veil of secrecy over who is paying for Mr. DeSantiss travel and how he is dividing his time as both governor and presidential candidate. Mr. DeSantis has also frequently traveled on private jets, with political donors picking up the tab. Whats Next: A long Republican primary campaign If the breakneck pace of Mr. DeSantiss campaign is any indication, especially in states with early nominating contests, Florida taxpayers should probably not expect a sharp reversal in rising security costs anytime soon. In Iowa, Mr. DeSantis has set out to visit all 99 of the states counties by the fall, having visited about a third of them so far, often with a large entourage that includes his wife, Casey, three children and a phalanx of Florida law enforcement officers. He has also been confronted on the trail by hecklers, a mix of liberals protesting his policies as governor and loyalists to Mr. Trump taunting him for his challenge to the former president. The military takeover in Niger has upended years of Western counterterrorism efforts in West Africa and now poses wrenching new challenges for the Biden administrations fight against Islamist militants on the continent. American-led efforts to degrade terrorist networks around the world have largely succeeded in longtime jihadist hot spots like Iraq, Syria, and Yemen. Not so in Africa, especially in the Sahel, the vast, semiarid region south of the Sahara where groups linked to Al Qaeda and the Islamic State are gaining ground at an alarming pace. Niger, an impoverished nation of 25 million people that is nearly twice the size of Texas, has recently been the exception to that trend. Terrorist attacks against civilians there decreased by 49 percent this year, largely because of the 2,600 French and American troops training and assisting Nigerien forces and a multipronged counterinsurgency strategy by the deposed president, Mohamed Bazoum, analysts say. Niger has slowed, but not stopped, a wave of extremists pushing south to coastal states. Just days ago, the judge overseeing former President Donald J. Trumps prosecution on charges of seeking to subvert the 2020 election admonished him against violating the conditions of his release put in place at his arraignment including by making inflammatory statements that could be construed as possibly intimidating witnesses or other people involved in the case. But Mr. Trump immediately tested that warning by posting a string of messages on his social media website, Truth Social, that largely amplified others criticizing the judge, Tanya S. Chutkan. In one post, written by an ally of Mr. Trumps, the lawyer Mike Davis, a large photo of Judge Chutkan accompanied text that falsely claimed she had openly admitted shes running election interference against Trump. In two other posts, Mr. Trump wrote, She obviously wants me behind bars. VERY BIASED & UNFAIR. After eight years of pushing back at a number of institutions in the United States, Mr. Trump is now probing the limits of what the criminal justice system will tolerate and the lines that Judge Chutkan sought to lay out about what he can and cannot say about the election interference case she is overseeing. He has waged a similarly defiant campaign against others involved in criminal cases against him, denouncing Jack Smith, the special counsel who brought two federal indictments against him, as deranged; casting Fani T. Willis, the district attorney of Fulton County, Ga., as corrupt; and even singling out witnesses. To locals, the jail is known simply as Rice Street. And over the next nine days, the sprawling Atlanta detention center is where defendants in the racketeering case against Donald J. Trump and his allies will be booked. The local sheriff, who oversees the jail, says that even high-profile defendants like Rudolph W. Giuliani, Mr. Trumps former personal lawyer, and Mark Meadows, his former chief of staff, would be treated like everyone else should they surrender there. That means they would undergo a medical screening, be fingerprinted and have mug shots taken, and could spend time in a holding cell at the jail, weeks after the Justice Department announced an investigation for what it called serious allegations of unsafe, unsanitary living conditions there. On Wednesday, the Fulton County Sheriffs Office prohibited news media from gathering near the jail as it prepared for the defendants to be processed. Fani T. Willis, the district attorney of Fulton County, has said that she wants all 19 people charged in the case to be booked by noon on Aug. 25. Her office has led a two-and-a-half-year investigation into election interference by Mr. Trump and his allies that culminated this week with a 98-page racketeering indictment. The Sheriffs Office said in a statement on Tuesday that at this point, based on guidance received from the district attorneys office and presiding judge, it is expected that all 19 defendants would be booked at the Fulton County Jail, as the Rice Street jail is officially called. But whether Mr. Trump himself is processed there will very likely depend on the Secret Service. Fani T. Willis, the district attorney of Fulton County, Ga., said on Monday that she hoped her criminal racketeering case against former President Donald J. Trump and his allies could go to trial in about six months. On Wednesday, her office filed a motion seeking a March 4 start date. But racketeering cases are not built for speed. Just getting this one together has taken two and a half years. The effort to proceed to trial quickly in Georgia will almost certainly be complicated by the schedules of three other criminal cases that Mr. Trump is already facing in Florida, New York and Washington, D.C. And with 19 defendants represented by a fleet of attorneys, a number of experts on Tuesday didnt expect a smooth path forward and raised the possibility that the case could potentially take years, rather than months, to lumber toward a conclusion. One defendant, Mark Meadows, Mr. Trumps former chief of staff, has already filed a motion to move the case to federal court. On Wednesday, the judge overseeing Mr. Meadows removal effort gave Ms. Williss office until Aug. 23 to respond to his motion, and set an evidentiary hearing for Aug. 28. The legal fight over reparations for the 1921 massacre of Black residents in Tulsa, Okla., will continue, after the Oklahoma Supreme Court agreed to hear an appeal of a lower courts dismissal of a lawsuit filed by the attacks last three living survivors. The lawsuit, filed in 2020, includes the city, the Tulsa County sheriff, county commissioners and the Oklahoma Military Department, which administers the Oklahoma Army and Air National Guard, as defendants. A Tulsa County district judge dismissed it in July, and the states high court agreed last week to hear an appeal. It is a huge victory for us, Damario Solomon-Simmons, a lawyer for the plaintiffs, said in an interview on Tuesday. It allows us to move the case along as quickly as possible. The three plaintiffs are all over 100 years old: Viola Fletcher, 109, Hughes Van Ellis Sr., 102, and Lessie Benningfield Randle, 108. Ms. Benningfield Randle said she still has flashbacks of corpses being stacked on the street as her neighborhood burned, according to the lawsuit. The News Thailands Constitutional Court on Wednesday dismissed a petition to renominate Pita Limjaroenrat, a leading candidate, as the countrys next prime minister. Mr. Pita, 42, led the progressive Move Forward Party to a surprise victory in the general election in May. But last month, the military-appointed Senate voted against him when he was nominated as prime minister by a new coalition. After he lost the initial vote, Parliament moved to block Mr. Pita from being renominated. The countrys ombudsman filed a petition to the Constitutional Court arguing that the move was unconstitutional. In dismissing the petition on Wednesday, the Court explained that it needed to be filed directly by the affected party. Many critics cited Move Forwards refusal to back down on a pledge to amend a law that criminalizes criticism of Thailands powerful monarchy as the reason for the Courts decision. Why It Matters: Democracy is on the decline in Thailand. The courts ruling on Wednesday served as a reminder of the ways in which democracy has been consistently thwarted in Thailand. Earlier this month, Pheu Thai, which had been the second-biggest party in Mr. Pitas coalition, parted ways with Move Forward when senators and conservative lawmakers confirmed that the policy to amend the law against criticizing the monarchy was the main condition preventing them from supporting the new coalition. Pheu Thai, a populist party co-founded by former prime minister Thaksin Shinawatra, said on Tuesday it would nominate Srettha Thavisin, a real estate tycoon, as its prime minister candidate. Move Forward, which has 151 seats in the 500-member House of Representatives, said it would not support Mr. Srettha as prime minister, calling such a move tantamount to ignoring the election results and bowing to the junta. The party confirmed on Tuesday that it also had no plans to renominate Mr. Pita. Whats Next: A new vote is set for late August. Move Forwards decision not to support Mr. Srettha will make it more difficult for Pheu Thai to form a government without teaming up with political rivals, including the military and conservative lawmakers. Mr. Srettha is also facing allegations that he was involved in inappropriate business dealings when he was the chief executive of Sansiri, his real estate company. He has denied the charges. Pheu Thais deputy leader, Phumtham Wechayachai, said he was confident that Mr. Srettha would succeed in the vote and that a new government would be formed by early September. The next vote for prime minister will take place in Parliament on Aug. 22, the House speaker, Wan Muhamad Noor Matha, said. He added that in the coming days, the parliamentary legal team as well as Senate and political party whips would convene to discuss the Constitutional Courts move. Some customers at one of Irelands largest banks, for one feverish summer evening, happened upon what seemed to be a magical loophole: They could spend their cash and apparently save it, too. When a technical issue at Bank of Ireland cut some customers off from online banking services on Tuesday, others found that it also allowed them to transfer or withdraw funds, even beyond the account limit, without changing the reported balance. It was an apparent windfall that brought lines to some A.T.M.s across the country, and in turn prompted the authorities to monitor crowds, even as the bank warned people not to overdraw their accounts. There was an unusual volume of activity at several machines in Dublin and elsewhere, the Irish police, An Garda Siochana, said. The police added that officers were deployed to provide security near some of the machines for what they said were public safety and order reasons. A decade and a half after Tony Blair left Downing Street, one issue still defines the former British prime minister in the eyes of many Britons: his disastrous decision to join the U.S.-led invasion of Iraq. When Mr. Blair was given a knighthood by Queen Elizabeth II last year, more than a million people signed a petition demanding the honor be rescinded. And within his own Labour Party, he remained a complex figure, detested by those on the far left while grudgingly admired by some who noted that he was the partys only leader to have won three consecutive British elections. Today, with the Labour opposition sensing rising power under the stewardship of its leader, Keir Starmer, Mr. Blair is suddenly, and rather remarkably, back in favor. For Mr. Starmer, embracing Mr. Blair sends a political message, underscoring Labours shift to the center. But the former prime minister also has charisma and communication skills that Mr. Starmer lacks, assets that could be useful as a general election approaches. Last month, the two men appeared onstage together, exchanging compliments at a glitzy conference organized by the Tony Blair Institute for Global Change an organization that works for governments around the world, including autocratic ones, and churns out policies that could help Labour if it wins the next election. The Arizona Department of Veterans Services (ADVS) is accepting admission applications to the Arizona State Veteran Home in Flagstaff. The new ASVH-Flagstaff sits on 10 acres of land donated by the City of Flagstaff. The 74,000-square-foot skilled-nursing facility will have 80 beds serving the long-term care and rehabilitative needs of veterans and their families. The home provides furnished and private resident rooms equipped and designed for all mobility levels in a homelike atmosphere. We are grateful to the Flagstaff community for their continued support throughout this entire process, said Deputy Director of Arizona State Veteran Homes Kim Trotta. It has been a long road, but we are so excited and thrilled to start accepting applications and welcoming residents. Those eligible for admission are veterans, a spouse of a veteran or a Gold Star family member. All applicants must meet eligibility requirements and submit a completed application with all required documents to be considered for admission. Failure to submit a completed application will result in a delay of the processing of your application. ADVS broke ground on ASVH-Flagstaff in 2019 after the U.S. Department of Veterans Affairs State Home Construction Grant Program approved establishment costs for the new facility. The grant covered 65% of construction costs, with the state securing matching funds. For more information and to download an application, visit https://dvs.az.gov/services/veteran-homes-mission, or call the ASVH-Flagstaff admissions hotline at (602)234-5678 and press 4. A historic windmill in the English countryside that appeared alongside Dick Van Dyke and a magical flying car in the 1968 movie Chitty Chitty Bang Bang has gone up for sale. The black-and-white Cobstone Mill, in Buckinghamshire, England, just outside London, is part of a property that also includes a main house, about 37 acres of land and a swimming pool. It could be yours for 9 million pounds (about $11.4 million). The mill is thought to have been built around 1816 and was used to grind cereal until 1873, according to Savills, the real estate firm selling the property. Before the windmill could be used as a movie location it needed substantial renovations. The property had been damaged by a fire and, according to local media reports at the time, squatters had been living in it. The German government approved a plan on Wednesday to legalize some recreational marijuana use, paving the way to allow adults to legally buy and possess small amounts of cannabis. The legislation, which would allow adults to purchase and possess up to 25 grams of recreational cannabis for personal consumption through nonprofit social clubs, must still be approved by Parliament. But the endorsement from the three-party coalitions cabinet was a crucial step toward Germany becoming the first major European country to legalize marijuana. This is an important law that will represent a long-term change in drug policy, said Karl Lauterbach, Germanys health minister, at a news conference on Wednesday, adding that the legislation represented a concept of controlled legalization. Under current German law, it is illegal to buy cannabis, but not to consume it. The measure is weaker than what Chancellor Olaf Scholzs government had originally proposed. The socially liberal coalition announced its intent to legalize recreational marijuana when it came into power in 2021, quickly finding consensus on an issue opposed for years by the government of Chancellor Angela Merkel. A civilian cargo ship that has been stuck in Odesa since the start of the war set off early Wednesday morning, becoming the first to venture out of the port into the turbulent waters of the Black Sea since Moscow threatened all ships moving to and from Ukraine. The move is part of Ukrainian efforts to restore seaport traffic despite a de facto Russian blockade. Kyivs efforts to resume exports of grain and other goods raise the stakes for Ukraines allies, as an attack or other episode could draw other nations whose ships travel the waters into the conflict. Establishing a safe path for the small number of internationally flagged ships stranded in Ukrainian ports for 18 months would mark a milestone, but Ukraine also hopes it will be a demonstration that Russia does not dominate the sea and that shipping to Ukrainian seaports can be resumed. The fact that the first ship left the port is a little victory for Ukraine, said Andriy Klymenko, the director of the Institute for Strategic Black Sea Studies, a Ukrainian research organization. Let the first one be a lucky one. Russian forces attacked Ukrainian ports on the Danube River with drones early Wednesday, damaging granaries and warehouses that are used to export grain, according to Ukrainian officials and the Defense Ministry of neighboring Romania. The ministry condemned the attacks, on the ports of Reni and Izmail Ukraines two main ports on the Danube, which lie just across the water from Romania. Andriy Yermak, the head of Ukraines presidential office, said Russian drones had struck two hangar-type warehouses in the port of Reni in the Odesa region. No one was injured, he said. Ukraines Air Force said it had shot down 13 drones in the Odesa and Mykolaiv regions, primarily aimed at port infrastructure, but did not detail how many got through or whether the damage had been caused by the debris of intercepted drones. Mr. Grindeanu said Romania is not trying to make money out of Ukraines pain. But having invested heavily in its Danube port infrastructure one change is a railway line at Galati that uses the same wide-gauge tracks as Ukraine Romania is mystified that traffic to its ports by ships collecting Ukrainian grain has so far been very modest. We invested a lot of money in Galati, the minister said in an interview in Bucharest. But they dont use it. I dont know why they dont use it. Speaking on Friday after meeting European and American officials, Ukraines infrastructure minister, Oleksandr Kubrakov, said Romanian ports could see increased volumes of grain from his country in the future but added that this would depend on further work to improve railway lines. A move to Romanian ports would mean that Ukraine would forfeit considerable loading fees and other revenue. With entry to the Sulina channel so congested, Ukraine has sought to open a second route to the north by dredging the Bystroye Canal, a Ukrainian waterway connected to another branch of the Danube. But the dredged channel, Mr. Grindeanu said, is too shallow and also too hazardous because it runs through Ukrainian territory and can be bombed at any moment. Its use, in Romanias view, also violates a 1948 agreement on managing traffic through the delta and protecting the sovereign rights of Danubian states. Follow our live updates on Hurricane Hilary. Tropical storm Hilary made landfall on the Baja California peninsula in Mexico on Sunday as it headed toward the United States, where it could cause heavy rain and dangerous flooding even after weakening. The storm, which weakened on Sunday morning to a tropical storm from a Category 1 hurricane, was about 115 miles south-southeast of San Diego as of 4 p.m. on Sunday, the National Weather Services National Hurricane Center said in an advisory. Meteorologists have said that the storm will bring a potentially historic amount of rain that may cause life-threatening and catastrophic flooding in Baja and the Southwestern United States through Monday. Tornadoes are possible tonight in southeast California, western Arizona, southern Nevada and far southwest Utah, forecasters said. An important part of the trial will be whether prosecutors can prove that Mr. Trump had the requisite criminal intent. But a common assertion that if he truly believed his baseless claims about voter fraud and that he had won the election, he would be not guilty is oversimplified. While it would clearly be easier to convict if the jury thinks Mr. Trump knew he was lying, ordinary Jan. 6 rioters have already tried to get off by saying they genuinely believed the election had been stolen and that has not worked. Judges have ruled that corrupt intent can be inferred by the fact that the rioters committed other crimes, like trespassing, destroying property and assaulting the police, regardless of their underlying motives. While Mr. Trump did not storm the Capitol, of course, the other crimes he is accused of committing along the way would seem to function in the same way. Under criminal conspiracy law, moreover, prosecutors can show he had the requisite intent for the other two counts fraud and voter disenfranchisement simply by pointing to acts of deceit or dishonesty even if those were not crimes in and of themselves. Mr. Trumps lawyers have signaled that they intend to argue that their clients First Amendment rights are at stake. Notably, the indictment opens with a preamble that sounds like an opening statement at trial, acknowledging that the president had a right to file lawsuits challenging the election outcome and to say whatever he wanted about it even to lie to the public. Mr. Smith sought to distinguish that from other illegal conduct he accused Mr. Trump of committing. But the line can be murky. The indictment is suffused with Mr. Trumps false public statements about the election, and Mr. Smith called them integral to what he portrayed as Mr. Trumps criminal plans. Just as notably, Mr. Smith did not charge Mr. Trump with incitement or a seditious conspiracy, both charges that had been recommended by the House committee that separately investigated Mr. Trumps attempts to overturn the election. The indictment also omitted large amounts of evidence cited in the House report about the specifics of the violent events of Jan. 6, when Mr. Trump delivered an inflammatory speech and his supporters morphed into a mob and attacked the Capitol. By staying away from those issues, Mr. Smith avoided entanglement with tough First Amendment objections that defense lawyers could raise about his speech that day. Also significant is that the indictment identifies six people as Mr. Trumps co-conspirators yet does not charge any of them. (They remain unnamed, but their described actions appear to correspond with Rudolph W. Giuliani, John Eastman, Sidney Powell, Jeffrey Clark, Kenneth Chesebro, and Boris Epshteyn.) It is an open question whether Mr. Smith intends to charge them later if they do not decide to cooperate, or if he has made a strategic choice to focus on Mr. Trump to increase the chances of getting to trial well before the election. Maine Asks for Tourism Pitches Fri., Aug. 11, 2023 The Maine Office of Tourism wants proposals for a public and media relations programs to attract new visitors and boost the awareness of the Pine Tree State among the traveling public. INVESTIGATIONS are underway after a motorcyclist was seriously injured in a road collision in County Waterford this Wednesday evening. Gardai have confirmed that emergency services attended the scene of the incident - involving a jeep and a motorcycle - on the R681 at Ballymurrin, Kill shortly after 5.30pm. "The driver of the motorcycle, a male in his 40s, was taken to University Hospital Waterford with serious injuries. No other injuries have been reported," said a garda spokesperson. A technical examination of the scene is ongoing and the road between Kill and the Ballyleen crossroads is expected to remain closed overnight, with local diversions in place. Gardai are appealing to anyone who may have witnessed this collision to contact them. Any road users who may have camera footage and who were travelling on the R681 this Wednesday, between 5pm and 5.40pm, are asked to make this footage available to gardai. Anyone with any information is asked to contact Tramore Garda Station on 051 391620. A large crowd gathered outside Clara fire station last Friday evening August 11 to show community support for the local fire crew as they, along with other retained firefighters around Ireland, continue their industrial action in search of improved working conditions. The crowd were told that, due to the lack of meaningful engagement by the government regarding the industrial action, all fire stations, including Clara, were closed twice a week as part of the strike. The fire crew said they regretted this action, but it was the only route they could take to highlight their issues. The crew reminded people that in the case of an emergency to continue calling 999 as fire cover was still being provided across county Offaly. Station Officer Philip Keegan explained that Clara fire station has been in existence for over 80 years, and that despite difficulties from time to time with low crew numbers, they have always managed to provide a service to the community and were proud to do so. He pointed out that the current structure of the fire service is no longer fit for purpose, stating that they are often called part-time fire-fighters, but "there is nothing part-time about being on-call every day and night of the year", he said. He stated that the main change required now is to allow each station to have the ability to recruit a few more staff,more time off for fire-fighters and a better pay structure in order to make the position more attractive for new recruits. Mr. Keegan paid tribute to all the Clara fire crew, past and present, and to their families who often lose out because of the current on-call system, he said. The community was encouraged to contact their public reps "The so-called part-time fire station in Clara is as important to the local community as the full time fire station in Donnybrook is to the people in Dublin 4", concluded Mr Keegan. Stoddard Elementary was placed in lockdown on Wednesday morning. Beatrice Public Schools released a statement indicating the lockdown went into effect at 8:16 a.m. A parent reported a possible weapon being brought to school. Staff immediately intervened by placing the school in lockdown until Beatrice Police Department took control of the situation. The elementary school was in lockdown for approximately 15 minutes while staff worked with emergency responders as they reacted to the situation. The school indicated no weapon was found during the investigation and no one was ever in danger. BPS utilizes Standard Response Protocol to respond to any issue that could arise at school. During a lockdown, students and staff are encouraged to get behind a locked door. More information about SRP can be found at www.iloveyouguys.org or by contacting school resource officers or school administration. Administratiors stated the safety and security of the children is their top priority. In recognizing the stress of the situation, the crisis team will be available as needed. Gruha Jyothi Scheme: Tenants Of Rented Homes In Karnataka Denied Free Electricity Bengaluru oi-Madhuri Adnal With the conclusion of the electricity billing cycle, an increasing number of residents, particularly those residing in rented homes, have expressed their grievances to Bescom. They stated that they are being left out of the scheme due to their allocation of entitlement units being calculated based on the energy usage of previous occupants. Senior Bescom officials have highlighted cases in which specific households were eligible for a mere single unit of complimentary power. This situation emerged because their rented homes remained unoccupied for an extended duration in the preceding year. While the 'Gruha Jyothi' guidelines laid out by the energy department specify that households can receive free electricity equivalent to or less than their average consumption in the prior fiscal year, they do not adequately consider individuals in rented accommodations or newly constructed residences, according to officials. Bescom officials have clarified that recent tenants of rented homes can avail themselves of 53 units of free electricity, along with an additional 10 percent units. However, many tenants are unaware of this and are not applying for the scheme. "Awareness might be lacking among many, but the process is simple. They only need to visit the nearest subdivision office and submit their rental agreement. This way, we will adjust the entitlement units to the state's average monthly consumption of 53 units, with an added 10 percent units," said a senior Bescom official. Absence of Relief Although a handful of consumers, especially those consuming just above 200 units, had anticipated more frequent updates in entitlement units and the chance to reap the advantages of the scheme, senior department officials clarified that the adjustment of entitlement units would occur solely at the conclusion of this fiscal year. In the meantime, Bescom is advising tenants to use electricity prudently and reduce their consumption to become eligible for the scheme. They are also suggesting that tenants use well-maintained electrical appliances and ensure that any new purchases bear a five-star energy rating. "To qualify for the scheme in the upcoming fiscal year, they must curtail their consumption now," said a senior Bescom official. How Gruha Jyothi Scheme's Zero Electricity Bill Looks Like? See Here Officials also suggested that people utilize well-maintained electrical appliances and ensure that any new purchases bear a five-star energy rating. "Minor behavioral changes in usage, such as turning off power after disconnecting the phone from charging and switching off televisions, microwave ovens, and other devices at the primary outlet, could help reduce consumption by at least 50 to 60 units annually," stated a senior Bescom official. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 16:47 [IST] Government school students in NITs & IITs A great accomplishment by CM Stalin Chennai oi-Oneindia English Desk The government schools nowadays are considered on par with the private schools in terms of results. The stereotypes and prejudices about the government schools are broken by its students who are going to study in NITs (National Institute of Technology) and IITs (Indian Institute of Technology) for higher education. Around 225 government school students, almost all from humble backgrounds, are going to NITs and IITs for higher studies this year. The education from these prestigious institutions is going to uplift their standard of life. CM Stalin said, "The students have proved to the world about the quality of education provided in the government schools. The education expense of students who got seats in NITs and IITs will be taken care of by the Tamil Nadu government. In order to achieve an egalitarian society there should be equal opportunity for all, which will be ensured to the students through the education from these distinguished institutions." Salutation by Warangal NIT: Sridevi, a government school student said, "I studied in a government school where teachers guided me and helped me to concentrate in my studies. They showed patience even while clearing doubts multiple times. I am the first woman in my entire village to study in NIT. It's a proud moment for me as well as for my village." There is not even a proper transport facility to Sridevi's village, which is a hilly area. Her parents are working in the farmland, day and night, to aid her education since she is the first graduate in her family. Warm reception by Trichy NIT: Sathya Priya, another government school student said, "...my father is a daily wage labourer who works hard to provide for my education. His dream for me is to get a white collar job. As per his wish I received admission in Trichy NIT." Sathya Priya's father said that he studied till 7th standard but his dream was to become a civil engineer. Even though he was not able to achieve this dream, he worked as a supervisor in construction and provided for his daughter's education. Now he has faith that his daughter will fulfil his dream. Legal expert from government school: "I want to become a lawyer", said Keerthika, another government school student who is a physically challenged person. She has received admission in Government law college, Trichy in the current academic year. She said that her decision to study law is mainly to safeguard the rights of the disabled persons. Keerthika belongs to a weaver's family in which she is the eldest child. "My daughter believes that the best revenge is to achieve great things in life in front of everyone who looks down on us. In a way of walking her talk, she got admission in law college after studying in a government school", said Keerthika's mother. Again Keerthika said, "Government school students also have the potential to achieve great things in life and the only necessity for this achievement is hard work." Greetings from Chennai IIT: "Government schools are badges of pride for me", said Vasantha Kumar, a government school student who got admission in Chennai IIT. His mother is managing cattle at home and his father is working in a spinning mill. Another student Baranitharan said, "I am the first person from my village to get admission in Trichy NIT. In future, I will extend my helping hands to all those poor students who want to pursue higher studies." Sakthivel, a student from Dharmapuri government school also got admission in Trichy NIT and his chosen discipline is Chemical Engineering. Sakthivel said that his involvement in the extra-curricular activities like sports, enabled him to achieve more in studies. Rakesh's mother is a wage labourer and also she does the job of grazing the cattle. This academic year, Rakesh got admission in Warangal NIT. Kathiravan got admission in Kilpauk Government Medical College, Chennai. Kathiravan's father said, "Medical education was an unachievable dream for my family members. Today, my son has achieved that dream by studying in a government school." Welder's son becoming a doctor: Kathiravan's father is working in a welding workshop. Due to poverty, Kathiravan worked in a pharmacy as a part-time worker when he was studying. Another student Chandrakala is going to study in NIFT (National Institute of Fashion Technology), Chennai. While in school, Chandrakala attended many fashion technology related seminars to widen her knowledge about the field. In the current academic year totally 6 students received admissions in IITs, 51 students in NITs and IIITs. Apart from this, 56 students were selected in NATA (National Aptitude Test in Architecture), 18 in IMU-CET (Indian Maritime University Common Entrance Test), 2 in International Statistics, 28 students in NIFT and 25 students in CUET (Common University Entrance Test). Totally 225 government school students got admissions in different world class institutions. Best wishes for the future endeavours of the students! For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 16:39 [IST] NCP Will Not Go With BJP Although Some 'Well-Wishers' Are Trying To Persuade Me: Sharad Pawar NCP factions In Limbo As EC Grants More Time To Resolve Name, Symbol Dispute ECI May Take Shiv Sena Like Decision For NCP: Sharad Pawar Allays Fears Of Losing Party Symbol 2 Offers Before Sharad Pawar To Join NDA As NCP Supremo Gears Up For State Wide Tour India oi-Prakash KL Following a secret meeting between Maharashtra Deputy Chief Minister Ajit Pawar and NCP supremo Sharad Pawar in Pune, speculations about potential political realignment in Maharashtra have become a hot topic of discussion. The latest buzz is that Ajit Pawar is trying to woo senior Pawar to join the NDA, Times Now reported citing sources. It is reported that there are two offers before the NCP supremo. First, Sharad Pawar could assume the role of the Union Agriculture Minister, a position he previously held during the UPA era, or he might become the chairperson of Niti Aayog. Second, there is speculation that Sharad Pawar's daughter Supriya Sule could secure a role at the national level, while Jayant Patil would hold a position within the state administration. Maharashtra: Earthquake Of Magnitude 3.4 Strikes Kolhapur Days ago, senior Congress leader Prithviraj Chavan too had claimed that the BJP had offered the Union Agriculture Ministry to Sharad Pawar. His comments came after the Deputy CM met the NCP Chief in Pune at the residence of businessman Atul Choradia's bungalow. However, Sharad Pawar has not accepted the offer, Chavan had said. "My information is that Pawar saheb has not accepted the offer made by Ajitdada," he added. Responding to the reports, Sharad Pawar said, "Ajit Pawar is my nephew. Why is there such a hue and cry over a meeting between uncle and nephew?" However, the current development has not gone well with the MVA (Maha Vikas Aghadi). The Maharashtra Congress had questioned the secret meeting and asked why the two leaders have to meet somewhere else. Nonetheless, Supriya Sule clarified that they will remain in the MVA. "We can meet because we don't have any quarrels in our family. But when ideology, thoughts come to the fore, there will be conflict. Ajit Pawar has taken a separate decision but there is no difference in the Pawar family. Since we are relatives, we will meet," Rohit Pawar said downplaying the meeting. No Confusion Within MVA, Will Ensure Successful INDIA Meeting In Mumbai: Sharad Pawar Meanwhile, Uddhav Balasaheb Sena leader Sanjay Raut on Wednesday said Ajit Pawar is not in a position to make offers to Sharad Pawar. "Ajit Pawar is not that big a leader that he can make an offer to Sharad Pawar. Pawar Sahab made Ajit Pawar, Ajit Pawar didn't make Sharad Pawar....His (Sharad Pawar) stature is high," he added. The developments come at a time when Sharad Pawar is set to begin his state-wide tour on August 17 from Beed in Marathwada region. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 12:57 [IST] Clash Breaks Out Between Warkaris And Cops During Religious Procession In Pune 2 Security Guards Arrested For Shouting 'Pakistan Zindabad' On I-Day Eve India oi-Prakash KL Two people working as security guards raised slogans of 'Pakistan Zindabad' at the construction site of a school in the Kondhwa area. Some citizens passing by heard these slogans and informed the police. The accused have been identified as Akbar Nadaf and Tauqir. They work as security guards at the construction site of a school in the Kondhwa area. They were booked under section 153 (giving provocation with intent to cause riot) of the Indian Penal Code, PTI reported citing officials. "We received a complaint on Monday (August 14) evening from some locals that two persons were shouting "Pakistan Zindabad". The accused were taken into custody," the news agency quoted the police official as saying. My Father Did Drop Bombs, But On East Pakistan: Sachin Pilot Slams BJP Leader Both work as security guards, the official said, adding that one of them is employed at a school. Some passersby heard them shouting 'Pakistan Zindabad' and informed the cops. "A team was sent to the spot to investigate. A probe revealed that the suspects had raised Pakistan Zindabad slogans. Two people were detained and later placed under arrest." Times Now quoted a senior officer from Pune city Police as saying. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 12:20 [IST] Will PM Modi Address The Nation From Red Fort Next Year? Here's What Times Now ETG Survey Says BJP Central Election Committee To Meet Today To Discuss State Poll Preparations India oi-PTI The BJP's Central Election Committee, whose members include Prime Minister Narendra Modi and other senior leaders, will meet on Wednesday evening to hold deliberations on the upcoming state assembly polls, party leaders said. The CEC members are expected to take stock of the ongoing poll preparations, gather feedback and shape the party's strategy, including for selecting candidates, in the meeting, sources said. Besides Modi, the CEC includes party president J P Nadda, and Union ministers Rajnath Singh and Amit Shah among other leaders. The party's decision to hold the meeting so early - the CEC usually meets only after poll dates are announced, underlines the significance it has attached to the five state elections, the last round of assembly contest before the all-important Lok Sabha polls. My Father Did Drop Bombs, But On East Pakistan: Sachin Pilot Slams BJP Leader It also indicates a greater involvement of the central leadership in overseeing the state poll campaign, sources noted. Five states, Chhattisgarh, Madhya Pradesh, Rajasthan, Telangana and Mizoram, are expected to go to the polls in November-December. The BJP is in power in only Madhya Pradesh and is running an intense campaign to oust the Congress government in Rajasthan and Chhattisgarh, and the BRS in Telangana. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 11:28 [IST] A single engine air tanker works to extinguish a fire using retardant east of Highway 87 North after a fire started in the region late Tuesday. Extreme heat and high winds in the evening pushed a fast-moving grass fire near Billings toward neighborhoods east of Highway 87 North near Independent Lane. Firefighters from numerous area departments responded, including air tankers. An evacuation was ordered for homes in the Longhorn subdivision, although no dedicated evacuation location had been established by 7 p.m., according to a statement from the Billings Police Department. Yellowstone County Sheriffs deputies went going door-to-door in the area to warn residents. Anurag Thakur Urges Oppn With Folded Hands To Debate On Manipur In Parliament How Much Did Govt Spend On Publicity Since 2018-19? Minister Reveals Why Get Elected To Parliament If You Want To Raise Issues On Streets: Thakur Slams Opposition Over Manipur Centre To Launch 10K E-Buses, PM Vishwakarma Scheme India oi-Sushmita Halder The Centre on Wednesday announced 10,000 new electric buses across 100 cities under the PM e-bus seva. Union Information & Broadcast Minister Anurag Thakur said the PM e-bus Seva has been approved by the cabinet to promote green mobility. While addressing the media after the Union cabinet meeting, Union Minister Anurag Thakur the scheme has been allocated 57,613 cores. Here are the top points on announcements made during the Centre's cabinet briefing: Out of 169 cities, 100 cities will be chosen on a challenge method, Thakur said on the PM e-bus seva initiative. Informing further about the new scheme, he said, "Out of 57,613 crores, 20,000 crores will be provided by the Central government. The scheme will cover cities with 3 lakhs and above population. Under this scheme, city bus operations with 10,000 e-buses on Public Private Partnership (PPP) model will be done. This scheme will support bus operations for 10 years." The cabinet also approved the Vishwakarma Yojana scheme, said Union Railways, communications, electronics, and IT minister Ashwini Vaishnaw during the brief. "There are two types of skill programs under the scheme and a stipend of 500 will be given to those who are taking benefit of the scheme and to buy modern tools, Rs. 15,000 in the mode of support will be given. And loans up to Rs. 1,00,000 to the beneficiaries of the Vishwakarma scheme," said Vaishnaw. Cabinet approved the extension of the Digital India program with an outlay of 14,903 crores: Union minister Vaishnaw BJP Trying To Suppress My Voice, Says Raghav Chadha On Breach Of Privilege Complaints Against Him AAP Hits Out At Centre Over Suspension Of Raghav Chadha From Both Houses Of Parliament Delhi: Congress, AAP Leaders Spar Over Contesting All 7 LS Seats India oi-Madhuri Adnal A heated exchange of words erupted on Wednesday between members of the Delhi Congress unit and the Aam Aadmi Party (AAP) concerning the possibility of the former independently contesting all seven Lok Sabha seats in the Capital. The controversy unfolded following a meeting of the Delhi Congress unit to discuss preparations for the Lok Sabha polls, attended by senior leader Rahul Gandhi and party chief Mallikarjun Kharge. During the meeting, Congress leader Alka Lamba revealed that the leaders were instructed to ready themselves for contests across all seven Lok Sabha seats in Delhi. "With seven months left and seven seats in Delhi, history suggests that whoever triumphs in Delhi triumphs in the country. We have been directed to prepare for all seven seats," Lamba stated. Currently, all seven Lok Sabha seats in Delhi are held by the Bharatiya Janata Party (BJP). While both the Congress and AAP are part of the Opposition's INDIA alliance, no agreements on seat-sharing have been reached thus far. Lamba clarified that no final decision had been made regarding whether the Congress would contest all seven seats alone or form an alliance. "In the last LS election, Congress secured the second position in all seven seats. No discussions regarding seat sharing took place. We will be fully prepared for all seven seats irrespective of future decisions," Lamba added. In response to Lamba's comments, AAP chief spokesperson Priyanka Kakkar retorted, "If the Congress is not interested in an alliance in Delhi, then their participation in the INDIA meeting is futile and a waste of time. Our top leadership will decide whether we should attend the next INDIA meeting." Kakkar went on to say, "Congress approached us for an alliance because they lack significance in Delhi. If we believe Alka Lamba's statements, and they decide against forming an alliance in Delhi, then the INDIA alliance loses its meaning." Rahul Gandhi Back In Defence Committee, Days After Lok Sabha Reinstatement Senior AAP leader Saurabh Bhardwaj struck a more conciliatory tone, indicating, "Our central leadership will make this decision. Our political affairs committee and INDIA parties will convene to discuss this matter." Following the meeting, Delhi Congress in-charge Deepak Babaria criticized the AAP. "Delhi has lost direction, and no progress has been achieved since Sheila Dikshit's tenure. Delhi Congress will stand against the anti-people policies of the AAP government. It was also agreed that the party will highlight the AAP government's anti-people policies and move forward," Babaria asserted. However, he clarified that no discussions on an alliance had taken place during the Wednesday meeting. "The shape of the alliance in Delhi will be determined by the party high command. We will follow whatever decision the high command makes," Babaria added. Earlier in the day, Kharge announced the meeting on Twitter, stating, "In light of the upcoming Lok Sabha elections, a consultation was held today with Delhi Congress leaders. Transforming Delhi Congress (Navsanchar) is our priority, requiring the participation of all leaders and workers. We have previously made Delhi prosperous and content, and our commitment to Delhi's people continues." In a media statement, Gandhi said that the Congress is committed to amplifying the voices of Delhi's residents and taking every step toward the state's progress. For Breaking News and Instant Updates Allow Notifications Story first published: Thursday, August 17, 2023, 0:07 [IST] 'Won't ever contest elections again if not elected in 2024': Chandrababu Naidu Former Andhra Pradesh CM Chandrababu Naidu Breaks His Silence Over Rejoining NDA India oi-Sushmita Halder Reacting to the rumours of rejoining the National Democratic Alliance (NDA), former Chief Minister of Andhra Pradesh Chandrababu Naidu on Tuesday asserted that he would talk about it at the right time. On being asked about his plan to join the NDA, Telugu Desam Party (TDP) President Naidu said, "It's not the time to talk about joining the NDA government. I will talk about this at the right time,". The Chandrababu Naidu-led Telugu Desam Party (TDP) had left NDA in protest against the Centre's refusal to give special status to Andhra Pradesh. Naidu further said that his role is very clear for national politics in 2024. "My priority is Andhra Pradesh. It's my big agenda. I will prepare for the rebuilding and reconstruction of the state," the TDP chief said. Andhra Pradesh: Stone Pelting, Arson During Chandrababu Naidus Rally; 20 Cops Injured Responding to the Amaravati capital issue, Naidu said, "You (CM Jagan Mohan Reddy) are sitting in assembly. You are sitting in the secretariat. Where are you conducting the cabinet meeting? Is it temporary? What Jagan Mohan Reddy is talking rubbish. For the last ten years, they have been functioning. Everything got ready. We planned the world-class capital for Andhra Pradesh. I planned systematically one of the best ecosystems for Hyderabad for nine years." Notably, the unified state of Andhra Pradesh was bifurcated into Andhra Pradesh and Telangana in June 2014. Earlier on Tuesday evening, Chandrababu Naidu paid tribute to the statue of the former chief minister, the late NT Rama Rao, on Beach Road. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 10:43 [IST] Himachal Pradesh: Fake Holiday Order For Schools And Colleges Sparks Confusion India oi-Madhuri Adnal In a recent development, Abhishek Jain, the Secretary-Education of the Himachal Pradesh government, has refuted a circulating order claiming that the state government has declared August 17 as a holiday for schools and colleges. Jain said,"The circulated order declaring August 17 as a holiday for schools/colleges in the state is fake. District Magistrates (DMs) and Sub-Divisional Magistrates (SDMs) will make decisions based on the situation in their respective areas." The confusion arose due to an order circulating on various platforms that indicated the declaration of a holiday for educational institutions in the state on August 17. The order was linked to the incessant rains that have led to landslides and flood-like situations in several parts of Himachal Pradesh. Abhishek Jain, Secretary-Education, Himachal Pradesh government says, "Order being circulated stating that State govt has declared 17th August as holiday for schools/colleges in the state is fake. District Magistrates and SDMs are taking the decision as per situation in their https://t.co/DkqbdXIA4K ANI (@ANI) August 16, 2023 Abhishek Jain's statement sheds light on the fact that such decisions are not made through a blanket statewide declaration but rather tailored to the local conditions. District Magistrates and Sub-Divisional Magistrates, being on the ground and having a better understanding of the specific circumstances in their respective districts and subdivisions, will determine the necessity of closing schools and colleges. Meanwhile, at least 71 people have died in the past three days and 13 are still missing in Himachal Pradesh after devastating rains pounded the state, with Chief Minister Sukhvinder Singh Sukhu terming the work of rebuilding infrastructure a ''mountain-like challenge''. The death toll from landslides and flashfloods jumped on Wednesday as rescuers pulled out more bodies from the rubble of collapsed buildings, officials said. Heavy rains have battered the hill state since Sunday, triggering landslides in several districts including Shimla where three areas -- Summer Hill, Fagli and Krishna Nagar -- are badly hit by landslips. ''At least 71 people have died in the past three days and 13 are still missing. As many as 57 bodies have been recovered since Sunday night,'' Principal Secretary (Revenue) Onkar Chand Sharma said. Earlier, Chief Minister Sukhu said that about 60 people have died since Sunday night. In an interview with PTI, Sukhu said his state will take a year to rebuild the infrastructure wrecked by the heavy rains this monsoon and claimed that the estimated loss in the two devastating spells of heavy rains - this week and in July - is about Rs 10,000 crore. The chief minister said it takes time to rebuild roads and water projects. But the government is speeding up the process. "We have to get the infrastructure fully restored within a year. I am working with this in mind." "It's a big challenge, a mountain-like challenge," he said. According to the state emergency operation centre, in total 214 people have died in the rain-related incidents in the state since the onset of monsoon on June 24 while 38 are still missing. ''Rescue operations are going on in Summer Hill and Krishna Nagar areas...one body was recovered from Summer Hill site,'' Shimla Deputy Commissioner Aditya Negi told PTI. He said so far 13 bodies have been recovered from Summer Hill, five from Fagli and two from Krishna Nagar. Some bodies are still feared buried in the debris of the Shiva temple at Summer Hill that collapsed on Monday. About 15 houses in Krishna Nagar have been vacated and the families shifted to safer places. Several others have vacated their homes themselves fearing slides due to incessant rains. About 800 roads are blocked in the state and the losses suffered by the state since the onset of monsoon on June 24 have crossed Rs 7,480 crore, officials said, adding as many as 10,714 houses have been completely or partially damaged so far. Deputy Chief Minister Mukesh Agnihotri has urged the Centre to declare national calamity in Himachal Pradesh and release Rs 2,000 crore for restoration works. Meanwhile, 1,731 people have been rescued from flood-affected areas of Indora and Fatehpur sub-divisions of Kangra district in the last 24 hours, said Deputy Commissioner Nipun Jindal on Wednesday. Specifically, 1,344 people were rescued from Indora and 387 from Fatehpur. The operation to evacuate people from flood-affected areas is going on with the assistance of Air Force helicopters, Army personnel, and NDRF, Jindal said. Chief Minister Sukhu conducted an aerial survey of Indora and Fatehpur and took stock of evacuation operations in the areas flooded downstream of Pong reservoir. Himachal Pradesh has already received 742 mm of rainfall in 54 days of monsoon this year against a season's average of 730 mm it records between June 1 and September 30, a weather official here said. Himachal Pradesh Rains: Death Toll Climbs To 71, 'Mountain-Like Challenge' Before State, Says CM India oi-Madhuri Adnal At least 71 people have died in the past three days and 13 are still missing in Himachal Pradesh after devastating rains pounded the state, with Chief Minister Sukhvinder Singh Sukhu terming the work of rebuilding infrastructure a ''mountain-like challenge''. The death toll from landslides and flashfloods jumped on Wednesday as rescuers pulled out more bodies from the rubble of collapsed buildings, officials said. Heavy rains have battered the hill state since Sunday, triggering landslides in several districts including Shimla where three areas -- Summer Hill, Fagli and Krishna Nagar -- are badly hit by landslips. ''At least 71 people have died in the past three days and 13 are still missing. As many as 57 bodies have been recovered since Sunday night,'' Principal Secretary (Revenue) Onkar Chand Sharma said. Earlier, Chief Minister Sukhu said that about 60 people have died since Sunday night. In an interview with PTI, Sukhu said his state will take a year to rebuild the infrastructure wrecked by the heavy rains this monsoon and claimed that the estimated loss in the two devastating spells of heavy rains - this week and in July - is about Rs 10,000 crore. Himachal Pradesh: Fake Holiday Order For Schools And Colleges Sparks Confusion The chief minister said it takes time to rebuild roads and water projects. But the government is speeding up the process. "We have to get the infrastructure fully restored within a year. I am working with this in mind." "It's a big challenge, a mountain-like challenge," he said. According to the state emergency operation centre, in total 214 people have died in the rain-related incidents in the state since the onset of monsoon on June 24 while 38 are still missing. ''Rescue operations are going on in Summer Hill and Krishna Nagar areas...one body was recovered from Summer Hill site,'' Shimla Deputy Commissioner Aditya Negi told PTI. He said so far 13 bodies have been recovered from Summer Hill, five from Fagli and two from Krishna Nagar. Some bodies are still feared buried in the debris of the Shiva temple at Summer Hill that collapsed on Monday. About 15 houses in Krishna Nagar have been vacated and the families shifted to safer places. Several others have vacated their homes themselves fearing slides due to incessant rains. About 800 roads are blocked in the state and the losses suffered by the state since the onset of monsoon on June 24 have crossed Rs 7,480 crore, officials said, adding as many as 10,714 houses have been completely or partially damaged so far. Deputy Chief Minister Mukesh Agnihotri has urged the Centre to declare national calamity in Himachal Pradesh and release Rs 2,000 crore for restoration works. Meanwhile, 1,731 people have been rescued from flood-affected areas of Indora and Fatehpur sub-divisions of Kangra district in the last 24 hours, said Deputy Commissioner Nipun Jindal on Wednesday. Specifically, 1,344 people were rescued from Indora and 387 from Fatehpur. The operation to evacuate people from flood-affected areas is going on with the assistance of Air Force helicopters, Army personnel, and NDRF, Jindal said. Chief Minister Sukhu conducted an aerial survey of Indora and Fatehpur and took stock of evacuation operations in the areas flooded downstream of Pong reservoir. Himachal Pradesh has already received 742 mm of rainfall in 54 days of monsoon this year against a season's average of 730 mm it records between June 1 and September 30, a weather official here said. The rainfall recorded in the state this July broke all records for the month in the last 50 years, Shimla Meteorological Centre Director Surinder Paul told PTI. The Army, Indian Air Force, National Disaster Response Force and State Disaster Response Force are engaged in the evacuation of people from the flood-affected areas, CM Sukhu said, adding police and Home Guard personnel have also been roped in for the process. He said that this was the most devastating natural calamity the state has gone through in the last 50 years. Meanwhile, Himachal Governor Shiv Pratap Shukla visited the landslide-hit Krishna Nagar locality in Shimla and interacted with the residents there, a statement issued here said. Around 250 to 300 families have been affected in Krishna Nagar, it added. In July, heavy rains in the state, including in Mandi, Kullu and Shimla, claimed several lives and destroyed property worth crores of rupees. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 22:31 [IST] Is Pakistani Woman Seema Haider Pregnant Again, Expecting Her First Child With Sachin? India oi-Prakash KL Rumours are rife that Pakistani woman Seema Haider, who entered India illegally to be with her Indian boyfriend Sachin Meena, is pregnant again. She is expecting her first child with Sachin Meena, according to reports in Hindi media. It has to be noted that she has four children (two sons and two daughters) from her first husband Ghulam Haider. The speculations around her pregnancy started after she was reportedly seen visiting a hospital. Meanwhile, she has broken her silence over the reports stating "This is my personal matter." "I don't even want to tell everyone," a Hindi website quoted her as saying over the pregnancy rumours. Seema Haider, a married Muslim woman from Pakistan and mother of four, came across Sachin Meena on PUBG during the pandemic in 2020. After falling in love, she left her country and married him in Nepal. She then went back to her country, sold her property for flight tickets and a Nepal visa from where she illegally entered India with her four children to stay with the man whom she married in May. She entered India illegally via Nepal and landed in Noida from Delhi. In the latest clip doing rounds on social media, Seema Haider was seen chanting "Bharat Mata ki Jai" while hoisting the Indian flag ahead of this year's Independence Day. A video circulating on social media shows Seema Haider chanting "Pakistan murdabad," indicating her vocal disapproval of her country of origin. The video was shared by Ashutosh Dubey, Head of BJP Maharashtra Social Media. Meanwhile, the Pakistani woman has threatened to take legal action against her neighbour for making comments on Sachin's physical attributes, India Today reported. In a recent viral video, Seema Haider's neighbour Mithilesh Bhati was heard calling Sachin "lappu" and "jhingur". Her lawyer has warned her neighbour of filing a defamation case against her. In interviews, Mithilesh Bhati used the terms "jhingur sa" and "lappu sa" to address Sachin. "In a diverse country like ours, insults based on skin colour and physical attributes will not be tolerated. We are planning to take legal action against the woman," the advocate said Chanting 'Jai Shri Ram', Group Beats Up Muslim Youth For Going Out With Hindu Girl [VIDEO] Caught On Cam: Mumbai Man Dies After Falling Under Train Shraddha Walkar Murder Case: Victim's Father Denies Beating His Wife, Says Unaware of Daughter's LSD Use Caught On Camera: Woman Throws Acid On Dog For 'Bothering' Cats, Leaving Severe Injuries Mumbai: Case Filed Against Restaurant Manager After Customer Finds Dead Rat In Food India oi-Sushmita Halder A case has been filed against the manager and chef of a popular restaurant in Mumbai's Bandra area on Tuesday after a customer found a dead rat in his chicken dish. The complainant has been recognised as Anurag Singh. According to the complainant, he had gone to a restaurant in Pali Hill, Bandra West, with his friend to have a meal. They ordered a chicken and mutton thali (platter) with bread. While eating, they noticed that a piece of meat tasted different. Upon a closer look, they found a small rat. When Singh asked the restaurant manager about it, he gave an evasive response. Following this, Anurag Singh lodged a complaint at the Bandra police station. Man finds baby #rat in dish served at Bandras Papa Pancho Da Dhaba restaurant in #Mumbai. pic.twitter.com/gEdj0tQthe Madhuri Adnal (@madhuriadnal) August 16, 2023 Bengaluru Foodies Brace for 10% Price Hike On Food Items From August 1 Based on the complaint, the police registered a case against the restaurant manager, Vivian Albert Shikaver, the chef at the hotel at that time, and the chicken supplier. Police have filed a case under relevant sections and initiated an investigation into the matter. Chanting 'Jai Shri Ram', Group Beats Up Muslim Youth For Going Out With Hindu Girl [VIDEO] Mumbai: 'Casting Director' Arrested For Physically Assaulting 18-Yr-Old Girl India oi-Sushmita Halder A 26-year-old "film editor and casting director" has been arrested by the Mumbai Police in connection with assaulting an 18-year-old girl. The accused was reportedly arrested from Gujarat's Surat. The accused has been identified as Deepak Malakar, a resident of Bihar. According to the police accuse assaulted an 18-year-old girl after she refused physical intimacy. Later he fled from Mumbai presuming the victim died after the assault that left multiple fractures on her skull. The teenager, however, later regained consciousness and reached for help. After a two-day stay in the ICU, the victim, a first-year college student, is now recuperating in hospital. The teenager had reportedly befriended Malakar on Facebook last year. Around two months ago, Malakar approached the victim's parents with a marriage proposal for her. Her parents agreed to it and let the man stay at their 1-BHK flat. During this period the accused tried to initiate physical relations with the victim, who told him that she wanted to complete her studies and try her luck in the Hindi film industry before marrying him, police said. Absence Of Injuries No Ground To Hold Penetrative Sexual Assault Didnt Take Place: HC In Rape Case This angered the accused, who took the girl to his friend's flat in Versova on August 11 and tried to sexually assault her. "When she resisted, he banged her head to the wall and rained blows on her face till she collapsed. Assuming that she was dead, he panicked, locked the flat from outside, and fled the city," the officer was quoted as saying. Malakar has reportedly confessed to police that he wanted to kill the victim because she had rejected his advances. "The accused had switched off his phone, but was communicating with his friends by making calls from local booths and pedestrians' phones in Surat. Finally, the ATM withdrawals helped us track down his location on Monday," said the officer. Police have filed a case under sections 307 (attempt to murder), 342 (wrongful confinement), 354 (outrage modesty), and 354 (D) (stalking) of the Indian Penal Code (IPC) against Malakar. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 14:38 [IST] NCP factions In Limbo As EC Grants More Time To Resolve Name, Symbol Dispute India oi-Madhuri Adnal The Election Commission has extended the deadline by three weeks for the Nationalist Congress Party (NCP) factions to respond to the notice concerning the party's name and official symbol. The Sharad Pawar faction had initially requested a four-week extension in a letter to the electoral body. Both factions are now required to provide their responses by September 8. Earlier, on July 27, the Election Commission had issued notices to both the Ajit Pawar and Sharad Pawar factions, setting August 17 as the original deadline for their replies, which is tomorrow. Alongside this, the poll panel had received affidavits from 40 MPs, MLAs, and MLCs, along with a resolution from the rebel faction members asserting their selection of Ajit Pawar as the NCP chief. The faction led by Sharad Pawar had refrained from engaging with the Election Commission until it acknowledged the claims made by the rebel group. On July 3, the Pawar faction had initiated a disqualification motion against nine NCP MLAs, including Ajit Pawar, who had taken oath as the deputy chief minister in the Eknath Shinde-Devendra Fadnavis coalition government. 2 Offers Before Sharad Pawar To Join NDA As NCP Supremo Gears Up For State Wide Tour Subsequently, the Pawar faction expelled all nine MLAs, in addition to working president Praful Patel and general secretary Sunil Tatkare. This decision was taken during the party's working committee meeting held in Delhi. In a separate development, Sharad Pawar held a closed-door meeting with his nephew Ajit Pawar in Pune, which led to speculation about their future actions. This three-hour-long meeting took place at the residence of an industrialist. Addressing the meeting, Pawar clarified that it was not a secretive gathering. He stated, "What is wrong with meeting my nephew? If a senior person in a family desires to meet another family member, there should not be any issue with that." He also firmly asserted that the NCP would never form an alliance with the Bharatiya Janata Party (BJP). "As the national president of the NCP, I am making it clear that my party (NCP) will not go with the BJP. Any association with the Bharatiya Janata Party does not fit in NCP's political policy," he said. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 20:22 [IST] PM Should Be Held Accountable For 'Scams' Pointed Out By CAG: Congress India pti-PTI The Congress on Wednesday alleged the Comptroller and Auditor General (CAG) has pointed out "scams" in infrastructure projects of the BJP-led Central government and Prime Minister Narendra Modi should be held accountable. There should be a probe into the alleged scams and accountability should be fixed, Congress spokesperson Supriya Shrinate said, asking when will the PM break his "silence" on the alleged irregularities. "I feel these scams should be probed and accountability should be fixed. We feel that Prime Minister Narendra Modi is clearly responsible for these scams and his accountability should be fixed," she told a press conference. "Will the prime minister break his silence on these scams committed under his very nose. Will the prime minister initiate action against those responsible for the scams?" she asked. She said the CAG has brought to light seven "scams" that betray "corruption" in this government. She alleged the prime minister may dub those who prepare these reports as "anti-national". "He may even raid them and jail them. But the reality is that it starts from the Bharatmala project, where the cost of construction has doubled from 15.37 crore per kilometer, to over 32 crore per kilometer." "Not just this, the tender process was flawed. There was never a detailed project report that was submitted. Money to the tune of Rs 3,500 crore was diverted from the escrow account. And more than anything else, safety consultants were not appointed. So, you are responsible if you are travelling on any of those roads, not the government of India," she said. On the Dwarka Expressway, she said this is the second such "scam" which has come to light where the construction cost went up from Rs 18 crore per kilometer to Rs 250 crore per kilometer. "A Mangalyaan could have gone, Rs 500 crore is what it took. The NHAI (National Highways Authority of India) has looted the common citizens of this country to the tune of Rs 132 crore. (It has come to light) when only five toll plazas were audited. Imagine if every all toll plazas in the country is audited, what would the tune of this scam be," she said. The road transport ministry has, however, rejected the cost escalation projected by the CAG. AAP Slams CAG Audit Order in Kejriwal's Residence Renovation Top sources in the road transport ministry said the government saved over 12 per cent in construction cost against estimates in awarding contracts of Urban Extension Road-II or Dwarka Expressway, and CAG findings that the cost was exorbitant are misplaced as the auditor did not factor in the actual cost, Shrinate also alleged irregularities in the 'Ayushman Bharat scheme' that the prime minister "keeps talking about". As many as 7.5 lakh beneficiaries were registered with one phone number, she alleged, adding fresh claims were made and payments released in the name of 88,000 patients who died during treatment. "Who did that money go to? That is a big question." Shrinate also claimed the CAG has pointed out the Ayodhya Development Project land was brought at the price of "peanuts" and then sold at high rates to the Ram Temple Trust. "But we now are discovering that the Ayodhya Development Project is also riddled with scams." "Money has been issued to the contractors that are not even registered. There is a GST payment that is coming their way. Who are these people? What are they doing? How come the bidders, the tendering process is so flawed?" she alleged. The Rural Development Ministry, she claimed, has a provision of pension for the elderly, the poor, widows and the physically disabled. "Money from this was diverted to put hoardings in 19 states and every district on the 'Swachh Bharat fortnight that they celebrated," she alleged. The Congress leader also said the Hindustan Aeronautics Limited has been pulled up for a flawed engine design which caused a loss of about Rs 154 crore. "We have limited questions for the Prime Minister. Will you break your silence on the scams that are being unearthed by CAG right under your nose? You are the chairman of the CCEA, the Cabinet Committee on Economy Affairs. "Are you going to open your mouth on the Bharatmala Project, where the cost has doubled? How will you act against the Road and the Surface Development Ministry, because we have seen a huge scam in Dwarka Expressway?" she asked. She asked if the prime minister will act against the health minister and his ministry over the Ayushman Bharat scheme and ask them how "money for beneficiaries was diverted". "Where did that money go? Will you act against the Ayodhya Development Project and inquire finally on the dodgy land deals. And also on the kind of money that was given to contractors, whose registration has been cancelled," she asked. "This is looting the exchequer. This is looting the people of India and action should be taken. But before action is taken, the prime minister needs to tell this country, why are people being allowed to take undue benefits of schemes meant for the poor, meant for the physically challenged, meant for the old, and also meant for the widows," Shrinate asked. A Roundup man who previously pleaded guilty in Musselshell County District Court to two counts of felony sexual assault for abuse that occurred of two victims when they were under age 16 was sentenced to prison Tuesday for a total of 40 years, Attorney General Austin Knudsen said. Ward Lamar Mason, 59, admitted in May to sexually assaulting two young girls multiple times. One victim said Mason serially sexually abused her from the age of six years old to 14 years old, and the other said she was sexually abused between the ages of 13 to 18. Mason pleaded guilty to sexually abusing both victims. Judge Randal Spaulding sentenced Mason to the Montana State Prison for a total of 40 years with a parole restriction of 20 years. Mason will be 79 years old when he becomes eligible for parole, and if granted parole at that time, will be under the supervision of the Department of Corrections until he is 99 years old. The case was resolved short of trial by an open plea agreement, meaning both the State and Mason recommended their own sentences at the hearing before the Musselshell District Court. The State recommended a total of 50 years in the Montana State Prison with the statutory parole restriction of quarter time, or 12.5 years. Mason recommended 20 years with 16 suspended for a total of four years to serve in prison. An aggravating factor the court took into consideration was Mason continuously victim blamed throughout the psychosexual evaluation and pre-sentence investigation report. Assistant Attorney General Jordan P. Salo prosecuted the case, which was investigated by the Musselshell County Sheriffs Department, Billings Police Department, and Salem Police Department. Bengal Governor compares Mamata to Vajpayee and BJP is not impressed 'Vow Of Silence' Over Ethnic Violence In Manipur: Opposition Invokes Atal Bihari Vajpayee To Attack PM Modi President Murmu, PM Modi Pay Homage To AB Vajpayee On His Death Anniversary India oi-Prakash KL President Draupadi Murmu, Prime Minister Narendra Modi and many other BJP leaders on Wednesday paid homage to former PM Atal Bihari Vajpayee on his death anniversary. They arrived at 'Sadaiv Atal' memorial to pay tribute to the BJP stalwart on his death anniversary. Union Home Minister Amit Shah, Defence Minister Rajnath Singh and other BJP leaders have also paid their tributes to the former Indian Prime Minister. In tributes to the BJP stalwart, Modi said Vajpayee played a pivotal role in boosting India's progress and taking it to the 21st century in a wide range of sectors. "I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi," he said. #WATCH | Delhi: President Droupadi Murmu pays floral tribute at 'Sadaiv Atal' memorial on former PM Atal Bihari Vajpayee's death anniversary. pic.twitter.com/bYUvCv9Idt ANI (@ANI) August 16, 2023 The first-ever Prime Minister from the BJP, Vajpayee is credited with popularising the party beyond its base and running a coalition government successfully for six years, during which he pushed reforms and boosted infrastructure. #WATCH | Defence Minister Rajnath Singh, Union Home Minister Amit Shah and Union Minister Nitin Gadkari pay floral tribute at 'Sadaiv Atal' memorial on former PM Atal Bihari Vajpayee's death anniversary. pic.twitter.com/xTzvgIS90f ANI (@ANI) August 16, 2023 He died in 2018 at the age of 93. Amit Shah remembered the former Prime Minister as the "Ajatshatru of Indian politics". He said that Vajpayee established the highest standards of politics based on ideology and principles. #WATCH | Delhi: NDA leaders including, NCP's Praful Patel, Union Minister and Apna Dal (Soneylal) leader Anupriya Patel & HAM's Jitan Ram Manjhi, pay floral tribute at 'Sadaiv Atal', on former PM Atal Bihari Vajpayee death anniversary. pic.twitter.com/b3eJCPb0He ANI (@ANI) August 16, 2023 "With his strong will to serve the nation, on one hand he laid the foundation of good governance and on the other hand, he introduced India's potential to the whole world from Pokhran. Millions of salutes to such a great man on his death anniversary, who made invaluable contribution in taking the party from zero to peak with his organizational skills," he said on Twitter. Delhi | Prime Minister Narendra Modi arrived at 'Sadaiv Atal' memorial today and paid floral tribute to former PM Atal Bihari Vajpayee on his death anniversary. pic.twitter.com/S1ETY9KkVr ANI (@ANI) August 16, 2023 Defence Minister Rajnath Singh said that he bows down to the former PM on his death anniversary. "He led the country on the path of development and good governance. My heartfelt tribute to him," Singh tweeted. 'Makers Of Made In Heaven 2' Face Backlash From Dalit Author, Here Is Why India oi-Sushmita Halder The fifth episode of Neeraj Ghaywan's directorial Made in Heaven 2 has thrust the spotlight onto the delicate subject of casteism. In the episode, Radhika Apte portrays Pallavi Menke, a courageous Dalit author, unapologetically advocating for her community. Now, journalist and author Yashica Dutt, known for her insightful book "Coming Out as Dalit", has taken to Instagram to express her take on the episode. While she commended the series for its portrayal of a Dalit-Buddhist wedding, she voiced her disapproval for what she saw as a lack of recognition for her contributions to the episode's central ideas. The episode, titled The Heart Skipped a Beat, portrays the empowerment journey of a Dalit woman during her inter-caste wedding. Dutt acclaimed the episode's brilliance in showcasing the resilience of Dalit women but called for due credit where it is deserved. Jawan Movie Clips Leaked On Twitter, Makers Of Shah Rukh Khan's Film Files Case In her Instagram post, Dutt disclosed, "Seeing my likeness on screen without warning or permission was a roller-coaster starting from thrill and excitement to sadness and loss." Dutt's sentiments stem from her perception that certain elements of the episode mirrored her own experiences, yet her name remained absent. She expressed how disheartened she felt that her words and ideas were woven into the narrative without proper acknowledgment. Churches Vandalised In Pakistan Over Blasphemy Allegations International oi-Madhuri Adnal A wave of destructive acts unfolded in Pakistan's Faisalabad as several churches were vandalized and Christian settlements were plundered after a Christian family faced allegations of blasphemy. The incident occurred in Faisalabad's Jaranwala district on Wednesday. Outrage within the local Muslim community was sparked when a Christian man, employed as a cleaner, was accused of making derogatory comments about the Quran. In the aftermath, not only was his residence demolished, but an angry mob also targeted churches and other Christian enclaves in the vicinity. Videos circulating on social media depicted a frenzied mob ascending churches and disrespecting the holy cross, a revered symbol for Christians. Pakistan Alarming situation in Faisalabad. Christian community under attack over charges of Blasphemy. Majority muslim mob has already burned 3 churches. Mob is heading to the 4th church in Faisalabad. Several Christian homes, properties and churches have been burned down. pic.twitter.com/qjPjPFKaFf Megh Updates (@MeghUpdates) August 16, 2023 Footage also highlighted Muslim clerics instigating the mob to mobilize should the police fail to take action against the alleged "blasphemers." According to Dawn, Punjab police chief Usman Anwar mentioned that negotiations with the protesters were underway, and the area had been cordoned off. Nonetheless, local Christians expressed dissatisfaction, asserting that the police remained passive spectators as their homes were pillaged. The police lodged a first information report (FIR) against the accused Christian man under sections 295B (disrespect of the Holy Quran) and 295C (derogatory remarks about the Holy Prophet) of the Pakistan Penal Code, as reported by Dawn. 2 Security Guards Arrested For Shouting 'Pakistan Zindabad' On I-Day Eve Usman Anwar, the police chief, stated to Dawn, "There are narrow lanes [in the area] in which small two to three marla churches are located and there is one main church...they have vandalised portions of the churches." Azad Marshall, the President Bishop of the Church of Pakistan, took to social media platform X (formerly Twitter) to convey that Bibles were desecrated and Christians faced torment and harassment while being falsely accused of transgressing the Holy Quran. Expressing a plea for justice and prompt intervention, Bishop Marshall emphasized, "We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland that has just celebrated independence and freedom." Former senator Afrasiab Khattak condemned the incident, remarking, "This is condemnable. Pakistani state has failed to provide security to the worship places of people who follow religions other than Islam. Impunity to the crimes committed in the name of religion has emboldened extremists and terrorists. Bring the culprits to book." For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 20:00 [IST] North Korea Says Its Attempt To Launch 1st Spy Satellite Ends In Failure North Korea Conducts Its 1st ICBM Launch In 3 Months After Making Threat Over Alleged US Spy Flights US Soldier Admits To Illegally Intruding Due To Racial Discrimination: North Korea International dwnews-DW News North Korea on Wednesday said a US soldier who had crossed into the country last month has admitted to illegally entering the North. Private Travis King expressed a willingness to seek refuge in the North or a third country, state media KCNA reported, saying that he "was disillusioned at the unequal American society." According to the state media reports, Pyongyang said the US soldier confessed to crossing into the North because of "inhuman maltreatment and racial discrimination within the US Army." First official comment from North It is the first public acknowledgement of the incident by North Korea. The soldier entered into the North on July 18 while on a civilian tour of the Demilitarized Zone (DMZ) on the heavily fortified border between the North and South. North Korea said its investigation into King would continue. North Korea Conducts Its 1st ICBM Launch In 3 Months After Making Threat Over Alleged US Spy Flights Recommended Video Travis King: N.Korea confirms for the first time that the US soldier is in its custody I Oneindia How has the US responded? A spokesperson for the Pentagon said that the US could not verify the comments reported by North Korean state media. The US Department of Defense said it remains focused on King's safety and his return home. "We remain focused on his safe return. The department's priority is to bring Private King home, and that we are working through all available channels to achieve that outcome," a Pentagon spokesperson said, according to Reuters news agency. How did he end up in North Korea? After a drunken pub brawl, an incident with police and a stay in a South Korean jail, King was being taken to the airport to fly back to Texas, when he broke free. Instead of traveling to Fort Bliss for disciplinary hearings, King joined a DMZ sightseeing trip and crossed over the border in mid-July. He is the first US citizen in five years to be detained in North Korea. Source: DW Paris Hilton Faces Backlashes For Holidaying In Maui Amid Devastating Wildfires International oi-Sushmita Halder Netizens trolled Hotel heiress Paris Hilton for vacationing on the beaches of Maui, Hawaii, just 30 miles away from the devastated town of Lahaina. Pictures posted on social media show Hilton enjoying a beach day at a resort in Wailea with her husband, Carter Reum, and their son, Phoenix. Criticising her, one person wrote, ''While Maui burns, Paris Hilton Fiddles ( on vacay in Maui)! Get a clue honey and go home,'' while another wrote, ''Paris Hilton is vacationing in Maui at a resort 30 min from Lahaina. Imagine watching thousands of ppl lose their homes, loved ones, families, etc, and then expecting them to SERVE YOU DRINKS WHILE U FROLIC ON THE BEACH IN FRONT OF THEM OMG.'' Meanwhile, a close source to Hilton revealed that "It was initially a planned family trip, and she has been traveling to Maui since she was born. She has many friends and family there and it's a second home for her." The pictures come days after Hawaiian native Jason Momoa urged people not to vacation in Maui amid the ongoing devastation. Death Toll From Devastating Maui Fire Reaches 101: Hawaii Governor ''Maui is not the place to have your vacation right now. DO NOT TRAVEL TO MAUI. Do not convince yourself that your presence is needed on an island that is suffering this deeply,'' he wrote on Instagram. The Hawaii Tourism Authority also posted a similar statement urging visitors not to come to Hawaii at this time and for those vacationing on the island to leave Maui. Many hotels are currently housing evacuees, with as many as 4,500 people in need of shelter. In a recent update, a mobile morgue unit has arrived to help Hawaii officials working painstakingly to identify the remains of people killed in wildfires that ravaged Maui, as the death rose above 100 and teams intensified the search for more dead in neighborhoods reduced to ash. Gov. UK PM Rishi Sunak Meets Morari Bapu: Who Is This Indian Spiritual Leader And Ramayana's Famous Exponent? International oi-Prakash KL UK Prime Minister Rishi Sunak on Tuesday attended the Indian spiritual leader Morari Bapu's discourse at Jesus College, University of Cambridge. "Bapu, I am here today not as a Prime Minister, but as a Hindu," Britain's first Indian-origin Prime Minister said, opening his address at the gathering. "For me, faith is very personal. It guides me in every aspect of my life. Being Prime Minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country," he added. The nine-day Ram Katha at Cambridge University commenced last Saturday with a reception by Barbados-born Sonita Alleyne, the 41st Master and the first woman to lead Jesus College since its inception in 1496, and will run until this weekend. PM Rishi Sunak attending Morari Bapu's Ram Katha & greeting people with "Jai Siya Ram". Waiting for The Wire & BBC to write an article why Sanghi Rishi Sunak, an RSS supporter is bad for the UK pic.twitter.com/J5vN4w3Slw BALA (@erbmjha) August 15, 2023 So, who is Morari Bapu? Morari Bapu is a spirtual leader from Gujarat who is an exponent of Ramcharitmanas, a Hindu scripture that narrates the life and teachings of Lord Rama. His discourses and recitations of the Ramcharitmanas are popular all over the world. He is widely recognized for promoting values such as truth, compassion, and devotion through his teachings. Protestors Stage Campaign Against Oil Policy At Rishi Sunak's London Home He has conducted numerous Ram Katha (discourses on the Ramcharitmanas) events over the years, drawing large audiences and followers. His discourses often emphasize the importance of moral and ethical values in everyday life. Recommended Video British PM Rishi Sunak on being Hindu at Morari Bapu function at Cambridge Univ| One India Apart from his spiritual activities, Morari Bapu is involved in various charitable and social initiatives, working to uplift and empower communities through education, healthcare, and other humanitarian efforts. He is respected for his simplicity, humility, and dedication to spreading spiritual wisdom and social well-being. He's British PM Rishi Sunak, attending Ram Katha with Morari Bapu Don't miss him raising Jai Shri Ram at 57-58 seconds.. pic.twitter.com/oQ8YyiRch5 Mr Sinha (@MrSinha_) August 15, 2023 Bapu offers his recitations of Ram Katha free of charge, making his teachings accessible to all, irrespective of financial or other limitations. His preachings are open to people of all ages, genders, castes, creeds, and social backgrounds. Rishi Sunak's Office Refuses To Hand Over Boris Johnson's Unredacted Messages To Covid-19 Inquiry Notably, Morari Bapu adheres to the Bhiksha tradition, a sacred practice within Sanatan Dharma, where he graciously accepts food offerings as alms without any personal preferences, consuming whatever is presented to him. For Breaking News and Instant Updates Allow Notifications Story first published: Wednesday, August 16, 2023, 10:18 [IST] Ukraine said the first commercial cargo ship has left the port of Odesa following Russia's threat to sink such vessels. The ship, stuck in port since Moscow's invasion, left despite a new bombardment of the Danube River. Fire reported at Sri Lankas Ministry of Finance Posted by Editor on August 16, 2023 - 3:48 pm A fire broke out on the second floor of the Ministry of Finance in Sri Lanka, which is located in the Old Parliament building in Colombo, Fire Brigade said. Several fire engines have already been deployed to the location to douse the flames. The cause of the fire and damages are yet to be ascertained. UPDATE 04:15 PM: The fire reported at the Ministry of Finance earlier today (August 16) has been completely extinguished. The fire is suspected to have been caused due to an electrical short circuit. Farmers in the Indonesian province of West Kalimantan on the island of Borneo are adapting their agricultural techniques with a more climate-friendly approach by ending the burning of land. The move is following an initiative by Indonesias Peat and Mangrove Restoration Agency (BRGM), with support from the UN Office for Project Services (UNOPS). Since its launch in 2019, the programme, which includes training for villagers and critical infrastructure upgrades, has dramatically reduced fire risk and equipped the residents of 121 villages in coastal West Kalimantan with new skills and resources to benefit their communities. Progress is already being made. A school building was saved from burning down. Farmers are earning 50 per cent higher incomes. And a healthier peatland is reducing greenhouse gas emissions. What are peatlands? Peatlands are a type of wetland which occur in almost every country and are known to cover at least 3 per cent of global land surface. However, they store nearly 550 billion tonnes of carbon, twice as much as all the worlds forests. The term peatland refers to the peat soil and the wetland habitats growing on the surface. In peatlands, year-round water-logged conditions slow plant decomposition to such an extent that dead plants accumulate to form peat. This stores the carbon the plants absorbed from the atmosphere within peat soils, providing a net-cooling effect and helping to mitigate the climate crisis. Peatlands contain up to one third of the worlds soil carbon. This is twice the amount of carbon as found in the entirety of Earths forest biomass. Keeping this carbon locked away is absolutely critical to achieving global climate goals. Peatlands are one of the greatest allies and potentially one of the quickest wins in the fight against climate change. Peatland ecosystems are under threat by deforestation, they are drained for agriculture, mined for fuel, degraded by pollution, damaged by overgrazing, harmed by fire, destroyed for infrastructure development and exposed to a range of other threats. When peatlands are disturbed, drained and degraded, they contribute disproportionately to greenhouse gas (GHG) emissions. This results mainly from a lack of awareness of the benefits of peatlands and includes actions such as: drainage, conversion for agriculture, burning, and mining for fuel. In some regions, up to 80 per cent of peatlands have been damaged. Peat, essentially, is an early stage of coal and like coal is highly flammable. The dried peatland quickly goes up in flames and then can smoulder underground for months until the rainy season starts. Smallholder farmers and concession-holding companies use fire to cheaply clear debris to prepare land for planting. Emissions from drained peatlands are estimated at 1.9 gigatonnes of CO2 annually. This is equivalent to 5 per cent of global anthropogenic greenhouse gas emissions, a disproportionate amount considering damaged peatlands cover just 0.3 per cent of landmass. Peatlands are significant to global efforts to combat climate change and achieve other Sustainable Development Goals. Their protection and restoration are vital in the transition to a zero-carbon society. Draining peatlands reduces the quality of drinking water as water becomes polluted with organic carbon and pollutants historically absorbed within peat. Impact of peat fires in Indonesia Indonesia is home to more than 24 million hectares of peatlands making up approximately 36 per cent of the worlds total tropical peatlands. In its natural state, tropical peat occurs in flooded swamps and is one of natures most effective ways of removing carbon from the atmosphere, helping to mitigate global warming. Decades of draining peatlands to provide land for palm oil, paper and rubber plantations, as well as a failed rice cultivation project, has left vast areas of peatland dried out. In 2015, peat fires and its related toxic haze resulted in the hospitalisation of more than half a million people due to acute respiratory infections. Direct and indirect damages cost the Indonesian national economy an estimated $16 billion. Fires, which raged through 2.6 million hectares of forest and peatland areas on the islands of Kalimantan and Sumatra, released 1.6 gigatonne of CO2 the equivalent of annual CO2 emissions of countries such as Germany and France. Slash-and-burn farming is a tradition in Indonesia, with fires started deliberately in order to quickly clear land for palm oil and pulpwood plantations. Burning bush to clear land and plant residues after harvest led to 245 fires in the district around Limbung in 2021, a staggering number given that a 2009 government decree forbade farmers from burning on peatland. Fires do not only devastate villages and farmers livelihoods, but they also release a substantial amount of carbon dioxide. How changes were brought in Indonesia? Indonesias Peat and Mangrove Restoration Agency (BRGM), with the support of United Nations Office for Project Services (UNOPS), the ministry of forestry, and other players, has carried out restoration projects in 852 villages in Kalimantan, Papua, and Sumatra. Increasing farmers options has had a profound impact, helping to reduce the number of fires that broke out last year to just 21. Due to BRGMs interventions, much of the peatland around Limbung is moist again, enabling farmers to grow vegetables such as cucumber, tomatoes, chili, and eggplants. Training villagers in non-burn farming methods is crucial to making coastal villages more sustainable. Equally important is upgrading irrigation infrastructure to keep rainwater in peatlands. UNOPS provided design and financing for the construction of a few pilot canal blockers concrete structures that retain water in the canals that crisscross the area, making it available year-round for firefighting and irrigation. Better irrigation prevents the land from cracking, drying out, and decaying, thereby reducing the amount of carbon dioxide released into the atmosphere. Peatland restoration also involves re-vegetation of the area, which in turn keeps the soil moist and decreases the chances of fires and decomposition. Quicklink Not Found Sometimes, authors delete their quicklinks after publishing them. To see if the quicklink was renamed or re-published, please click here. Editors note: This article contains a graphic image. We are publishing the photo because it illustrates the severity of the injuries involved with the excessive force allegation. A Bismarck police officer fired last spring for using excessive force is no longer seeking his job back and has withdrawn a request for a hearing before the city's Civil Service Commission. Meanwhile, a judge has determined that the man allegedly beaten by the officer is incompetent to stand trial in unrelated cases and has ordered him to get mental health treatment at the State Hospital in Jamestown. Bismarck's Civil Service Commission handles appeals on city employee disciplinary matters. It had scheduled a Thursday hearing in the case of former Officer George Huff. His attorney, Chris Redmann, notified City Attorney Jannelle Combs in an email last Friday that Huff's request was being withdrawn and "The hearing can be canceled." Redmann offered no reasons in the email. He told the Tribune in a statement that the decision "was because this case is still pending prosecutorial review, and civil service commissions rarely reverse department head decisions." He also said that "Officer Huff is not looking to return to the Bismarck Police Department and is looking to mitigate the media exposure this incident has had on his family." Redmann's email to Combs came four days after video became public of the 26-year-old Huff's March 9 altercation with Keith Erlandson, a 63-year-old homeless man whose family says has a history of mental health problems. Documents the police department submitted to the Civil Service Commission became publicly available the same day as the video. They detailed Huff's alleged history of losing his temper, fighting with suspects, violating department policy and communicating ineffectively with the public during his four years on the force. Redmann did not directly address a Tribune question about whether the release of the documents and video factored into the decision to cancel the Civil Service Commission hearing. He did say that "the video angles unfortunately do not capture the suspects offensive actions in this event, but the defensive actions of Officer Huff are captured which leads to a skewed perception of the incident." "Perception in this case is not reality," Redmann said. "The reality is that Officer Huff was defending himself from a resisting suspect trying to grab at his neck and his chest-mounted knife." The police department records state that Huff was holding handcuffs when he punched Erlandson on March 9 three or four times while attempting to detain him for walking in the road in the area of 24th Street and East Main Avenue. The incident came to light after the Tribune inquired about a Burleigh Morton Detention Center booking photo in which Erlandson appeared bloodied and with one eye swollen shut. The police documents state that Erlandson required stitches for "severe lacerations to his forehead and face," and that he was taken to the hospital twice -- the second time after being booked into jail because a nurse at the Burleigh Morton Detention Center feared he might lose an eye. Huff when interviewed for the police department's internal investigation said Erlandson ignored his commands, resisted arrest, appeared to be trying to bite him, violently pulled down on his neck, and pulled at the officer's duty vest, which housed weapons, according to the police documents. The report states that "Huff described he had a death grip on his handcuffs because he did not want to lose them," and that he meant to hit with his knuckles, not the handcuffs. Two other responding officers reported to their supervisor that Huff might have used excessive force. Chief Dave Draovitch fired Huff on May 21 following the internal investigation. The police department at the time issued a statement saying the incident was "not a reflection on the good work BPD officers perform every day, with integrity. Redmann at the time said the case was about a "police department scared of the cancel culture of officers defending themselves if the optic is bad," and one that will cause officers to second-guess their ability to defend themselves in critical situations. Draovitch in his termination letter to Huff said the officer violated several department policies. The chief also said that he reviewed Huff's past performance and "What is most concerning to me is the fact that you have the propensity to lose your temper." The police department documents detail Huff's alleged previous disciplinary issues and past policy violations, including mistreating people. The state Attorney General's Office is weighing whether criminal charges are warranted against Huff. It's unclear when that decision will come. Redmann in his Tuesday statement to the Tribune said Erlandson "has a known felony history of assaulting police officers and resisting arrest coupled with deranged mental health issues, and Officer Huff was forced to make split second, life-or-death decisions to defend himself and return to his family that night, which he stands by." Erlandson the night of the incident was jailed on suspicion of simple assault, preventing arrest, refusal to halt and drug possession. Burleigh County State's Attorney Julie Lawyer decided against filing formal charges against him, following a probe of the incident by the state Bureau of Criminal Investigation. She did not publicly elaborate on her reasons. Erlandson was arrested in July on warrants related to previous run-ins with law enforcement, in October 2022 and February of this year. He faces felony charges of simple assault on a peace officer, drug possession and preventing arrest, along with several misdemeanors. Judges in both cases ordered Erlandson to undergo a mental health evaluation at the State Hospital in Jamestown. South Central District Judge Pamela Nesvig in a Friday order stated that the evaluation determined Erlandson lacked competency to assist in his own defense, and that both the prosecution and defense agreed with the finding. She ordered Erlandson committed to the State Hospital for "competency restoration treatment." Both cases are listed as "inactive." Atoms over Japan (Image by john egan) Details DMCA The 2nd World War supposedly ended in 1945. Most people alive today weren't then. Our knowledge about it comes from pixel bits and second-hand accounts written well after the fact. The World War is, for all intents and purposes, mythical. Nobody really knows what happened. Oppenheimer is in the cinema now. People are now interested, more than usually, about the use of the first atomic bomb. The movie didn't say that the atomic bomb tested at Los Alamos was not the weapon first used against Hiroshima. The Trinity experiment tested a plutonium bomb. The USA had plenty of plutonium. That plutonium bomb, "Fat Man" was used on Nagasaki. The weapon dropped on Hiroshima was an untested uranium bomb. The two bombs are different mechanically. American Uranium resources were practically non-existent. They didn't have enough uranium to build the bomb and they didn't know how to make it go bang. Luckily for them, a captured German submarine's uranium cargo was used to build "Little Boy" that was dropped on Hiroshima. The same submarine offered up the uranium bomb triggers they needed. U-234 Unterseeboot 234 was a jumbo transport submarine that surrendered to the American Navy on May 14, 1945. She was on the way to Germany's Japanese ally. Germany had unlimited uranium resources in the once famous Sudetenland (now Czech Republic). On board was 1,200 pounds of uranium oxide and 50 gold-lined cylinders filled with bomb-grade uranium-235. There were fuses for nuclear bomb detonation. The Americans needed those. A few weeks before, Robert Oppenheim informed President Truman that the American bomb wouldn't be ready until November 1945. They didn't know how to make their "gadget" go boom. Now they had triggers from Germany. Eight weeks later, in July and not November, the gadget exploded. The captured German uranium - 235 and triggers that made it work were declared Top Secret and remain so today. Obviously there is something very immoral about this deception but nobody seems to care. That's why it remains a secret. Nobody talks about it. The official story is the untested uranium bomb was used first because "everybody knew it would work." This is a deception. It worked once with plutonium. Would it work with uranium? Nobody knew. What if it was a dud? What if it landed with a thud in the Hiroshima mud? Then Japan would have America's most advanced technology. In truth "everybody knew it would work" because Nazi Germany had already successfully tested a uranium bomb. This is of course the biggest secret of all. Compliant historians just go along for the ride. * see notes Hiroshima (Image by john egan) Details DMCA Benevolent Victors Another central myth about the bomb was that the United States was forced to use it. Historians all say: the Japanese simply refused to surrender. That's true. Japan refused to surrender because the United States refused to negotiate. They offered no terms. They gave the Japanese one option; unconditional surrender. By this time the Imperial Navy was sunk and its air force shot out of the skies. But the Imperial Army was still intact and a mighty force of seven million men. The Japanese Imperial Army was resilient in a way that is incomprehensible to modern Americans. No Japanese unit of any size ever surrendered in this war. Field Marshall William Slim: If 500 Japanese were ordered to hold a position, we had to kill 495 till it was ours. And then the last 5 killed themselves. At this point in the war, 400,000 Asians were killed every month. After Okinawa fell in May 1945, Japan sought to negotiate surrender. They only asked for preservation of the Emperor whose position was regarded as a Devine spiritual incarnation. The Americans wouldn't discuss the issue, or any issue. The Imperial Army resolved to fight it out to the last man, woman and child in Japan. They reckoned to lose 20 million of their own people and force the enemy into a negotiated settlement. Redoubts in the mountains and hills were already prepared. The Soviet invasion of Manchukuo (Manchuria) wasn't a problem. Their plan was to fall back to the mountains in Korea and fight to the last man. They had 8,000 Kamikaze suicide planes and pilots ready. Women and children trained with bamboo spears. The US Army estimated one million American casualties. Marine Major General Graves B. Erskine: Victory was never in doubt. What was in doubt, in all our minds, was whether there would be any of us left to dedicate our cemetery at the end, or whether the last Marine would die knocking out the last Japanese gun and gunner. Next Page 1 | 2 | 3 | 4 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). 1900 New York polling place. (Image by Wikipedia (commons.wikimedia.org), Author: E. Benjamin Andrews) Details Source DMCA "Section Three of the Fourteenth Amendment is self-enforcing," Steven Calabresi writes at The Volokh Conspiracy. "It is 'the supreme Law of the Land' binding on each of the 50 State Secretaries of State and their subordinates who draw up primary or general election ballots." Calabresi believes former president Donald Trump has disqualified himself as a candidate for president per that constitutional provision by engaging in "insurrection or rebellion" against the United States, and that those Secretaries of State must, therefore, ban his name from upcoming ballots. When Calabresi calls the provision "self-enforcing," he means that "no jury verdict is required." A Secretary of State simply decides that Trump is an insurrectionist and that's that. It's over. He's done. He may not appear on your ballot, and you may not vote for him. Unlike Mr. Calabresi, I'm not a professor of law, but I have at least three problems with his claims. First of all, Section 5 of the 14th Amendment makes clear that no, it isn't "self-enforcing." "The Congress," it says, "shall have power to enforce, by appropriate legislation, the provisions of this article." Secondly, the section he cites forbids insurrectionists to hold, not to run for, office. And thirdly, when the 14th Amendment was ratified in 1868, there was no such thing as "ballot access" under which Secretaries of State had any say at all over which candidates Americans could vote for. Until the 1880s, all American election ballots were, effectively, "write-in" ballots. At the polling place, the voter wrote out his choices, or verbally dictated them to an election official if the voter himself couldn't write, or simply cast a pre-printed ballot provided to him by his preferred political party (yes, "his;" women weren't allowed to vote until 1920). Unless we credit the ratifiers of the 14th Amendment with prophetic abilities, AND assume that they didn't really mean it when they assigned enforcement of the "insurrection" provision to Congress, AND assume that such "self-enforcement" also magically bypasses the court system set up to adjudicate claims under both the Constitution and subordinate legislation, Calabresi's argument simply doesn't hold water. Personally, I'm in favor of returning to the "write-in ballot," which would inherently require that election of an "insurrectionist" be challenged in the courts after the votes are counted. OK, actually I'm in favor of dissolving the government, but barring that, we should get the government out of the job of deciding who we may or may not vote for. And even accepting the legitimacy of the existing system, the only legal way to bar Trump, or anyone else, from the ballot is to prove to a court's satisfaction that he is indeed an "insurrectionist." Which, frankly, doesn't seem like a very high bar. College Hub Boston is Case in Point of National Crisis By Robert Weiner and Annie Cayer The United States and Boston have been grappling with a prolonged epidemic of opioid addiction and abuse. At the forefront of this crisis now killing over 100,000 annually is fentanyl, a synthetic opioid that surpasses the potency of heroin by roughly fifty times. Boston's proximity to major drug trafficking routes and its status as a regional healthcare hub make it vulnerable to the influx of opioids. Adding more concern, Boston stands as a densely populated metropolis where the city boasts one of the highest concentrations of college students in the nation. John Fantegrossi, who works in the Addiction Medicine Division at Boston Children's Hospital told us, "In college, substance use is very rampant and only about 3% of college students seek treatments because abusing substances is so accepted." He added, "The concern is that the drug dealers aren't focused on quality control so there is cross contamination into drugs like cocaine and cannabis." In 2021, 12% of cocaine samples tested in Boston were flagged positive for fentanyl, according to the Boston Public Health Commission. Click Here . Massachusetts leaders have explored various strategies in an attempt to address the crisis. Attorney General Andrea Cambell has a comprehensive approach involving holding pharmaceutical companies accountable, ensuring equitable access to treatment, and collaborating with federal and local law enforcement. The opioid crisis originated in the mid-1990s when Purdue Pharma introduced FDA-endorsed OxyContin, leading to a surge in fatalities from legally prescribed opioids. During this time, Barry McCaffrey, the Director of the Office of National Drug Control Policy (ONDCP) from 1996 to 2001, implemented strategies to address drug trafficking and production in Colombia, particularly targeting the cocaine trade. Cocaine and crack use in the U.S. dropped by over half, with the assistance of "Plan Colombia." Another notable achievement was McCaffrey's anti-drug use youth media campaign, which, despite its $3 billion public-private partnership as part of a $30 billion federal drug-control budget, became the most prominent aspect of the effort against drugs for many Americans. The campaign's TV, print, and online ads reached approximately 90% of teenagers at least four times a week, and there was a 30% drop in youth drug use 1998 to 2001. However, waves of deaths continued, with the expansion of the heroin market. Now, a new wave of fatalities -- over 100,000 a year- has occurred due to the widespread presence of fentanyl. "Substance abuse has been going on for a long time and I don't think it will ever go away, however, education is very important and how society talks about substance use," said Fantegrossi. What makes fentanyl especially frightening is the fact that it can and is infiltrating every corner of our country. From rural America to large metropolitan cities, like Boston, and as the DEA coined; "one pill can kill." Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Fierce and deadly clashes between rival factions in Ein el-Hilweh Palestinian refugee camp in southern Lebanon have killed two children and 10 others, while at least 56 have been wounded according to sources at Al Hamshari Hospital. The extremist groups Jund al Sham and Shabab al Muslim have been facing off against Fatah fighters in the largest Palestinian refugee camp in Lebanon, near the city of Sidon, close to the Israeli border. The UN agency for Palestinian refugees, UNRWA, has reported that more than 2,000 people were forced to flee in search of safety since the beginning of the clashes. The camp was formed in 1948 to shelter Palestinian refugees fleeing the formation of Israel, where they had been forced from their homes, lands and businesses in a program of ethnic cleansing, which is ongoing in the Jewish State of Israel. The fighting broke out Saturday night, and into Sunday morning, while a cease-fire was reached late Monday, but broke down as new clashes erupted on Tuesday. The violence began when an unknown gunman attempted to assassinate Mahmoud Khalil, but killed his companion instead. In retaliation, militants assassinated Abu Ashraf al Armoushi, a Palestinian military general from the Fatah group and three escorts. Israeli Defense Forces Chief of staff Herzi Halevi and President Isaac Herzog made separate trips Wednesday to Israel's northern border with Lebanon amid heightened tensions. Ousama Saad, Member of the Lebanese Parliament, blamed Israel for the violence. Saad said, "The Zionist enemy is escalating against Lebanon and Palestine, and we must not help it by fomenting sedition inside Palestinian camps, which is in the Israeli interest." Prime Minister Benjamin Netanyahu is facing a huge domestic political crisis that threatens civil war after a judicial reform bill passed in the most religious extremist government in Israeli history. Netanyahu often has resorted in the past to creating violence among Palestinian groups in an effort to unite his domestic Jewish citizens. However, this time it might backfire on him, as many Israeli military personnel have pledged to not report for duty as a personal form of protest to the law, which many say has taken away democracy from Israel. Palestinian resistance groups in the Occupied West Bank have been carrying out operations to resist the occupation of their land, and the lack of human rights and dignity at the hands of the brutal Israeli military. On Sunday, factions blazed away with assault rifles and rocket-propelled grenade launchers and lobbed hand grenades in the refugee camp as ambulances zoomed through its narrow streets to take the wounded to the hospital. Lebanese reaction Palestinian Ambassador to Lebanon Ashraf Dabbour met with the commander of the Lebanese army, Gen. Joseph Aoun, on Wednesday to discuss developments in the camps and attempts to secure a new cease-fire. Some sniper bullets and shells crossed the outskirts of the camp into the nearby neighborhoods in Sidon city, and a "B7" shell exploded near a point where several photographers and media staff were stationed, but no injuries were recorded. Many Lebanese soldiers were deployed in the area, and Interior Minister Bassam Mawlawi stressed that "the army is carrying out all its duties in this region, as in others, despite all the difficult circumstances". The Lebanese army said in a statement that a mortar shell hit a military barracks outside the camp and wounded one soldier, whose condition is stable. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Israeli airstrikes on Syria have become routine and deadly. On Monday, Israeli airstrikes on Damascus at 2:20 am local time killed four Syrian soldiers and wounded four others. Damascus residents were awoken from sleep to sounds and flashes of bright lights from the attacks. Regardless of the numerous attacks, the civilian populations are held hostage to the Israeli military and their relentless attacks which cause emotional distress on innocent people sleeping in their own homes, while trying to survive in a collapsed economy which has pushed the majority to the poverty line. The US has strangled the Syrian civilians with years of sanctions which have prevented pharmacies and hospitals from ordering special medicines from US and European manufacturers. For example, in a case last month, a woman in Syria had a case of ocular herpes (herpes virus attack in the eye) and the required injections of a medicine made in France were unavailable due to US and EU sanctions. While the US sanctions deprive Syrian civilians from medicines, the US military is occupying the northeast of Syria and has confiscated the oil produced at the largest production wells. This has translated into chronic gasoline shortages and long lines at the gas pumps, sometimes for days. The US supports the Kurdish militia, SDF and YPG, which are considered by Turkey to be linked to the outlawed terrorists group PKK, responsible for 30,000 deaths in Turkey over decades. Although the US government is anti-communist, the Kurdish administration in northeast Syria is run under strict communist political ideology and supported by the White House and US Congress. The Syrian government condemned the Monday attack and requested the UN Security Council to take action to prevent further Israeli attacks on Syria. This latest attack on Damascus, the oldest capital in the world, targeted areas near Damascus International Airport, Dimas Airport and Kisweh causing deaths, injuries and property damage. The attack was launched from the Golan Heights, which is Syrian land according to international agreements, but is occupied by Israel since 1967. The local population is living under a brutal and long lasting military occupation. During the Trump regime, the US recognized the Israeli annexation of the Golan Heights, contrary to UN resolutions. Syrian air defenses intercepted the Israeli missiles and shot down some of them according to a Syrian military source reporting to the Syrian Arab News Agency (SANA). Israeli forces attacked seven targets in Damascus in July. They were said to include warehouses used by the Lebanese Resistance group and other allies of the Syrian military. Israel has attacked Syria hundreds of times over the years, and all have been unprovoked attacks on a sovereign state. In every case but one, Syria has never retaliated and attacked Israel. In fact, Syria has been fighting terrorists who could have threatened the security of Israel, and Syria has kept their borders with Israel secure. However, in the armed conflict which began in Syria in 2011, which was a failed US-NATO plan for regime change, armed Radical Islamic terrorists with Jibhat al Nusra, the Al Qaeda affiliate, crossed into Israel from the Golan Heights to receive medical treatment in the Israeli public hospitals, where Prime Minister Benjamin Netanyahu personally made bedside visits to injured terrorists. By 2015, terrorists had occupied large swaths of land across Syria. Iran, Russia and the Lebanese Resistance group all were called upon to participate in the liberation of Syria, as well as the fight against terrorists, including the ISIS. President Trump would have you believe his administration defeated ISIS across Iraq and Syria, but in reality it was a large international effort by Iraq, Iran, Russia and Syria which defeated the group. On July 19, Israeli air strikes near Damascus killed three Syrian soldiers and wounded four others. On June 14, Israel carried out air strikes near Damascus wounding a soldier. In late May, Israeli air strikes hit Damascus wounding five. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). The fall of Kabul to the Afghan Taliban in August 2021 marked a turning point not only in Afghanistan's history but also in the assessments of key stakeholders, particularly the United States and Pakistan. As the two-year anniversary of this event approaches, it's essential to reflect on the predictions and miscalculations made by intelligence communities, especially those of the US and Pakistan, and the subsequent challenges that have arisen. The rapid collapse of the Afghan government and security forces took the world by surprise, shedding light on the limitations of intelligence assessments. Both the US and Pakistani intelligence communities miscalculated the Afghan Taliban's capabilities and the speed at which Kabul would fall. Initially predicting timelines ranging from nine months to three months for the Taliban to take control, these assessments failed to account for the intricate dynamics at play on the ground. Pakistan, as a neighboring country with historical linkages to the Afghan Taliban, held certain expectations regarding their return to power. One of the primary assumptions was that the Afghan Taliban would help quell the Tehreek-e-Taliban Pakistan (TTP), a militant group that posed a significant threat to Pakistan's security. However, this hope was shattered as the TTP continued launching cross-border attacks, revealing that the Afghan Taliban's priorities did not align with Pakistan's security concerns. The failure of the Afghan Taliban to address the TTP problem highlighted the complexity of the relationship between Pakistan and the Taliban. While Pakistan had initially seen the Taliban's resurgence as an opportunity for regional stability, it became evident that their goals did not necessarily align. The refusal of the Afghan Taliban to take action against the TTP strained the relationship between Islamabad and the group, leading to a reevaluation of Pakistan's interests and objectives. The aftermath of the Taliban's return to power has also had broader consequences. The chaotic withdrawal of US-led foreign forces not only led to a swift Taliban takeover but also created a power vacuum that extremist groups like ISIS sought to exploit. The region's stability became even more precarious as these groups attempted to establish a foothold, highlighting the need for a more nuanced understanding of the complexities involved. The events in Afghanistan have underscored the importance of understanding the intricacies of regional dynamics. It's essential for intelligence agencies and policymakers to acknowledge the limitations of their assessments and incorporate a broader range of perspectives to make more accurate predictions. As Pakistan grapples with the resurgence of the TTP and the evolving situation in Afghanistan, a comprehensive reevaluation of its approach to the region is imperative. The fall of Kabul in August 2021 shattered the assumptions and predictions of intelligence communities and laid bare the complex realities of the region. The miscalculations of the US and Pakistani intelligence agencies highlighted the need for a more nuanced understanding of Afghanistan's dynamics and the challenges posed by extremist groups. The Afghan Taliban's return to power has not only strained Pakistan's relationship with the group but also exposed the potential consequences of flawed assessments in a volatile region. As the two-year anniversary of Kabul's fall approaches, it's a reminder of the importance of continuous reassessment and adaptation in the face of evolving challenges. A federal judge on Tuesday ordered the Washington County sheriff to release criminal defendants from jail if they have been held for 10 days without a lawyer, injecting new urgency into Oregons complicated and long-running public defense crisis. As of this week, 2,580 defendants across the state are stranded without lawyers. That number includes 164 people who are in jail without lawyers, according to the Oregon Judicial Department. More than 164,000 current and former Oregon college students or their contacts received a false email from the states Higher Education Coordinating Commission Tuesday alerting them theyd been approved for an Oregon Promise financial aid grant. They hadnt. Only 14 students and their contacts were supposed to receive Tuesdays notice about a grant for the upcoming academic year. Instead, a mistake blasted the award notice to anyone who had started an Oregon Promise application since the program started in 2016, plus their contacts. The 164,000 recipients were not in fact awarded grant funds, commission spokesperson Endi Hartigan said Tuesday, and they should have received a follow-up email alerting them to the error. We sincerely apologize for the error and for any confusion, Hartigan said in an email. Employees in the commissions Office of Student Access and Completion are answering calls and questions prompted by the false alert, Hartigan said. Oregon Promise is a grant program that covers the cost of tuition for Oregon high school graduates who immediately enroll in community college. It has some strict eligibility requirements, particularly regarding whether students enroll in a state community college within six months of finishing high school and is sometimes limited to students under a certain income threshold. Only about 4,500 to 6,000 students are granted the award each year. Sammus Prehn told his wife just two days ago how much he missed college. Prehn grew up with little money, parents who never finished college and average grades. When the Oregon Promise was instituted, it felt like the first time higher education was in reach for him. The thought of free community college tuition pushed Prehn to try harder in school and he discovered a passion for social work. With the help of the promise grant, Prehn enrolled in Portland Community College in the fall of 2019. When he ran into health issues during the pandemic, Prehn made the difficult choice to leave before hed finished his degree, though stopping his progress at PCC meant giving up his remaining Oregon Promise funds. Receiving an email on Tuesday that he could renew his Oregon Promise made Prehns heart stop, he said. He wasnt sure he could believe the news, but he couldnt help but dream of earning his remaining credits, finishing his degree and becoming a counselor to maybe finally make a dent in my familys history of intergenerational poverty. A visit to Prehns online financial aid portal revealed the error. Big red Xs reminded him that he had failed to meet the grants renewal requirements. Hours later, Prehn received a second email, notifying him that the grant alert had been sent in error. Needless to say, I am heartbroken, Prehn said. This story was brought to you through a partnership between The Oregonian/OregonLive and Report for America. Learn how to support this crucial work. Sami Edge covers higher education for The Oregonian. You can reach her at sedge@oregonian.com or (503) 260-3430. The Portland Police Bureau has contracted with the firm Force Science to help train its police instructors even though the company has drawn sustained criticism over the years, including a recent scathing putdown by a federal judge in California. Portland police paid about $35,000 to hire the controversial Minnesota-based institute to teach 30 officers its five-day, 40-hour Methods of Instruction course on how to be effective training instructors. The institutes website says the course will help instructors train police to respond to rapidly unfolding, high pressure events. Joe Cortright Cortright is a Portland economist and director of City Observatory, an urban policy think tank. He is also the co-founder of No More Freeways, an advocacy group that opposes freeway expansions. For more than a century, Oregon has embraced the notion that our road system should be primarily funded by those who use it. From the passage of the nations first gas tax in 1919, the state has shrewdly put the burden of expanding our network on those who drive it. But in the waning hours of the legislative session earlier this year, Oregon lawmakers abruptly changed course when it came to funding its share of the planned new interstate bridge over the Columbia River. Rather than uphold this bedrock principle of public finance, legislators authorized issuing $1 billion in general obligation bonds, while simultaneously eliminating pre-existing financial guardrails on the bridge project. The upshot is that lawmakers are committing the states general fund dollars money that pays for schools, health care, affordable housing and social services to pay back bonds issued for the bridge. This is not only a fiscal crime, but an environmental and generational one as well. Legislators need to reconsider this rash decision and put transportation finance on a financially and environmentally sustainable path. The fiscal concerns of pledging Oregon tax revenue that already must pay for a broad range of needs are clear. But the Legislature is also taking this action at a time when we are in the midst of a worsening climate crisis, propelled mostly by transportation emissions the largest source of greenhouse gases in Oregon and the United States. This is a direct subsidy to pay people to drive more. Absent this general fund commitment, tolls would have to be as much as $5.00 or more-twice as high as the $2.05 to $3.55 per trip currently envisioned to pay for this project. This plan also sells out future generations by sending the bill to our kids. The general obligation bonds will be repaid, with interest, over the next two to three decades, meaning that future generations may have less to cover basic public services, because weve sent them the bill for this massive, climate polluting infrastructure. This funding plan also entrusts execution of this highly complex project to Oregons most inept and incompetent bureaucracy. Every one of the Oregon Department of Transportations major projects has experienced massive cost-overruns, often doubling or more in cost. With declining gas-tax revenue, the agency is going broke, cutting maintenance and preservation while it pursues expensive highway widening projects. Not only that, but according to Census data and transportation studies, 80% of daily commuters and two-thirds of all traffic on the I-5 bridge are Washington residents driving to jobs and shopping in Oregon. Instead of asking these users to pay a greater portion of the cost, Oregon will use the tax dollars of future generations of Oregonians to subsidize their car trips. The state instead should be investing in bike, walking and transit projects that are both less expensive and less environmentally harmful. This is a blank check for cost overruns and revenue shortfalls. It would be bad enough if the states liability were merely the $1 billion committed in this bill, but its much worse than that. The $1 billion is just a down payment. Once the state moves forward with the project, it is fully liable for its share of any cost overruns, toll revenue shortfalls or failures to get as much federal funding as hoped for. ODOT has no experience accurately forecasting toll revenue, and it has a track record of blowing through project budgets. It will surely come back to future legislatures, hat-in-hand, saying that the Legislature needs to spend more money to finish the job. In future years, when the climate crisis is getting worse, when ODOTs budget is in worse shape, when we dont have enough money in the general fund to pay for schools and other public services, when there isnt money to deal with orphan highways and deadly roads that kill cyclists and pedestrians, when were cutting public transit service, when pavement is deteriorating, youll be able to look back and see one decision that made all of these problems worse: the Legislatures 11th-hour backroom deal to squander a billion dollars-plus in general funds on the Interstate Bridge Replacement. Sign up for our free Oregon Opinion newsletter. Email: Press Release August 16, 2023 TRANSCRIPT OF INTERPELLATION OF SENATOR RISA HONTIVEROS DURING THE SENATE COMMITTEE ON FOREIGN AFFAIRS HEARINGS August 16, 2023 Senator Risa Hontiveros (SRH): Without going into specifics, which could be discussed in Executive Session, may factual basis po ba sa mga ulat na baka may saboteur or informants na nagooperate sa loob ng Pilipinas na sumusuporta doon sa illegitimate policy ng Chinese government sa West Philippine Sea? I heard this also from a statement from the PCG Spokesperson, Commodore Tariela, na yung Chinese government parang, mukhang may advance knowledge sa ating resupply missions sa Ayungin at baka daw may Chinese informants sa Pilipinas. Is there anything that [anyone] could tell in open hearing? DND Usec. Espino: That is a possibility. Of course, we are always thinking that during the loading of supplies, there are already informants in the areas where we load our supply before the operations is conducted. So nakakakuha na sila ng mga inputs doon at information. SRH: Salamat, Usec. Paano nga ba na ang mga Tsino na-iintercept yung ating mga resupply missions? Halimbawa, sa dami ng mga ships nila, dun sa palibot ng Ayungin, could that situation in fact be described already as a blockade? DND Usec. Espino: Yes, Madam Chair. At any one time there are daily presence of about 80 to 200 militia ships and China Coast Guards present in the area. So even if we escort our vessels, our private vessels supplying the necessary requirements of Ayungin, hindi po tayo, we could hardly counter the number of vessels from China. We normally escort one coast guard and one navy ship everytime they conduct the resupply. The Chinese ship and plenty of Coast Guard plus the militia ships presence in the area. SRH: Salamat. In fact, naalala ko po sa confirmation hearing ng isang opisyal natin sa Armed Forces of the Philippines, at the time, the gentleman described the swarming as akin to a phalanx. At sa amin pong mga sibilyan, alam namin ang phalanx military tactic or formation yan. To hear of 80 to 200 hostile Chinese vessels conducting such operations around Ayungin, talagang it's a cause for grave concern. SRH: Followup lang doon sa tanong ni Sen. Robin. Kaya po ninyo binuo yung AFP Modernization Program diba, yung tatlong horizons na sinabi ni Chair, para may framework din po kami sa pagbuo ng mga supportive policies and yung isa pong lagi naming naririnig sa inyo yung isang layunin niyo maka-abot tayo sa strategic defense posture na konektado din sa pinaguusapan, anong klaseng aid ang hihiingin? Yung domestic production, mga kakailanganin natin for national defense but at least yun po yung pinaka-pwede naming tignan na gabay. DFA Asec. Gonzaga: As we think together how you can be supportive of the defense modernization plans, I should probably mention that we could track that with the ongoing discussions we have with the United States on what we're trying to do, a security assistance road map for the AFP. That one we're matching the needs of the AFP with the capabilities they can provide. I think we will all just bear that in mind as we work together. SRH: Baka maganda hindi lang sa US magamit itong roadmap pero lahat ng mga whether treaty allies basta mga allies natin sa sabihin nating rule of law sa karagatan. DFA Asec. Gonzaga: That has been recommended po and we're definitely going to pursue that. SRH: Tungkol sa SEATO.. May strategic advantages po ba sa pagpasok sa ganyang klaseng multilateral security arrangements kumpara sa bilateral lang na arrangements sa ating mga allies at partners? DFA USec Siriban: Yes, there are bilateral arrangements of course we have a number of them but I think multilateral engagements can be force multipliers. If we are able to as what Sec. Manalo reiterated many times, we are always open to working with as many partners as possible, not just with traditional partners, but with non-traditional partners as well. And we have been starting to do that in recent years. SRH: Isang maganda pa sa force multipliers, hindi lang na namumultiply nila yung force natin, pero these kinds of multilateral formations enable us to build unities among the different partners and allies kahit dun sa iba o sa bawat isa sa kanila, we may also have issues with them. Pero kapag ka magkasundo on common principles, halimbawa on rule of law in the sea based on UNCLOs, at least binding yon at pwedeng mabalanse yung conflicts that naturally come up from time to time, kung sa mga indibidwal nga na tao, eh kahit sa mga bansa. Just a last question about SEATO. Ano po ang mga parameters or posibleng parameters ng ganyang klaseng multilateral, regional security arrangement? May mga broad strokes ba sa imahinasyon ng DFA? DFA USec Siriban: If you would allow us to take a look at it in more detail, when SEATO was originally conceptualized, it was against the communist threat in the 70s. The situation pa ito. And of course, we have to.. titignan po natin what kind of elements can go into these multilateral arrangements. SRH: Naalala ko yung previous closed door briefing niyo sa amin and then yung hearing, tinanong po ng chair, wala bang papel ang Kongreso or at least ang Senado doon sa pag-alam sa apat na bagong sites? Even now na palapit hopefully yung exchange of notes, ganon parin ba ang status? Walang papel ang Senado sa usaping ito? DFA Asec Gonzaga: Essentially, obviously, there is no formal role for the Senate because this is an implementation of an international agreement already that has been ruled as not needing Senate concurrence in the context of EDCA. But obviously the engagement that we do and the cooperation that we can promise the committee, in terms of briefing you and providing you updates, that goes without saying po. SRH: Siyempre na-appreciate ko yung patuloy na commitment sa mga briefings, sa mga updates. Siguro naiintindihan niyo rin po why this is a longstanding issue dahil sineseryoso din ng Senado na kami ang treaty-making body so anumang mga bagay ng international relations, siyempre medyo inaassert namin yung papel. But I can leave it at that for now at least na-register ko na continuing concern ng kumite. SRH: Doon sa Ayungin incident, naalala ko sabi ng Coast Guard yung pagdadala lang ng tubig sa barko ay para lang sa fire fighting kaya talagang maling-mali yung ginawa ng Tsina sa atin DFA Asec. Gonzaga: Lawyers from both sides have a lot to say about these things, about water cannons, about these kinds of activities ... We have to look at the guidelines as a living document so discussions are ongoing, Asec Lorenzo and I continue to discuss with our US counterparts and we're hoping to get better clarification. SRH: May mga observers at analysts na nilarawan yung MDT bilang "unequal arrangement" lalo na considering, gaya ng sinabi ng Chair, yung US inupdate na niya yung security arrangements sa ibang partners sa region, halimbawa Japan, several times na within the same time frame in the last 70 years. Understandably, pwedeng sabihin na cinonsider tayong second class ally for some time. Sa konteksto ng kasaysayan natin na we were a former colony of the US, may kasabihan pa nga noon, diba ang tingin sa atin ay little brown brothers. Kaya ngayon, kapag pinaguusapan yung pag-review, pag-update ng MDT, ang iniisip ko po, ngayon ally natin ang US para makaeffectively push back tayo sa Tsina pero that alliance has to be defined as an alliance between equals. I wanted to ask how we can ensure itong arrangement, reviewed, updated, or anong itatawag natin na MDT, hindi unequal? Na resrespetuhin ng US ang soberanya natin, at hindi tayo matretreat na junior partners. Anong ginagawa natin para i-modernize at i-update ang mga security arrangements sa US at ibang partners sa region para sapat na i-confront yung hamon ng aggressive posture at saka gray zone tactics ng Chinese government sa West Philippine Sea? DND Usec. Espino: Let me just expound on our general strategy on how we would address the situation in the West PH Sea. We are looking at three lines of efforts because the problem in the WPS is multifaceted. It cannot just be looked at with one prism. Our approach is first to strengthen our economy. Currently, our economy is very vulnerable to sanctions by our probable partners and our ability to make a tougher position or response would be dependent on how resilient our economy is to sanctions so that one. Another is to leverage our alliances not only with the Americans but all other security partners in the region. All those that believe in the principle of rules-based international order and the next is to modernize our armed forces. These three our interrelated. Once we achieve a certain level of resilience and the support that we get from our alliance, from our partners, not only on military aspect but we are trying to put economic dimension on those alliances, even the MDT, and we develop our credible defense posture, then we can make a tougher position in the WPS to address the situation. All of these is underpinned by strategic communication led by the DFA and other relevant agencies. In a nutshell, that's the way we respond to the challenges in the WPS. SRH: Salamat, Usec. Given itong tatlong lines of efforts, ano yung mga probisyon sa MDT na kailangan iclarify o i-modernize kung mayroon man? DND Usec. Madriaga: The continuous review of the MDT makes it a living document as Asec. JV has said, all of those no longer relevant provisions, like the expansion of the definition of a metropolitan territory, the inclusion of nonconventional threats and putting an economic dimension on the MDT not only on purely military I think is one way of modernizing the alliance. Since we understand that in 1951 we were only confronting a bipolar world in the cold war, but now the security sitaution is different so we have to address some of the provisions, include other dimensions and allow us treaty partners and as individual countries to address the emerging situation in our security environment. SRH: Dahil binanggit ninyo Vice Admiral Punzalan yung maritime domain awareness, ang DFA also has been conducting information and education campaign tungkol sa Arbitral Award. Baka maganda yung mga ahensya natin, departments and agencies na may similar efforts, kumabaga parang force multiplier din as we were talking about SEATO earlier para matutunan ng bawat Pilipino lalo na ng mga bata natin how we are an archipelagic and maritime nation with even more water than land territory in our national territory. Di ko matapos pag-isipan yung ongoing study on acts of aggression. Like itong water-cannoning na ginawa sa Coast Guard natin, baka nga the Chinese Coast Guard was keeping it within the domain of gray zone tactics para hindi siya umabot sa threshold ng act of aggression, defined as armed attack, precisely para hindi matrigger yung MDT. And I don't know if the new security guidelines shed some light on, dahil it's short of an act of war, at I think marami tayo ayaw matrigger ang MDT, it remains a deterrent na paano tayo parin effectively maka-responde sa ganyang offensive actions. Lastly, kasi nabanggit narin kanina ang cyberattacks, just a few questions. Ano po yung risks involved sa isang kumpanya, and here I'm talking about Udenna Corporation affiliated with China telecom, na pinapayagang mag-install ng telecommunications equipment malapit o sa loob mismo ng ating Philippine military bases, at pano pwedeng mamitigate yung mga risks na iyon? I actually filed a resolution on this last Congress pa. It was referred to the then committee of defense kaya lang hindi narinig kaya gusto ko lang itanong ulit for any comments from our resource persons. AFP BGeneral Cordova: Currently I dont have answers to that question maam but we will coordinate with our other staff. We will submit it to the committee. SRH: Parang merong disinformation network na nagkokondukta ng kampanya sa loob ng bansa natin na sumusuporta sa polisiya ng Chinese government sa West Philippine Sea? Meron din po bang mga Pilipinong kasangkot dito kaya? Alam ba ng ating mga security agencies ito? And if so anong gagawin natin laban sa ganitong klaseng foreign interference sa ating internal affairs? Maybe to help, if it can, natanong ko kay then National Security adviser Esperon? Meron ba tayong cyberdefense strategy and therefore meron ba tayong cyberdefense program and at that time wala pa or nasa super infant stages pa? NICA Director Totanes: We will check our records on this. But on cybersecurity, I don't know if we have representatives from DICT who are in a better position to answer the query. SRH: I-make of record ko lang po na mula nung nakaraang Kongreso hanggang ngayon, ang posisuon ko po ay tanggalin natin ang any Chinese government State-owned enterprise na nasa telecomms lalo na nasa loob ng ating sariling mga military camps and facilities. SRH: Lastly, kung yung mga foreign state initiated cyberattacks are to be considered armed attacks... anong countermeasures ang dapat maprovide for sa ilalim ng isang updated at modernized MDT at sa ating ibang security arrangements? Asec. Gonzaga: Yung binabanggit po ninyo na hybrid or irregular warfare. That's already in the radar screen of the Philippine-US defense alliance and as a matter of fact that's already explicity recognized in the defense guidelines as well so in response to your last question, we're in the early stages of really trying to understand the nature and scope and breadth of threat of irregular and hybrid warfare. There are things and aspects in the defense guidelines that already speak about working on cooperation, interoperability, building into our exercises this issue of hybrid and irregular warfare so obviously moving forward we will not only be focusing just on the kinetic exercises but we will be focusing also on table top exercises, roundtable discussions anticipating all of these threats that are new to us in the current security environment that we have. SRH: Although baka hindi ganoon ka-new. We've been talking about it for a few years. Of course it goes without saying way ahead ang US sa atin dito sila mismo diba tinanggal yata nila ang Huawei sa kanila and Eu countries have put up similar safeguards in the current environment, so there's a lot we can learn from. And sana maihabol talaga yung cyberdefense strategy and programs kasi sa panahon ngayon mahirap naman magpatuloy kung wala parin o hilaw parin. Minot Air Force Base is building a facility to house modern helicopters that the base will get in coming years. The new MH-139 Grey Wolf helicopters will replace the military's Vietnam-era Bell UH-1N Huey choppers, according to U.S. Sens. John Hoeven and Kevin Cramer, R-N.D. The Minot base is in line to get 11 of the helicopters by 2027, according to Cramer's office. The base right now has eight Hueys, according to Hoeven's office. The base also is getting a $140 million facility to house the Grey Wolfs. Ground was broken for the project on Tuesday, culminating what Hoeven said was eight years of work. The facility will include a 133,000-square-foot building and 30,000 square yards of apron and taxiway space to support the Grey Wolfs, the 54th Helicopter Squadron and the 91st Security Forces Group Tactical Response Force. The new building will consist of three maintenance hangar bays, six aircraft shelter bays and three hangar bays for aircraft on alert, according to base public affairs. It also will have offices, briefing rooms, storage space, aircrew flight equipment storage, and four bays to house land vehicles for tactical response. It will house around 35 personnel on 24-hour multiday rotations. The Minot base is home to B-52 bombers and also oversees Minuteman III intercontinental ballistic missiles in underground silos in the northwestern and north central North Dakota countryside. Helicopters are used in missile field security work. Minot Air Force Base is on the front lines of our national defense on a daily basis and is growing evermore central to our security, as our adversaries grow bolder and attempt to exceed our capabilities, Hoeven said in a statement. Thats why our nuclear modernization efforts are so critical." The U.S. Air Force announced the Grey Wolf helicopters in 2019, saying it will procure 84 of them from Boeing under a $3 billion contract, mainly to support the the nations ICBM fields in North Dakota, Montana and Wyoming. Joint Base Andrews in Maryland also is getting the new helicopter, for security in the Washington, D.C., area. Benefits of the new helicopters include a 50% increase in cruising speed and range, a 30% larger cabin and state-of-the-art avionics technology, according to Boeing. The Air Force in 2019 said the name was chosen because the wild grey wolf of northern North America "strikes fear in the hearts of many." The base in a statement said, "This project will consolidate functions and greatly improve the Intercontinental Ballistic Missile site security response times for the 54th Helicopter Squadron and the Tactical Response Force. Some low-income Portlanders may finally have the tools to stay comfortable at home amid a heat wave. Home Forward, the states largest provider of affordable public housing, has reported improvements in installing air conditioning units for its residents. This year, Home Forward received 1,148 requests for units across its locations and fulfilled around 92% of them, according to Kandy Sage, chief financial officer at Home Forward. There is more work to be done long term, but we are in a much better position in terms of responding to and preparing for extreme weather events, Sage said in a statement. The organization has hired a team of air conditioning installers, a truck driver and more administrative staff to install units full time, Sage said. Of the 1,051 units provided to residents this year, 790 were provided by Cooling Portland, a city program. An additional 261 units were purchased by Home Forward. Home Forward has also started providing on-site cooling centers at some properties, as well as additional information on county cooling centers and instructions on requesting air conditioning units. Home Forward has struggled to meet demand in the past, and residents reported long waits during the long stretch of days over 95 degrees in 2022. Residents who want more information can visit Home Forwards extreme weather page. People who dont live in Home Forward properties also have options. Those who qualify for medical assistance through the Oregon Health Authority may be eligible for a free air conditioning unit next year. Air conditioning units may be provided by the state or partnering organizations for those at risk for heat-related illness or with disabilities. Under Senate Bill 1536, which passed in March 2022, the Oregon Health Authority created a program to distribute free AC units to Oregon Health Plan members. The state delivered 3,000 units this year, according to Amy Bacher, health policy communications officer. The program is not accepting applications at this time, but those in need of an air conditioning unit in the Portland area can check the citys website for additional opportunities. Austin De Dios; adedios@oregonian.com; @austindedios; 503-319-9744 Our journalism needs your support. Please become a subscriber today at OregonLive.com/subscribe A person found dead Monday in Southeast Portland likely succumbed to record temperatures the first suspected local death related to Oregons heat wave, health officials said. The Multnomah County medical examiner said the person was found when the neighborhood temperature had reached 106 degrees. Further testing will be required to confirm heat as the cause of death. The death is a grim reminder of the June 2021 heat dome that killed some 100 Oregonians, including dozens in Multnomah County. City and county officials declared a heat emergency Sunday and opened shelters in Old Town and Gresham and reopened them Monday and Tuesday. Mondays recorded temperature at Portland International Airport 108 degrees was the hottest on record for August since records have been kept at the airport. The previous record was 107 degrees in 1981. By Tuesday afternoon, the temperature at the airport had reached 100. Portland is now on track to break the record for most days in any decade with temperatures of 100 or more at the airport. The count is now 15 nonconsecutive days at 100 or above since 2020. That ties the record set in the 1970s, 1980s and 2000s. Sarah Dean, a spokesperson for the county health department, declined to provide further information on the person who died and the circumstances, citing the pending investigation. Zane Sparling; zsparling@oregonian.com; 503-319-7083; @pdxzane Our journalism needs your support. Please become a subscriber today at OregonLive.com/subscribe Rural areas near Californias border with Oregon were under evacuation orders Wednesday after gusty winds from a thunderstorm sent a lightning-sparked wildfire racing through national forest lands, authorities said. The blaze in Siskiyou County, dubbed the Head fire, was one of at least 20 fires most of them tiny that erupted in the Klamath National Forest as thunderstorms brought lightning and downdrafts that drove the flames through timber and rural lands. This has been a fire that has moved extremely quickly, Forest Supervisor Rachel Smith told The Associated Press. Just in a matter of a couple of minutes yesterday afternoon the fire grew from just 50 acres to nearly 1,500 acres. This is the kind of growth that historically we have not experienced on our forest prior to the last couple of years. An overflight late Tuesday measured the fire at 4.2 square miles, slightly smaller than initial estimates after it grew rapidly in just a few hours. A forest statement said fire behavior also decreased during the night. Firefighters were working to protect homes near the confluence of the Scott and Klamath rivers, a very lightly populated area about 20 miles from the California-Oregon state line and about 50 miles northwest of Mt. Shasta. There werent any immediate reports of injuries or homes burned Tuesday night. However, the Siskiyou County Sheriffs Office issued evacuation orders for several areas, including one south of Hamburg, a riverside community of around 100 people. Additional areas were warned to be ready to evacuate. State Route 96 was also closed. In this photo provided by Caltrans, smoke rises from the Head fire in Klamath National Forest, Calif., on Tuesday Aug. 15, 2023. A wildfire pushed by gusty winds from a thunderstorm raced through national forest land near California's border with Oregon, prompting evacuations in the rural area.Roger Matthews/Caltrans via AP The Head fire was burning near the site of the McKinney fire, which began on July 29 of last year. That fire started in the Klamath National Forest and exploded in size when a thunderstorm created winds up to 50 mph. It reduced much of Klamath River, a scenic community of about 200 people, to ash and killed four people, including two who may have been trying to flee the flames. Their bodies were found inside a charred vehicle in the driveway of a home. Forecasters said weather would continue to be hot and dry but with instability caused by moist air being pulled into the region, bringing the threat of afternoon and evening dry thunderstorms with strong outflow winds. That pattern was expected to break down on Thursday, with cooler and calmer weather entering the weekend. Klamath National Forest sprawls over more than 2,650 square miles in Northern California and southern Oregon. A slew of other lightning-caused fires were reported Tuesday in Northern California, including in Mendocino County, Shasta-Trinity National Forest and the Tahoe area, although most were small and quickly contained, fire officials said. --The Associated Press We have used your information to see if you have a subscription with us, but did not find one. Please use the button below to verify an existing account or to purchase a new subscription. Cape Town, South Africa (PANA) Rwandan genocide fugitive Fulgence Kayishema, who was arrested near Cape Town earlier this year, was re-arrested on Tuesday on the orders of the United Nations International Residual Mechanism for Criminal Tribunals Antivegetable crusader Jordan Peterson has a new edition of one of this books out, and the best thing about it is how it misrepresents quotes from negative reviews as blurbs. The book cover quoted a line from the Times saying the book was "a philosophy of the meaning of life". But it didn't mention that the review described that philosophy as "bonkers". Peterson is a gross misogynist kook. Be wary of critics who complain about this, though, and especially anyone suggesting lawsuits. One of the examples in the story appears to be someone more or less complaining that they simply don't want the praise in their review quoted. Thomas-Corr's review did feature some praise, and it was these passages that were quoted on the paperback's cover. One line quoted Thomas-Corr saying it was "genuinely enlightening and often poignant". Another said: "Here is a father figure who takes his audience seriously. And here is a grander narrative about truth, being, order and chaos that stretches back to the dawn of human consciousness." This is blatantly insincere waffle and absolutely deserves to be quoted earnestly by the world-class cherrypicker that it is about. Something something "Only the Pig Enjoys It." My favorite example of the genre is a poster for Legend, a movie about London's Kray twins, which makes middling reviews look positive by making you think more stars are hidden behind the cut-outs of Tom Hardy. Western Pulp to Close Molded Fiber Packaging Plant in Jacksonville, Texas Western Pulp's Jacksonville facility, which produces molded fiber packaging, has been in continuous operation since the site acquisition in 1973. Western Pulp's Jacksonville facility, which produces molded fiber packaging, has been in continuous operation since the site acquisition in 1973. Aug. 16, 2023 (Jacksonville Progress news report) - Western Pulp will cease production operations at its Jacksonville facility in Texas at the end of the month, while continuing its shipping operations to help customers manage the transition to new suppliers, according to the company's announcement Tuesday, Aug. 15. "This decision is in line with other recent packaging company announcements to consolidate operations to forego needed repair and/or upgrade investments. The company is committed to improving its return on invested capital to its shareholders," said Rick Hurley, President and Chairman of Western Pulp Products. "In addition, the continued escalation in the cost of raw materials, labor, utilities and property insurance in East Texas contributed to the company's decision to close the facility as part of an effort to optimize our footprint." Western Pulp, in existence since 1958, is a privately held company headquartered in Corvaillis, Oregon. The Jacksonville facility, which produces molded fiber packaging, has been in continuous operation since the site acquisition in 1973. Over the years, the facility has expanded to its now 90,000-plus square-footage. The eight-acre property is intended for sale later this year, according to Western Pulp. "An experienced leadership team has been assembled to carefully and effectively manage the plant closure. The goal is to treat our customers and suppliers as the valued partners they are during this shutdown process," Hurley said. The 20 full-time employees at the Jacksonville site will be provided outplacement assistance and a continuation of health care benefits, according to the company's statement. Western Pulp conveyed its gratitude to its employees, some of whom have been with the company for over 30 years, for their "outstanding service and support." SOURCE: Jacksonville Progress Photo: (Photo : Nicole Geri on Unsplash) In a verdict that will likely be referenced for years to come, a Montana judge has unequivocally supported the arguments of 16 youth climate activists. The judgment stated that Montana failed to uphold the youth's constitutionally protected right to a "clean and healthy environment". Ranging in age from five to 22, these young plaintiffs contended that the state's policies favoring fossil fuels directly amplify the effects of climate change. From Personal Experience to Legal Action Though other similar lawsuits have been initiated by youth groups, this Montana case stands out for being the first to reach trial. The state attorney general, clearly disappointed with the outcome, has already announced plans to appeal the decision. Rikki Held, the 22-year-old frontrunner of the plaintiff group, recounted her cherished memories growing up in Montana's picturesque landscapes. But her love for her home is now tainted by the glaring effects of climate change. With the increasing occurrence of natural disasters like flash floods, droughts, and wildfires, her family's ranch has suffered. Her experiences propelled her to action, leading her to join hands with the Oregon-based advocacy group "Our Children's Trust". This group is at the forefront of initiating youth-led climate change lawsuits across all U.S. states. Central to their case was a constitutional clause, over fifty years old, that demands the state's commitment to preserving its natural environment. This was contrasted with 2011 state legislation that expressly prohibits considering the climate change implications during the environmental review of new projects. In her landmark ruling, Judge Kathy Seeley pronounced the state's process of approving fossil fuel permits unconstitutional, given its glaring omission in gauging the repercussions of greenhouse gas emissions. She firmly stated, "The young are unduly suffering due to the devastating consequences of fossil fuel-driven climate change." Julia Olson, the chief attorney for Our Children's Trust, celebrated the ruling. She not only views it as a monumental victory for the youth of Montana and the larger democratic process but also as a significant leap for the global climate justice movement. She expressed optimism about similar forthcoming judgments. It's worth noting that this legal battle is not isolated. Several U.S. states and numerous countries are preparing to hear similar youth-led lawsuits, making this a burgeoning global movement. However, Montana's situation is complex. The state grapples with balancing its rich coal reserves, which form a critical part of its economy, against the pressing demands of environmental conservation. Montana is a heavyweight in the U.S. coal industry, holding 30% of the nation's recoverable coal. The economic ramifications are huge, with coal-related jobs often paying significantly above the state's median wage. Read Also: Tackling 'Time Blindness': A Growing Challenge in the Workplace Among Younger Employees Voices from Coal Towns This dichotomy is evident in towns like Colstrip, where coal is more than just an industry; it's a way of life. Joe Navasio, a miner with four decades of experience, views climate change as a broader, global challenge. He argues that Montana's contribution is minuscule and believes that environmental groups are manipulatively leveraging youth for their campaigns. As the state gears up to appeal the decision, if the current judgment stands, it will necessitate a comprehensive overhaul of Montana's environmental evaluation procedures, particularly in the realm of power projects. While Emily Flower, speaking for Montana Attorney General Austin Knudsen, has criticized the ruling, the youth plaintiffs presented compelling evidence linking CO2 emissions to their tangible, detrimental effects on their lives. The state's defense hinges on the argument that Montana's carbon footprint is just a drop in the ocean of global emissions, a perspective that will likely face scrutiny in the appeal process. Related Article: History Goes Viral: Educators Embrace TikTok to Teach Untold Stories Photo: (Photo : VANO SHLAMOV / Getty Images) A group of retired educators based in Georgia is taking a strong stance against the Cobb County School District's endeavor to terminate the employment of a dedicated teacher, Katie Rinderle. Rinderle faces the imminent threat of job loss following allegations of improperly introducing a book focused on gender identity to her fifth-grade students. This case has sparked a heated and thought-provoking debate about the parameters of classroom teaching, teacher independence, and the integration of LGBTQ themes in the educational sphere. Educational Veterans Rally Around Teacher's Right to Teach A panel comprising three retired educators has united in solidarity to support Due West Elementary teacher Katie Rinderle, who finds herself confronting the possibility of job termination due to her choice to include "My Shadow is Purple," a book that sensitively explores gender identity topics, within her fifth-grade curriculum. This group's collective opinion starkly contrasts with the Cobb County School District's stance, underscoring the importance of granting educators the autonomy to foster an all-encompassing and inclusive learning environment. The case involving Katie Rinderle extends beyond its immediate impact; it is emblematic of the struggle to define the extent of school districts' influence over classroom content and the role of parents in shaping educational material. Rinderle's decision to incorporate "My Shadow is Purple" as part of her instructional approach triggered concerns among certain parents, prompting the Cobb County School District to accuse her of breaching their guidelines pertaining to contentious subjects. Against the backdrop of a broader conservative reaction to LGBTQ-themed content in educational settings, this case underscores the intricate challenges educators encounter as they navigate sensitive topics while preserving a well-rounded educational experience. Pivotal Verdict Awaits Cobb County School Board Katie Rinderle's professional destiny now hangs in the balance, subject to the judgment of the Cobb County School Board. While the group of retired educators has rejected the district's recommendation to dismiss her from her position, the ultimate decision rests with the school board. The imminent board meeting is poised to be a watershed moment, deciding whether the tribunal's decision is upheld, revised, or dismissed altogether. This deliberation unfolds in the context of increased scrutiny surrounding educational standards and educators' roles in cultivating an inclusive and respectful learning atmosphere catering to students with diverse backgrounds. Read Also: North Carolina Man Faces Charges for Fatally Shooting Driver Who Struck, Killed His Son Championing Inclusivity and Teacher Autonomy in Georgia's Educational Landscape Katie Rinderle's case transcends its immediate implications-it encapsulates the intricate predicaments educators grapple with when addressing intricate subjects like gender identity in the classroom. This scenario sparks a broader discourse about the responsibility of educational institutions to harmonize open dialogue with the acknowledgment of parental apprehensions. As the spotlight shifts to Due West Elementary and the Cobb County School Board, the forthcoming decision could resonate beyond Georgia's borders, influencing the ongoing national conversation about LGBTQ education and the roles educators play. In an era where discussions concerning gender identity and LGBTQ rights are integral aspects of societal discourse, the outcome of Katie Rinderle's case assumes relevance beyond her immediate circumstances. As Georgia witnesses the interplay between educational autonomy and community values, the resolution reached by the Cobb County School Board will undoubtedly contribute to shaping the educational landscape of the state. This is also seen to leave an indelible impact on the broader conversation concerning curriculum inclusivity and diversity across educational institutions nationwide. Related Article: Biden Administration Begins Granting Student Loan Forgiveness To Over 800,000 Borrowers Photo: (Photo : Hermes Rivera on Unsplash) A recent study published in the medical journal Pediatrics has highlighted a concerning link between anti-immigrant laws and policies and the health of Latino children in the United States. The study suggests that Latino children living in states with more restrictive anti-immigrant measures face higher odds of experiencing chronic physical and mental health conditions, shedding light on the potential far-reaching consequences of discriminatory policies. The research, conducted by analyzing data from the National Survey of Children's Health covering the period from 2016 to 2020, focused on a cohort of 17,855 Latino children aged between 3 and 17 years old. Among these participants, nearly 30% were found to be living below the federal poverty level, while just over half came from immigrant families. Analyzing the Impact: Research Methodology and Participants The researchers adopted a multifaceted approach to assess the extent of discrimination against immigrants, considering factors such as access to health services, employment opportunities, enforcement of immigration policies, non-discrimination regulations, and the availability of housing, driver's licenses, and higher education. Additionally, data from the American National Election Study was incorporated to gauge prejudicial attitudes towards immigrants and Latinos within each state. The outcomes of the study were striking. Latino children residing in states with stricter anti-immigrant policies and a prevalence of negative attitudes were found to have 1.13 times higher odds of suffering from chronic physical health conditions. Moreover, these children faced 1.24 times higher odds of experiencing multiple mental health conditions. Caregivers participating in the study provided information on a wide range of health issues, including allergies, asthma, blood disorders, diabetes, and heart conditions, as well as psychological challenges like depression, anxiety, behavioral, and conduct issues. Read Also: Primary Schools In England Accused Of Discrimination: Filtering The Rich And The Poor Students Demographic Realities: The Role of Changing U.S. Demographics The implications of these findings are significant. The authors of the report emphasized that the study not only underscores the health impact of state laws but also highlights the substantial influence of public attitudes that perpetuate racism and anti-immigrant sentiments. This broader context influences the access that Latino children have to essential resources and opportunities required for their healthy development. Dr. Nathalia Jimenez, an associate professor at the University of Washington School of Medicine, wrote a related commentary that appeared in Pediatrics and emphasized the study's contribution to our understanding of how immigration policies affect public health outcomes. Dr. Jimenez noted that the study further supports the notion that immigrant policy essentially functions as a form of health policy at the societal level. Importantly, the study's findings arrive against the backdrop of shifting demographics in the United States. A recent U.S. Census study revealed that a quarter of all children in the country belong to the Hispanic or Latino ethnic group. This demographic reality underscores the urgency of addressing the health disparities and challenges faced by this community. However, the researchers acknowledged a limitation in their study. They highlighted that the families most vulnerable to these effects might not have been fully represented in their survey, potentially leading to an underestimation of the true extent of the issue. This limitation emphasizes the need for ongoing research efforts and policy initiatives aimed at fostering equitable health outcomes for all children, irrespective of their ethnic or immigration backgrounds. The study serves as a stark reminder of the interconnectedness of immigration policy and public health and calls for a more comprehensive approach to ensure the well-being of all children in the United States. Related Article: New York City Bans Height and Weight Discrimination in Landmark Law Photo: (Photo : Presetbase Lightroom Presets on Unsplash) In the wake of a tragic suspected mushroom poisoning incident that claimed the lives of three individuals in Australia, a woman at the center of the case, Erin Patterson, has come forward to defend her innocence and provide her side of the story. The incident, which occurred on July 29, has gripped the nation and led to a wider discussion about the dangers of consuming wild mushrooms. Victoria Police Investigates Mushroom Poisoning The 48-year-old expressed her desire to "clear up the record" regarding the events that took place in her home in the rural town of Leongatha, according to a statement she gave to the police and which the Australian Broadcasting Corporation (ABC) cited on Monday. The statement indicated that Patterson had become "extremely stressed and overwhelmed by the deaths" of her loved ones, which motivated her to share her account of the incident. Patterson disclosed that she had prepared a home-cooked meal for her former parents-in-law, Don and Gail Patterson, along with Gail's sister Heather Wilkinson and her husband Ian Wilkinson. Tragically, three out of the four guests died after displaying symptoms consistent with "death cap" mushroom poisoning. One individual remained in critical condition at the hospital. Victoria Police's homicide squad launched an investigation into the incident, urging the public to avoid consuming wild mushrooms and to rely only on those available at reputable supermarkets. The authorities are working diligently to unravel the details of the case, which has raised concerns about food safety. Patterson's statement to the police recounted that she had purchased dried mushrooms from an Asian grocer in Melbourne several months prior and button mushrooms from a supermarket chain more recently. Both types of mushrooms were used in the preparation of a beef wellington, which Patterson then served during the family lunch. She emphasized that her intention was never to cause harm to her loved ones and expressed deep remorse over their suffering. "I am now devastated to think that these mushrooms may have contributed to the illness suffered by my loved ones. I really want to repeat that I had absolutely no reason to hurt these people whom I loved," Patterson's statement conveyed, according to ABC's report. Read Also: Daily Harvest Recalls Lentil Crumbles After Customers Report Gastrointestinal Emergencies Unfolding Investigation Sparks National Conversation Patterson's lack of an arrest or charges in relation to the deaths supported her claim of innocence. She had tearfully denied any wrongdoing in an emotional exchange with local media outside her residence shortly after the incident came to light. The Age newspaper was able to obtain Patterson's complete statement to the Victoria Police, which confirmed information that was consistent with ABC's reporting. The police declined to provide further information regarding the statement, emphasizing that the investigation is ongoing and they are maintaining an open mind about the circumstances surrounding the tragedy. As the investigation continues to unfold, the focus remains on understanding the events that led to the suspected mushroom poisoning. The incident has not only resulted in losses but has also prompted important conversations about food safety and the potential dangers of consuming wild mushrooms. The tragic incident serves as a reminder for individuals to exercise caution and awareness when sourcing and consuming ingredients, especially those found in nature. Related Article: Child Hospitalized After Eating Death Cap Mushroom The Feast of the Assumption commemorates the glorious journey of Mary, Mother of God, into heavenly glory. This feast has a rich history that spans centuries and holds great significance for Catholics worldwide. Throughout the early centuries of Christianity, there was a deep devotion to Mary as the Theotokos (Mother of God) and her role in salvation history. The belief in her bodily assumption into heaven at the end of her earthly life gradually developed within sacred tradition. Early Christian writers such as St. John Damascene, St. Gregory of Nyssa, St. Andrew of Crete, and St Epiphanius eloquently expressed their reverence for this event through their writings during the Patristic era. St. John Damascene (c. 675-749) From his Homily on the Dormition of Mary. It was fitting that she, who had kept her virginity intact in childbirth, should keep her own body free from all corruption even after death. St. Gregory of Nyssa (c. 335-395) From his Sermon on the Day of the Holy Theotokoss Entry into Heaven. If death did not touch [Mary], it is because life dwelt within her more abundantly than in any other. St. Andrew of Crete (c. 650-740) From his Great Canon for Thursday in Lent, Ode IX. Today, humanity ascends with Christ Today heaven receives into its depths human nature. St Epiphanius (c. 310/320-403/404) From his Panarion, or Medicine Chest Against All Heresies. [Mary] began to dwell in heavenly places when she conceived God in her womb. These quotes demonstrate how early Christian writers upheld and celebrated the belief in Marys Assumption or Dormition, as it was often called, as a significant event affirming her unique role as Mother of God and exemplifying our hope for resurrection and eternal life. The honoring of Marys assumption continued throughout Church history. One of the key examples is in The Golden Legend (Legenda Aurea) by Jacobus de Voragine. Which was most popular during the late Middle Ages and the Renaissance. It gained widespread popularity in Europe from the 13th century onwards. During this time, The Golden Legend served as a widely read and influential collection of hagiographies and legends about saints, biblical figures, and other religious topics. Its engaging storytelling style made it accessible to both clergy and laypeople, contributing to its immense popularity. It became a significant source of inspiration for art, literature, sermons, and devotional practices throughout medieval Europe. In its pages, a highly detailed account of Marys death and bodily assumption is included. Here is an excerpt: And then Michael the angel came and presented the soul of Mary to our Lord. And the Saviour spake and said: Arise up, haste thee, my culver or dove, tabernacle of glory, vessel of life, temple celestial, and like as thou never feltest conceiving by none atouchment, thou shalt not suffer in the sepulchre no corruption of body. And anon the soul came again to the body of Mary, and issued gloriously out of the tomb, and thus was received in the heavenly chamber, and a great company of angels with her. Fast forward to more recent Church history; Pope Pius XII officially defined the doctrine of the Assumption on November 1st, 1950, through his Apostolic Constitution Munificentissimus Deus. In this document, he proclaimed: By virtueof our apostolic authority we define it to be a divinely revealed dogma: that she [Mary] was assumed body and soul into heavenly glory. Pope Pius XII drew upon Scripture passages like Psalm 132:8 (Arise O Lordand come to your resting place) and various patristic sources to affirm this ancient belief held by generations before him. Moreover, Vatican IIs Dogmatic Constitution Lumen Gentium affirms Marys unique participation in Christs redemptive work and describes her assumption as a sign lifted up among people (LG 68). It further emphasizes how she is united with Jesus in His resurrection and reigns gloriously beside Him as Queen over all things (cf. LG 59). The Catechism also teaches about the Assumption, stating that Mary was taken up body and soul into heavenly glory (CCC 966). It emphasizes how her assumption reveals Gods power to sanctify human nature fully and offers hope for our own resurrection and eternal life. In light of this rich tradition, why should we care about the Feast of the Assumption today? Here are five reasons: Mary as a Model: The Assumption reminds us of Marys unique role as a faithful disciple who said yes to Gods plan. Her journey encourages us to imitate her trust and obedience in our own lives. Hope for Resurrection: The Assumption assures us that through Christs redemption, we have hope for our own bodily resurrection and eternal life with Him. Motherly Intercession: Mary, assumed into heaven, continues to intercede for us as a loving mother who cares deeply for her children. We can turn to her with confidence in times of need. A Sign of Gods Love: The Assumption is a powerful sign of Gods love and mercy towards humanity uplifting our spirits by revealing His desire to share divine glory with all those who embrace His grace. Unity in Heaven: The feast highlights the unity between heaven and earth while reminding us that we are part of the communion of saints longing for union with God in eternity. As we celebrate the Feast of the Assumption, let us rejoice in this glorious event rooted in sacred tradition, defined by papal authority, affirmed by councils, taught by catechism all pointing towards our ultimate destiny: eternal life united with Christ alongside His blessed Mother Mary! Chromebooks arent for everyone, but for some folks, theyre a perfect fit. Running Windows isnt always the right callits complexity often makes life harder for users easily confused by computers (and the people who provide them IT support). A Chromebooks simpler interface doesnt require driver management or endless system updates, and malware is a much, much smaller concern. More importantly, Chromebooks run a lightweight operating system that feels fast and responsive on older and budget hardware, making them far more affordable. In fact, you can create your own Chromebook for free if you have an older laptop lying around. You just have to install a variant of ChromeOS on it. The process takes less than an hour, and the end result often feels snappier than todays dirt-cheap Chromebooks. Heres how to do the conversion. Further reading: The best Chromebooks How to convert a laptop into a Chromebook For this project, well be using ChromeOS Flex. This variant of ChromeOS is the evolved version of Neverwares CloudReady operating system, and is based on Chromium OSthe same open-source code that Google built Chrome OS on. (Google purchased Neverware back in December 2020.) You can read more about the basic differences between the two if youre curious, but ChromeOS Flex is nearly identical to ChromeOS. Upgrading from CloudReady? ChromeOS Flex succeeded CloudReady back in July 2022. Existing CloudReady installations should have been automatically updated to ChromeOS Flex by the end of 2022though you can follow the instructions below if you want to do a full manual wipe and fresh install of ChromeOS Flex. The one key feature missing is support for Android apps, despite Googles ownership of Neverware. There can also be some security differences related to verified boot and encryption strength, since ChromeOS Flex can be installed on a wider array of devices. So if either of these is integral to your needs (and you cant manually tweak your old laptop to get its security to a level you like), youll have to buy an official Chromebook. Otherwise youre good to go. Step 1: Check that your laptop will meet the requirements ChromeOS Flexs system requirements have increased, compared to its previous incarnation as CloudReady. The major changes: You now need 4GB RAM (up from 2GB), and a processor manufactured after 2010. PCWorld Like standard ChromeOS, ChromeOS Flexs system requirements are fairly minimal, though they have become more stringent compared to before. The laptop must have 4GB RAM (up from 2GB), 16GB storage, an Intel or AMD x86 64-bit processor, full BIOS access, and ideally have been manufactured after 2010 (previously 2007). You will still need to check your CPU model, though, as processors with Intel Graphics Media Accelerator (GMA) 500, 600, 3600, or 3650 graphics hardware dont meet ChromeOS Flexs performance standards. (In other words, Atom processors from the Silverthorne, Lincroft, and Cedarview families, which were found in low-end laptops between 2008 and early 2012.) Your best-case scenario is to find your laptop on Googles list of certified devices. (Sadly, unlike Neverwares documentation, the list no longer clearly spells out support for features like a webcam or touchscreen.) I lucked out that my test model, a 2014 Lenovo ThinkPad X240, had full feature support across the board. I lucked out with my test machine, a Lenovo ThinkPad X240, which is on the certified devices list. Note: On the compatibility list, youll see desktop systems like iMacs and NUCs listed as wellso if you have a spare monitor, mouse, and keyboard, you can instead spin up a Chromebox. Systems running integrated graphics work best. If your laptop meets the hardware requirements but isnt on the certified devices list, dont worry. You can try out ChromeOS Flex from the flash drive youll create next, which will allow you to test out how well it works without having to nuke your system. FRIENDLY REMINDER: Before you begin installing ChromeOS Flex, back up any files within your existing OS! The process is the same as a clean install of Windows, Linux, or MacOS and will completely wipe your drive. Step 2: Prepare the flash drive for installation To install ChromeOS Flex, youll need an 8GB USB drive (at minimum) and a PC, Mac, or Chromebook to create the installation media. The process takes about 20 minutes. ChromeOS Flex relies on the Chrome browser and Chrome Recovery Utility extension to create USB installation media. Download and install the Chrome Recovery Utility extension, then verify that the extension is turned on. (Click on the jigsaw puzzle icon next to the address bar, then the three-dot icon next to the extensions name, then Manage extension.) To make the Chromebook Recovery Utility extension icon visible, click on the jigsaw icon, then the pushpin icon next to the extensions name. PCWorld Next, click on the extensions icon to begin. If it isnt visible, click on the jigsaw puzzle icon and then the pushpin icon next to the extensions name. A window should appear that says Create a recovery media for your Chromebook. In the Identify your Chromebook screen, click the Select model from list link, then choose Google ChromeOS Flex for the manufacturer and ChromeOS Flex for the model. Proceed with the installation process. The tool will take about 15 minutes to download and write the needed files to your USB drive. Step 3: Boot to flash drive In order to boot to your newly created flash drive, you must bypass your laptops automatic boot order, which usually defaults to the primary storage drive. Youll do this by hitting a key on your keyboard when your PC first powers up, similar to entering the BIOS. Search online for how to access the boot priority menu for your particular laptop, since its not universal. For example, the Lenovo ThinkPad X240 required mashing F12 right at startup, while for a HP Spectre x360, it was F9. Google even provides a list of boot keys for major laptop makers. An alternative method is to enter your laptops BIOS (again, you will need to search for which key to press when powering on your laptop) and rearrange the automatic boot order, then undo that change after your ChromeOS Flex install. In either case, you should be able to choose the USB drive as your boot device. A black screen with the ChromeOS logo will appear shortly thereafter. Tip: Did you end up in your laptops default operating system after booting, despite repeated button mashing? You likely waited too long to start pressing the key, or you arent pressing the right combination of keys. (Example: Function keys may require also holding the Fn keyor not.) Step 4: Install ChromeOS Flex When the welcome screen appears, you can take one of two routes: Immediately wipe your laptops drive and install ChromeOS Flex, or configure ChromeOS Flex on the flash drive. Choose the latter if youd like to test drive ChromeOS Flex for short whiledoing so allows you to try out ChromeOS without any destructive changes to your system. Note: Google does not recommend running ChromeOS Flex indefinitely from a USB drive due to performance and storage limitations, as well as a lack of OS updates. Option 1: Installation After booting to the flash drive, wait for the welcome screen to appear, then click on the Get started button. In the next screen that appears, choose Install ChromeOS Flex. The default option is to wipe your PC and install ChromeOS Flex permanently. If you choose this option, make sure your old data is already backed up! PCWorld Confirm youve backed up your data, and then proceed with the hard drive wipe and ChromeOS Flex installation. The process will last between 5 and 20 minutes, depending on the speed of your flash drive and the size of your laptops hard drive. Upon completion, your laptop will shut down. Remove the USB drive, then power the system back on (and undo any changes to your BIOSs automatic boot order, if applicable). Youll see a welcome screen once again, now running from your laptop. Click on the Get started button to begin setup. As you go through the setup process, be alert for checkboxes that grant permission to share your usage and device data with Google. These are automatically set to allow sharing, so be sure to uncheck the boxes if you prefer for that info to stay private. Option 2: Try out ChromeOS Flex from the flash drive When the welcome screen appears, click on the Get started button to begin setup. After passing through configuration screens for Wi-Fi, account type, and Google account login, youll reach the desktop. For even faster setup, you can skip the Google account login and browse as a guest instead. To install ChromeOS Flex after youre done trying it, log out of your account (or exit as a guest), then click on the Install ChromeOS Flex option. PCWorld You can later install ChromeOS Flex locally by clicking on the lower-right hand of the screen (where the time is), and then logging out of your account or exiting as a guest. In the screen that then appears, look along the bottom of the screen for the Install ChromeOS Flex button. After completion, your laptop will shut down. Remove the USB drive, then power the system back on (and undo any changes to your BIOSs automatic boot order, if applicable). Youll see the welcome screen once again, now running from your laptop; begin setup by clicking on the Get started button. Step 5: Log into your Google Account If you installed ChromeOS Flex to the laptops storage drive, you now only need connect to the internet (either via Wi-Fi or ethernet) and log into your Google account. Youll then reach the desktop and a welcome messageclose it to start using your DIY Chromebook. Youre all set to go! How well does it run? As a Windows PC, this Lenovo ThinkPad X240 was starting to get long in the tooth. As a Chromebook, its hardware automatically made for a smooth, pleasant experience. PCWorld For this article, I used a 2013 Lenovo ThinkPad X240, borrowed from our IT departments stash of decommissioned laptops. This 12.5-inch touchscreen laptop is long in the tooth by todays standards, mostly due to a spinning-platter hard disk drivewhen working within Windows 8.1, the system felt sluggish even during basic use. But the X240s Intel Core i5-4300U processor, 8GB RAM, and 500GB hard drive still crushes todays budget Chromebooks. It hangs pretty well with mid-range models too, despite its 1366768 resolution display. When I tried ChromeOS Flex off the flash drive, the touchscreen worked immediately and the system ran buttery smooth; even when installed on the hard disk drive, ChromeOS Flex had a much faster response time than Windows 8.1. In older laptops, I usually swap in an SSD immediately, but I found I could use ChromeOS Flex on the X240s existing hardware without yearning for that upgrade. (But with how affordable SSDs are right now, Im upgrading the HDD to an SSD anyway, because why not when a good 500GB SSD is $30 on sale?) Standby battery life also improved, allowing me to go from a day of use between charges in Win 8.1 to a couple of days in ChromeOS Flex. If you dont have an old laptop Try hunting for gently used notebooks among reliable friends or family. Local universities sometimes also have surplus departments, which offload older computer equipment by selling it to the public. On occasion, you can find good refurbished computers through Amazon WarehouseRemove non-product link or Woot, too. Final tips If your older laptop has low-end hardware (or very old hardware) paired with a hard-disk drive, youll get better performance by swapping in an SSD. Find out the height of your existing hard drive before buying to ensure itll fit. ChromeOSs keyboard shortcuts deviate some from those in Windows, though if your laptop is on the compatible devices list, the Function keys should work as labeled. The full list on the Chromebook help site will get you up to speed quickly. Further reading: The best Chromebooks Editors Note: This story originally published on 8/24/2020 and was last updated in August 2023 with current instructions for installing ChromeOS Flex. The alumni of the 1999 year group of St. Augustine has on Saturday, August 12, 2023, unveiled the APSU99 Legacy Project at the launch of the schools 94th speech & prize giving at the Coconut Groove Hotel. According to the group, this project aims to revolutionize the learning environment at their alma Mater. Over the years, the school has experienced rapid expansion in student enrolment, leading to increased pressure on its facilities. The school's infrastructure has become dilapidated, and operating costs have risen significantly due to economic pressures. Multiple school-run cycles have added to the strain, exacerbating the challenges faced by the college. Speaking at the projects unveiling, the Chairman, Prof Ernest Kofi Abotsi, Dean, UPSA Law School, expressed his joy of the project taking cognizance of 21st-century skills in IT such as AI, Coding entrepreneurship etc. He urged all APSUNIANS to come on board to support the legacy project and also encouraged them to promote and support APSU Led businesses, so that the profits would ultimately end up benefiting St Augustines College. APSU president, Dr Ernest Anthony Osei, also indicated that, though the project is being led by APSU99 its for All APSUNIANS. He urged all APSUNIANS to come on board to support the Legacy project. The guest speaker of the event, Mr Alex Bram, CEO of Hubtel on behalf of Hubtel supported the initiative with a seed fund of GHS 800,000 to kick start the project, He said, Quality education requires quality facilities and that is the more reason why Hubtel is supporting the initiative to help students. He urged all alumni to throw in their support for the project to be completed as planned by supporting, through donations to this link. Bank payment: Account: Association (APSU 99) ST. AUGUSTINES COLLEGE PAST Bank: Zenith Bank Ghana Ltd Branch: Head Office GHS Account: 6010172969 USD Account: 6040170304 Swift Code: ZEBLGHAC Momo USSD: Dial *966*3# Use Merchant Code 3389 Follow the prompt to pay. Hubtel: Pay with Visa | Mastercard | Momo. https://p.hbtl.co/d5Fyt4 Mr Henry Arthur-Gyan, headmaster of the school was pleased with the project and expressed excitement about the Technology Hub geared towards mentoring in programming, coding, AI and entrepreneurship In a statement released by the group, One of the critical infrastructural setbacks is the non-functional state of the newly refurbished ICT laboratory and e-learning centre, which was commissioned by APSU97. The absence of a reliable power supply hinders the effective and efficient utilization of these modern facilities for teaching and learning. The unavailability of power not only limits the college's capability to leverage technology for learning but also hinders the development of cyber, technology, and digitally enabled future leaders. By addressing the infrastructure challenges and promoting sustainability, we aim to empower the next generation of students with the knowledge, skills, and tools needed to thrive in the modern world and lead the way as responsible, digitally enabled future leaders. The new project aims to refurbish and modernize the existing 18-unit classroom block to create a conducive and efficient learning environment to enhance the teaching and learning experience, fostering a more productive and innovative approach to education. It also aims to empower students with 21st-century tech - skills to prepare them for success in the digital age and future job markets. The establishment of the Tech Hub will equip students with essential skills, positioning them for success in diverse careers. Under this project, there will be the implementation of off-grid solar power systems which will align with the project's commitment to sustainability and environmental consciousness. This approach will reduce operating costs by minimizing reliance on traditional energy sources, ensuring long-term financial stability for the school. The Legacy Project aims to alleviate the challenges faced by students in the evening when the unavailability of power severely hampers learning activities. With a sustainable solar power solution, students will have access to reliable energy, enabling them to study and participate in extracurricular activities after hours. The Project's modernization efforts will elevate St. Augustine's College to meet global educational standards. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Being a cop is supposed to be lucrative: big-city officers often pull down six figures and get to do whatever they want. Not in Goodhue, Minnesota, though, where there are only a few hunded locals, no highways to loot, and the starting pay is $22 an hour. The entire department quit this week, reports the Associated Press, in hopes of squeezing more out the city when it is somehow compelled to rehire them. Police Chief Josh Smith submitted his resignation at a City Council meeting last Wednesday, The Associated Press reported. A full-time officer and five part-time employees resigned two days after having learned about Smith. "So right now with our current trying to hire at $22 an hour, you're never going to see another person again walk through those doors. That's it," Smith said. "Unless you guys do a dramatic change." Smith stressed the urgency of the matter and said smaller police departments he has looked into pay at least $30 an hour. Exactly twice the minimum wage that the usual suspects insist would bankrupt the nation. According to public records sites, Goodhue has a crime reported about once a week. In addition to its seven cops, there is a county Sheriff with about 100 deputies, less than 20 minutes up the road. Mr. Alfred Aryee, a partner at Makers and Partners which is a chartered accountancy firm, has urged the University of Ghana (UG) to consider introducing forensic investigation programmes in its curriculum. Mr. Aryee said this will enable students to acquire the requisite skills needed to address fraud-related issues in Ghana. Forensic investigation is the gathering and analysis of all physical evidence related to a crime to reach a conclusion about a suspect. Mr. Aryee made the remarks in an interview with the Ghana News Agency (GNA) on the sidelines of a thanksgiving service organized by the University to mark its 75th anniversary celebration. As part of the event which was supported by Makers and Partners, the management and staff of UG offered Muslim prayers at the Legon Central Mosque. Mr. Aryee, an alumnus of UG, said as part of the Universitys effort in achieving higher heights, the introduction of forensic investigation programmes will produce the human resource that will enhance forensic investigation agencies in the country. He reiterated that forensic investigation provides scientific and foundational information for investigators and courts, thus plays a key role in the criminal justice system. He revealed that the frontliners of Makers and Partners were certified fraud examiners and offer services such as forensic audits, forensic investigation training, Small and Medium-sized Enterprises (SME) business solution, corporate advisory services and tax advisory services. Mr. Aryee also underscored the need for internship programmes which must be aligned to the students field of study and be made compulsory. He said this would enable students to have practical experience which would help them build their interests and capacities in the corporate field. The programmes should be graded as part of their results, and Makers and Partners are open for such internship programmes, he added. On her part, Professor Nana Aba Appiah Amfo, Vice Chancellor of UG, expressed gratitude to God as the University celebrates 75 years of academic excellence and of training high quality human resources for Ghana and the world. She told the GNA that, under her tenure UG, the University has embarked on a number of technology- driven initiatives namely "Classroom Modernisation", "One Student One Laptop" and "Hot-spot Comfort Zones" geared towards enhancing teaching and learning. Generally, we seek to give our students an excellent experience while they are here on campus; so that we are able to give them the required skills for the 21st century and prepare them for the fourth industrial revolution, Prof Amfo stated. Source: Ghana News Agency Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Algeria has banned the popular Barbie film three weeks after its release in the mainly Muslim North African nation. The culture ministry has asked cinemas to withdraw the Hollywood blockbuster immediately. The movie promoted homosexuality and did not comply with Algeria's religious and cultural beliefs, an official source told the Reuters news agency. Cinemas in the cities of Algiers, Oran and Constantine have been packed, according to 24H Algerie news website. The film was officially being banned for "damaging morals", the privately owned news website said, adding that since its release viewings had sold out every day. There has been criticism in the Arab world about the movie's social values, with Kuwait banning Barbie last week to protect "public ethics". The film, starring Hollywood A-listers Margot Robbie and Ryan Gosling, is a coming-of-age story based on the children's toy, where Barbie journeys to the real world and explores her identity. Around the time of the film's release, director Greta Gerwig told the New York Times that it was supposed to be "funny" and that her hope for the movie was for society to "let go of the things that aren't necessarily serving us as either women or men". Barbie has received a warmer welcome from leaders in other countries, such as the UK and Spain, where British Prime Minister Rishi Sunak and the Spanish royal family were pictured on cinema outings to see it. Since the movie's release it has grossed more than $1bn (786m) worldwide. Source: BBC Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Minister of Foreign Affairs and Regional Integration, Shirley Ayorkor Botchwey, made a surprise visit to the Passport Office in Accra yesterday after a damning report by DGN Online exposing corrupt activities within the passport acquisition process. The Minister, visibly furious, immediately ordered the transfer of staff members who have overstayed in their positions for more than a year across various locations in Ghana. The Ministers actions are aimed at bringing sanity to the system and putting an end to the artificial shortage of passport booklets that has been plaguing the country for some time now. The report by DGN Online also highlighted the return of a notorious group known as goro boys within the passport office, causing unnecessary delays and demanding excessive amounts of money from applicants. The corruption is not limited to the Passport Office, as the Birth and Death Registry was also mentioned in the report. Applicants revealed that normal birth certificate applications are deliberately delayed unless an additional amount of GHC500 is paid. Innocent individuals are being exploited, exacerbating the frustrations faced by those in need of passport services. The Foreign Ministry has been urged to take immediate action and launch a thorough investigation into these corrupt practices. It is crucial to identify the root causes and eliminate this unethical cabal from the passport office. The Minister stressed the importance of the passport office in facilitating travel and emphasized that no citizen should be held hostage by individuals seeking personal gains. Transparency and accountability within the acquisition process are vital to the well-being of Ghanaians. The Foreign Ministry must leave no stone unturned in eradicating corruption and ensuring a fair and efficient passport application system. Addressing the staff at the passport headquarters, the Minister expressed her frustration with the situation. She stated, This cannot continue. There are outsiders, known as goro boys, who are colluding with some of our staff members to manipulate the system and exploit innocent individuals. This must stop. The Minister also urged her colleagues to take responsibility, stating, The blame is often placed on politicians, but it is some civil servants who engage in these corrupt practices. We are implementing digitalization efforts and providing an online system, but even that is being manipulated. An ongoing investigation has already revealed the involvement of certain individuals. To tackle the issue head-on, the Minister announced immediate transfer orders for staff members who have served over a year in their current positions, effective from Monday. This measure aims to bring much-needed sanity to the system and weed out those engaged in corrupt activities. The Minister emphasized the need for public servants to provide ethical and efficient services to the public. She called for unity in fighting corruption and assured Ghanaians that the government is committed to restoring integrity to the passport acquisition process. As investigations continue, it is hoped that the Ministers actions will lead to significant improvements in the efficiency and transparency of the passport office, ensuring that Ghanaians can easily acquire the necessary travel documents without falling victim to corruption. Source: dailyguidenetwork.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Bernard Allotey Jacobs has kicked against President Nana Addo sending Ghanaian troops to join ECOWAS military intervention in Niger after a military junta took over the country in July this year. Niger's coup d'etat has become a serious matter of concern to ECOWAS and countries in West Africa including Ghana. Leaders of ECOWAS, days ago, agreed to a "standby" military deployment to Niger as they call for reinstatement of their President. Allotey Jacobs, commenting on the ECOWAS military intervention, asked President Nana Addo to exercise restraint in involving Ghanaian military personnel. Speaking on Peace FM's 'Kokrokoo' show, he agreed to calls on the President to resort to the use of dialogue to settle the Niger conflict. "We've had the (coup) experience and that experience should guide us in all decisions. Even if it's peacekeeping that we are going for, we should know that we are going by the rules and regulations or laws governing the United Nations, thus every nation has appended their signature and that when you are called upon to send, then you send (the troops)," he said while reminiscing on the coup d'etats that have characterized Ghana. "I will plead with President Nana Akufo-Addo that he should dialogue with leadership of this country," he insisted. Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The National Labour Commission (NLC) has determined that the Ministry of Education has reasonable grounds to withhold the August pay of striking members of the Colleges of Education Teachers Association of Ghana (CETAG). The NLC has also stated that the appointments of CETAG members could be terminated if continuing validation by the ministry finds them absent from work. Ofosu Asamoah, the Commissions Executive Secretary, stated that government employees who go on an illegal strike will not be paid for the duration of the strike. It is a provision in the law that indeed if you are on strike, which is unlawful or illegal, you will not be paid for that period and that the leaders are held accountable. It is not only in Ghana, it is all over the world. Even in the UK when you engage on a strike which is not sanctioned, the organisers of the strike or the union will bear the salaries of the members except that in Ghana in most cases, people embark on strike and the government still pays them. But the law has not been amended and it is still in the law. So in this case, the Commission has very little say, it only has to determine whether the strike is within the law or not. Responding, President of CETAG, Prince Obeng Himah told journalists that they are unfazed by the threat. Looking at what we have gone through, I think the members of CETAG are prepared to die on the cross of conviction that we have been sidelined and cheated for far too long. People have sacrificed to make the colleges what they are. People are retiring. If you look at people who could have gone to the universities to teach, others are doing their PhDs and they are doing the teaching just because of the love they have and you see their salaries, it is nothing to write home about. When we looked at all these things, we embarked on strike and the strike is legal because we went through processes. We have even heard worse things that all tutors are going to be sacked. It is only in Ghana that when somebody owes you and you go to the person for your money, he tells you he is going to arrest you. It is extremely important that we use this strike to make a loud statement that the National Labour Commission exists to give orders that are supposed to be complied by both government and employees and that is just what we want the National Labour Commission to do because the issue goes on and off. It has been around since 2021 till date, he added. Source: rainbow radio Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Majority Leader in Parliament, Osei Kyei-Mensah-Bonsu, has scolded his Minority counterpart for chastising the Bank of Ghana (BoG) for not informing Parliament before participating in the Domestic Debt Exchange (DDE). The BoG participated in the debt restructuring exercise to share some of the burden the DDE places on government debt holders, along with banks, other financial institutions, pension funds and individuals. The Minority Leader, Dr. Cassiel Ato Forson, has since hit the roof, accusing the handlers of the central bank of mismanagement, amid calls for the governor and his deputies to resign from office. We call for the resignation of the governor of the central bank and his deputies within 21 days, he said, and added, We are resolved to embark on popular action to occupy the central bank and drive out the team of inept, callous and criminal mismanagers of the finances of this country and save the Bank of Ghana. But the Majority Leader said his counterpart was only engaging in politics, insisting that no law requires the central to report its daily operations to Parliament. Speaking on Accra-based Oman FM, Mr. Kyei-Mensah-Bonsu said the role of the central bank in the national economy is known to his Minority counterpart, who is a former Deputy Minister of Finance. According to him, the economy would have collapsed if the BoG had not intervened by bailing out the government with its international reserves. We should not treat everything with politics; we shouldnt make everything about NPP and NDC, Mr. Kyei-Mensah-Bonsu, who is also the NPP MP for Suame, said. He continued, Ato Forson should have known better because he has previously served as Deputy Minister of Finance and is familiar with the operations of the Bank of Ghana. As a result, politicising BoG matters is bad for the country. There is no law that mandates the BoG to report its daily activities to Parliament. The law only mandates the BoG to report foreign exchange receipts to Parliament, and the BoG has always complied with this provision. The BoG does not report directly to Parliament, he stressed. The constitution says that if you pass any law that is inconsistent with the constitution, that law is null and void. Its either the Minority are over exaggerating issues or have not had time to examine the facts of the matter, he intimated. Meanwhile, the International Monetary Fund (IMF) has absolved the BoG from accusation of mismanagement as a result of the loss of GH60 billion. The Bretton Woods institution believes accusation of mismanagement on the part of the central bank is far-fetched, and corroborated the BoGs explanation of how the negative equity came about. It noted that the BoG participated in the Domestic Debt Exchange (DDE) to share some of the burden the DDE places on government debt holders, along with banks, other financial institutions, pension funds and individuals. Source: dailyguidenetwork.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video "The Vice President doesn't sleep," Dennis Miracles has touted Dr. Mahamudu Bawumia's personality and character in relation to hard work and competence. Dennis Miracles, who is the Spokesperson for the Bawumia campaign team, speaking on Peace FM's 'Kokrokoo' show Monday morning noted that the Vice President's hard work is unmatched and comparing his ambition to become the flagbearer of the ruling New Patriotic Party(NPP), he believed Dr. Bawumia will emerge victor in the party's upcoming elections. Dennis Miracles revealed that the Veep has toured over 150 constituencies across the nation sending his campaign message to the party delegates. "The Vice President doesn't sleep. Are you aware that for 40 days non-stop, he has been campaigning? . . . No candidate has worked harder than Dr. Mahamudu Bawumia . . . In 40 days, he has met 154 constituencies," he said. He believed the "fear delegates" mantra won't work in the case of Dr. Bawumia, emphaizing "for us, it is more of trusting the delegates". The New Patriotic Party will on August 26 hold a Special Delegates Conference to elect five out of ten candidates vying for the position of flagbearer and, in November, conduct its main congress to elect a Presidential candidate into the 2024 elections. The aspirants include the Vice President, former Trade Minister, Alan Kyerematen, Assin Central MP, Kennedy Agyapong, former Attorney General, Joe Ghartey, former Energy Minister, Boakye Agyarko, former Agriculture Minister, Dr. Owusu Afriyie-Akoto, former NPP General Secretary, Kwabena Agyei Agyepong among others. Watch video below Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The National Democratic Congress (NDC) says it will on Wednesday, August 16, 2023, vet parliamentary aspirants in ten constituencies where primaries were put on hold. The Party on Saturday, August 12, 2023, closed nominations for ten out of the 18 constituencies which could not participate in the May 13, 2023, national parliamentary primaries due to unresolved issues. The ten constituencies are: Tano North, Manhyia South, Mampong, Fomena, Adanse Asokwa, Afram Plains South, Ayensuano, Abuakwa North, Lower West Akim, and Akwatia. The Party will hold primaries in the aforementioned constituencies on 25th and 26th August 2023. Daniel Amartey Mensah, the acting Director of Elections of the NDC, told the Ghana News Agency that all was set for the vetting of aspirants for the ten cleared constituencies on Wednesday. He said the Party was putting measures in place to resolve outstanding issues to enable the remaining eight constituencies to hold their primaries by next month. The Party believes that there are few outstanding issues we need to resolve. It is important for us to resolve those issues before we move on, Mensah said. The NDC initially planned to hold parliamentary elections in 276 constituencies, including the Santrokofi-Akpafu-Lolobi-Likpe (SALL) on May 13, 2023, but could not conduct the elections in some of the constituencies due to unresolved grievances among other internal issues. The Party last Tuesday gave the green light for ten out of the 18 suspended constituencies to hold their primaries to elect candidates to represent the party in the 2024 general elections. Source: GNA Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Second Deputy Speaker, Andrew Asiamah Amoako, has asked Ghanaians to cherish Ghanas uninterrupted democracy. The lawmaker opined that, if not anything at all, we have experienced uninterrupted democracy over the last 30 years without any unconstitutional takeovers. He said one key thing that we should also cherish is that, although several people complain of hardship and economic instability, we have held on to democratic and constitutional rule for the last 30 years. He stated that when voters do not support a sitting government and feel it is incompetent, the only solution is to wait for an election year and kick them out. Since 1992, we have managed to maintain a stable democracy and constitutional governance. Following our independence, we witnessed coups that disrupted the countrys governance. However, the country has had a continuous constitutional government for the past 30 years. I admit that we have faced economic hardships at times, but we have managed to maintain a steady and unbroken constitutional rule. That is something Ghanaians should be proud of. That has never happened before. We have seen administrations ousted after only two years in power, but for the past 30 years, we have witnessed a stable democracy, for which we should be pleased. That is why Parliament is commemorating 30 years of disrupted democracy for Ghanaians to appreciate democracy. He emphasised that even if you do not appreciate a government and believe they do not deserve to be in power, you have the right to vote them out during an election year. That is preferable to allowing a selfish individual to launch a coup and destabilise a constitutionally elected administration. Because the country values and embraces democracy, Parliament will continue to guard it and emphasise the necessity for us all to work together to protect it, he added. Source: GNA Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Nana Akomea, Chief Executive Officer of Intercity State Transport Corporation, has cleared an incident that occurred involving some members of Dr. Mahamudu Bawumia campaign team which includes him and Deputy Minister for Railway Development, Kwaku Asante-Boateng, when the Vice President toured the Asante Akim South constituency. As part of his campaign measures, Vice President Bawumia has been touring the constituencies across the nation to send his message to the delegates in hope of winning their votes during the upcoming New Patriotic Party presidential elections. The New Patriotic Party (NPP) will hold a Special Delegates Congress on August 26 this year to reduce the number of presidential aspirants from ten to five and conduct their main congress in November to elect their flagbearer for the 2024 elections. The Deputy Minister, Kwaku Asante-Boateng, who doubles as the Member of Parliament for Asante Akim South, accused Nana Akomea, NPP stalwart Anthony Karbo and a host of others of disrespecting him when Dr. Bawumia visited the constituency. The Minister, who supports one of Dr. Bawumia's contenders, Alan Kwadwo Kyerematen, in a statement has however refuted some media publications that his switch to Alan's camp although earlier declaring his support for Bawumia is because the Vice President disrespected him during his tour at Asante Akim South in the Ashanti Region. Statement "My attention has been drawn to several newspaper and social media publications regarding my declaration in support of Hon. Alan Kwadwo Kyeremanten in the upcoming presidential primaries of the New Patriotic Party (NPP]. Inasmuch as I admit making that declaration on Monday 7th August 2023, I wish to point out that some of the publications in the print and electronic media are false and malicious, and do not reflect the exact statement I made, and its import thereof. Some of these publications suggest that the declaration was as a result of the fact that, the Vice President, His Excellency Dr. Alhaji Mahamudu Bawumia, disrespected me. I wish to put on record that I never said the Vice President disrespected me. Rather, I bemoaned the continuous disrespect shown to me by some members of his team. I wish to state categorically that I have a very good relationship with His Excellency the Vice President, Dr. Alhaji Mahamudu Bawumia, and that His Excellency has on no occasion, shown any sign of disrespect to me, both in government and as a member of the NPP, throughout the period of my association with him, spanning from 2007 to date", Hon. Kwaku Asante-Boateng set the record straight. It Wasn't Intentional Nana Akomea, responding to the Minister's accusation, clarified what actually happened during the tour. He noted that when he and the other members of Bawumia campaign team together with the Vice President arrived at the constituency and were alighting from their bus, they were met by a mammoth crowd that made it impossible for them to greet nor receive greetings from every person on the ground. He also stated he honestly didn't see the Deputy Minister expecting a greeting from him and that he didn't intend to disrespect the Minister or any person at the event. "I don't know him. Can you imagine that if I knew him that he is the MP and he will extend his hand and I will refuse to shake him? I don't know him. I have no issue with him; I haven't seen him before . . . We are not fighting; it's campaign. We are all party members. When he said that, I issued a statement that it wasn't intentional," he told Kwami Sefa Kayi on Peace FM's "Kokrokoo" show. Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The company once known as Twitter has been caught adding 5 second delays to links at certain other social media and news sites. Typically, the list of sites who suffered, or perhaps still are suffering, this delay seem to be competitors or sites Musk has beefed with. The "free speech absolutist" likes to censure others. Ars Technica: The Parliament of Ghana reportedly summoned military chiefs and heads of some security agencies in the country over President Nana Addo Dankwa Akufo-Addos decision to send Ghanaian troops to Niger, as part of the ECOWAS stand-by force to remove the new military leadership of the country. According to a report by JoyNews, the heads of the Ghana Armed Forces and other security agencies were summoned by the Defence and Interior Committee of parliament to brief them on the president's supposed order. Present at the meeting were the leaderships of the Ghana Armed Forces, the National Security, the Minister for Defence, the Minister for Interior, and the leadership of the Ghana Police Service, among others. The report indicated some of the issues that were discussed border on the constitutionality of Akufo-Addos decision to contribute troops to the Economic Community of West African States (ECOWAS) stand-by force. It was also said in the report that one of the main Articles of the 1992 Constitution that was discussed was Article 40. The article states that in its dealings with other nations, the government shall: (a) promote and protect the interests of Ghana; (b) seek the establishment of a just and equitable international economic and social order; (c) promote respect for international law, treaty obligations and the settlement of international disputes by peaceful means; (d) adhere to the principles enshrined in or as the case may be, the aims and ideals of (i) the Charter of the United Nations; (ii) the Charter of the Organization of African Unity; (iii) the Commonwealth; (iv) the Treaty of the Economic Community of West African States; and (v) any other international organization of which Ghana is a member. The Member of Parliament for North Tongu, Samuel Okudzeto Ablakwa, a member of the Defence and Interior Committee, who spoke to JoyNews after the meeting, said that the decision had been taken not to reveal details of the meeting. He, however, indicated the meeting was successful and is one of several that would be held. Background: The Authority of Heads of State and Governments of the Economic Community of West Africa State (ECOWAS) ordered the deployment of a standby force to Niger. ECOWAS leaders gave the directive to the President of the Commission at Extraordinary Session in Abuja, Nigeria on August 10, 2023. The Extraordinary Summit was convened as a sequel to the recent one held on 30th July 2023, following what ECOWAS describes as the illegal detention of President Mohamed Bazoum by the members of the Presidential Guard of the Republic of Niger on July 26, 2023. The communique issued by the Authority reiterates its strong condemnation of the attempted coup detat and the continued illegal detention of President Mohammed Bazoam, his family, and members of his government. Meanwhile, the military juntas in Burkina Faso and Mali have said that any forcible attempt to restore President Mohamed Bazoum in neighbouring Niger will be seen as a declaration of war on them. Many security experts have warned of disastrous consequences should the bloc go on with its decision to send troops to Niger. Source: ghanaweb.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video A contractor at Letterkenny Army Depot will lay off 61 people. Virginia-based Bowhead Logistics Management filed a WARN (Worker Adjustment and Retraining Notification) notice with the Pennsylvania Department of Labor & Industry informing the state of the layoffs at 1350 Superior Ave., Building 57, Greene Township, Franklin County near Chambersburg. The contractor said it was directed by the army to conduct the layoffs by Letterkenny Army Depot. This reduction is a result of known FY23 funding decrements to depot maintenance and declining workload levels, Dorie E. Heyer, Public Affairs Officer, Letterkenny Army Depot, said in a provided statement. Letterkenny works closely with its higher headquarters, the U.S. Army Aviation and Missile Command, Army Materiel Command and various Department of Defense customers to mitigate contract workforce reductions as unplanned workload and funding is made available. Letterkenny Army Depot will continue to support current unit readiness across the force, maintain the ability to surge, and modernize and retool to sustain the next generation of equipment. The layoffs are expected to be permanent and are expected to take place between Sept. 29 and Nov. 16. Letterkenny Army Depot continues to be an important and valued customer for Bowhead Logistics Management, said Jarrod Morris, director of Communications for UIC Government Services/Bowhead. We have a responsibility to the customer to remain flexible and respond to changes in their workforce requirements. Bowhead will continue to support the mission of Letterkenny Army Depot and our military service members as we work through these changes with our customer. The WARN Act is federal legislation that offers protection to workers, their families and communities by requiring employers to provide notice 60 days in advance of a covered-business closing and covered-business mass layoff in most cases. Bowheads WARN notice was dated Aug. 10, which is short of the 60-day required notice but said the company said that the Army only recently notified them that it had to implement employee separations on specific dates. Letterkenny Army Depot was established in 1942 and is a government owned and operated industrial installation. Letterkenny Army Depot is a maintenance facility that provides overhaul, repair and modifications for tactical missile air defense systems, electric power generation equipment and various military vehicles, support systems and protection programs. Police charged a 69-year-old York County woman with arson and other related charges after they say she started three fires at the home of the Spring Grove mayor on Monday. Police arrived at the home of Mayor Beverly R. Hilt on the first block of South Water Street in Spring Grove Borough around 7:30 a.m. and learned the fires, which were started throughout the property, had already been extinguished. Hilt was not home when the fires were lit. The first fire was started near a detached garage, damaging the garage door; the second fire was on the side porch against a screen door, damaging the door and house siding; and the third was between the mayors home and the house next door underneath a gas meter, damaging a gate, siding and the gas meter, the affidavit details. Hilts next-door neighbor, whose house was near the gas meter, gave police video surveillance footage that showed a woman enter Hilts backyard at 7:15 a.m. Monday with two black trash bags and then leaving without them. The neighbor and the Pennsylvania Justice Network identified the woman as Oberdick, police say. Police say all three fires, which were ruled incendiary by the Pennsylvania State Police Fire Marshal, had black trash bags and pink insulation remnants left behind. After speaking with people in the neighborhood, police were told a woman, Diane Oberdick, who lived up the block, had been feuding with Hilt over her trash not being picked up, the affidavit said. Oberdick was previously arrested on June 2, 2023, for setting three different fires also on South Water Street and placing two oxygen tanks in Hilts backyard against her electric meter, police say. Oberdick was also seen dumping trash at Hilts garage doors in July and leaving her a note telling her to move to Florida, court documents say. Police charged Oberdick with two separate counts of arson, risking catastrophe, criminal mischief, recklessly endangering another person and disorderly conduct stemming from Mondays fires. Oberdick was charged with three counts of reckless burning or exploding, criminal mischief and three counts of disorderly conduct in regard to the June 2 fires. READ MORE: York County to hold 2 emergency food distribution events in wake of storms Meet the Pennsylvania team playing in the 2023 Little League World Series DNA evidence led to a mans arrest this week in the sexual abuse of two children 26 years ago in Lancaster County, Pennsylvania State Police said. Eric Dorwart, 54, of Manheim Township, sexually assaulted the children on April 4, 1997, near their Leacock Township home, state police announced on Wednesday. After the assaults, a then-unidentified Dorwart fled the scene in a light blue work van with a ladder on the back, state police said. DNA collected from the crime scene was run through police databases over the years, but a match was never made. The DNA was sent for testing in 2022 in an attempt to build a genealogical profile of the person responsible for the assaults. The DNA was a match to Dorwarts, according to state police. Dorwart was of sufficient age to have committed the sex assault and would have matched the physical description provided by the victims at the time of the incident, state police said. State police said Dorwart was taken into custody Tuesday and released after posting $25,000 bail. He is charged with involuntary deviate sexual intercourse, indecent assault, and two counts of corruption of minors. A preliminary hearing is scheduled for Aug. 29 in front of Magisterial District Judge Raymond Sheller. Anyone with information connected to this case can call the state polices Lancaster barracks at 717-299-7650. READ MORE: Body of central Pa. native found in Oregon after months of searching: police Truck driver charged over unsafe U-turn that led to crash injuring state troopers Star Katharine McPhee and record-producer husband David Foster are seemingly going through a difficult time due to a fatal car crash that took the life of their sons nanny, media sources are reporting. The family nanny, 55-year-old Yadira Calito, was killed last Wednesday after being run over by a car inside a Toyota dealership in Mission Hills, California. A customer driving an SUV at Hamer Toyota lost control of the vehicle and crashed into the reception area. Two other people were injured but survived the incident. Calito was transported to a regional trauma center but soon died there. McPhee and Foster were in Asia at the time of her death. Authorities identified the customer who drove the vehicle as an 84-year-old woman who lives in Mission Hills. According to a spokesperson for the Los Angeles Fire Department, she appeared to have accelerated accidentally instead of braking. She was not arrested or injured in the crash, the Los Angeles Times reported. Once reports of Calitos death surface, the couple revealed that they cut their trip short and returned home early. In a statement shared to Instagram, the Smash star did not specify what the tragedy was at the time, People and the Los Angeles Times reported. Dearest Jakarta fans, its with heavy heart I announce I have to miss our final 2 shows of our Asia run. David and I have had a horrible tragedy in our family and at least one of us needs to get back home to our family. Please know how sorry I am and how much I wish to return one day and perform for you all, she continued, signing the statement, Love, Katharine. McPhee and Foster employed Calito as a nanny for their 2-year-old son, Rennie. Read More: Has it been a year already? National Roller Coaster Day is here once more, and Pennsylvanians can celebrate the day perhaps better than residents of any other state. Thats because we ranked first in the nation for best coasters in a recent survey. In your face, Ohio! Emma Heming Willis took to Instagram after a hike to give an update. Life has changed dramatically for Heming Willis and her husband, actor Bruce Willis, following his diagnosis with frontotemporal dementia, which leaves him unable to care for himself. But she is committed to caring for her health, too. Heming Willis has asked fellow care partners to send her photos of beautiful moments to emphasize how crucial quality of life is for caregivers, too. She said those pictures have been a bright spot in her routine. I just think its so important for us to sort of break up our thinking, which can feel, for me, very much like doom and gloom, she said, wearing a hat from the hike. So I know it looks like Im out living my best life. I have to make a conscious effort every single day to live the best life that I can. I do that for myself, I do that for our two children, and Bruce, who would not want me to live any other way. I dont want it to be misconstrued that Im good, because Im not, Im not good, continued Heming Willis, 45. But I have to put my best foot forward for the sake of myself and my family because again, when we are not looking after ourselves, we cannot look after anyone that we love. Willis, 68, who was born in Germany and grew up in Carneys Point, retired from acting in 2022 after he was diagnosed with aphasia. The frontotemporal dementia diagnosis followed. The Emmy and Golden Globe winner became an action hero in the Die Hard films after starring in the TV series Moonlighting. Before he reached superstar status, Willis attended Penns Grove High School, worked at Salem Nuclear Power Plant and studied drama at Montclair State University. The actor has daughters Mabel, 11, and Evelyn, 9, with Heming Willis, and three adult daughters with ex-wife Demi Moore: Rumer, 35, Tallulah, 29, and Scout, 32. Moore, Heming Willis and their children celebrated Willis 68th birthday together in March. Heming Willis said redirecting the doom and gloom doesnt come easily. Im just doing the best that I can always, she said, asking caregivers to look for something beautiful in their day. Amy Kuperinsky may be reached at akuperinsky@njadvancemedia.com and followed at @AmyKup on Twitter. Wawa is spreading its wings into Lebanon County, where one of the convenience stores is proposed at a former riding club. Wawa wants to build a 6,000 square foot convenience store with indoor seating and gas pumps at 2500 Quentin Road in West Cornwall Township, according to the township. The site at Route 72 and East Main Street is the former Quentin Riding Club, which disbanded last year after operating since 1935. Property owner Quentin Associates LLC in Ephrata is seeking a zoning special exception for the Wawa. A zoning hearing is scheduled at 6:30 p.m. Aug. 29 at the Mount Gretna Fire Hall. The store would be part of the developers yet-to-be named 46-acre mixed-use development with apartments and other retailers. In a letter submitted to the township, Quentin Associates, said it has entered a sales agreement with Provco Pineville Acquisition for the proposed Wawa. Provco is developing multiple Wawa stores throughout the region. Last summer, the eastern rival in the Keystone State convenience store wars to Sheetz, said it plans to double its store count over the next three to five years in central Pa., with the first store opening as early as 2024. It has made good on its promise. Already at least a half dozen stores are in the early development stages, from Chambersburg and State College to addresses closer to Harrisburg in Swatara, Lower Swatara and East Pennsboro townships. READ MORE: By Drew Kann, The Atlanta Journal-Constitution (TNS) A species of predatory hornet that feeds on honeybees and other insects has been found on U.S. soil in the wild for the first time in southeast Georgia, officials with the state Department of Agriculture (GDA) and the University of Georgia announced Tuesday. The extent of its proliferation in Georgia and beyond is not yet known, but officials and scientists warned that its arrival could pose a major threat to bees and, in turn, some of the states most valuable crops, which rely on pollinators for successful harvests. If established, this invasive species could threaten the native pollinators in our state and negatively impact our agricultural industry as a whole, Agriculture Commissioner Tyler Harper said Tuesday. Vespa velutina, also known as the yellow-legged hornet, is native to tropical regions of Southeast Asia, but has already spread to multiple regions in Europe, parts of the Middle East and other parts of Asia where it is not native. The hornet had long been identified by entomologists as having a high potential to invade the U.S. as well. Two of the hornets were found this month by a beekeeper in Savannah, who spotted the distinctive-looking insect on his property. The beekeeper notified the GDA, which enlisted UGA experts to help investigate. Scientists at UGA identified it as a yellow-legged hornet and on Aug. 9, the U.S. Department of Agriculture (USDA) confirmed their assessment. A colony has not been discovered yet, but the hornets nests typically house roughly 6,000 of the insects. The winged pest is a close relative of the Northern giant hornet, another invasive species in the U.S., sometimes referred to as the murder hornet for its ability to ruthlessly dispatch honeybees. Several murder hornets were discovered in Washington state in 2019, leading to fears that the insects could decimate local honeybee populations. Fortunately, sightings have subsided and a citizen survey last year did not uncover any of the insects. The yellow-legged hornet is smaller than its Northern cousin, but has a similarly voracious appetite for eating honeybees and other pollinators. The hornets can decimate an entire bee colony in a matter of hours. Not too many people outside Hawaii could have told you much about Gov. Josh Green until this week, when the aftermath of the horrific Maui wildfires that destroyed parts of the island significantly raised his national profile. He has been photographed and quoted daily while trying to bring some semblance of organization to an island thats still in chaos, with the search for more bodies still underway while officials try to find shelter for thousands of people displaced by the fires, which in several places still arent fully contained. If theres any state on the mainland where much was known about Green before this, it would be Pennsylvania. A Pittsburgh native, Green graduated as one of four valedictorians from Quaker Valley High School in Leetsdale, Allegheny County, in 1988, and received his degree in both biology and anthropology from Swarthmore College and his M.D. from Penn State Milton S. Hershey Medical Center. After completing his medical training at the University of Pittsburgh in the 1990s, according to a Pittsburgh Post-Gazette story, he joined the National Health Service Corps, stationed in Hawaii, in 2000. He worked as a family and emergency room physician in rural hospitals. He would branch out into politics in 2004, first serving in the Hawaii state House from 2004 to 2008 and then in the state Senate from 2008 to 2018, when he ran for lieutenant governor and won. My Quaker Valley education provided a perfect foundation to become both a physician and ultimately the lieutenant governor of Hawaii, Green told the high schools communications department in 2018, the Post-Gazette wrote. 08/15/23 - Current Situation pic.twitter.com/iWlXQ4R6pi Governor Josh Green (@GovJoshGreenMD) August 15, 2023 Even while in politics, he remained in emergency room medicine in the rural areas of the Big Island. He has twice been named Physician of the Year by the Hawaii Medical Association, most recently in 2022 for his leadership during the COVID-19 pandemic. Now, still in his first year as governor, he has another challenge for which there is no script. His said in a video Tuesday that the death toll has reached 101, and likely will continue to rise. Only about one-third of the area scorched by the fires have been covered by crews with cadaver dogs. When asked by Hawaii News Now if children are among the missing, Green said Tuesday: Tragically, yes. ... When the bodies are smaller, we know its a child. He described some of the sites being searched as too much to share or see from just a human perspective, according to an Associated Press story. Green and his wife, Jaime Kanani Green, have two children. His bio and other sources mention one of his enduring passions: He remains an avid fan of the Pittsburgh Steelers. More: Fires scar Maui, but land grabs pose a new threat to healing Videos put scrutiny on downed power lines as possible cause of Maui wildfires Catholic Health, Western New York's second-largest health system, has announced a change at the top of the organization. Catholic Health President and CEO Mark Sullivan has decided to step down from that role Aug. 31, the health system announced Wednesday morning. Joyce Markiewicz, Catholic Health's executive vice president and chief business development officer, has been named president and CEO and will start in that role Sept. 1. She will become the fifth president and CEO and the first female CEO in Catholic Health's 25-year history. Monsignor Robert E. Zapfel, chair of Catholic Health's Corporate Members & Sponsors Council, said in a message to Catholic Health employees that while the move "may come as a surprise to many, it was a decision Mark has contemplated for quite some time." "Planning for this transition has been underway for quite some time and has included consultation with the system's board of trustees," Zapfel said. "We are grateful to Mark for his devotion to our patients, long-term care residents, and community over the past three decades," he said. "He has made numerous contributions across our system in acute care, primary care, home care and long term care, while always keeping in mind and heart the mission of Catholic Health to bring the healing love of Jesus to all." For Sullivan, it ends a roughly 30-year run with Catholic Health. He began his career as an intern at Sisters Hospital in 1994 and rose through the ranks, eventually becoming Catholic Health's first chief operating officer before being named president and CEO in 2018. Catholic Health said Sullivan plans to pursue opportunities to "mentor, educate and prepare the next generation of health care executives." "I have truly been blessed and humbled to work in and lead such a wonderful ministry with so many outstanding caregivers along my 30-year journey," Sullivan said. "I'm excited for the next chapter at Catholic Health and handing the reigns to an incredible leader and person in Joyce Markiewicz. There is no doubt she will take the organization to new levels while striving to ensure the community, that entrusts us with its care, has equitable access to high quality health care." The leadership transition comes as Catholic Health, like other health systems, is navigating its future in the aftermath of a pandemic that financially battered the health care industry. Catholic Health reported an operating loss of about $175 million on revenue of $1.225 billion in 2022. It has seen better financial performance this year: Catholic Health had an operating loss of about $24 million on revenue of about $689 million through June. Compare that to an operating loss of about $95.5 million on revenue of $597 million during the first six months of 2022. The health system also has been making changes at some of its hospitals, most recently by turning Mount St. Mary's Hospital in Lewiston into a neighborhood hospital. That is the same model that Catholic Health is following in its building of Lockport Memorial Hospital, a development that Markiewicz is leading and that should open this fall. Markiewicz, known as an innovator within Catholic Health, joined the health system in 2005 as vice president of home care operations and was promoted to president and CEO of Home Care the following year. She eventually was named an executive vice president at Catholic Health in 2015 and was then named chief business development officer in 2019. By John Harney and Jon Herskovitz, Bloomberg News (TNS) North Korean state media said the U.S. soldier who ran across the border is seeking refuge there because of unfair treatment in the Army, making its first statement regarding an episode that has been a concern for the Biden administration. Army Private Second Class Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army, the countrys official Korean Central News Agency reported Wednesday, using an abbreviation for the formal name of North Korea. King admitted he illegally entered North Korea, KCNA said. He is seeking refuge in North Korea or a third country because he was disillusioned at the unequal American society, the report added. About 20 Americans have been detained by North Korea since the end of the 1950-53 Korean War, and Pyongyang has used many of them for propaganda purposes. The U.S. Department of Defense cant verify the alleged comments, spokesman Martin Meiners said, adding we remain focused on his safe return. By stating that King committed a crime in illegal entry while also attributing comments to him critical of the U.S. in the dispatch, North Korea may be showing that its undecided on whether to bring criminal charges against him or to use him for more messages critical of the U.S. King, 23, a cavalry scout from Wisconsin, has been in the Army since January 2021. Hed been jailed for nearly two months in South Korea for assault and was set to fly to Texas, where he faced expulsion from the military. But instead he left the airport and joined a tour to the Joint Security Area in the Panmunjom truce village, where he ran across the border and was later whisked away in a van surrounded by North Korean military personnel. The King case is the first unauthorized border crossing by an American during the Biden administration, which is looking at ways to engage with Pyongyang at high levels after the Kim regime has shunned repeated requests for talks. Since there are no formal diplomatic relations between Washington and Pyongyang, Sweden has represented U.S. interests in North Korea. Most diplomats from major democracies with embassies in Pyongyang left after the country sealed its borders at the start of the coronavirus pandemic. More: Pa. soldier killed during the Korean War identified, coming home for burial Harrisburg police are asking for the publics help locating a man who has been missing since Friday. Daqwan Walters was last seen Friday in uptown Harrisburg, police said. His family told police they are concerned for his well-being, as it is unlike him to disappear without telling anyone where he is going. Walters was last known to be driving a black Chevy Suburban with Pennsylvania registration LXV-9783, police said. Anyone with information on Walters whereabouts can call Harrisburg police at 717-558-6900, or submit a tip through CrimeWatch. READ MORE: Central Pa. teenager stabbed boyfriend to death after a day of arguing: police DNA used to find suspect 26 years after roadside sexual assault in central Pa.: police Yet another alligator has reportedly been sighted near a western Pennsylvania river, but this one is eluding capture. According to Pittsburgh media outlets, the 2- to 3-foot-long gator was spotted July 26 in West Mifflin near the Monongahela River, which locals refer to as the Mon. West Mifflin borders southeast Pittsburgh. The Pittsburgh Post-Gazette reported that borough police received a video of the gator walking along a road and into weeds on July 26. West Mifflin police told PennLive Wednesday that the alligator has not been seen or captured since the video was taken. This sighting actually came a few days before the gator dubbed Chomper became the subject of national publicity and a massive search on the Kiski River in Armstrong County, about an hour north of West Mifflin. READ MORE: Alligator caught by kayakers in Kiski River heading to new home After being caught, that 4-foot alligator is now with a southwest Pennsylvania nonprofit where it will serve as an ambassador and educational exhibit for students. The Mon River flows toward Pittsburgh where it meets with the Allegheny River to form the Ohio River. The Mon is about a half-mile from where the gator was spotted. A nearby U.S. Steel mill has even alerted workers to keep their eyes peeled for the gator. West Mifflin police Sgt. Ryan Sabol, who owns some reptiles and has caught a few before, told the Post-Gazette that he was not surprised that the Mon River gator has dropped out of sight. The alligator could just be laying low, he said. If hes hungry, hes going to walk and look for food in a more suitable habitat. In March 2021, WPXI-TV reported that there were two sightings of an alligator in the Mon about 25 miles upriver in Belle Vernon, Fayette County, that ignited a search that included a Coast Guard boat, but nothing was reported to have been found. Sixteen young Montanans who sued their state over climate change emerged victorious on Aug. 14, 2023, from a first-of-its-kind climate trial. The case, Held v. State of Montana, was based on allegations that state energy policies violate the young plaintiffs constitutional right to a clean and healthful environment a right that has been enshrined in the Montana Constitution since the 1970s. The plaintiffs claimed that state laws promoting fossil fuel extraction and forbidding the consideration of climate impacts during environmental review violate their constitutional environmental right. Judge Kathy Seeleys ruling in the youths favor sets a powerful precedent for the role of green amendments in climate litigation. The lawsuit, heard in Montana district court, was the first in the U.S. to rely on a states constitutional right to a clean and healthful environment to challenge state policies that fuel climate change. In light of the success in Held, it wont be the last. What is a green amendment? The U.S. Constitution does not contain a green amendment, but several state constitutions do. Pennsylvania, Montana, Hawaii, Massachusetts and Illinois all amended their state constitutions during the environmental movement of the 1970s to recognize the peoples right to a clean and healthful environment. Because these green amendments are constitutional provisions, they function as limits on what government can do. Early cases in Pennsylvania and Illinois testing these newly recognized constitutional rights saw little success. By the 1990s, the Illinois Supreme Court had eviscerated Illinois green amendment, concluding that the environmental right did not provide a basis upon which a citizen could bring a lawsuit. In 1999, however, when green amendments were all but forgotten, a single case in Montana quietly vindicated Montanans constitutional right to a clean and healthful environment. It was brought by local environmental groups over water quality concerns at a proposed gold mine. At that time, Montanas environmental laws allowed the state to issue permits for projects that would discharge pollutants into Montana waters without conducting any environmental review. The Montana Supreme Court determined that such a law violated Montanans fundamental right to a clean and healthful environment and was unconstitutional. The next green amendment success took 14 years and occurred in Pennsylvania. In the early 2010s, Pennsylvania enacted a state law that gave the oil and gas industry the right to commence hydraulic fracturing, or fracking, anywhere in the state. This law prevented local governments from making land use decisions to restrict or limit fracking in their jurisdictions. The Pennsylvania Supreme Court struck down this state law as violating Pennsylvanians constitutional right to a clean and healthful environment. That Pennsylvania decision ignited an explosion of interest in green amendments. In Hawaii, public interest groups began challenging the states approval of carbon-intensive electricity generation on the ground that it violates Hawaiians right to a clean and healthful environment. The state now relies on its green amendment to reject new carbon-intensive electricity sources for powering Hawaii. In 2022, New York became the first state since the 1970s to adopt a green amendment. Currently, Arizona, Connecticut, Iowa, Kentucky, Maine, Nevada, New Jersey, New Mexico, Tennessee, Texas, Vermont, Washington, and West Virginia are considering adopting green amendments. Success in Montana Based on the extensive scientific evidence presented at the trial in June, Judge Seeley found that the Montana youth are being harmed by climate change occurring in Montana and that those climate change effects can be attributed to the state law the plaintiffs challenged. Seeley also determined that declaring the state law forbidding the consideration of climate impacts during environmental review unconstitutional would alleviate further harm to the youth. On these grounds, she struck down the state law as unconstitutional. This result sets a groundbreaking precedent for climate litigation and demonstrates a new way in which green amendments can be invoked to elicit environmental change. It suggests that in other states with green amendments, state laws cannot forbid the consideration of greenhouse gas emissions and their climate impact during environmental review. However, Seeley made it clear long before trial that she does not have the power to order the state to create a remedial plan to address climate change. Further, the Montana legislature repealed the state policies promoting fossil fuel extraction just two months before the trial began, and a judge cannot generally rule on the constitutionality of a repealed law. So, whether state policies promoting fossil fuel extraction violate the peoples constitutional right to a clean and healthful environment is a question for another day and another case. A spokeswoman for Montanas attorney general said the state plans to appeal Seeleys ruling. Impact on federal climate litigation It is unclear how the Montana youths victory will influence federal climate litigation. The federal youth climate case Juliana v. United States, which was recently revived, relies on the Fifth and Ninth amendments to the U.S. Constitution, as well as the common law public trust doctrine. Neither the Fifth Amendment nor the Ninth Amendment is considered environmental rights akin to a green amendment. However, the public trust doctrine has been relevant in some states green amendment jurisprudence. In the states that have green amendments, climate advocates will certainly rely on the Montana youth case as they challenge state laws that promote climate change. In recent years, we have witnessed an erosion of our environmental laws through politics and the courts. That has fueled new legal claims of environmental rights in the U.S., Canada and other countries. This phenomenon is the focus of my research, of which green amendments are just a part. I believe we will continue to see cases, like Held v. State of Montana, invoke rights-based approaches to tackle environmental problems in the future. Amber Polk, Assistant Professor of Law, Florida International University This article is republished from The Conversation under a Creative Commons license. Read the original article. By Jeremy Roebuck, Andrew Seidman and Julia Terruso, The Philadelphia Inquirer (TNS) PHILADELPHIA Among the 18 lawyers, aides and allies who were charged Monday alongside Donald Trump over accusations they tried to overturn the results of the 2020 election in Georgia, there are several who played key roles in the former presidents similar push in Pennsylvania. Heres a breakdown of some of Trumps key co-defendants, what theyre charged with in Georgia, and the roles they played in the Keystone State: Donald Trump The former president and 2024 GOP primary front-runner is facing charges in two cases tied to his efforts to overturn the 2020 election the federal indictment filed this month by special counsel Jack Smith and the state case filed in Fulton County, Georgia. But while Fulton County District Attorney Fani Willis described him Monday as the linchpin in a sweeping conspiracy to corruptly turn the 2020 election results in her state in his favor, Trump also singled out Pennsylvania repeatedly as a target for his election lies during the postelection period. On Twitter, he attacked the states public officials including Philadelphia City Commissioner Al Schmidt, a Republican, after Schmidt said in a TV news interview that there was no evidence of widespread fraud in Philadelphias vote. And after members of GOP leadership in the states Legislature issued a Dec. 4, 2020, statement saying they lacked the authority to overturn the popular vote and appoint their own slate of pro-Trump electors, the president retweeted a post labeling them cowards. He summoned the states elected officials to the White House, including Republican state Sen. Doug Mastriano and then-Speaker of the Pennsylvania House Bryan Cutler, also a Republican, and urged them in separate meetings to convene a special session of the state Legislature to declare Pennsylvanias election results void. And his campaign sued in late 2020 seeking to disenfranchise nearly 7 million voters in the state based on unspecified allegations of fraud a case that was swiftly rejected by a federal judge who described it as a tortured Frankensteins Monster of legal claims and the remedy it sought as unhinged. Trump faces 13 charges in the Georgia case including counts of racketeering conspiracy, conspiracy to commit forgery, soliciting a public officer to violate their oath, and filing false documents. Rudy Giuliani speaks with reporters as he departs the federal courthouse, May 19, 2023, in Washington. (AP Photo/Patrick Semansky, File)AP Rudy Giuliani The former New York City mayor who served as Trumps chief lawyer during the post-2020 election is accused in the indictment of playing a significant role in pressuring Georgia officials to reject their states election results and declare the former president the winner. His similar efforts in Pennsylvania have been well-documented from his now - infamous Nov. 7, 2020, news conference outside Four Seasons Total Landscaping in Philadelphia, in which he falsely asserted thousands of ballots had been cast by dead voters in the city, to the Nov. 25, 2020, Senate committee hearing he hosted with Mastriano in Gettysburg, an event repeatedly cited in recent months by prosecutors who have filed charges against Trump and his allies. It was here that he made his only courtroom appearance on behalf of Trumps campaign a proceeding in which he hurled wild accusations of a nationwide cabal of Democratic mayors who were plotting to steal the election. And Cutler, the former speaker of the Pennsylvania House, told congressional investigators last year that Giuliani called him on a near daily basis in late 2020 pushing a baseless theory that state legislatures could set aside election results based on unsupported suspicions of fraud. Cutler testified that he largely avoided these calls, believing they were inappropriate, and eventually had his attorneys respond, asking Giuliani to stop contacting him. Giulianis efforts to overturn the election results in Pennsylvania have formed the basis of pushes from the bar associations in New York and Washington to revoke his license to practice law. He faces 13 counts in the Georgia case. In this Oct. 30, 2020, photo White House chief of staff Mark Meadows speaks on a phone on the South Lawn of the White House in Washington. (AP Photo/Patrick Semansky, file)AP Mark Meadows Trumps chief of staff was involved in multiple aspects of Trumps plan to stay in power including connecting the former president to state officials. Can you send me the numbers for the speaker and leader of the PA Legislature. POTUS wants to chat with them, Meadows texted U.S. Rep. Scott Perry, R-Pa., on Nov. 21, 2020, according to the Georgia indictment. (Former White House aide Cassidy Hutchinson told the House Jan. 6 committee that she saw Meadows burn papers in his office a dozen times during the final weeks of the administration including twice after hed met with Perry. Hutchinson said she didnt know what the documents were.) He was also among those who met with Mastriano and other Pennsylvania lawmakers at the White House on Nov. 25, 2020, after a state legislative committee hearing in Gettysburg to urge them to hold a special session of the state Legislature to declare Pennsylvanias election results void, the indictment says. He faces two counts in the Georgia case, racketeering conspiracy and soliciting a public officer to violate their oath. Kenneth Chesebro An appellate attorney who advised the Trump campaign, Chesebro helped design the plan to have fake pro-Trump electors appointed in six key battleground states to disrupt the official certification of the Electoral College vote. Yet even as the plan was being devised, he expressed doubts to others within the campaign about its legality. In a Dec. 12, 2020 memo, Chesebro laid out a process of how the plan could work, ultimately relying on then-Vice President Mike Pence to delay the vote count or refuse to confirm the election. Im not necessarily advising this course of action, Chesebro wrote in the memo, describing it as a bold, controversial strategy. Chesebro also noted that in some states valid electors are required to meet to cast votes in specific venues or in the presence of the governor or secretary of state. The rules, Chesebro wrote in a separate memo, could make assembling alternate slates very problematic in Nevada and somewhat dicey in Michigan and Pennsylvania. He faces seven counts in the Georgia case. Jeffrey Clark A Tacony, Pa., native and former Justice Department official, Clark has previously attracted scrutiny from Congress and law enforcement for his willingness to use the DOJs imprimatur to advance Trumps false claims of election fraud even when others wouldnt. Specifically, hes accused in the Georgia indictment of sending a false letter on DOJ letterhead to officials in that state saying the department had identified significant concerns that may have impacted the outcome of the election in multiple states. It urged Georgias Legislature to convene a special session to consider selecting an alternate slate of pro-Trump electors. But while other Justice Department officials would later describe the letter as wildly inappropriate and irresponsible, Trump appreciated Clarks willingness to adopt a tough stance and briefly considered in early 2021 elevating him to attorney general. Trump abandoned that plan, however, after multiple top DOJ officials threatened to resign in protest. He faces two counts in the Georgia case. Jenna Ellis, a former member of then-President Donald Trump's legal team, speaks during a news conference at the Republican National Committee headquarters, Nov. 19, 2020, in Washington. (AP Photo/Jacquelyn Martin, File)AP Jenna Ellis A Colorado attorney and another member of Trumps legal team, Ellis worked closely with Giuliani on his efforts to undermine confidence in the election results in Pennsylvania and other battleground states. She accompanied Giuliani during presentations to state lawmakers in Arizona and Pennsylvania in which they presented false claims that the vote in both states had been riddled with fraud. According to the Georgia indictment, she drafted memos for the Trump campaign arguing with little legal basis that Pence had the authority to reject the lawful presidential electors from states that Joe Biden had won. After her involvement in the 2020 election fallout, Ellis signed on as a chief legal adviser to Mastrianos unsuccessful campaign last year for Pennsylvania governor. She, along with six other lawyers, is facing disciplinary proceedings before the Pennsylvania Supreme Court for her alleged misuse of the legal system during the 2020 election and its aftermath. She faces two counts in the Georgia case. Attorney Sidney Powell speaks during a rally on Dec. 2, 2020, in Alpharetta, Ga. (AP Photo/Ben Margot, File)AP Sidney Powell Powell, an attorney, rose in Trumps orbit after several of his campaign lawyers refused to pursue his postelection conspiracy theories. She encouraged Trump to declare martial law in order to seize voting machines. In Georgia, prosecutors allege Powell was involved in a scheme to breach election equipment in Coffee County. In December 2020 she entered a written engagement agreement with a forensic data firm that later stole voter data from the county, the indictment says. Powell hasnt been accused of wrongdoing in Pennsylvania specifically. But in the aftermath of the 2020 election, a nonprofit organization she ran helped to arrange for a private company to examine voting machines in rural Fulton County, Pennsylvania. Pennsylvanias secretary of state in 2021 said the inspection violated state law and decertified the machines. She faces seven counts in the Georgia case. John Eastman Eastman, a law professor from Colorado, was a primary advocate for the legal argument that Pence could set aside congressional certification of Bidens victory. He also pushed swing states like Pennsylvania, Georgia and Arizona to name fake Trump elector slates. A month after the election, Eastman directly appealed to Pennsylvania state lawmaker Russ Diamond, of Lebanon County. He pitched him a plan to unilaterally declare a new outcome to Pennsylvanias popular vote, disregarding tens of thousands of legitimately cast absentee ballots. Citing baseless concerns over the states mail voting procedures, Eastman suggested that GOP legislators could subtract votes from Biden based on absentee ballot rejection rates from prior election. Having done that math, youd be left with a significant Trump lead that would bolster the argument for the Legislature adopting a slate of Trump electors perfectly within your authority to do anyway, but now bolstered by the untainted popular vote, Eastman wrote in a Dec. 4, 2020, email to Diamond. That would help provide some cover. In addition to the criminal indictment, Eastman is facing disbarment in California over his efforts to undo the 2020 election result. Eastman faces nine counts in the Georgia case. Mike Roman A Kensington, Pennsylvania, native, former GOP ward leader in Philadelphia and the Trump 2020 campaigns director of Election Day operations, Roman has been credited with carrying out most of the legwork in organizing slates of fake Trump electors in battleground states. In Georgia, that role included identifying GOP officials in the state willing to sign on to the fake electors plan and keeping close tabs on their meeting Dec. 14 to falsely cast what they represented to be the states electoral votes. Roman played a similar role in organizing the slate from Pennsylvania, his home state. According to congressional investigations, he helped craft language requested by the Trump electors in Pennsylvania that is likely to end up protecting them from possible prosecution. Unlike the certifications submitted by the fake electors in other states, that clause in the Pennsylvania documents stated that they were only putting themselves forward as the states lawful electors if courts overturned the election results. During an interview with the congressional committee investigating the Jan. 6, 2021, attack on the Capitol, Roman asserted his Fifth Amendment rights against self-incrimination when asked by investigators whether Giuliani had appointed him the lead for executing the voting by fake electors. The interview transcript also references a briefing of Pennsylvania lawmakers in November 2020 about purported election fraud. On Nov. 8, 2020, Roman shared with an associate a screenshot of a message hed obtained that said: Maybe the Trump campaign should get some actual evidence of fraud and file in court. Theyve been horrible in their court filings. We just got a report from their legal team. They told us in caucus they have zero evidence. Roman declined to tell investigators who sent him the message or discuss the briefing of Pennsylvania lawmakers, apparently delivered by Kentuckys secretary of state. He faces seven counts in the Georgia case. PHILADELPHIA Former President Donald Trump is coming to Philadelphia next month for a fundraiser, according to an invitation circulating online. The invitation was tweeted out by an account that identifies as dedicated researchers monitoring and exposing right-wing extremism and other threats to democracy. The Trump campaign did not immediately respond to a request for comment. The contact for the event is a known GOP fundraiser who works with Trumps Save America PAC but also did not immediately return a request for comment, and neither did representatives. Rich Pruett, a longtime Trump supporter, told The Inquirer he received the invitation and heard the event will be at The Union League. Pruett had wavered in his allegiance amid the former presidents legal troubles earlier this summer but said Tuesday hes fully behind Trump again. Representatives for The Union League did not immediately respond to requests for comment. News of the visit comes as Trumps fourth criminal indictment dropped in Georgia on Monday, a case that centers on his attempt to overturn 2020 presidential election results. Trump was last in Pennsylvania for a rally in Erie at the end of July. He bashed the cases against him on stage and repeated lies about election fraud in Pennsylvania. He was in Philadelphia for the Moms for Liberty conference held in late June, an event that prompted sizable protests outside the Downtown Marriott. He visited Pats Steaks at the end of that trip. Tickets for the Sept. 14 fundraiser are listed as $23,200 per couple, which includes a photo with Trump and a VIP reception; $6,600 for just the VIP reception; and $1,000 for general attendance. The money will go toward Trumps joint fundraising committee, Trump Save America. Trump held a fundraiser at The Union League in September 2016, with local GOP big names hosting. He gave a speech at the members-only club in Center City earlier that same month, where he promised to increase military spending. Protesters blocked Broad Street as he spoke inside. An appearance in January by Florida Gov. Ron DeSantis at The Union League, drew large backlash from the citys chapter of the NAACP and about 100 dues-paying members. DeSantis received the historic clubs highest honor a gold medal first awarded to President Abraham Lincoln in 1863 during an evening reception and program. The event went on as planned as demonstrators outside chanted shame and racist at anyone entering or leaving the clubs Sansom Street doors. As Trump stares down four separate indictments, he has burned through campaign cash to pay legal fees. His joint fundraising committee brought in about $54 million in the first half of 2023, $25 million of which was spent on legal fees, according to campaign finance filings. Pruett, who lives in Drexel Hill and works in insurance, said Bidenomics, the catchall term for President Joe Bidens economic agenda, is preventing him from attending Trumps fundraiser. We dont have $2,000 to hand over, with the economy what it is. Julia Terruso and Chris Brennan of The Philadelphia Inquirer wrote this story. 2023 The Philadelphia Inquirer, LLC. Visit at inquirer.com. Distributed by Tribune Content Agency, LLC. More: Heres why Pa. and some of its Trump cheerleaders feature in Georgia indictment Since launching in May 2019, the Roswell Park Care Network has expanded the cancer centers geographic reach and pushed it into new markets such as Long Island, Watertown and Schenectady. But the Care Networks latest growth is much closer to home, with Roswell Park tightening its grip on its core market. Roswell Park Care Networks newest location is at 2150 Wehrle Drive in Amherst, which opened to patients Aug. 1 and offers general urology, advanced diagnostics, treatment options and personalized care plans. The new location is led by Dr. John L. DeBerry III, a general urology specialist who recently joined the Care Network after three decades of practicing in the region. Like other Care Network locations, DeBerry will collaborate with specialists and experts at the main Roswell Park campus in downtown Buffalo. The community physician practice is leasing the space from 2150 Wehrle Drive LLC, which records show is owned by developer Dr. Gregory F. Daniel, who also is a member of Roswell Parks board of directors. As part of the same announcement Tuesday, Roswell Park said its Care Network practice in Niagara Falls has relocated from a downtown location on Fourth Street to a newly renovated and larger space at 10175 Niagara Falls Blvd. That move, Roswell Park said, will bring together specialized cancer and general urology services under one roof. What's driving Roswell Park's expansion across New York? The Roswell Park Care Network now has more than a dozen locations: six community practices and a growing roster of affiliated sites at existing health care facilities. Including the addition of a location at 23 N. Main St. in Middleport within the last year, the Care Network now has 16 locations a mix of community practices as well as partnerships and affiliations with existing health systems such as Niagara Falls Memorial Medical Center, Upper Allegheny Health System and Catholic Health of Long Island. The Care Network allows patients to be treated closer to where they live, but it also is an important business strategy for Roswell Park, helping it build a pipeline of patients and revenue that it otherwise might not reach. RPCI Oncology, the entity that owns the community physician practices within the network, has seen an increase in net patient revenues in recent years, logging about $60.6 million for the year ended March 31, 2023, and $53.1 million in the prior fiscal year, according to Roswell Parks most recent annual financial statements. The growth of these services, especially urology, further complements our existing community-based programs across the region, said Dr. Thomas Schwaab, a urologist and Roswell Parks chief of strategy, business development and outreach. We continue to leverage our world-class expertise and resources to ensure that Care Network patients have access to Roswell Park-quality care close to home. The cancer center also announced that Schwaab is joining the staff at Roswell Park Hematology Oncology Southtowns, another Care Network member. Schwaab, who also provides care at Roswell Parks main campus, will initially see patients one Wednesday per month at the Southtowns location in Orchard Park. A Buffalo man who pleaded guilty in a double stabbing last summer that left one man dead and a second man wounded has been sentenced to more than two decades in prison, the Erie County District Attorneys Office said Wednesday. Joshua Eddy, 23, was sentenced by State Supreme Court Justice Paul B. Wojtaszek to 22 years in prison followed by five years of post-release supervision. He pleaded guilty to first-degree manslaughter in June. Eddy was arrested on June 27, 2022, a day after two men were attacked on Ideal Street, near East Lovejoy Street. Hasheen Wilson, 32, who was stabbed multiple times in the chest and back, was taken to Erie County Medical Center, where he died from his injuries. The second victim, a 27-year-old man, was hospitalized with injuries to his upper leg. "tutuia" Takes Down the PartyPoker McLaren Turbo Series Sunday Party August 16, 2023 Matthew Pitt Editor The McLaren Turbo Series is in full swing at PartyPoker, with a handful of the high-octane events having already crowned their worthy champions. The $109 buy-in $100,000 guaranteed Sunday Party is one such tournament, and it is "tutuia" who will forever be remembered for taking down this event. The McLaren Turbo Series edition of the ever-popular Sunday Party attracted 1,104 entrants, which were more than enough to surpass the $100,000 guarantee on the prize pool, with $110,400 paid out. Team PartyPoker's Jaime "jamiestaples" Staples and his brother Matt "MatthewStaples" Staples were among the entrants, but neither managed to get going. "OOKevOO" was going great guns until their tournament ended in a seventh-place finish worth $1,799 in total. "Pet3r Petrelli" joined the list of busted players when they fell in sixth for a $1,614 award. Fifth place and $3,451, a significant jump from the previous payout, went to "LawyerDad68." Their prize was much larger, thanks to taking home $1,489 worth of bounty before their deep run ended. "WickedWinzero" busted in fourth for $4,154, meaning the surviving players were guaranteed a podium finish. "jesisdead" crashed out in third for $5,232, leaving "tutuia" heads-up against "dududantas" in a battle for the lion's share of the remaining prize pool. "dududantas" span off during the final home straight, and made do with an $8,135 payday, leaving "tutuia" to become the tournament's champion, and receive $13,503. McLaren Turbo Series #04 Sunday Party Final Table Results Rank Player Bounties Prize Total Prize 1 tutuia $6,966 $6,537 $13,503 2 dududantas $1,609 $6,526 $8,135 3 jesisdead $1,014 $4,218 $5,232 4 WickedWinzero $1,297 $2,857 $4,154 5 LawyerDad68 $1,489 $1,962 $3,451 6 Pet3r Petrelli $203 $1,411 $1,614 7 OOKevOO $855 $994 $1,799 PartyPoker Launches Fun New $2,500 Weekly Freeroll For Sports Bettors "Knight1989" Claims Sunday Carnival Title It was not only the Sunday Party that smashed its guarantee because the McLaren Turbo Series edition of the Sunday Carnival did the same. A field of 1,687 entrants created a $33,740 prize pool, and a total first place prize of $3,253 for a $22 buy-in. With bounty payments included, nobody at the seven-handed final table took home less than $556. That sum went to "atlantispirit" who came unstuck in seventh and was the first casualty of the final table. "Cha-Ka" and "T-777" were the next to find themselves on the sidelines, albeit with $686 and $681 to keep them company. Then came the untimely demise of "LovFISHandCHIPS" in fourth, whose $1,814 payout means they can definitely afford more of their favorite dish! Some $1,007 of that sum stemmed from busting 20 opponents before they themselves crashed out. Heads-up was set when "omegalulz" bowed out in third for $1,978. That heads-up battle pitted "varessi8888" against "Knight1989." Both of the final playwrs locked in at least $1,898 for their efforts, leaving a four-figure final bounty to play for. "Knight1989" captured an additional $1,350 after they came out on top, meaning they took home $3,253 for their $22 investment, leaving the runner-up to bank $2,049. McLaren Turbo Series #05 Sunday Carnival Final Table Results Rank Player Bounties Prize Total Prize 1 Knight1989 $1,350 $1,903 $3,253 2 varessi8888 $151 $1,898 $2,049 3 omegalulz $777 $1,201 $1,978 4 LovFISHandCHIPS $1,007 $807 $1,814 5 T-777 $126 $555 $681 6 Cha-Ka $288 $398 $686 7 atlantispirit $279 $280 $556 Never Miss A McLaren Grand Prix Turbo Series Event With The PokerNews Online Tournament Calendar The best way to keep track of online poker tournaments like the McLaren Grand Prix Turbo Series if the newly launched PokerNews Online Tournament Calendar. This free-to-use tool lets you track, filter, and register for PartyPoker tournaments all without leaving the PokerNews website! Check Out PokerNews Exclusive Freerolls On PartyPoker How To Play The The McLaren Grand Prix Turbo Series On PartyPoker If you haven't joined PartyPoker yet and want a bankroll boost ahead of the The McLaren Grand Prix Turbo Series, you can earn a generous welcome bonus when you download PartyPoker via PokerNews. Your first deposit will receive a 100% matched bonus up to $600. Plus, PartyPoker will award you up to $30 worth of free play in the form of tournament tickets. The minimum deposit is $10 which comes $10 in tournament tickets released over the course of a week released on the following time scale: Day 1: 2x $1 SPINS tickets + 1x $5.50 MTT ticket Day 2: 4x $0.25 SPINS tickets Day 4: 1x $3.30 MTT ticket Day 6: 4x $0.25 SPINS tickets However, if you deposit $20 or more, then that package increases to $30 worth of tickets released as follows: Day 1: 1x $5.30 MTT ticket Day 2: 2x $1 SPINS ticket Day 3: 1x $5.50 MTT ticket Day 4: 1x $5.50 MTT ticket Day 5: 3x $2.20 MTT tickets Day 6: 2x $3 SPINS tickets + 1x$3.30 MTT ticket Residents in the United Kingdom can get a different PartyPoker welcome bonus. Made up of a 100% matched deposit with a maximum of 400 on any deposit over 10. Players also receive 40 in Party Dollars which can be used in any of the site's games. All matched deposit bonuses have playthrough requirements and are released in 10% increments. For full details and to check the expiry date of the bonuses, check out the terms and conditions on the PartyPoker website. Facebook Twitter Pinterest Email Print Republican Georgia Gov. Brian Kemp blasted Trump after the former president claimed that he is going to release a report on the states election rigging. Kemp tweeted in response to Trumps claims on Truth Social: The 2020 election in Georgia was not stolen. For nearly three years now, anyone with evidence of fraud has failed to come forward under oath and prove anything in a court of law. Our elections in Georgia are secure, accessible, and fair and will continue to be as long as I pic.twitter.com/jaru2iBDo7 Brian Kemp (@BrianKempGA) August 15, 2023 Brian Kemp is the last person in Georgia that Trump should be wanting to anger. Some Republicans in Georgia have been defending Fani Willis for her integrity and ability as a prosecutor. Judging from Kemps comments, it is unlikely that he will be lifting a finger to assist Trump in any way in Georgia. Kemp also testified in the Trump case and has been very vocal about the integrity of Georgias elections. Gov. Kemp was correct. The Georgia election wasnt rigged or stolen, but he could have and should have said more. Kemp should have said that Trump is unfit to be president and must never be president again. It isnt enough to just defend the integrity of elections. All corners of the political landscape must also condemn Trump. Kemp cant pardon Trump if he is convicted, and his crackpot revival of the 2020 big lie will not be tolerated. Trump is going to be on trial and facing prison time in Georgia, so the last he needs is to be antagonizing the top Republicans in the state. Facebook Twitter Pinterest Email Print Special Counsel Jack Smith won a bruising battle with Twitter that resulted in his investigation obtaining detailed data from Trumps Twitter account. Politico reported: Twitter attorneys also revealed that Trumps account sent some volume of direct messages that prosecutors were working to obtain. Among the data the search warrant commanded Twitter to produce: Accounts associated with @realdonaldtrump that the former president might have used in the same device. Devices used to log into the @realdonaldtrump account IP addresses used to log into the account between October 2020 and January 2021. Privacy settings and history All tweets created, drafted, favorited/liked, or retweeted by @realdonaldtrump, including any subsequently deleted. All direct messages sent from, received by, stored in draft form in, or otherwise associated with @realdonaldtrump All records of searches from October 2020 to January 2021 Location information for the user of @realdonaldtrump from October 2020 to January 2021 The dates October 202 through January 2021 are important because that is the timeframe when Trump was developing his plot to overthrow the 202o election and stay in power. It has been reported that Trump like to use unsecured Twitter direct messages as a form of private communication, so that would explain why Smith and federal prosecutors want access to Trumps DMs. Jack Smith has been digging deep into Trumps communications, and he is leaving no stone unturned. Elon Musk tried to tip Trump off to the search warrant and ended up losing hundreds of thousands of dollars in non-compliance contempt fines. Jack Smith looks to be building a comprehensive case against Trump. Given how Trump was reckless with classified information, it is no surprise that he used unsecured Twitter DMs to communicate while he was President Of The United States. The Special Counsel is using everything at his disposal to bring Trump to justice. Facebook Twitter Pinterest Email Print A majority of Americans think Trump acted illegally. An overwhelming majority believe Biden legitimately won in 2020, and Trumps approval rating is just 35%, according to a new poll. The AP reported on their polling: The poll from The Associated Press-NORC Center for Public Affairs Research, which was conducted before Mondays charges in the Georgia case, also shows that about half of Americans 53% approve of the Justice Department indicting Trump over his efforts to remain in office after losing the 2020 election. Overall, 35% of Americans have a favorable view of Trump and 62% unfavorable. Among Republicans, though, seven in 10 view the former president favorably, and about 6 in 10 say they want him to make another run for the White House. Americans largely disagree with Trumps contention that the 2020 election was stolen from him. Seven in 10 say that Biden was legitimately elected president, a number thats been consistent in the last year. But among Republicans, 57% say Bidens election was illegitimate, compared with 32% of independents and 2% of Democrats. Trumps indictments are popular with a majority of Americans. Trump is very unpopular with a majority of Americans, and the only thing less popular than Trump is his claims that the 2020 election was stolen and Joe Biden is not the legitimate president. The Republican Party exists in name only now. It has been replaced by the Trump party, which is a tyranny of the minority that is out to seize power and destroy democracy. Polling that shows Trump getting 40% of the general election vote might be overestimating the former presidents support. The 40% number also reflects Trumps starting point before Democrats start to campaign against him. The AP data suggests that Republicans are setting themselves up for disaster. Donald Trump has a proven record of driving Democrats to the polls to vote against him and other Republicans. It is far too early to make a final determination, but the GOP will be putting itself in a big hole if they stick with their plan and make Trump the partys 2024 presidential nominee. William Byron dominated the NASCAR race at Watkins Glen International and notched his Cup Series-leading fifth victory of the season. Driving the No. 24 Chevrolet for powerhouse Hendrick Motorsports, Byron overtook Michael McDowell in the first quarter of the 90-lap event and pretty much remained in controlled the rest of the way. Pole-sitter Denny Hamlin was second, followed by Christopher Bell, AJ Allmendinger and Ty Gibbs. It was Byrons first win on a road course in six Cup seasons. It came in the penultimate race of the regular season. Read moreWilliam Byron dominates at Watkins Glen for his Cup Series-leading fifth victory of the season An Aiken County deputy coroner has resigned amid an investigation into whether he mishandled prescription medications collected as evidence at death scenes, according to Aiken County Coroner Darry Ables. Alton Jeffery Smith resigned his post as deputy coroner after being placed on administrative leave, Ables said. Ables said the South Carolina Law Enforcement Division was contacted to investigate. The incident was first reported June 10, when police responded to a residence in the 170 block of Griffin Avenue in reference to securing evidence, according to an incident report from the Aiken County Sheriffs Office. Upon police arrival, a witness showed police the location of prescription drugs. Police then removed several pill bottles and pill containers from various places inside a residence, the report said. Once the medications were gathered in the residence, police secured pill bottles for prescription drugs and clear plastic pouches that contained prescribed pills in a backyard shed, the report said. The prescription pills were then removed from the residence and the shed to be in evidence for SLED, the report said. Ables said the case has been turned over to SLED to continue the investigation. An Erie County Court judge on Tuesday sentenced a Buffalo man to 25 years in prison for the 2021 death of his girlfriends 7-month-old son, according to the District Attorneys Office. Nasir Jackson, 21, pleaded guilty to first-degree manslaughter in June in the death of Ocean Reed. Jackson was babysitting the infant on Dec. 10, 2021, at a motel on Niagara Falls Boulevard in the Town of Tonawanda when the child suffered blunt force injuries to his head and neck that led to his death, prosecutors said. In addition to the maximum available sentence, Judge Kenneth Case also ordered Jackson to serve five years on parole upon his release from prison. A Barnwell County woman is facing charges of exploiting a vulnerable adult . Simone Montrell Stephens, 34, was arrested and charged Aug. 15 with the exploitation of a vulnerable adult, according to a media release from the South Carolina Law Enforcement Division. According to a SLED arrest warrant, from April 7, 2020 to Dec. 31, 2022, Stephens did willfully, unlawfully and feloniously exploited the victim by utilizing financial resources as a source of payment for her personal bills. According to a Barnwell County affidavit, Stephens was the caregiver of the vulnerable adult and had access to the victim's bank account, according to a release Stephens was booked as an inmate at the Barnwell County Detention Center and her case will be prosecuted by the Second Judicial Circuit Solicitors Office. A Lexington man has been charged with attempted murder in connection to a July 30 incident in Aiken. Kenneth Lamont Richardson Jr., 30, of Lexington was arrested and charged Aug. 16 with attempted murder, armed robbery, possession of a firearm or ammunition by a person convicted of a violent felony, possession of a weapon during a violent crime, resisting arrest and failure to stop for a blue light no injury or death, according to jail records. On July 30, police responded at 5:57 a.m. to Kershaw Street in reference to a shooting and spoke with a male victim, according to a media release from the Aiken Department of Public Safety. The victim told police he was sitting on the porch with three other men and was shot in the lower extremity, the report said. The victim told police one of the men demanded everything he had including $3,000 and the men left the scene in a black Chevy sedan, the report said. The victim told police he ran to the witness after he was shot and knocked on the glass of the front door, the report said. Police observed a contusion on the right side of the victims head, and the victim said he had been pistol-whipped, the report said. Police also observed a gunshot to the victims upper thigh and provided medical assistance until EMS arrived. A witness told police he began to run and was unable to name any suspects, the report said. Police observed several baggies containing a green leafy substance and a small amount of money on an outside table, the report said. Police also discovered an unspent .223 round near the victims 2016 Lexus which was parked alongside the road, the report said. Richardson is listed as an inmate at the Aiken County detention center and has no bond. He's been retired for several years, but Max Llewellyn still logs plenty of mileage each week in outreach to his fellow man despite having been smacked hard in terms of his health. The 80-year-old spent most of his working years in West Virginia as a business owner and construction manager, but his earliest years were in Denmark the Bamberg County town, not to be confused with the Nordic nation. Dozens of residents now know the Air Force veteran as an active member of Howlandville Baptist Church and of American Legion Post 77, in Graniteville. Some also recognize him as one of the most active volunteers at Anchor Post-Acute, one of Aiken's nursing homes, where he's the chaplain, reaching out to elderly neighbors who may welcome a listening ear and some Bible-based insight on life's toughest questions. His Anchor activities, dating back to 2017, also include playing host to a "veterans round table" on the first Wednesday morning of each month, offering a chance for soldiers, sailors, airmen and Marines of decades past to share fellowship in an atmosphere that includes coffee, orange juice and doughnuts. "They're not only a congregation," he said, referring to his Anchor neighbors. "They're good friends, and we've pretty well got the room full. I dearly love them and I'm so proud to serve them. I finally got a life that's pleasing, and I really enjoy it." "If he is not preaching, then he is worried about a veteran," said Jennifer Jackson, volunteer coordinator with Regency Southern Care Hospice, who knows Llewellyn through his work in Gentiva Hospice. "Everything he does is for others. Everything he does. He believes in giving to others, and that is what he strives to do," she said. His Air Force years had him staying stateside, working as a mechanic to keep the first generation of F-4 Phantom jets in prime condition. He did not wind up going overseas and worked instead at Shaw Air Force Base in Sumter; and Eglin Air Force Base in Florida. His sense of humor was not a casualty. "I tell everybody, 'Charlie didn't overrun the base while I was there.'" He knows a little about stress, not only having served in the military in the 1960s but also having been divorced and having established and run Llewellyn Bykota Inc., a maker of industrial filters, with its name rooted in the concept of "be ye kind one to another." He also spent 25 years working for Union Boiler Company, one of the country's largest construction firms. Earning his daily bread took its toll. "I was in a high-stress job with my company and everything, and I ended up having a heart attack and I ended up with cancer, and I just decided to quit, and I did, and I decided to move back home." That was in 2009. Denmark left a little bit to be desired in terms of social and hospital options, he said, so he opted for Aiken and has reached out through a variety of ministries, including a Good News Club (an after-school program for kids) and children's programs at Central Baptist and Lighthouse Baptist churches. His home congregation is Howlandville Baptist, in Warrenville, and he's on board as a choir member, Sunday school teacher and outreach worker, helping provide needy neighbors with food, clothing and prayer support. Keeping up with kids can be a challenge, he acknowledged. "I had to slow down a little bit, so the Lord led me to Anchor and I was calling bingo every Friday and I'd walk the halls, and the Lord led me to different rooms, and I started developing a ministry every Friday. " The administrator eventually asked Llewellyn if he would be interested in establishing a full-time ministry, and they reached an agreement. "I did services on Sunday and still do and I still do Bible study on Wednesdays. Everybody's got my card," he said, acknowledging that he aims to be close at hand if someone has a prayer concern or a similar need to communicate. Llewellyn's short-term plans include moving, over the next couple of months, to Silver Bluff Grove, an assisted-living facility near Woodside, and the question has arisen of whether he might serve as the chaplain there, since he'll be a resident. He's considering it. "I'm in a wheelchair not all the time, but some time and I'm just wanting the security of somebody there to help me." "I'm well satisfied, and the Lord has kept me on my feet and kept me busy. I can't complain a bit." Three restaurants will open in the fall in two new multiunit retail buildings under construction in an expanding North Charleston shopping center. In part of a 15,000-square-foot building southeast of PetSmart in Cedar Grove Commons on Dorchester Road, Buffalo Wild Wings plans to open by late September, according to city Councilman Ron Brinson. Several other units remain available in the structure. Across the parking lot in an 8,050-square-foot building under construction, Chicken Salad Chick should open by early to mid-October while First Watch plans to open by Thanksgiving, Brinson said in an email to his constituents. European Wax Center also plans to have a shop in the smaller retail building, according to site plans. Another outparcel in the shopping center will house a branch of Fifth Third Bank. Construction has not begun on the site, but it's expected to be in operation by next spring, Brinson said. He noted the developer informed him that tenant interest remains strong and a new phase of retail development is planned near discount grocery store Lidl, which is at the northwest end of the shopping center. Cedar Grove also includes Ross Dress for Less, Ulta, Five Below, HomeGoods and Firehouse Subs. Cup of java A new place to get a caffeine boost is opening in the Lowcountry. Scooter's Coffee will host its grand opening Aug. 18 at 5641 N. Rhett Ave. in North Charleston where a Hardee's restaurant once operated. The cafe, owned and operated by Caroline Sbarra, Chandler Bigelow and Rebecca Bigelow, offers drive-thru service, specialty coffee and baked-from-scratch pastries. It's open 6 a.m.-8 p.m. Nebraska-based Scooter's has other locations in South Carolina in Columbia, Greenville, Lugoff and Orangeburg. Others are in the works for Anderson, Sumter and Duncan near Spartanburg, according the company's website. On the way A new restaurant is on the way to a major shopping destination in North Charleston. Mario's Peruvian Chicken plans to open at 4948 Centre Pointe Drive, next to Japanese restaurant Seasons of Tokyo. It's expected to welcome diners in the fall across from Tanger Outlets. Mario's has one other Charleston-area location in East Cooper, next to Mount Pleasant Towne Centre. Gassing up A new convenience store and gas station is nearing completion in West Ashley. Construction on a Greenville-based Spinx at 4000 W. Wildcat Blvd. is expected to be completed by September with an opening in the fall. Under construction A new discount store is being built between two massive housing developments in Berkeley County. A project commencement notice recently was filed to begin construction on a new Dollar General store at 1851 State Road, also known as U.S. Highway 176. The site is near Nexton and Cane Bay, where thousands of homes are on the ground or on the way in unincorporated parts of the county. The C. Leon Dennis Trust of Summerville bought the 1.42-acre tract in May for $2.4 million from SC Summerville 176 II LLC, according to county land records. Lights out A fast-food restaurant in West Ashley recently went dark. Hardee's at 2563 Ashley River Road closed near the end of July. A sign on the door directs customers to another location on Savannah Highway. Pet project The proprietors of three breakfast restaurants in the Charleston area are branching out into pet care. Jackie and Marc Cotone, who own the Eggs Up Grill locations in Cane Bay, Knightsville and Ladson, will hold a grand opening celebration for the Lowcountrys first Dogtopia franchise noon-4 p.m. Aug. 19 at 619 Myers Road in Summerville. The business offers day care, boarding and spa services and is offering 25 percent off select enrollment plans for the first 100 dogs that are enrolled. The 6,400-square-foot shop is part of a multitenant building. Arizona-based Dogtopia has about 200 locations nationwide, with two in South Carolina in Greenville and Fort Mill. Opening soon An Alabama-based breakfast restaurant chain will open its second location in the Charleston area later this month in a space that once served morning meals. Big Bad Breakfast is taking over the spot vacated in January by Cabana Burgers & Shakes at 2664 U.S. Highway 17, near the recently opened Bohemian Bull restaurant. A soft opening is set for Aug. 26, with the grand opening on Aug. 29. Before Cabana Burgers & Shakes eight-month run at the site, the property housed an Eggs Up Grill location that closed in 2021. The restaurant will be open 7 a.m.-2:30 p.m. daily, serving a full breakfast menu along with lunch items such as sandwiches, burgers and salads as well as alcoholic beverages, including mimosas, beer and wine. The other Lowcountry location, at 456 Meeting St. in downtown Charleston, opened in 2019. Big Bad Breakfast has 17 other locations across eight states in the Southeast, with three more in the works. They stretch as far west as Little Rock, Ark., where another one is planned, to as far north as Louisville, Ky. Others are planned for Huntsville, Ala., and Durham, N.C., according to the companys website. Wellness at Walmart The nations largest retailer is once again hosting a Wellness Day this weekend to get people on the path of healthiness ahead of a new school year. More than 4,600 Walmart pharmacies across the U.S. will participate from 10 a.m. to 2 p.m. Aug. 19. The promotion includes free screenings for glucose, cholesterol, body mass index, vision and blood pressure at select locations, as well as immunizations for a fee for the flu, mumps, measles, tetanus, HPV, rubella, chicken pox/shingles, whooping cough, hepatitis A & B and other maladies. COVID-19 vaccines are free. Go to walmart.com/wellnesshub to find a free event. In an unusual counterfeiting case, a North Charleston couple has been charged with buying bogus "Forever Stamps" made in China and reselling them as part of an online business enterprise. Bruce Quimby and Lisa Quimby each signed plea agreements this week with federal prosecutors. They were charged with conspiracy to traffic in counterfeit goods. The government is seeking to recoup nearly $610,000 that it said the couple obtained either directly or indirectly from the scheme. About $92,000 has been seized from an online bank account. According to the charges filed in U.S. District Court in Charleston this week, the illegal activity began last August, when the first of two shipments of 500 rolls of Forever Stamps were delivered to the couple from China. The second arrived in January. Court documents did not say how much they paid for the stamps or provide other financial details. Investigators homed in on two out-of-state sales to unidentified buyers in Colorado and Wisconsin. The plea agreement the Quimbys signed Aug. 14 said the potential maximum penalty includes up to five years in prison, a fine of $250,000 and three years in a supervised release program. No hearings have been scheduled. An online search found that the Quimbys operate an eBay online retail store that sells historical Biblical documents and other ministry items. Their site, as of Aug. 16, also offered "rolls of Forever stamps for letter writing at $32.99 without tax just like the Post Office with same-day fast shipping." The U.S Postal Inspection Service acknowledges that online counterfeiting "has escalated." "Scammers peddle fake stamps on social media marketplaces, e-commerce sites via third party vendors and other websites," the agency said. "Counterfeit stamps are often sold in bulk quantities at a significant discount anywhere from 20 to 50 percent of their face value. Thats a tell-tale sign theyre bogus." COLUMBIA South Carolina's capital city is trying to establish a centralized location to feed homeless people, marking the latest in a series of initiatives attempting to provide and consolidate resources for the unsheltered population. But opponents are rallying against these plans. During a contentious public comment period during the City Council meeting on Aug. 15, as well as outside City Hall beforehand, members of a local nonprofit raised concerns over the idea, which council members said they planned to address. The new meal-sharing plan would not take the place of groups that offer food on property they own, such as churches, council members said. Instead, the communal kitchen at Christ Central Ministries on Main Street would provide homeless people with a place to go that includes restrooms and protection from inclement weather, Mayor Daniel Rickenmann said. "The city of Columbia commends those community groups and individuals who care for those experiencing homelessness in our city," Rickenmann said. "We're happy to provide a centralized location for these activities to take place." The city did not give a timeframe for when the operation would begin. Along with meals provided by the city, the goal is for groups that go into the community to feed people a single location with resources, such as a kitchen and dining room, all without fees. City officials said the plan has been in the works since November, when a task force identified a need to find a singular location for groups to operate. But the announcement came hours after a handful of members of local nonprofit Be Kind, Be Great Inc., alongside about seven people from national group You Are the Power, gathered outside City Hall over claims Columbia has made it more difficult to feed homeless people. Be Kind, Be Great, which feeds people in Richland County once a week, saw police shut down a meal service in May, founder Ericka Brown said. Columbia police do not prevent people from feeding others, but officers enforce city rules that prohibit large gatherings on public sidewalks or in public parks without a permit, department spokeswoman Jennifer Timmons said. As a Pittsburgh, Pa., native, my earliest memories of Southern cuisine were driven by annual trips to Myrtle Beach. We dined at long-standing establishments like Chestnut Hill Restaurant, savoring the chance to sample bites we couldnt find up north. Southern cuisine is constantly evolving, with South Carolinians and immigrants bringing global flavors to Charleston restaurants, pop-ups and food trucks. Yes, the definition of a Southern restaurant has changed, but many of the dishes that have long defined the regions food are still highlighted at Charleston-area establishments. Restaurants specializing in decidedly Southern bites like fried green tomatoes, shrimp and grits, okra soup, fried chicken and biscuits are joined by other spots that offer elevated options celebrating the Lowcountrys produce and seafood. This list of top Southern restaurants combines these equally relevant restaurants. 82 Queen St., downtown Charleston The 82 Queen address had a short run as an Italian restaurant, and in 1982, 82 Queen opened for business. The restaurant continues its Southern, seasonal slant and commitment to local sourcing. There are several rooms for your dining pleasure along with a clubby bar. The barbecue shrimp and grits are available as both appetizer and entree and do justice to this iconic Lowcountry receipt. Coated with a tangy tomato-based barbecue sauce, served on a bed of buttery stone-ground grits, salty with licks of Applewood smoked bacon bits and garnished with ringlets of green scallions, 82 Queens version marries well the appeal of this iconic dish interpreted for a starter or entree course. 2332 Meeting Street Road, North Charleston For over 40 years, Berthas Kitchen has epitomized the family-owned and -operated restaurant model. Walking inside the North Charleston soul food institution during a crowded lunch service, its easy to see the immense impact this hands-on approach has had on the community. Julia Grant and Linda Pinckneys devotion to Berthas Kitchen first opened by their mother Albertha Grant in the Neck Area of the peninsula in 1981 has meant long days and late nights. Most of the time, its just Grant, Pinckney and Pinckneys daughter Elesaa Boone working inside the restaurant, alongside a dishwasher, fry cook and cashier. Over the years, Grant and Pinckney have continued to serve their mothers iconic dishes: lima beans over white rice, okra soup, macaroni and cheese, fried chicken and pork chops. Fresh collard greens and barbecue ribs are a hit as Thursday specials, and recently, more guests have been sampling baked chicken or turkey wings. 8-D Line St., downtown Charleston Southern cuisine is deeply rooted in the contributions of enslaved African Americans and their descendants. This connection between the food cooked by Africans who came to Charleston via the trans-Atlantic slave trade and what is served at high-profile downtown Charleston restaurants helped bring Bintu Atelier owner Binta N'Daw Young to Charleston. The chef lived in New York City until the pandemic suspended her successful private catering company, a venture that had her cooking for high-profile hip-hop artists. Alongside her husband and now-business partner Tracey Young, she moved to Charleston and found a job at Chez Nous. She enjoyed working with executive chef Jill Mathias but eventually realized Charleston was the ideal setting for her very own West African restaurant. Thats why I wanted to do this in this city, NDaw Young said. I felt like this city was to me the connection that we were looking for to Africa. With her red-hued rice, NDaw Young points back to the Jollof empire, a kingdom that controlled wide swaths of western Africa from the 14th-19th centuries. The chefs mafe guinaar also looks to the past at her mother Nafissatou, who helped NDaw Young launch her African condiments company, Nafis Originals. 476 King St., downtown Charleston 188 Meeting St., downtown Charleston What started as a made-by-hand, mail-order biscuit company in 2005 has mushroomed into breakfast treats sold in nearly 2,000 retail outlets in the U.S. and two eateries in Charleston. The little in the name of Carrie Moreys King Street retail operation refers to the size of the biscuits, previously only available in frozen or dried powder form. But the adjective is just as apt when applied to the shoebox space on King Street that has been serving biscuits, pimento cheese sandwiches and grits by the bowlful since 2014. 18 Pinckney St., downtown Charleston Shortly after successfully catering legendary Charleston chef Robert Carters wedding at Hibernian Hall, John Zucker opened Cru Catering, now one of the top weddings and special-events caterers in Charleston. Two years later, the chef realized his dream of opening his own restaurant when Cru Cafe debuted on Pinckney Street on Feb. 8, 2002. Cru Cafe opened in a renovated Charleston home years before other local restaurants Chez Nous, The Tippling House, Malagon and Chubby Fish, just to name a few followed suit. Before it was trendy, Zucker debuted an open kitchen-facing chefs counter, where he put a chef-inspired spin on Southern plates, such as Cru Cafes grilled pork chop with coconut Carolina Gold Rice or fried green tomatoes with pork belly croutons, a dish I first experienced at Zuckers chefs counter in 2016 shortly after moving to Charleston. Zuckers mother can be credited with Cru Cafes interior decorations, which havent changed much over the years. Cru Cafes staple menu items havent, either. We still have a lot of the original stuff on our menu, Zucker said. We change it frequently, but its just minor tweaks. Seasonal swaps are a regular occurrence, but there are certain dishes that will likely never depart Cru Cafes menu. The macaroni and cheese has been on there since day one, the Chinese chicken salad. These are things that if I took off, I think people would rebel against me, Zucker told me with a smile in 2022. Like the macaroni and cheese, made using four types of cheese, Zuckers menu is filled with elevated versions of food that, simply put, just taste really good. White wine truffled mussels, Thai seafood risotto, poblano and mozzarella fried chicken. The list goes on. 232 Meeting St., downtown Charleston Mike Lata and Adam Nemirow walked into Vincenzos Ristorante on Dec. 31, 2002, to sign a lease that would contribute to an important shift in Charlestons dining scene. A mere five months later, in April 2003, FIG opened in the single-story space at the corner of Meeting and Hasell streets. A decade after that, the Holy City became a dining destination with countrywide intrigue. FIG, which stands for Food is Good, played a huge role in that evolution. The restaurant remains just as relevant as it was when Lata was crowned Best Chef: Southeast in 2009, in large part because of the many longtime employees he and Nemirow have been surrounded by for years. 16 Blake St., downtown Charleston Hannibals crab rice is just one of many adored entrees at the soul food kitchen churning out warm plates of shrimp and grits, fried whiting, ribs and okra soup but its certainly the most well-known. Safiya Grant and her sister are third-generation managers of the restaurant their grandfather Robert Lawrence Huger opened in the East Side neighborhood of downtown Charleston in 1985. Grant says her father, L.J. Huger, came up with the crab rice recipe. 76 Queen St., downtown Charleston Just inside the front doors of Husk, the tall wooden board still lists some 30 purveyors, just as it did at the end of 2010 when the restaurant seated its first customers. Back then, the white lettering on those long black panels seemed almost like incantations, as if merely inscribing the name of a turnip farmer or ham-maker might invoke culinary magic. These days if you dine out much in Charleston, those producers names are comfortably familiar: Nat Bradford (collards), Anson Mills and Marsh Hen Mills (grains), Mark Marhefka and Crosbys (fish). But this doesnt mean that nothing has changed at Husk over the years. Just last year, the restaurant closed for a week of renovations, including replacing the big wood-fired oven and overhauling the rest of the kitchen, refinishing the wood-topped dining room tables and migrating the reservation system from OpenTable to Resy. 68 Wentworth St., downtown Charleston Lenoir is the first full-service Charleston restaurant from Vivian Howard, a legitimate celebrity chef with two award-winning shows on PBS (Somewhere South and A Chefs Life) and two best-selling cookbooks (Deep Run Roots and This Will Make It Taste Good.) Lenoir is housed inside the Lindy Renaissance Charleston Hotel, halfway down the narrow block of Wentworth Street between Meeting and King streets. That stretch has been made even narrower in recent months by scaffolding and construction barriers that reduce the street to a single lane. But heres a tip: you can park right across the street in the Wentworth Garage and for free, too, if you remember to get your ticket validated. Just look for the funky sign with three stylized tobacco leaves in bright pink neon a glowing nod to Howards roots in Eastern North Carolina tobacco country. Those roots, when blended with a few Lowcountry notes and international flourishes, make for some intriguing combinations. 185 East Bay St., downtown Charleston Serving upscale Southern cuisine that helped put Charleston on the map as a food destination, Magnolias first opened at 185 East Bay St. in downtown Charleston 33 years ago. The menu still features the dishes diners have grown to love over the years, including fried green tomatoes, shrimp and grits, grilled filet of beef with melted pimento cheese and more from chef Don Drake. 5237 Dorchester Road, North Charleston Antwan Smalls, his mother Lorraine and the late Alice Warren first debuted My Three Sons in a North Charleston strip mall on East Montague Avenue in 2014. In January 2020, they moved into a stand-alone, brick-and-mortar in an old KFC at 5237 Dorchester Road. Serving shrimp and grits, fried chicken, seafood rice and more, My Three Sons is located in an area that is short on independent restaurants and grocery stores, meaning the restaurant is a pillar in the community. 3760 Ashley Phosphate Road, North Charleston 9616 US-78, Ladson 7000 Bowen Pier Drive Suite 2, Hanahan Restaurateurs Nigel and Louise Drayton have been the ultimate team since they met in 2000 at Southend Brewery & Smokehouse, where Nigel was a sous chef and Louise was a banquet manager. Two decades, four restaurants and countless accolades later, and the couple is stronger than ever. The same can be said for Nigels Good Food, Nigels Good Food II, Nigel's Good Food Bowen and Slaughter House BBQ & Brew, the Draytons restaurants. The menu at each Nigel's Good Food varies slightly. Okra soup and seafood are a hit at the Ashley Phosphate location, while Ladson sells more meat-and-threes. 72 Queen St., downtown Charleston If Charleston dishes was a Family Feud category, the board would look something like the Poogans dinner menu, which includes fried green tomatoes and shrimp and grits. The entree section, divided into traditional and contemporary presentations, strays slightly from the expected, but the appetizer choices are relentlessly conventional. All of Poogans supple biscuits are made according to the same recipe, helpfully printed on cardstock for inquisitive guests. Still, their nature varies depending on who mixed them and when they emerged from the oven. The one constant is sweetness. Poogans makes its biscuits with a generous spoonful of sugar and baking powder, so the end result is kind of cakey. The Glass Onion 1219 Savannah Highway, West Ashley This West Ashley restaurant opened in 2008 with a menu reliant on local farmers and purveyors. The daily-changing menu features Southern favorites like Cajun catfish balls, a fried green tomato po'boy, and gumbo. Workmens Cafe 1717N Grimball Road, James Island Opened in 2001, Workmens Cafes hours are limited 11 a.m. to 4 p.m. Tuesday through Friday. After serving the island for more than two decades, Workmens is beyond worthy of a special visit. Owner Angie Bellinger specializes in pork chops and lima beans plates, though other Southern standards like meatloaf, barbecue ribs and fried chicken appear on her menu. Adored by locals, Workmens Cafe is a meat-and-three paradise. Cheryl and I grew up around dogs and when we were married, it did not take long for us to bring home our first fur babies, a Scottish Terrier and a Wire Hair Terrier. Over the years weve had Cocker Spaniels, Bostons, Frenchies, and many other wonderful companions that were members of our Read moreUntil one has loved an animal On Sunday, June 25th I awoke to the smell of a smoldering campfire, a scent most people associate with our earliest, often happiest, experiences out in the natural world. Upon going outside, I found the entire city of Montreal was blanketed by a smoky haze and realized that the wildfires rag Read moreIndividualism is killing the planet Insects seem to be the main pest at the Possums counter this week. Armyworms, chinch bugs, fleas, roaches (hey, it is Charleston, they like the worlds best city also) and mosquitoes have been attacking our Lowcountry homes and yards. Read moreSummer pests at the gate A North Tonawanda man who posed as a girl online to solicit explicit photos from young girls has pleaded guilty to a child pornography charge, U.S. Attorney Trini E. Ross reported. Michael Angstenberger, 48, faces a mandatory minimum sentence of five years in prison and a maximum of 20 years and a $250,000 fine when he returns for sentencing Dec. 1. Angstenberger entered his plea to receipt of child pornography before U.S. District Judge Richard J. Arcara. Prosecutors said Angstenberger, representing himself as a minor girl, used a Snapchat account to contact girls in other states and countries and obtain sexually explicit photos from them. Prosecutors cited an instance where he asked for and received explicit photos from a 12-year-old girl in Kentucky on Dec. 5, 2021, and another undated instance where he did the same with another girl age 11 or 12 in Arkansas. Ross said the plea followed an investigation by the FBI and the National Center for Missing and Exploited Children. NORTH MYRTLE BEACH With nearly 300 locations across the country, Cava has moved into the Grand Strand dining scene with its first area location. The fast-casual Mediterranean chain opened earlier this month in North Myrtle Beach at the Coastal North Town Center inside a space that formerly housed Zs Kitchen. Located at 1400 U.S. Highway 17 North, Unit 2, It joins nine other Cava locations across the state. Founded in 1996 by three Greek-American friends in Rockville Md., the chain expanded over the years to become the largest restaurant operator in the Mediterranean category in the U.S. restaurant industry. Now headquartered in Washington, D.C., Cava features a menu of grain bowls, salad bowls, pitas, desserts, fruity drinks and a kids menu. Some options on the customizable menu include Lemon Chicken and Harissa Avocado bowls, spicy lamb meatball and Greek chicken pitas, cucumber mint lime and blueberry lavender drinks and salted chocolate oak cookies. Add-in options include arugula, avocado, red pepper hummus, falafel, lentil tabbouleh, fire-roasted corn, lemon herb tahini dressing, hot harissa vinaigrette and saffron basmati rice. CAVAs inspiration is rooted in the entire Mediterranean meal experience, notably that feeling-so-cared-for genuine expression of hospitality and warmth, according to the brands website. Pick-up, dine-in and online ordering are available at the North Myrtle Beach location, which is open seven days a week from 10:45 a.m. to 10 p.m. Future Pawleys dessert spot The Hammock Coast is about to get a new dessert spot to add to its eclectic mix of dining options. Jeremiahs Italian Ice is slated to open this fall at 10378 Ocean Highway in a building where Jimmyz Hibachi used to be located before its closure in December 2021. The stores menu features more than 40 flavors of Italian ice, soft ice cream options and gelati, which is a layering of Italian ice and soft ice cream. Jeremiahs website said it also has sugar-free flavors and dairy-free options. A registered sex offender twice convicted of sexual offenses involving children in South Carolina appeared in federal court Aug. 16 to face new criminal sexual conduct charges involving a minor. Chad Michael Rabon, 33, was indicted earlier this month by a federal grand jury on six felony counts including attempted coercion and commission of a felony sex offense of a minor by a registered sex offender. He pleaded not guilty to the charges Aug. 16 in the Charleston federal courthouse. Rabon was convicted in Lexington County in 2010 of criminal solicitation of a minor. He was sentenced to four years probation under the Youthful Offender Act, according to court records. Four years later, he was charged with criminal sexual conduct with a minor in Kershaw County. He was sentenced to nine years in prison and given credit for 333 days. The latest, federal indictment alleges that the North Charleston man attempted to coerce a 13-year-old into sexual acts between May and June 2022. The alleged crime took place at a facility used for interstate commerce. Rabon can request a detention hearing in front of Magistrate Judge Mary Gordon Baker. He has been held in the Charleston jail under a $220,000 bond on state charges related to the same alleged conduct since July 26, 2022. Rabon is accused of sending sexually explicit photos and videos to someone he believed was a 13-year-old on KIK, an instant messaging platform, according to affidavits filed in Charleston County's General Sessions Court. The defendant allegedly acknowledged in the messages that he had a criminal history of criminal sexual conduct with minors but maintained that he preferred younger women, according to the affidavits. The state charges against Rabon were brought by the Mount Pleasant Police Department, which participates in the Internet Crimes Against Children Task Force. In that capacity, officers often pose as young girls on social media platforms and communicate with men who may be interested in soliciting minors for sex. The operations, authorities contend, can ferret out predators before they have a chance to abuse a child. SUMTER A fifth inmate has pleaded guilty to crimes committed during the deadly April 2018 prison riot in a South Carolina state prison. In all, 29 men who were incarcerated in the high-security lockup in Lee County were indicted on various charges in 2020. The riot at Lee Correctional Institution, which led to seven deaths and dozens of injuries, was the deadliest American prison riot in a generation. Four men were the first to plead to charges on July 20, 2023. On Aug. 14, a fifth man, Chan Bun, 39, pleaded guilty in Sumter County General Sessions Court to possession of contraband and criminal conspiracy. He was sentenced to a concurrent eight-year prison term. Bun, who is now being held in the McCormick Correctional Institution, was sentenced to 30 years in prison for a 2006 murder and two counts of assault with the intent to kill in Spartanburg. According to media reports, Bun was involved in a fatal drive-by shooting that killed an innocent bystander and wounded two others. He was 23 years old at the time. S.C. Department of Corrections inmate reports show Bun has repeatedly been punished with loss of privileges for violating rules, most recently in May when he was caught with a cellphone. Like Bun, three other men pleaded guilty in July to possession of contraband. The fourth man, Mike Smalls, pleaded guilty to assault and battery by a mob in the second degree, and conspiracy. He was sentenced to 18 years in additional prison time. In December 2020, indictments were returned against 29 men. No one was charged with murder, but 18 were charged with first-degree assault and battery by a mob resulting in death. In a December 2019 investigative report, The Post and Courier detailed what led to the riot and what happened during it when Michael Milledge, 44, was stabbed to death by Damonte Rivera, 24. Rivera was killed in retaliation and the violence exploded at the Bishopville maximum security prison where locks and security staff could not protect the men. Raymond Scott, 28, Corey Scott, 38, Joshua Jenkins, 33, Eddie Gaskins, 32, and Cornelius McClary, 33, also died. According to a news release, the Department of Corrections expects more to plea guilty soon. Since 2019, when custody data became available in South Carolina, at least 13 more homicides have occurred in South Carolina prison facilities. Chronic flooding on Highway 61 at the end of the Ashley Memorial Bridge is just one example of the myriad flooding problems Charleston officials need to address. Now, the city may be able to fund many of those improvements with federal money, after an agreement to study tidal and inland flooding with the Army Corps of Engineers. City Council got an update on the plans Tuesday night. File/Andrew J. Whitaker/Staff Traffic just off the Ashley Memorial Bridge is seen as tidal flooding crosses the road on Thursday, Nov. 10, 2022. In downtown Charleston, storm surges were causing flooding as high tides peaked at about 8.3 feet. Andrew J. Whitaker/Staff When I attended the Mary McLeod Bethune Festival in Mayesville recently, I knew thered be plenty of good food and wonderful hospitality to enjoy. But the thing I most wanted to taste was the lemonade, because legendary educator Mary McLeod Bethune had a habit of turning lemons into lemonade Read moreWeaver: What Mary McLeod Bethune can teach us about reading and resilience The city of Goose Creek announced on social media the annual kids fest event, which took place for the first time at Central Creek Park, was a big success. The back-to-school celebration includes music, vendors, food trucks, activities and back-to-school giveaways. Read moreKids Fest a big hit at new park SUMTER The city of Sumter could deny, suspend or revoke a business license if the applicant has been imprisoned for more than a year or convicted of "a crime involving dishonesty" in the past 10 years, according to city documents. City Council approved the amendment to the city's business license ordinance on first reading at its Aug. 15 meeting. The original ordinance only denied, suspended or revoked business licenses if the applicant was convicted of a business-related crime. The amendment was forwarded as the business license administrations continuing review of the effectiveness of the business license ordinance. The call to review the ordinance came from city staff, Sumter General Counsel Danny Crowe said. Crowe said the amendment would clarify and strengthen the administration of the business license ordinance. "A person who was charged with robbery of a business could be denied or revoked ," Crowe said. "A person who was charged with robbery of another person would not be subject to denial or revocation." Crowe said the amendment wouldnt affect any standing businesses. He said hes only heard of two revocations and no denials in his four years as Sumters general counsel. Crowe said the amendment was based on the S.C. Judicial Branch's Rule 609, which states: A person can challenge someones credibility as a witness in court if the person has been convicted of a crime that could imprison someone for more than a year. The last change to the citys business license ordinance came in 2021 in order to conform with a change in state law that took effect in 2022. The Business License Tax Standardization Act created the same process for taxing jurisdictions across the state, according to the Municipal Association of South Carolinas website. One of the changes was making all business licenses in the state apply to the same 12 month period of May 1 to April 30. It also required the use of a standard business license application form made by the South Carolina Revenue and Fiscal Affairs Office and allowed business license taxes to be paid for through an online portal. LAKE MARION Construction of the new I-95 bridge, which provides the only crossing over Lake Marion, is planned to start in 2025 if the South Carolina Department of Transportation receives a federal grant funds. The bridge is a critically important route, handling more than 40,000 vehicle crossings each day, according to Justin Powell, chief of staff for the SCDOT. The new bridge will be three lanes wide going both ways, up from two. Shoulders on each side of the lanes and a pedestrian walkway will also be added. The walkway will be a part of the statewide Palmetto Trail. It's very important for movement of national freight and traffic across the entire eastern seaboard, Powell said, noting that people in Santee and Summerton also depend on the span to get to jobs and health care. No detour is expected to be made during construction. The total cost of the project comes to about $350 million. The design would allow for a water line from the Orangeburg County side of the lake to the Clarendon County side. A document from the SCDOT said this would provide more economic development opportunities on the north side of the lake. Construction of the 24-inch water main would be the responsibility of the Lake Marion Regional Water Agency, which serves Berkeley, Calhoun, Dorchester and Orangeburg counties and Santee. Powell said crossing the lake had always been a hurdle for the group. The project comes as Lake Marion becomes the site of more development. More than 400 houses and a hotel complex with a Charleston-based restaurant are on the way, with state funds being used to support water infrastructure for the latter. The high volume of commercial and residential projects has led the Planning Commission for Clarendon County to put a statement on its website saying there may be longer than normal wait times for inspections. ROCK HILL In a Rock Hill gymnasium with a bleacher full of educators, South Carolina Gov. Henry McMaster celebrated a new law that will provide school employees up to six weeks of paid parental leave. The bill makes South Carolina the first state in the Southeast to grant more than three weeks of family leave to teachers the end result of a yearlong process that included pilot programs and bipartisan support in the Legislature. The law took effect June 26. On Aug. 16, McMaster visited South Pointe High School in Rock Hill, a district that tested the program, to conduct the ceremonial signing. Jobeth Faile, an employee in the district's business service department, sat in the gym bouncing her 8-month-old baby on her knee. Faile was one of the first participants in the Rock Hill pilot program. She previously had two children and had never been paid for six weeks off. But she saw a noticeable change in care for her newest child, thanks to the pilot program. She took six weeks of sick leave and vacation before adding another six weeks of paternal leave, giving her 12 weeks with her child. To be able to have the time to connect with my child and not have the stress of being docked pay, to be able to take the extra six weeks of paid parental leave it just meant so much, Faile said. McMaster told the crowd "that if were going to thrive, if our people will be happy, then we have to take care of (teachers)." He was joined at the ceremonial signing by teachers and state Superintendent of Education Ellen Weaver. Legislators from across the state included the law's author, GOP Rep. Neal Collins of Easley, state Sen. Sean Bennett, R-Summerville, and state Reps. Raye Felder, R-Fort Mill; Brandon Guffey, R-Rock Hill; Randy Ligon, R-Chester; and Tommy Pope, R-York. Since 1993, federal law has guaranteed parents up to 12 weeks off without losing their job, but it doesn't require the time off to be paid. A law passed last year gave employees of South Carolina state agencies up to six weeks of paid parental leave, starting Oct. 1. That law, however, excluded employees of school districts, leaving some teachers fuming. Officials in four school districts decided on their own to offer the benefit, starting with Florence 1 last August, followed by Rock Hill and Spartanburg 5 and 6. In February, South Carolina legislators introduced six weeks of paid parental leave for school district employees, including anyone from teachers to bus drivers to janitors. The law sought to bring in more teachers and improve retention. Not only are we enabling them to be able to grow their own families while they grow their students, but we can retain them, Weaver said. The bipartisan bill moved through the Legislature with little pushback. Both the House and Senate unanimously approved the bill. The law provides parental leave for employees who give birth or adopt a child under 18. The parent who doesnt give birth and isnt the primary care provider can receive two weeks off. Holidays and vacation days dont subtract from the paid parental leave. Officials for Rock Hill schools launched the pilot program last October, coinciding with the law for state employees. With schools across the country struggling to fill open positions, they wanted to see if it could help keep teachers. Currently, the district has just under 40 vacancies. Teachers have to make a choice if they want to start a family, said Rock Hill schools Superintendent Tommy Schmolze: Do I come back? Do I go without a paycheck? Then we lose those teachers. Thirty-one employees took part in the Rock Hill program, Schmolze said, costing around $130,000. But he said it made a difference for the employees. He heard from one teacher who said she would have quit without the paid time off. If you want your child to be successful in school, you have to be involved, Schmolze said. But yet, often, we don't allow our own teachers to be involved in their child's life. And so this is one avenue, early in the family bonding stage, that we could say, this means something to us. But theres still more to do, Schmolze said. He hopes to see a state funding stream provided to local districts to help cover the cost of paid paternity leave something left out of 2023-24 state budget. For now, though, he was happy to see the progress. This is the first step, he said. Wayne D. Jones Sr. has had to live for more than a year with the image of his dead mother on a Tops supermarket floor after she was shot multiple times by a gunman with racist motivations. Jones now wants other people to understand the grim reality of the carnage inflicted by a heavily armed shooter wearing body armor. He pulled out a copy of that awful image from a manila folder at a news conference Wednesday, displayed it and asked everyone to imagine if it was your mother or your grandmother or your father. Families of 5/14 victims file lawsuit against social media companies The state Supreme Court lawsuit accuses the social media companies of feeding the shooter "a steady stream of racist and white supremacist propaganda and falsehoods." Jones mother, Celestine Chaney, was among the 10 people killed and three wounded in the May 14, 2022, massacre. Hes now suing multiple social media companies, a gun accessory manufacturer, a Broome County firearms shop, a body armor dealer and the parents of mass shooter Payton Gendron, alleging that they all facilitated and equipped the shooter for his racist attack. Gendron, 19, pleaded guilty to the murders and attempted murders and in February was sentenced to life in prison. Jones case was one of two lawsuits filed Tuesday in State Supreme Court in Buffalo against defendants: Mean LLC, the designer of a gun lock that provided a fake veneer of compliance with New York law; Vintage Firearms, a gun shop in Endicott, Broome County that sold the AR-15 style rifle to Gendron; RMA Armament, which sold body armor used by Gendron in the attack; YouTube and its parent companies Alphabet and Google and Reddit, which are accused of unreasonable dangerous and negligent design choices that resulted in Gendron to develop the mentality required to target and kill Black people; and Paul and Pamela Gendron, the accused shooters parents who failed to take any meaningful action, including investigating and/or seeking to preclude the shooters access to guns, according to court papers. The second lawsuit was brought by 16 Tops employees and customers who survived the attack. In both cases, the plaintiffs are represented by Everytown Law, the legal arm of a national gun control and gun violence reduction organization, along with Bonner & Bonner and Ryder Law. The latest lawsuits follow a similar case brought in May by the families of three other slain Tops customers and a shooting survivor represented by Buffalo lawyers John V. Elmore and Kristen Elmore-Garcia, as well as attorneys with the Social Media Victims Law Center and the Giffords Law Center. The state Attorney Generals Office also sued Mean Arms in May, alleging that the company helped Gendron evade state laws on high-capacity assault rifles. No one wants to go through these types of things, but the lawsuit is to prevent the next family from feeling like were feeling, Jones said inside One In Christ Temple Church on Broadway. I have to deal with this every day. My family has to deal with this every day. Jones was joined in the church sanctuary by Mayor Byron W. Brown, State Sen. Tim Kennedy, Bishop Frederick A. Gelsey Sr. of One In Christ Temple, and attorneys representing the plaintiffs. Chaney was shopping with her sister for ingredients for strawberry shortcake when the shooting began. Chaney fell and ordered her sister to leave her behind and run for safety, court papers said. The shooter found Chaney on the ground and shot her multiple times. Jones said he first learned of his mothers death on the internet, and he saw a video of the slayings that was streamed by the shooter and circulated online. I watched him reload and shoot her again, he said. The 16 plaintiffs in the second lawsuit were traumatized by the chaotic and grisly scene and continue to endure acute fear, severe distress and the associated physiological effects of being traumatized, court papers said. These two lawsuits are to answer this horrific injustice by shining a spotlight on this American disease of racism and gun violence that has metastasized to every community in America, and we must stop it, said Charles Bonner, principal attorney of Bonner & Bonner. Eric Tirschwell, executive director of Everytown Law, said the lawsuits sought unspecified monetary damages and injunctive relief forcing the gun industry to change its business practices and make firearms safer. Gendron wore combat-style body armor and a helmet equipped with a livestreaming camera while firing an AR-15-style rifle he had purchased legally and modified. By removing the Mean Arms lock that made the gun legal to buy in New York, he was able to load the rifle with illegal high-capacity ammunition magazines. After purchasing the AR-15, the shooter removed the lock in minutes, using a simple tool you can buy on Amazon for a few dollars, said Tirschwell. With the lock removed, he was in possession of his weapon of choice, a fully-functioning, illegal assault weapon. As we allege in our lawsuits, Means Arms and Vintage Firearms knew that having the lock affixed to the gun provided nothing more than a fake veneer of compliance with New York law. Tirschwell also said reckless and irresponsible social media companies used algorithms to get the shooter addicted to social media, amplified racist content, and radicalized the shooter against the Black community of Buffalos East Side. The lawsuit on behalf of survivors was an effort to set a new legal precedent in New York in which survivors of mass shootings are recognized for the trauma and lasting harms they have endured, Tirschwell said. Survivors sue Fragrance Harris Stanfield, lead plaintiff in the second lawsuit, said she shes been unable to work since the shooting due to mental and physical stresses stemming from what she experienced on May 14. Prior to the shooting, she worked two jobs, up to 70 hours a week, including nights and weekends at Tops, where she managed the cashiers, she said. Just breathing is trauma now, said Harris Stanfield. Harris Stanfield said some people have told her shes being dramatic or even histrionic when she should be grateful to have survived the shooting. Do you know how hard it is to be alive, when youre not sure you should be? she said. I dont necessarily have survivors remorse, but I still live those images every day. Stanfield finished her shift and was standing in a checkout lane next to her daughter, Yahnia Brown-McReynolds, also a Tops employee and a plaintiff in the case, when the shooting started. Stanfield lost her shoes running away from the gunfire and was separated from her daughter. Her life has been upended since the shooting. She could not return to work at Tops or as a substitute teacher in the Buffalo Public Schools, a job she held prior to the shooting. Shes had panic attacks at stores when she loses sight of where she is and cant see an exit, court papers said. Another plaintiff, DennisJanee Brown, said she now feels uneasy at her job when she is amid a group of white people, which she blamed on the racist motivations of the accused shooter, court papers said. Prior to the shooting, Brown, who is also a college student, shopped at Tops almost daily, picking up items for herself, family and Instacart customers. She stopped at the store on May 14 to pick up antipasto for her boyfriend, and while near a freezer case heard what sounded like fireworks, according to court papers. She then saw glass and debris and realized someone was shooting. She fled and eventually ended up huddled in a back room with Tops employees, terrified and listening to the sound of gunfire getting closer, until police arrived. Brown tried returning to Tops soon after it reopened, but she felt lightheaded and vomited, according to court papers, and hasnt been back since. She no longer works with Instacart and she avoids celebrations involving loud noises, court papers said. The South Carolina Attorney Generals Office is reviewing the Feb. 2, 2023, killing of Darius Holcomb to determine whether to charge or clear the Spartanburg County sheriffs deputy who fired the fatal shots. Holcomb family attorney and state Rep. Marvin Pendarvis said he believes a homicide charge should be filed against the officer who killed Holcomb. Ive had an opportunity along with the family to review and to see the video of what happened to Darius, Pendarvis said. Based off of that video and what weve seen, there was no reason or justification for an officer to use deadly force and to kill him. The sheriffs office did not respond to requests for comment. The day Holcomb was shot, the Spartanburg County Sheriffs Office arrived at his mothers house to serve warrants against him. Holcomb, who had schizophrenia, fled to his room in his mothers house and refused to come out. Officers shot tear gas through the window, broke down the door and then sent a dog in after him. Pendarvis, citing officer-worn body camera footage, said an officer fired on Holcomb seconds after the dog was sent in. Its unclear from the footage that Holcomb had anything in his hands, Pendarvis said. But even if he did, the officer started firing almost immediately," he said. The body camera footage has not been made public, and The Post and Courier has not reviewed it to substantiate the timeline offered by Pendarvis. After the shooting and before the State Law Enforcement Division searched the house, the sheriffs office sent an email to local media saying Holcomb had threatened officers with a knife and then stabbed the dog. The sheriffs office said Holcomb was shot to protect the dog. Pendarvis and the Holcomb family deny that Holcomb had a knife or threatened officers with one. A SLED media release the next day made no mention of a knife. Instead, SLED said Holcomb had attacked the dog with a sharp object. The Post and Courier obtained an inventory of items taken during a SLED search. It showed agents retrieved a two-pronged fork but no knife. According to verified data from the National Statistics Office of Georgia, the volume of direct foreign investments made in Georgia in 2022 is expected to reach $2,097.9 million USD. This figure is 1.7 times higher than the corresponding indicator in 2021 and 4.9 percent higher than the preliminary data for 2022.Geostat reports that reinvestment accounted for 65.7 percent of the total foreign direct investments in 2022.The primary direct investors were the United Kingdom ($437 million), Spain ($360.5 million), and the Netherlands ($196.2 million).The combined share of the two largest investor countries in the total volume of foreign direct investments, based on the adjusted data for 2022, amounted to 38.0 percent.Regarding the distribution of investments, the largest share originates from financial and insurance activities ($538.0 million USD) and real estate ($366.5 million USD).On August 14th, participants of the rally were protesting against statements made by representatives of the government team regarding the Shovi tragedy. They believe that both the chairman of the ruling party, Irakli Kobakhidze, and the Minister of Internal Affairs, Vakhtang Gomelauri, should resign due to these statements.Shortly after the rally began, the police arrived on the scene. Law enforcement officers demanded that the protestors remove the posters attached to the fence around the chancellery. However, the protestors refused to comply. In a tense moment, one of the activists was holding a poster in their hand. A policeman approached the activist and forcibly pulled and tore the poster away from their hand.Activists have reported that two individuals were arrested during the incident. I still think the uncertainty about Chinas economy is the big economic story of the moment, and it appears the Biden Administration thinks so too. Bloomberg reported last week: President Joe Biden blasted Chinas economic problems as a ticking time bomb and referred to Communist Party leaders as bad folks, his latest barb against President Xi Jinpings government even as his administration seeks to improve overall ties with Beijing. In comments that included several major inaccuracies about the worlds second-largest economy, Biden said at a political fundraiser Thursday that China was in trouble because its growth has slowed and it had the highest unemployment rate going. He also blasted Xis signature Belt and Road Initiative as the debt and noose, because of the high levels of lending to developing economies associated with the global investment program. Never mind our befuddled leaders inaccuracies. This FT chart shows the Chinese concealing data: Investment banker Kyle Bass comments on Twitter: Chinas REPORTED GDP growth for 2022 was +2.9%. In a year where Chinese LNG demand was down 22%, their real estate market (which represents 33% of Chinese GDP) was in the midst of a collapse, literally welding Chinese citizens into their apartment buildings, and the WeChat payments flowing through their system were down over 20% yoy. In 2023, YOUTH UNEMPLOYMENT is somewhere between 20% and 50%, 48 local governments are in some stage of default on their debt (LGFV debt is approximately $10trillion or just under 60% of GDP), the majority of Chinese property developers are in default of their dollar bonds (71% are in default of over $180 billion in bonds), Xi has ordered all corporate and macro level data to be severed to the West, and the Chinese Government is reporting +5.5% GDP GROWTH?! Reputable economists dont believe these numbers from the CPC and President Biden has much better intelligence sources than you do. This Bloomberg piece you reference here was written by an organization whose largest growth area for its business has been China over the past decade and who is forced to have Chinese Communist Party Overseers in its newsroom to inspect news stories written about China. Bloomberg is not allowed to write articles overly critical of Xi, the Chinese economy, or the Chinese Communist Party or it will lose its ability to operate in the Middling Kingdom like it did for the Bo Xilaj series of articles in 2013. Mike Bloomberg had to go to China and promise them he wouldnt upset the Party again and BEG THEM to allow Bloomberg back into China. You know damn well that the Chinese government lies when the numbers dont suit them. UPDATEThe Washington Post is on this story line too: In his 2010 memoirs, Decision Points, former president George W. Bush revealed he had the same question of every world leader the first time they met: What keeps you up at night? Sadly, Bush only shared Chinese President Hu Jintaos answer. But its newly relevant. Hu, who governed 2003-2013, told Bush his biggest worry was the need to create 25 million new jobs per year. I found his answer fascinating. It was honest. It showed he worried about the impact of disaffected, unemployed masses. It explained his governments policies in resource-rich places like Iran and Africa, Bush wrote. And it was a signal that he was a practical leader focused inward, not an ideologue likely to stir up trouble abroad. . . . Hus comment felt more relevant this week after President Biden made a lot of global headlines last week when he said Chinas mounting economic troubles make Americas biggest global rival a ticking time bomb. Beijing got upset. But Biden was right that the Asian giants domestic problems bear watching a downturn there would be felt globally in unpredictable ways, and could shape Beijings decision-making on foreign policy. Biden: China finds itself in a position where it has the highest unemployment rate going. Its in a position where the number of people who are of retirement age is larger than the number of people of working age. So, they got some problems. Thats not good because when bad folks have problems, they do bad things. Move over Ukraine. Looks like our foreign policy geniuses have our next war on the way. PR-Inside.com: 2023-08-16 07:45:44 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 682 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own securities of AT&T Inc. (NYSE: T)?Did you purchase your securities between March 1, 2020 and July 26, 2023, inclusive?Did you lose money in your investment in AT&T Inc.?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of AT&T Inc. ("AT&T" or the "Company") (NYSE: T) between March 1, 2020 and July 26, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the District of New Jersey and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired AT&T securities, and/or would like to discuss your legal rights and options please visit AT&T Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than September 26, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.The Complaint alleges that Defendants made materially false and misleading statements throughout the Class Period. Specifically, Defendants failed to disclose that: (1) AT&T owns cables around the country that are highly toxic due to their being wrapped in lead, and which harm Company employees and non-employees alike; (2) AT&T faces potentially significant litigation risk, regulatory risk, and reputational harm as a result of its ownership of these lead-covered cables and the health risks stemming from their presence around the United States; and (3) AT&T was warned about the damage and risks presented by these cables but did not disclose them as a potential threat to employee safety or to everyday people and communities.On July 26, 2023, after the market closed, The Wall Street Journal released an article entitled "Justice Department and EPA Probe Telecom Companies Over Lead Cables". The article stated, in pertinent part: "The Justice Department and Environmental Protection Agency are investigating the potential health and environmental risks stemming from a sprawling network of toxic lead-sheathed telecom cables across the U.S. The Justice Department's civil inquiry, by the U.S. attorney's office for the Southern District of New York, is in preliminary stages and focuses partly on whether telecom companies had knowledge of the potential risks to their workers and future environmental impact when they left behind the lead cables, according to a person familiar with the inquiry." On this news, AT&T's stock price fell $0.38 per share to close at $14.51 per share on July 27, 2023.If you purchased or acquired AT&T securities, and/or would like to discuss your legal rights and options please visit AT&T Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP PR-Inside.com: 2023-08-16 07:45:41 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 571 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own securities of Bausch Health Companies Inc. (NYSE: BHC)?Did you purchase your securities between August 6, 2020 and May 3, 2023, inclusive?Did you lose money in your investment in Bausch Health Companies Inc.?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of Bausch Health Companies Inc. ("Bausch" or the "Company") (NYSE: BHC) between August 6, 2020 and May 3, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the District of New Jersey and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired Bausch securities, and/or would like to discuss your legal rights and options please visit Bausch Health Companies Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than September 25, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.The Complaint alleges that Defendants made materially false and misleading statements throughout the Class Period. Among other things, Defendants failed to disclose to investors that: 1) the B+L spinoff would not result in two strong separate companies; 2) without B+L, Bausch was left overly leveraged and without the cashflow generated by B+L; and 3) distribution of the B+L spinoff shares would not occur as represented.On May 4, 2023, Bausch announced negative earnings results for its first quarter of 2023, which indicated further delay of the B+L share distribution. According to analysts, the probability of a distribution was now less than 50% and, without any mention of it from management, the likelihood of it occurring in the near term was low.On this news, Bausch's stock price fell $1.51 per share, or over 20%, to close at $5.89 per share on May 4, 2023.If you purchased or acquired Bausch securities, and/or would like to discuss your legal rights and options please visit Bausch Health Companies Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP PR-Inside.com: 2023-08-16 07:45:28 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 577 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own shares of Baxter International, Inc. (NYSE: BAX)?Did you purchase your shares between May 25, 2022 and February 8, 2023, inclusive?Did you lose money in your investment in Baxter International, Inc.?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of Baxter International, Inc. ("Baxter" or the "Company") (NYSE:BAX) between May 25, 2022 and February 8, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the Northern District of Illinois and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired Baxter securities, and/or would like to discuss your legal rights and options please visit Baxter International, Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than September 11, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.According to the Complaint, Baxter is a multi-national healthcare company, primarily focusing on products designed to treat kidney disease and other chronic and acute medical conditions. During the Class Period, Defendants allegedly misrepresented and concealed the true nature and severity of supply chain problems the Company was encountering and the impact they were having on Baxter's current and expected earnings.On February 9, 2023, before market hours, Baxter issued a press release to report on its Fourth-Quarter and Full-Year 2002 earnings results. The press release revealed that despite Baxter's assurances during the Class Period, the problems with the Company's supply chain and its effect on its operations were not under control, it did not meet its earnings guidance for the year, and Baxter would lower its earnings guidance for the first quarter of 2023.On this news, Baxter's stock price fell $5.54 per share, or over 12%, to close at $40.14 per share on February 9, 2023.If you purchased or acquired Baxter securities, and/or would like to discuss your legal rights and options please visit Baxter International, Inc. Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP Two arrested, fentanyl and cache of weapons seized in Kaisertown raid Two people were arrested and officers seized a quantity of fentanyl and numerous guns during a raid early Tuesday in Buffalos Kaisertown neighborhood, Erie County Sheriff John C. Garcia announced. Held in Erie County Holding Center pending arraignment on multiple felony drug and weapons charges are Vincent Andolina, 34, and Melissa Bunker, 39. Andolina and Bunker were found asleep in a vehicle when Sheriffs SWAT Team members executed two search warrants at a residence and detached garage at 6 a.m. in the first block of Krakow Street off Clinton Street. Two loaded .380 caliber pistols, a loaded 9mm pistol and more than half an ounce of fentanyl were found in the vehicle, according to the report. Found in the attic of the house, Garcia said, were another loaded 9mm handgun, a defaced and loaded AR-15 rifle, two loaded 12-gauge shotguns, a .22 caliber rifle and a loaded 16-gauge shotgun. Officers also found a digital scale with residue, packing materials and cutting agents, the sheriff added. Bunker also was arrested on three outstanding warrants from the City of Buffalo, Garcia said. The Buffalo Police Intelligence Unit assisted with the investigation. - Dale Anderson PR-Inside.com: 2023-08-16 18:00:31 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 453 Words ACCESSWIRE News Network888.952.4446 LAYTON, UT / ACCESSWIRE / August 16, 2023 / Buster Keaton, one-time vaudevillian, pioneering filmmaker, and comedy genius, was synonymous with the 1920s. And a century on, our sole purpose is to bring him back to life - to audiences worldwide of all ages.We are the International Buster Keaton Society, founded somewhat by accident on October 4th, 1992: his 97th birthday. A cake that Patricia Tobias was baking for the occasion was a spectacular fail, so she decided to found IBKS instead.It wasn't as if Buster could eat the cake anyway. By then, he'd been dead for 27 years. The man himself, known for his deadpan expression, had intended to live to at least 100, but he was shy by three decades. However, by our reckoning, he's outlived many of the silent-era comedians of the time, as have his films. This year his first innovative independent feature film, "Three Ages," and his second, "Our Hospitality," celebrate their centenaries. And we will celebrate at our annual convention, held on October 6th & 7th in Muskegon, MI - his childhood summer home.The volunteer-run society, including Buster's granddaughter, Melissa Talmadge-Cox, has been busy spreading the word of Buster Keaton's life and work across social media, where entirely new audiences have discovered that his humour and death-defying stunts remain as relevant today on TikTok, as it did accompanied by piano in the ol' local flea-pits. We've invested in his legacy by preserving artefacts, such as his trademark pork pie hat and hundreds of unique photographs and documents in collaboration with the prestigious Academy Library in Los Angeles. We've uncovered a host of "new" and "lost" materials - we're still on the hunt for "A Country Hero," the only gap in his silent filmography! We've even interviewed himalthough we admit we had to cheat using artificial intelligence.Buster Keaton's 46 silent films, plus many more sound pictures and television projects - he started performing aged three and didn't stop for over 65 years - have inspired countless performers in film. Most notably, Jackie Chan and Tom Cruise, whose latest Mission Impossible movie sets a train crashing off a bridge into the waters below, a direct homage to the most expensive shot of silent film history - the Texas falling into the river in Buster Keaton's magnum opus, "The General," 1926. And without Buster Keaton, perhaps Wes Anderson and Peter Jackson wouldn't be quite so brilliant directors.We want you to help us continue to Keep it Keaton!' by joining us at busterkeaton.org - discover his films, laugh, learn, and be inspired by a man that wasISa true creative.Contact:Ruth SharmanRuth@ busterkeaton.org +447931731093SOURCE: The International Buster Keaton Society PR-Inside.com: 2023-08-16 23:37:13 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 769 Words ACCESSWIRE News Network888.952.4446 COMMERCE, CA / ACCESSWIRE / August 16, 2023 / Brooklyn Moving Inc, a full-service interstate and local moving company, has announced the inclusion of a complimentary one-month storage service for all its interstate moves. This new bonus for customers is integral for these long-distance moves, during which moving, storage, and transportation costs can accumulate significantly.According to Forbes, as of 2023, the typical cost for moving services across the country sits around $1,400. But this can vary anywhere from $800 to $2,500. This estimate is based on a local relocation carried out by a team of two movers, covering less than 100 miles. When considering long-distance relocations, the average moving expenses escalate, typically ranging from $2,200 to $5,700.Brooklyn Moving recognizes this increased expense, which can often deter families and individuals from making long-distance moves. Founder and CEO Ahmad Bani says that since he started the company in 2018, his goal has been to offer personalized moving services for both residential and commercial clients."The cost of everything is going up," Bani acknowledges. "And many people don't even realize how badly they're going to need storage options during an interstate move. They think they'll just pack up and go - but often, it's not that easy." Bani says that his company made the decision to offer a free month of storage with these longer-distance moves for several reasons. "Often, the move-out and move-in dates don't align perfectly," he explains. "You may need to vacate your old home before your new one is ready for occupation. In such cases, you would need a place to store your belongings in the interim." Also, he adds, for those moving to a smaller home or commercial property, there may not be room for all possessions immediately. "Storage allows you to keep these items safe while you figure out what to do with them, whether that's selling, donating, or finding a place in your new home," Bani states.In an interstate move, one might find themselves moving into temporary accommodations before settling into their permanent home. This could mean needing to rent a smaller apartment or temporarily living with friends or family. During these transitions, storage serves as a reliable place to safeguard belongings. Additionally, adjusting to a new home is a significant change, and it may be challenging to immediately decide the placement of every item. Having the option of storage allows for more time to organize, sort, and methodically move items into the new space at your own pace."We also recognize that interstate moves can be complex and may not always go as planned due to unexpected delays, such as inclement weather, challenging road conditions, or unforeseen issues with the new property," Bani says. "These circumstances further highlight the advantage of having a storage solution in place, serving as a safety net in times of uncertainty." Brooklyn Moving Inc. will be offering the new free storage service for interstate moves from each of its three locations. With its original base in Fairfield, California, the company serves the San Francisco Bay Area and Sacramento. Its second location in Commerce, California caters to Los Angeles, San Diego, and Southern California. Both locations are able to deliver services across all lower 48 states. The third station in Rochester, MN serves Minnesota, Iowa, and Wisconsin, further extending the company's reach nationwide."The free temporary storage we're offering will be in secure and climate-controlled storage areas," says Bani. "I started my career as a mover, myself. So I know that moving isn't just about transporting belongings. It's about providing a seamless and stress-free experience for clients." Brooklyn Moving Inc. offers packing, loading, unloading, and managing transportation tolls and fuel. The company owns a well-maintained fleet of trucks and vans. Bani and his team feel that by providing a free month of storage with interstate moves, the company demonstrates a dedication to customer satisfaction. "Moving is stressful - everyone knows that," he says. "But we're trying to make it easier, one move at a time." He adds that Brooklyn Moving is focused on updated training and development of their employees, expansion of service offerings, investment in advanced technology, and broadening their reach in the tri-state area.About Brooklyn Moving Inc.Brooklyn Moving Inc. is a full-service interstate and local moving company established in 2018. The company specializes in personalized moving services for both residential and commercial clients. The company offers solutions for packing, transportation, and storage, and seeks to provide a seamless moving experience for clients.Website: https://www.brooklynmovinginc.com/ Contact:Ahmad Bani888-889-6872SOURCE: Brooklyn Moving Inc. PR-Inside.com: 2023-08-16 07:45:31 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 653 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own shares of Danaher Corporation (NYSE: DHR)?Did you purchase your shares between April 21, 2022 and April 24, 2023, inclusive?Did you lose money in your investment in Danaher Corporation?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of Danaher Corporation ("Danaher" or the "Company") (NYSE:DHR) between April 21, 2022 and April 24, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the District of Columbia and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired Danaher securities, and/or would like to discuss your legal rights and options please visit Danaher Corporation Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than September 15, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.The Complaint alleges that Defendants made materially false and misleading statements throughout the Class Period. Specifically, Defendants failed to disclose to investors that: (i) as the severity of the COVID-19 pandemic subsided, revenue growth associated with Danaher's COVID-19-related businesses was declining; (ii) contrary to the Company's prior representations to investors, revenues associated with Danaher's non-COVID-19-related businesses were insufficient to compensate for the foregoing negative trend; (iii) Danaher overstated its ability to sustain the growth it had experienced in 2020 and 2021; and (iv) as a result, it was unlikely that Danaher would be able to meet its 2023 revenue forecasts.On April 25, 2023, Danaher issued a press release announcing its financial results for the first quarter of 2023. Among other items, Danaher reported that "[r]evenues decreased 7.0% year-over-year to $7.2 billion, with a 4.0% non-GAAP core revenue decrease, due to the impact of lower COVID-19 revenue, and 6.0% non-GAAP base business core revenue growth." The Company also projected that "[f]or the second quarter and full year 2023, . . . non-GAAP base business core revenue growth will be up mid-single digits year-over-year", down from an earlier projection of high-single-digit growth. Notably, this announcement appeared to be at odds with Danaher's prior reassurances that revenues associated with the Company's non-COVID-19-related businesses would compensate for the foregoing negative results.On this news, Danaher's stock price fell $22.36 per share, or 8.79%, to close at $231.99 per share on April 25, 2023.If you purchased or acquired Danaher securities, and/or would like to discuss your legal rights and options please visit Danaher Corporation Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP PR-Inside.com: 2023-08-16 15:46:35 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 618 Words ACCESSWIRE News Network888.952.4446 Elk City Oklahoma Physician Brian Blick MD Provides Funding For Students Studying HealthcareELK CITY, OK / ACCESSWIRE / August 16, 2023 / Aspiring healthcare professionals with a passion for creating positive change in the world have reason to rejoice, as the prestigious Dr. Brian Blick Grant for Healthcare Students is now accepting applications. Founded by Dr. Brian Blick, a skilled and compassionate Board Certified Anesthesiologist and fellowship trained in interventional pain medicine based in Elk City Oklahoma, this scholarship seeks to support dedicated students pursuing healthcare degrees while encouraging innovative solutions to global healthcare challenges. Offering a generous $1,000 award, the grant aims to propel the next generation of healthcare leaders and researchers.The Dr. Brian Blick Grant for Healthcare Students is open to students currently enrolled in accredited colleges or universities, pursuing degrees in healthcare fields such as pre-medicine, nursing, physical therapy, medical laboratory science, or any allied health program. This includes high school students preparing to embark on a healthcare degree at the university level."We are thrilled to launch the Dr. Brian Blick Grant for Healthcare Students, designed to empower and reward students with a genuine commitment to transforming healthcare," said Dr. Brian Blick. "Our goal is to foster innovative thinking and inspire solutions that address pressing national and global healthcare challenges." To be eligible for the grant, applicants are required to submit an essay of 1000 words or less, responding to the question:"What is a national or global healthcare problem that concerns you, and what innovative solutions do you propose to address it?"Essays will be evaluated based on their insightfulness, creativity, and potential for impact. Successful candidates will receive the scholarship money to be used towards tuition, books, or living expenses, supporting their journey towards making a difference in the healthcare sector.About Dr. Brian Blick: Located in Elk City, Oklahoma, Dr. Brian Blick is a distinguished Board Certified Anesthesiologist with a remarkable background in academia and medical practice. Raised in Edmond, Oklahoma, Dr. Blick obtained his Bachelor of Business Administration degree from the University of Oklahoma in 2004. He continued his academic pursuits, achieving a Bachelor of Science in Biology and Chemistry from the University of Central Oklahoma in 2007, before graduating from Ross University School of Medicine in 2013, attended Anesthesiology residency at the University of Kansas, and an interventional pain medicine fellowship at Louisiana State University. Dr. Blick completed his residency at the University of Kansas in 2017 and assumed the role of Chief of Anesthesia at Great Plains Regional Medical Center in Elk City, Oklahoma. As a visionary leader, Dr. Blick later founded Western Oklahoma Pain Specialists to provide exceptional pain management services to the community."We believe that by nurturing the dreams of dedicated healthcare students, we can drive positive change in healthcare policies and research, ultimately benefiting communities worldwide," added Dr. Blick.The deadline for applications is October 15, 2023. The winner of the Dr. Brian Blick Grant for Healthcare Students will be announced on November 15, 2023, offering recipients the opportunity to embark on their educational journey with enhanced financial support.Prospective applicants are invited to learn more about the scholarship and submit their essays via the official website: https://drbrianblickgrant.com/ or directly through the application page: https://drbrianblickgrant.com/dr-brian-blick-grant/ In conclusion, the Dr. Brian Blick Grant for Healthcare Students promises to be a beacon of hope for passionate healthcare students, empowering them to transform the future of healthcare through innovative solutions and compassionate leadership. Apply now and seize this remarkable opportunity to propel your aspirations while contributing to the advancement of healthcare for all.Contact Info:Spokesperson: Dr. Brian BlickOrganization: Dr. Brian Blick GrantWebsite: https://drbrianblickgrant.com Email: apply@ drbrianblickgrant.com SOURCE: Dr. Brian Blick Grant PR-Inside.com: 2023-08-16 15:01:34 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 335 Words ACCESSWIRE News Network888.952.4446 DEERFIELD BEACH, FL / ACCESSWIRE / August 16, 2023 / Leading commercial real estate investment firm, Geneva Group of Deerfield Beach, Florida, announces the sale of a 293,000-SF small bay industrial portfolio located in Orlando, FL, with a sale price of $44,000,000.The company's venture with Lake Orlando began with acquiring a single 100,000-square-foot complex. Recognizing the latent opportunities in the market, The Geneva Group made contact with the neighboring property and struck a deal for another 193,000 square feet. This strategic addition not only expanded the center's scope and created economies of scale, but also forced significant appreciation, transforming the 293,000-square-foot asset into an institution-grade holding."We saw the untapped potential of this pocket of Orlando long before it became apparent to others. We have since continued to aggregate in this market due to its affordability and continued growth," said Jarred Elmar, Managing Partner of Geneva Group. "Our foresight has indeed paid off, with results surpassing our most optimistic projections." Thanks to this achievement, The Lake Orlando Business Center has generated an impressive return, a testament to investors' confidence in The Geneva Group's expertise and vision. The company expresses its heartfelt gratitude to all its members for their unwavering support and trust.As The Geneva Group celebrates this significant milestone, the company is actively scouting new opportunities for Industrial, retail, and multi-family assets.To partner with us on our next project and to review our latest opportunities, please visit www.GenevaGp.com About The Geneva Group:The Geneva Group is a leading real estate investment firm specializing in acquiring and managing commercial properties for our portfolio. We specialize in value-add and opportunistic strategies in U.S. Real Estate with a current focus on industrial, retail, and multi-family. Our mission is to deliver investment opportunities that provide strong, stable current returns while generating long-term capital appreciation.For further information or press inquiries, please contact:Jarred ScherDirector of AcquisitionsEmail: jscher@ genevagp.com Phone: 954-573-1598Contact InformationJarred ElmarManaging Partnerjarred@ genevagp.com 954.617.8111SOURCE: Geneva Group PR-Inside.com: 2023-08-16 14:44:35 Press Information Absolute Markets Insights 1 st Floor,The Work Lab, Model Colony, Pune, Pin - 411016 Shreyas Tanna CEO +917400242424 email https://www.absolutemarketsinsights.com/ # 664 Words 1 st Floor,The Work Lab, Model Colony, Pune, Pin - 411016CEO+917400242424 Atrial Fibrillation is the most common arrhythmia diagnosed in clinical settings, affecting over 886,000 new people in Europe each year. By 2030, the number of people suffering from Atrial Fibrillation is expected to rise by up to 70% of the population; by 2050, Europe is expected to have the largest number of patients suffering from the condition.Request for Sample Copy of This Report@ https://www.absolutemarketsinsights.com/request_sample.php?id=807 In the United States, approximately 2.7 million to 6.1 million people were affected by atrial fibrillation in 2012; this number is expected to increase to 12.1 million by 2030. According to a report published in the Medical Journal of Australia in August 2015, the number of Atrial Fibrillation patients in Australia over the age of 55 was 328,562 in June 2014, and is expected to rise to over 600,000 by 2034. Such factors are expected to aid the overall electrophysiology devices market over the forecast period.Electrophysiologists play an important role in cardiovascular health, with over 40% of cardiology encounters being related to arrhythmia. In a recent report from Wuhan, China, 16.7% of hospitalized and 44.4% of patients in an intensive care unit with COVID-19 had arrhythmias. In addition, there have been anecdotal reports of patients experiencing late myocardial dysfunction, and cardiopulmonary arrest with pulseless electrical activity or ventricular fibrillation during the recovery phase of their pulmonary illness, as well. The purpose of this joint statement from the Heart Rhythm Society, the American College of Cardiology Electrophysiology Section, and the American Heart Association Electrocardiography and Arrhythmias Committee of the Council on Clinical Cardiology is to address various issues facing electrophysiologists (and other healthcare providers who manage arrhythmias) during the pandemic situation and to provide corresponding general guidance.Enquiry Before Buying @ https://www.absolutemarketsinsights.com/enquiry_before_buying.php?id=807 The detailed research study provides qualitative and quantitative analysis of electrophysiology devices market. The market has been analyzed from demand as well as supply side. The demand side analysis covers market revenue across regions and further across all the major countries. The supply side analysis covers the major market players and their regional and global presence and strategies. The geographical analysis done emphasizes on each of the major countries across North America, Europe, Asia Pacific, Middle East & Africa and Latin America.Key Findings of the Report:In the end users segment, the hospital segment will account for the highest revenue globally owing to the adoption of technologically advanced cardiac rhythm management and electrophysiological procedure devices by the interventional cardiologists at the hospitals.North America held the largest share in 2019 and is expected to continue the same trend over the forecast period. A company named BioSig Technologies which is a medical technology company commercialized an innovative signal processing platform designed to improve signal fidelity and uncover the full range of ECG and intra-cardiac signals, announced the purchase of three PURE EP Systems by St. Davids HealthCare of Austin, Texas. St. Davids Medical Center, an HCA Healthcare owned hospital, has been recognized with a Malcolm Baldrige National quality award which is the highest presidential honor for performance excellence.The major players operating in the market include Abbott, ADInstruments NZ Limited, BIOTRONIK SE & Co. KG, Boston Scientific Corporation, GENERAL ELECTRIC COMPANY, Koninklijke Philips N.V., Medical Devices Business Services, Inc., Medtronic, MicroPort Scientific Corporation, Millar, Inc., Siemens Healthcare Private Limited, Transonic, Tyche MedTech, Inc., Vanguard AG, World Precision Instruments, Zeus Industrial Products, Inc. amongst othersRequest for Customization@ https://www.absolutemarketsinsights.com/request_for_customization.php?id=807 Electrophysiology Devices Market:By OfferingsAccess DeviceSteerable SheathTransseptal Delivery SystemAccessoriesPericardiocentesis KitsOthersCardiac Resynchronization Therapy DefibrillatorCardiac Resynchronization Therapy Pacemakers (CRT-P)Capital Equipment: DiagnosticUltrasound Imaging System and Ultrasound Imaging CatheterEP Recording SystemCapital Equipment: Mapping & NavigationCapital Equipment: TherapyCathetersAblationDiagnosticMappingDefibrillators (ICD)LeadsLeft Atrial Appendage ClosurePace MakersRemote Patient Monitoring and Diagnostic MonitoringStimulators, WPI amplifiers and isolatorsOthersBy Clinical IndicationAortic stenosis and mitral regurgitationAtrial Fibrillation (AF)Supraventricular TachycardiaAtrioventricular Nodal Re-entry Tachycardia (AVNRT)Wolff-Parkinson-White Syndrome (WPW)Bradycardia and ArrhythmiasOthers Male Beard Oil Market PR-Inside.com: 2023-08-16 09:54:50 Press Information Dhirtek Business Research and Consulting Private Limited +91 7580990088 email www.dhirtekbusinessresearch.com Published by Parmeet Singh 7580990088 e-mail https://www.dhirtekbusinessresearch.com/ # 701 Words +91 7580990088Parmeet Singh7580990088 The global male beard oil market report is a comprehensive report that provides a detailed analysis of the current status and future trends of the male beard oil market worldwide. This report provides valuable information to industry stakeholders by offering an in-depth perspective on market dynamics, competitive landscape, growth opportunities, and key challenges faced by industry participants.From the perspective of market dynamics, this report explores the factors driving the growth of the male beard oil market. This includes the increasing demand for male beard oil products due to changes in consumer preferences, technological advancements, and the demand for more efficient and sustainable solutions. Additionally, government regulations and initiatives to promote the adoption of male beard oil products also contribute to market growth. On the other hand, this report identifies and analyzes factors that may hinder market growth, such as economic uncertainty, supply chain disruptions, and intense competition in the market.Request for Sample Report:The competitive landscape section of the report provides a comprehensive analysis of the leading companies operating in the male beard oil market. It introduces the major companies, their business strategy, product portfolio, recent trends, and financial performance. This analysis provides valuable insights for market stakeholders to understand the intensity of competition in the market and gain an insightful view of the strategies adopted by major market players to maintain their position.Some of the major companies in the Male Beard Oil market are as follows: Alpha Vikings, Beardbrand, DapperGanger, Evolution GMBH, Fullight, Fullight Tech, Hongkong Guan Wei International, Klapp Cosmetics GMBH, Macho Bread Company, Rapid Beard, Rosdon Group Ltd, Texas Beard Company, VetyonBy segmenting the market, the report provides a detailed understanding of each segment by analyzing market size, growth potential, and key trends within each segment. Through this detailed analysis, industry participants can identify profitable opportunities, develop strategies tailored to specific customer segments, and allocate resources effectively.Market Segmentation:Type: Bottled Packaging, Jars Packaging, Tubes PackagingApplication: Online Sales, Offline SalesIdentifying growth opportunities is crucial for companies operating in the male beard oil market. This report focuses on highlighting these opportunities by analyzing emerging technologies, market trends, and changing customer needs that have the potential to shape the future of the market. By identifying untapped market segments, geographies with high growth potential, and innovative product development strategies, this report supports stakeholders in harnessing these opportunities and leading business growth.In addition, this report addresses the key challenges faced by industry participants. It provides insightful information on challenges such as intense market competition, price pressure, regulatory compliance, and technological confusion. By understanding these challenges, companies can develop effective mitigation strategies and adapt to a constantly changing market environment. This enables them to ensure sustainable growth.The regional analysis section of the global male beard oil market report thoroughly evaluates the market in various regions, including North America, Europe, Asia Pacific, Latin America, the Middle East, and Africa. This section provides valuable information on market size, growth potential, and key market trends specific to each region.Market by Regions:North AmericaU.S.CanadaEuropeGermanyU.K.FranceItalySpainRest of EuropeAsia PacificChinaIndiaJapanRest of Asia PacificMiddle East & AfricaGCCSouth AfricaRest of Middle East & AfricaLatin AmericaBrazilMexicoRest of Latin AmericaThis report provides a comprehensive assessment of the male beard oil market in these specific regions, enabling stakeholders to understand regional differences, market potential, and growth prospects. This provides valuable insights that help make informed decisions related to market entry, expansion strategies, and resource allocation. Additionally, understanding the regulatory landscape and market dynamics in each region is useful for companies to comply with regulatory requirements and adjust their business strategies to fit specific markets.Overall, the global male beard oil market report strives to provide comprehensive and practical information to industry stakeholders. By offering a deep understanding of market trends, competitive dynamics, growth opportunities, and potential risks, this report plays a valuable role as a tool for manufacturers, suppliers, distributors, and investors. Through this information, they are able to make informed business decisions and execute successful strategies in the dynamic and evolving male beard oil market. PR-Inside.com: 2023-08-16 07:15:15 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 826 Words ACCESSWIRE News Network888.952.4446 SAN DIEGO, CA / ACCESSWIRE / August 16, 2023 / The law firm of Robbins Geller Rudman & Dowd LLP announced that it has filed a class action lawsuit seeking to represent purchasers of Hayward Holdings, Inc. (NYSE:HAYW) common stock between March 2, 2022 and July 27, 2022, inclusive (the "Class Period"). Captioned City of Southfield Fire and Police Retirement System v. Hayward Holdings, Inc., No. 23-cv-04146 (D.N.J.), the Hayward Holdings class action lawsuit charges Hayward Holdings, certain of its top executive officers, as well as its controlling shareholders with violations of the Securities Exchange Act of 1934.If you suffered substantial losses and wish to serve as lead plaintiff, please provide your information here:You can also contact attorney J.C. Sanchez of Robbins Geller by calling 800/449-4900 or via e-mail at jsanchez@ rgrdlaw.com . Lead plaintiff motions for the Hayward Holdings class action lawsuit must be filed with the court no later than October 2, 2023.CASE ALLEGATIONS: Hayward Holdings is a global designer, manufacturer, and marketer of a broad portfolio of pool equipment and associated automated systems.As the Hayward Holdings class action lawsuit alleges, defendants throughout the Class Period made false and/or misleading statements and/or failed to disclose that: (i) Hayward Holdings and its management had engaged in a channel-stuffing scheme designed to artificially boost Hayward Holdings' short-term sales and earnings; (ii) Hayward Holdings had flooded its channel partners with inventory that they did not want or need at a level that far outpaced then-existing consumer demand; (iii) Hayward Holdings' channel partners were suffering from an inventory glut as a result of the channel-stuffing scheme that would require a massive de-stocking in the second half of 2022; (iv) Hayward Holdings' channel-stuffing scheme had cannibalized future sales, materially impairing Hayward Holdings' ability to sell to its customers; (v) the demand for pool equipment had slowed down, which, combined with flooding channel partners with more inventory, led to an inventory glut and the need for these channel partners to reduce inventory levels; and (vi) as a result of the above, Hayward Holdings' projected 2022 financial results were not achievable and lacked a reasonable basis in fact.On July 28, 2022, Hayward Holdings announced financial results for the second fiscal quarter of 2022, shocking analysts and investors by revealing that Hayward Holdings was expecting its channel partners to reduce their inventory on hand by approximately four to six weeks in the second half of 2022. As a result, Hayward Holdings reduced its 2022 guidance to reflect massive inventory reduction in the second half of the year. Notably, during an earnings call held that same day, defendant CEO Kevin Holleran admitted that the inventory bottleneck traced back to inventory decisions made "at the end of 2021" - i.e., before the Class Period. As a result, the price of Hayward Holdings common stock fell nearly 24%, damaging investors.The plaintiff is represented by Robbins Geller, which has extensive experience in prosecuting investor class actions including actions involving financial fraud. You can view a copy of the complaint by clicking here.THE LEAD PLAINTIFF PROCESS: The Private Securities Litigation Reform Act of 1995 permits any investor who purchased Hayward Holdings common stock during the Class Period to seek appointment as lead plaintiff of the Hayward Holdings class action lawsuit. A lead plaintiff is generally the movant with the greatest financial interest in the relief sought by the putative class who is also typical and adequate of the putative class. A lead plaintiff acts on behalf of all other class members in directing the Hayward Holdings class action lawsuit. The lead plaintiff can select a law firm of its choice to litigate the Hayward Holdings class action lawsuit. An investor's ability to share in any potential future recovery of the Hayward Holdings class action lawsuit is not dependent upon serving as lead plaintiff.ABOUT ROBBINS GELLER: Robbins Geller Rudman & Dowd LLP is one of the world's leading complex class action firms representing plaintiffs in securities fraud cases. The Firm is ranked #1 on the most recent ISS Securities Class Action Services Top 50 Report for recovering more than $1.75 billion for investors in 2022 - the third year in a row Robbins Geller tops the list. And in those three years alone, Robbins Geller recovered nearly $5.3 billion for investors, more than double the amount recovered by any other plaintiffs' firm. With 200 lawyers in 9 offices, Robbins Geller is one of the largest plaintiffs' firms in the world and the Firm's attorneys have obtained many of the largest securities class action recoveries in history, including the largest securities class action recovery ever - $7.2 billion - in In re Enron Corp. Sec. Litig. Please visit the following page for more information:Attorney advertising.Past results do not guarantee future outcomes.Services may be performed by attorneys in any of our offices.Contact:Robbins Geller Rudman & Dowd LLP655 W. Broadway, Suite 1900, San Diego, CA 92101J.C. Sanchez, 800-449-4900jsanchez@ rgrdlaw.com SOURCE: Robbins Geller Rudman & Dowd LLP PR-Inside.com: 2023-08-16 15:30:28 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 473 Words ACCESSWIRE News Network888.952.4446 NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / Leidos:Last month, the White House, Defense Department and Organization for the Prohibition of Chemical Weapons confirmed the U.S. has completed destruction of its enormous Cold War-era chemical weapons stockpile as well as the facilities that manufactured them.Leidos provided extensive technical and program support to the U.S. Department of Defense on the demilitarization effort at all locations where the munitions were stored.These toxic and deadly weapons, now banned by international law due to their inhumane nature, took more than 30 years to irreversibly destroy.The milestone makes good on the commitment made by 193 member states to chemically disarm under the 1993 Chemical Weapons Convention.As a part of an integrated effort, Leidos supported the Defense Threat Reduction Agency (DTRA) in treaty compliance and inspector interface.The U.S. is the final nation state to complete disarmament, which means all chemical weapons declared under the treaty have now been destroyed.Leidos supported the U.S. Army Chemical Materials Activity (CMA) on the large-scale effort at seven sites:Johnston Atoll in the Pacific OceanAberdeen Proving Ground, MarylandNewport Chemical Depot, IndianaPine Bluff Arsenal, ArkansasAnniston Army Depot, AlabamaUmatilla Chemical Depot, OregonDeseret Chemical Depot, UtahLeidos also supported the Program Executive Office Assembled Chemical Weapons Alternatives (PEO ACWA) in destruction of the final weapons at Pueblo Chemical Depot in Colorado and Blue Grass Army Depot in Kentucky.James Ridgely, Leidos Senior Program Manager, said he's proud his teams contributed to this important effort to destroy an entire class of weapons of mass destruction.Ridgely said the scope of his team's work on the project included technical expertise, engineering support, compliance with treaty mandates, planning analysis for both the technical and program efforts and public outreach."Leidos personnel have been side-by-side with government teams and contributed significantly to key technical and programmatic decisions that led to the safe completion of operations," says Ridgely. "We're proud to have been part of making the world a safer place by eliminating these deadly weapons." In a statement, President Biden stressed the importance of disarmament among the small number of nations states not ratified in the treaty."Today-as we mark this significant milestone-we must also renew our commitment to forging a future free from chemical weapons," President Biden wrote. "I continue to encourage the remaining nations to join the Chemical Weapons Convention so that the global ban on chemical weapons can reach its fullest potential." Please contact the Leidos media relations team for more information.Workers at the Blue Grass Chemical Agent-Destruction Pilot Plant in Kentucky load the last remaining U.S. chemical munition into a demilitarization system. Photo: PEO ACWAView additional multimedia and more ESG storytelling from Leidos on 3 blmedia.com Contact Info:Spokesperson: LeidosWebsite: https://www.3blmedia.com/profiles/leidos Email: info@3 blmedia.com SOURCE: Leidos PR-Inside.com: 2023-08-16 16:13:32 Press Information Absolute Markets Insights 1 st Floor,The Work Lab, Model Colony, Pune, Pin - 411016 Shreyas Tanna CEO +917400242424 email http://www.www.absolutemarketsinsights.com # 776 Words 1 st Floor,The Work Lab, Model Colony, Pune, Pin - 411016CEO+917400242424 The inventive mobility leasing solutions such as electric vehicle (EV) leasing is gaining traction in the light vehicle leasing market. Government bodies, who are constantly striving towards reducing environmental pollution, are promoting the adoption of electric vehicles. For instance, in August 2019, Energy Efficiency Services Ltd (EESL), a joint venture collectively owned by four government-owned entities including Power Finance Corporation Ltd. (PFC), Rural Electrification Corporation Limited (REC), Power Grid Corporation of India Limited and NTPC Limited announced the procurement of 10,000 electric cars to be leased out to government departments. Similar electric vehicle initiatives are being undertaken by regulatory bodies in China and Japan to promote automotive leasing, which is supplementing the growth of Asia Pacific light vehicle leasing market. The simultaneous development in the EV charging infrastructure is anticipated to further boost the market growth. About 92 public chargers have been installed across major States in India, while as on August 2020, 1 million public charging plugs were collectively deployed in China and Europe. Hence, favorable government initiatives towards adoption of e-mobility solutions showcases positive growth prospects for the light vehicle leasing market participants over the forecast period.Request for Sample Copy of This Report@ https://www.absolutemarketsinsights.com/request_sample.php?id=773 The outbreak of the novel coronavirus has adversely impacted the sales of automobiles globally. In order to overcome the challenges in terms of sales volume brought about by the pandemic induced economic downturn, automotive manufacturers are considering the adoption of vehicle leasing model to cater the demand requirement of consumers within their budgetary considerations. Maruti Suzuki India Limited (MSIL), an India-based automotive manufacturer, for instance announced plans to lease out light vehicles to the retail customers through their dealership network in the country. The company through this scheme, plans to provide the urban consumers with an alternative option given that the affordability of consumers at large have been adversely impacted due to the pandemic. The rapid spread of the virus has constrained the public transport sector and also ride hailing services market to an extent. Thus, the prevailing situation showcases growth opportunities for Asia Pacific light vehicle leasing market over the coming years.In terms of revenue, Asia Pacific light vehicle leasing market was valued at US$ 14,180.8 Mn in 2019 and is anticipated to grow at a CAGR of 3.8% over the forecast period (20202028). The study analyses the market in terms of revenue across all the major countries.The detailed research study provides qualitative and quantitative analysis of Asia Pacific light vehicle leasing market. The market has been analyzed from demand as well as supply side. The demand side analysis covers market revenue across all the major countries. The supply side analysis covers the major market players and their regional presence and strategies. The geographical analysis done emphasizes on each of the major countries across Asia Pacific.Enquiry Before Buying @ https://www.absolutemarketsinsights.com/enquiry_before_buying.php?id=773 Key Findings of the Report:Operating lease of light vehicles is anticipated to register highest growth rate over the forecast period in the Asia Pacific light vehicle leasing market. The growing need among consumers to procure mobility benefits without undertaking risks associated with future resale value is expected to boost the segments growth.Corporate end users in the region are largely opting for fully-maintained leasing services, which is propelling the segments growth in the Asia Pacific light vehicle leasing marketChina held the highest market share in Asia Pacific light vehicle leasing market in 2019. The matured used car market in the country along with growing awareness of the leasing business model is supplementing the countrys growth in the market. However, India is anticipated to register highest CAGR over the forecast period.Some of the players operating in the Asia Pacific light vehicle leasing market are ALD Automotive Private Limited, ALTERNATIVA, Arval Belgium NV/SA, Avis Rent A Car, Daimler Fleet Management Singapore, Drive.SG Pte. Ltd., Driveline Fleet Ltd., DriveMyCar, ExpatRide International, Flexi Lease, Hitachi Capital Vehicle Solutions, Lease2Go, LeasePlan, Mountsville Pty Ltd., ORIX New Zealand Ltd, Singapore Mobility Corporation Pte Ltd, SmasIndia.com and Tokyo Century Corporation amongst other market participants.Request for Customization@ https://www.absolutemarketsinsights.com/request_for_customization.php?id=773 Asia Pacific Light Vehicle Leasing Market:By TypeOperating LeaseFinance LeaseBy OfferingFully-Maintained Leasing ServicesNon-Maintained Leasing ServicesTyre Servicing and ManagementInsurance ServicesRepair & Maintenance ServicesRegistration ServicesOthersBy Vehicle TypeConvertibleCoupeSUVHatchbackSedanVansOthersBy Vehicle ConditionNew CarPre-UsedBy Vehicle PropulsionDieselGasolineElectricHybridBy Lease DurationLess than 12 Months13 Months to 36 Months37 Months to 48 MonthsMore than 48 MonthsBy End UsersIndividuals/PrivateCorporateSmall and Medium EnterprisesLarge Enterprises With tens of thousands of migrants having arrived in New York City in the last year, including about 530 now being housed in Cheektowaga hotels, State Attorney General Letitia James is calling on the federal government to expedite work permits for them. In a letter to DHS Secretary Alejandro Mayorkas, James on Wednesday joined a chorus of other state politicians who want the Department of Homeland Security to grant work authorization permits to migrants able to work. For generations, immigrants have come to New York seeking new opportunities for themselves and their families, and recent arrivals are no different, James said in statement. But bureaucratic delays and a lack of work permits are depriving new immigrants of the chance to create a better life here in America. Moreover, these delays are hurting our economy by depriving businesses of a much-needed source of labor." Newly arrived migrants who are in the immigration process are allowed to work however, they must await a work permit and that can take as long as 10 months. James, along with Gov. Kathy Hochul, Rep. Brian Higgins, D-Buffalo, and Erie County Executive Mark Poloncarz, have argued that by allowing migrants to work legally, they will be able to support themselves and need less help from the government. National Guard headed to Cheektowaga hotels housing asylum-seekers after second sex-crime arrest National Guard troops being sent to the Cheektowaga hotels housing asylum-seekers from New York City will act as a stabilizing presence, Erie County Executive Mark Pononcarz said Saturday, reacting to the arrest of a second migrant on a sex charge. "Businesses are also experiencing increasing demand for workers in key industries like food service, retail, transportation, and health care," the AG's office said in a statement. "Expediting work authorization for migrants will help meet these demands and reduce the risk that workers will be subjected to depressed wages, poor working conditions, or other violations of their rights." At least 90,000 migrants have arrived in New York City since the spring of 2022, according to a July 19 report by the New York Times, with about 50,000 remaining in that region. With the city's homeless sheltering system overwhelmed, Mayor Eric Adams began sending some of them to other parts of New York State. Between mid-June and the end of July, New York City bused more than 500 migrants to Western New York. The migrants, many of them single males but also families, are being housed at New York City's expense in three Cheektowaga hotels. The arrangement drew concerns, and later furor, after two migrants were arrested on rape charges in separate incidents within the last two weeks, prompting Poloncarz to demand Adams stop sending more migrants to Buffalo. James asked Homeland Security to grant work authorization to new arrivals who are allowed to remain in the U.S. during their asylum-seeking process, address inconsistent lengths of permitted time allowed in the U.S., automatic renewal of work permits for those legally allowed to remain and to make work permit applications with a fee waiver available online. Dr. Myron Glick is founder and CEO of Jericho Road Community Health Center, one of the agencies working with migrants in the Buffalo region. He agreed that the work authorization process needs to be reformed. "More people need to be immediately eligible and the process needs to be quicker," he said in a statement. "This issue is just one part of an entire system that needs to change. "The current system of busing asylum seekers to different localities is not working for the asylum seeker or for the communities that are welcoming them," he said. "We should treat asylum seekers the way we treat refugees, through a federally funded and organized resettlement program. We need the federal government to step in and to do better. They cannot continue to put politics over the needs of this vulnerable group and our entire community." PR-Inside.com: 2023-08-16 14:01:09 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 645 Words ACCESSWIRE News Network888.952.4446 NAPLES, FL / ACCESSWIRE / August 16, 2023 / Native Cardio Inc. announced today that Steve Adler has agreed to join the company as Chief Executive Officer. Native's first product, the Rhythm Maker system, is designed to provide low-energy electrical pulses to convert postoperative atrial fibrillation (POAF) to normal sinus rhythm. The system is intended for hospitalized patients who have undergone cardiac surgery. Approximately 40% of all cardiac surgery patients are at risk for developing POAF, which is associated with morbidity, delayed hospital discharge, and increased costs to the U.S. healthcare system."I am thrilled to be joining this dedicated and experienced team and developing new therapies to treat atrial fibrillation, which affects millions of patients. A-fib can result in lower cardiac output and increased risk of stroke and it adversely affects so many people, particularly the elderly," said Adler. "Our initial device is designed to treat the unmet need of postoperative atrial fibrillation or POAF. The standard of care right now is either risky high-energy external defibrillation or older, potentially toxic, drugs, repurposed for POAF. When our device is cleared for market release, it will rapidly emerge as the preferred solution for POAF." Adler has had a long productive career in the medical device world. He has been in leadership positions in the fields of cardiac devices, electrophysiology equipment and neuromodulation. He has been responsible for multiple product approvals and company acquisitions."We are very pleased to have an executive of Steve's stature and with his track record join us at Native Cardio," said Joseph Pergolizzi, Jr., MD, Chairman and founder of Native Cardio Inc. "The Rhythm Maker device will meet a very large and urgent unmet medical need. We can deliver almost-painless electric cardioversion to immediately stop POAF using ultra-low energy. The product aligns perfectly with the surgical workflow, meaning it will fit right in with the way hospitals perform cardiac surgeries. The Rhythm Maker will provide an effective and cost-effective solution to POAF. And it is the first truly innovative technology to address this enormous market in over a decade." Adler's main roles will be to advance the company's product to commercialization and to work with the research team on subsequent devices using proprietary technologies.Devin Dunn, Head of the Accelerator for HealthTech at the Texas Medical Center stated, "We are always thrilled to see when startups hit new, critical milestones such as this." Native Cardio was selected as a member of the highly selective TMCi Accelerator program in 2020."In the time we worked with them, Native Cardio was able to showcase its vision, product pipeline, and outstanding team, all focused on addressing an urgent and unmet need for postoperative atrial fibrillation patients. We believe working with small enterprises with big new visions, such as Native Cardio, will ultimately drive meaningful change for our community. We can't wait to see what the future holds for this team," Dunn said.Adler concluded, "This new role offers me an exciting challenge but, more importantly, an opportunity to provide truly important, innovative, and potentially life-saving technologies to millions of patients." About Native CardioNative Cardio, Inc. is a cardiac medical device manufacturer located in Naples, Florida, developing products to treat atrial arrhythmias. Our initial product is the Rhythm Maker, a technology that addresses a critical unmet need of postoperative atrial fibrillation (POAF) that often manifests following cardiovascular, thoracic, and abdominal surgery. POAF is a highly prevalent, must-treat cardiac condition. Our patented technology utilizes a novel approach that is designed to deliver ultra-low energy cardioversion directly to the atria of the heart. The system is cost-efficient, reduces expenditures, and offers an effective and precise treatment solution without the severe side effects often associated with current treatment options. For more information, visit www.nativecardio.com Contact Information:Morgan WagnerMedia Contactmwagner@ nativecardio.com SOURCE: Native Cardio, Inc. PR-Inside.com: 2023-08-16 14:00:37 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 919 Words ACCESSWIRE News Network888.952.4446 BUENOS AIRES, ARGENTINA / ACCESSWIRE / August 16, 2023 / NOA Lithium Brines Inc. (TSXV:NOAL)(FSE:N7N) ("NOA" or the "Company") is pleased to announce that a second diamond drill rig has been mobilized and will commence drilling shortly at the Rio Grande Project ("Rio Grande" or "the Project") located in Salta Province, Argentina. A second rig has been added based on the successful results achieved to date from the ongoing Phase 1 diamond drill program. A plan map of the completed and upcoming drillholes is shown in Figure 1. The third hole started approximately two weeks ago and is well-advanced, and the fourth hole will begin imminently with the addition of this second rig.Highlights from the completed holes include:Hole 23-001First hole ever drilled in alluvials surrounding the surface salar ("salar") at Rio Grande, resulting in the discovery of two thick, high-grade brine aquifers, including:71 meter ("m") permeable interval with grades averaging 433 milligrams per liter ("mg/l") Li, starting at a depth of 101 m; and158 m permeable interval with grades up to 925 mg/l Li, and averaging 773 mg/l Li, starting at a depth of 311 m. This aquifer returned some of the highest grades in the entire region.Results of this hole are encouraging as NOA controls the vast majority of the north and northeast alluvials of the salar at Rio Grande, noted as the high-potential resource growth areas at the Project based on the most recent technical report [1].Hole 23-002Drilled 14 km south of the first hole and within the surface salar, intercepting both the historically known shallow aquifer and a newly discovered deeper aquifer. Both aquifers returned impressive grades and thicknesses, including:158 m permeable interval with grades up to 556 mg/l Li, and averaging 440 mg/l, starting at a depth of 17 m; and149 m permeable interval with grades up to 552 mg/l Li, and averaging 485 mg/l Li, starting at a depth of 317 m.NOA controls a significant land package over several claims on the surface salar at Rio Grande, with a combined on-salar position of over 2,000 hectares (20 square kilometers).Taj Singh, President and CEO states: "We aim to further accelerate our rapid progress at Rio Grande with the addition of a second rig. From the commencement of drilling just a few months ago, we are now rapidly working towards our goal of delivering a maiden resource for the Project by early 2024, which should hopefully result in a significant re-rating for the Company. Both holes released thus far have achieved significant results, intercepting high and consistent grades across thick sedimentary packages. Our discovery in the alluvials at Rio Grande is a true game-changer, as it demonstrates the potential for the aquifers evident from the surface salars to extend into the surrounding alluvials, where we are the dominant claimholder. Additionally, the discovery of the deeper aquifer from drilling on the surface salar drastically increases the potential volume of brine within our concessions on the salar." Figure 1: Plan map showing completed and upcoming drillholesAbout NOA Lithium Brines Inc.NOA is a lithium exploration and development company formed to acquire and develop assets with significant resource potential. All NOA's projects are in the heart of the prolific Lithium Triangle, in the mining-friendly province of Salta, Argentina, near a multitude of projects and operations owned by industry leaders. NOA has rapidly consolidated one of the largest lithium brine claim portfolios in this region not owned by a producing company, with key positions on three prospective salars (Rio Grande, Arizaro, Salinas Grandes) and a total portfolio of approximately 100,000 hectares.On Behalf of the Board of Directors,Taj Singh, M.Eng, P.Eng, CPAPresident & CEO, DirectorFor Further InformationWebsite: www.noalithium.com Email: info@ noalithium.com Telephone: 416-568-1027References[1] Rio Grande Project Technical Report, 2022, prepared by Montgomery and Associates Consultores Limitada ( https://www.noalithium.com/projects/rio-grande/reports/) Qualified PersonTaj Singh, P.Eng, President & CEO, NOA Lithium Brines Inc., is the Company's designated Qualified Person for this news release within the meaning of National Instrument 43-101 Standards of Disclosure for Mineral Projects. Mr. Singh has reviewed and validated that the information contained in this news release is accurate.Cautionary Note Regarding Forward-Looking StatementsThis news release may include forward-looking statements that are subject to inherent risks and uncertainties. All statements within this news release, other than statements of historical fact, are to be considered forward looking statements. Forward-looking statements including, but not limited to NOA's future plans and objectives regarding its projects, which constitute forward looking information that involve various risks and uncertainties. Although NOA believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results or developments may differ materially from those described in forward-looking statements. Factors that could cause actual results to differ materially from those described in forward-looking statements include fluctuations in market prices, including metal prices, continued availability of capital and financing, and general economic, market or business conditions. There can be no assurances that such statements will prove accurate and, therefore, readers are advised to rely on their own evaluation of such uncertainties. NOA does not assume any obligation to update any forward-looking statements except as required under applicable laws.Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release.SOURCE: NOA Lithium Brines Inc. PR-Inside.com: 2023-08-16 07:45:54 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 566 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own shares of RTX Corporation (NYSE: RTX)?Did you purchase your shares between February 8, 2021 and July 25, 2023, inclusive?Did you lose money in your investment in RTX Corporation?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of RTX Corporation f/k/a Raytheon Technologies Corporation ("RTX" or the "Company") (NYSE:RTX) between February 8, 2021 and July 25, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the District of Connecticut and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired RTX securities, and/or would like to discuss your legal rights and options please visit RTX Corporation Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than October 2, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.The Complaint alleges that Defendants made materially false and misleading statements throughout the Class Period. Among other things, Defendants failed to disclose to investors that RTX's geared turbofan ("GTF") engines had been affected from at least 2015 by a quality control issue, which would require RTX to recall and reinspect many of its GTF airplanes, affecting customers and harming its business.On July 25, 2023, Reuters released an article entitled "RTX shares tumble on Pratt & Whitney airliner engine problem," which reported that "more than 1,000 [GTF] engines must [be] removed from Airbus planes and checked for microscopic cracks." Reuters further reported that "RTX said it was reducing its 2023 cash-flow forecast by $500 million to $4.3 billion due to the inspections." On this news, RTX's share price fell $9.91 per share, or 10.2%, to close at $87.10 on July 25, 2023.If you purchased or acquired RTX securities, and/or would like to discuss your legal rights and options please visit RTX Corporation Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP PR-Inside.com: 2023-08-16 07:45:35 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 617 Words ACCESSWIRE News Network888.952.4446 Do you, or did you, own shares of Sea Limited (NYSE: SE)?Did you purchase your shares between April 23, 2022 and May 15, 2023, inclusive?Did you lose money in your investment in Sea Limited?Do you want to discuss your rights?NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Bernstein Liebhard LLP, a nationally acclaimed investor rights law firm, reminds investors of the deadline to file a lead plaintiff motion in a securities class action lawsuit that has been filed on behalf of investors who purchased or acquired the securities of Sea Limited ("Sea" or the "Company") (NYSE:SE) between April 23, 2022 and May 15, 2023, inclusive (the "Class Period"). The lawsuit was filed in the United States District Court for the District of Arizona and alleges violations of the Securities Exchange Act of 1934 against the Company and certain of its officers (the "Complaint").If you purchased or acquired Sea securities, and/or would like to discuss your legal rights and options please visit Sea Limited Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com If you wish to serve as lead plaintiff, you must move the Court no later than September 17, 2023. A lead plaintiff is a representative party acting on behalf of other class members in directing the litigation. Your ability to share in any recovery doesn't require that you serve as lead plaintiff. If you choose to take no action, you may remain an absent class member.The Complaint alleges that Defendants made materially false and misleading statements throughout the Class Period. Specifically, Defendants failed to disclose to investors that: (i) Sea overstated its ability to manage the growth of its user base and loan book while enhancing its profitability; (ii) Sea's expansion to a broader user base and growing loan book rendered the Company significantly more vulnerable to higher credit losses; (iii) as a result, the Company was likely to book a significant increase in loan loss reserves; and (iv) the foregoing was likely to have a significant negative impact on Sea's earnings.On May 16, 2023, Sea issued a press release announcing its financial results for the first quarter of 2023. Among other items, Sea reported first-quarter earnings that fell significantly short of expectations due to a sharp increase in loan loss reserves. The Company advised that "[o]ur provision for credit losses increased by 120.5% to US$177.4 million in the first quarter of 2023 from US$80.5 million in the first quarter of 2022, primarily driven by expansion to a broader user base and the growth of our loan book"On this news, Sea's American Depositary Share ("ADS") price fell almost 18%.If you purchased or acquired Sea securities, and/or would like to discuss your legal rights and options please visit Sea Limited Shareholder Class Action Lawsuit or contact Peter Allocco at (212) 951-2030 or pallocco@ bernlieb.com Since 1993, Bernstein Liebhard LLP has recovered over $3.5 billion for its clients. In addition to representing individual investors, the Firm has been retained by some of the largest public and private pension funds in the country to monitor their assets and pursue litigation on their behalf. As a result of its success litigating hundreds of lawsuits and class actions, the Firm has been named to The National Law Journal's "Plaintiffs' Hot List" thirteen times and listed in The Legal 500 for sixteen consecutive years.ATTORNEY ADVERTISING. 2023 Bernstein Liebhard LLP. The law firm responsible for this advertisement is Bernstein Liebhard LLP, 10 East 40th Street, New York, New York 10016, (212) 779-1414. Prior results do not guarantee or predict a similar outcome with respect to any future matter.Contact Information:Peter AlloccoBernstein Liebhard LLP(212) 951-2030pallocco@ bernlieb.com SOURCE: Bernstein Liebhard LLP PR-Inside.com: 2023-08-16 21:15:33 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 286 Words ACCESSWIRE News Network888.952.4446 NEW YORK, NY / ACCESSWIRE / August 16, 2023 / Weiss Law is investigating possible breaches of fiduciary duty and other violations of law by the board of directors of Crestwood Equity Partners LP ("Crestwood" or the "Company") (NYSE:CEQP) in connection with its proposed acquisition by Energy Transfer LP (NYSE:ET) ("Energy Transfer"). Under the merger agreement, the Company's unitholders will receive 2.07 Energy Transfer common units for each Crestwood common unit owned. Upon closing, Crestwood common unitholders are expected to own approximately 6.5% of Energy Transfer's outstanding common units. The transaction is valued at approximately $7.1 billion.If you own Crestwood shares and wish to discuss this investigation or have any questions concerning this notice or your rights or interests, visit our website:Or please contact:Joshua Rubin, Esq.Weiss Law305 Broadway, 7th FloorNew York, NY 10007(212) 682-3025(888) 593-4771stockinfo@ weisslawllp.com Weiss Law is investigating whether (i) Crestwood's board acted in the best interests of Company unitholders in agreeing to the merger, (ii) the merger consideration adequately compensates Crestwood's unitholders, and (iii) all information regarding the sales process and valuation of the transaction will be fully and fairly disclosed.Weiss Law has litigated hundreds of stockholder class and derivative actions for violations of corporate and fiduciary duties. We have recovered over a billion dollars for defrauded clients and obtained important corporate governance relief in many of these cases. If you have information or would like legal advice concerning possible corporate wrongdoing (including insider trading, waste of corporate assets, accounting fraud, or materially misleading information), consumer fraud (including false advertising, defective products, or other deceptive business practices), or anti-trust violations, please email us at stockinfo@ weisslawllp.com SOURCE: Weiss Law PR-Inside.com: 2023-08-16 13:00:39 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 989 Words ACCESSWIRE News Network888.952.4446 VANCOUVER, BC / ACCESSWIRE / August 16, 2023 / Tectonic Metals Inc. (TSXV:TECT)(OTCQB:TETOF)(FSE:T15B) ("Tectonic" or the "Company"), a mineral exploration company employing a rigorous up-front approach to addressing project risk, economics, community benefits, and the sustainability of exploration projects in the pursuit of genuine mine opportunities, today announced the formation of the Company's Technical Advisory Committee. The Technical Advisory Committee consists of leading professionals in structural economic geology, metallurgical processing, and mining. These experts, who possess extensive experience and align with Tectonic's core values and business model, will play a crucial role in guiding exploration at the Company's Flat Project.The Company is proud to welcome Fred Lightner and Mark Smith to the Technical Advisory Committee. Both individuals have an impressive track record, having been instrumental in the success of the Kaminak Gold Corporation ("Kaminak") Coffee Gold Project. Their leadership in the Feasibility Study was a catalyst for Goldcorp Inc.'s (now Newmont Corporation) acquisition of Kaminak in 2016 for $520M.Furthermore, Tectonic has appointed specialist Structural-Economic Geoscientists Dr. Ian Basson, Michael McCall and Dr. Corne Koegelenberg to the Technical Advisory Committee. These individuals, associated with TECT Geological Consulting ("TGC") ( www.tectgeological.com) , are renowned internationally and have worked on world-class projects and mines across the globe for prominent mining companies, such as Rio Tinto, Barrick, BHP, De Beers, Debswana, Goldfields, Glencore, and Anglo American."We are incredibly fortunate to attract such exceptional talent at this stage, which demonstrates our focused approach in considering our projects as mining opportunities rather than mere drill plays'," stated Tony Reda, President and Chief Executive Officer of Tectonic. "By bringing this expertise on board now, we can gain a deeper understanding of the mineralizing systems at our Flat Gold Project and strategically plan our drilling program to fully realize its potential. These additions not only possess expertise in structural geology but also bring economic and operational perspectives to the table for the advancement of our current and future projects. They are expected to make an immediate impact on our Flat Gold Project, further strengthening our team's knowledge and expertise in all key areas of exploration and mining. This impressive roster of top professionals reflects Tectonic's commitment to excellence and positions the Company for continued success in the exploration and mining industry." Fred Lightner, P.E.Fred H. Lightner is a metallurgical engineer from the Colorado School of Mines and has over 50 years of industry experience (+25 projects) specializing in heap leach gold project evaluation, consultation, feasibility, construction and operations. Fred has worked in the heap leaching gold sector almost exclusively since 1980 and has held senior management positions with Tenneco Minerals, Pegasus Gold, Wharf Resources, and Metallica Resources. His experience includes the evaluation, development, and operation of successful open pit gold mining heap leach projects in the USA, Mexico, Ghana, South America, and the Yukon.His most recent consulting assignments were with Grayd Resources for the La India heap leach mine in Mexico. After the completion of the preliminary economic assessment, Grayd was acquired by Agnico Eagle Mines. Fred was then Director Mine Development with Kaminak Gold for the development and feasibility study of the Coffee Gold heap leach project in the Yukon. After the feasibility study was complete, Kaminak was acquired by Goldcorp (now Newmont). Fred then consulted for Orla Mining, who owned the Camino Rojo gold/silver heap leach project in Mexico. After the development period and feasibility study, Orla built the mine which is in operation today.Mark Smith, P.E., G.E., D.GE, S.E.Mr. Smith has been involved in the design, construction, operations and closure of heap leach mine and tailings management facilities for 35 years. Many of the design standards used in modern heap leaching and tailings disposal were developed by Mr. Smith or his team. He has authored and co-authored over 30 technical papers and contributed to various publications and texts in the fields of heap leaching, tailings management, sustainable development, containment systems, and closure.Mr. Smith was the founder of Vector Engineering, Inc., a 500-person engineering firm specializing in tailings and heap leach engineering with offices in seven countries and working around the world. He has worked extensively throughout North and South America, including the USA, Canada and the Andean nations. He has co-authored several papers on cold-climate heap leaching and worked on projects in extreme latitudes in both the north and south.His key heap leach projects and mines have included: Teck's Quebrada Blanca & Nueva Union - Chile; Victoria's Eagle Gold - Yukon, Canada; Barrick's Goldstrike, Carlin & Cortez - USA, Veladero - Argentina, Pierina & Lagunas Norte - Peru; Newmont's Coffee Gold - Yukon, Canada, Carlin - USA, Yanacocha - Peru; BHP Billiton's Cerro Colorado, Spence & Escondida - Chile, Tintaya - Peru, Cerro Matoso - Colombia; Vale's Cristalino, Area 118 & Piaui - Brazil; Rio Tinto's Barney's Canyon - USA, La Granja - Peru, Ranger - Australia and Rossing - Namibia; Codelco's Radomiro Tomic & El Abra - Chile, and Freeport's Cerro Verde - Peru.Dr. Ian Basson - Pr. Sci. Nat., FGSSA, MSEG, AMSAIEGDr. Basson is a Principal Structural-Economic Geologist at Tect Geological Consulting, which he founded in 2002. He holds a Ph.D. in Structural-Economic Geology from the University of Natal and is an industry expert in lithological and structural interpretation of geophysical data, forward structural modelling, and targeting for exploration projects. He specializes in the interpretation of complex geology, mapping of opencast mines, and 3D Geomodelling. He has provided expertise to numerous mining and exploration companies including Anglo American, Rio Tinto, Kumba Iron Ore, De Beers, Debswana, Glencore, Barrick, and First Quantum Minerals. In the last two decades, Dr. Basson has gained personal experience in over 150 projects, 18 commodities, and 38 deposit types throughout 23 countries.Michael McCall - Pr. Sci. Nat., FGSSA, MSEGMr. McCall is a Principal Structural-Economic Geologist at Tect Geological Consulting with a M.Sc. from the University of Stellenbosch. Over the last d PR-Inside.com: 2023-08-16 18:11:15 Press Information Published by ACCESSWIRE News Network 888.952.4446 e-mail http://www.accesswire.com # 380 Words ACCESSWIRE News Network888.952.4446 NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / World Environment CenterThe World Environment Center (WEC) announced today that Dr. Neil Hawkins, President of Michigan Sustainability Associates and Research Advisor/Instructor at Harvard, has been appointed interim president and CEO of WEC.With over three decades in the field, Dr. Hawkins brings extensive experience and expertise from roles such as Chief Sustainability Officer and Corporate Vice President of Environment, Health, and Safety at The Dow Chemical Company. He has also led initiatives like the breakthrough valuing nature partnership with The Nature Conservancy, integrating sustainability at the core of business strategies, and driving environmental and social action.While serving as Interim CEO, Dr. Hawkins will continue his role as a Research Advisor and Instructor at Harvard University in the Master of Sustainability Program, underlining his commitment to bridging academia while bolstering business sustainability efforts. With his multifaceted experiences, from international environmental treaties to advising corporate leaders, Neil is uniquely positioned to help propel WEC forward."It is a great honor to be asked to serve as Interim CEO of World Environment Center. I have great respect for the organization from my prior long-term Board membership and from seeing its great progress with Glenn at the helm. I will support the Board, staff, and members while the Board conducts its process for identifying a permanent CEO." Hawkins said.WEC extends many thanks to outgoing president and CEO, Glenn Prickett, for his dedicated leadership and welcomes Dr. Hawkins, believing that his insights will help amplify WEC's role in advancing a sustainable future through corporate business practices.About the World Environment CenterWEC is an influential global non-profit organization that fosters sustainable development through business practices. With its member-driven agenda and a legacy dating back to its founding by the United Nations in 1974, WEC accelerates sustainability solutions through innovation, thought leadership, and public-private partnerships. Headquartered in Washington, D.C., with regional offices in China, El Salvador, and Germany, WEC collaborates with various stakeholders to drive tangible change.Learn more about WEC programs here.For further information, please contact info@ wec.org View additional multimedia and more ESG storytelling from World Environment Center on 3 blmedia.com Contact Info:Spokesperson: World Environment CenterWebsite: https://www.3blmedia.com/profiles/world-environment-center Email: info@3 blmedia.com SOURCE: World Environment Center The era of the unauthorised use of police and other paramilitary uniforms and other accoutrements by skitmakers and filmmakers seems short-lived. In a surprising turn of events, the Lagos State Traffic Management Authority (LASTMA) declared that using its uniforms, kits and other accoutrements by film and skit makers without authorisation was illegal. This stern warning by the LASTMA is coming after the EFCC and the Nigerian Police had, in the past weeks, warned content creators, movie and skit producers against using EFCC-branded jackets, symbols, insignia, and other accoutrements without authorisation. The general manager of LASTMA, Bolaji Oreagba, expressed his displeasure at the demeaning manner in which movie and skit makers portray the institution in their movies and skits. He said using their uniform without recourse to Section 79 of the Criminal Law of Lagos State 2015 criminalises such unauthorised use. Mr Oreagba made this known recently after seeing a fully kitted actress with a LASTMA uniform in a Yoruba film titled Gbogbo Lowo and other skits on different social media. He said that those who violate the order would face the full wrath of the law. Mr Oreagba warned actors or skit makers should desist from portraying LASTMA officers in their works without applying for and being duly issued a permit for such portrayals. We implore the Theatre Arts And Motion Pictures Practitioners Association Of Nigeria (TAMPAN) and other affiliated bodies to warn their members as anyone caught using LASTMA uniform without a permit would be prosecuted, he warned. This recent development of the LASTMA is coming on the heels of the polices threatening to arrest the popular skitmaker, Abdulgafar Oluwatoyin, best known as Cute Abiola. Like his colleagues, Cute Abiola posted videos where he donned the police uniform, apparently without permission. In one of his skits, he satirised the Nigerian police begging for money from citizens while discharging their responsibilities amidst the hiked fuel prices. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print In a highly anticipated comeback, renowned Nigerian actress Genevieve Nnaji is set to grace the screen again after a five-year hiatus. The 44-year-old actress last appeared on screen in the 2018 hit film Lionheart, her directorial debut movie, which was also the first Netflix film from Nigeria and the first Nigerian submission for the Oscars. Since then, her fans have eagerly awaited her next project, and their patience is finally rewarded. After a prolonged absence from social media, the renowned movie star returned, treating her fans to a captivating new selfie photo posted on her Instagram story. The picture showcased her radiant beauty, appearing healthy and content. The Nollywood filmmaker returns as an executive producer with a feature titled I Do Not Come To You By Chance. This unexpected appearance recently came after months of silence on her Instagram page. However, much to the joy of her followers, she recently surprised everyone with a fresh post on her Instagram story. Adaptation The new movie is a book adaptation of Adaobi Tricia Nwaubanis award-winning debut novel of the same title. It won the 2010 Commonwealth Writers Prize for Best First Book (Africa) and the 2010 Betty Trask First Book Award. It was named by The Washington Post as one of the Best Books of the Year. Set in the dangerous world of Nigerian email scams, the book explores the story of a young man burdened with the responsibility of bailing his family out of poverty. The novel looks at the lengths he goes to for his loved ones. I Do Not Come To You By Chance centres mainly on Kingsley Ibe, the novels protagonist who, after graduating from the university, found out it isnt an easy feat getting a paying job in Nigeria. He visits Cash Daddy, his uncle, to learn about email scamming. In the film adaptation, the Imo-State-born actress, who started acting in 1987, collaborates with co-executive producer Chinny Carter to bring this gripping story to life. Cast, crew, storyline Blossom Chukwujekwu and newcast Paul Nnadiekwe both have significant roles in the show, according to Prime Business Africa. Other cast members include Jennifer Eliogu, Sambasa Nberibe, and Beverly Osu. The project, told in English and Igbo, is directed by Ishaya Bako, with Femi Awojide as Director of Photography. I Do Not Come To You By Chance is set to premiere at the Toronto International Film Festival (TIFF) as part of this years Centrepiece (previously Contemporary World Cinema) program. The project is one of the 47 titles from 45 countries screening at the festival. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The first-ever Africa Cinema Summit (ACS) aimed at projecting African cinemas on a global pedestal is set to hold in Ghana. The event, hosted by the National Film Authority of Ghana in partnership with FilmOne and Silverbird Cinema, is scheduled for 14th to 16th November. The two-day summit would take place at the Movenpick Ambassador Hotel and Silverbird Cinema in Accra. During a press conference in Lagos, the Chief Executive Officer (CEO) National Film Authority of Ghana, Juliet Asante, who convened the summit, explained the rationale behind it. Ms Asante acknowledged the importance of cinema, particularly as a means for filmmakers to earn an income. She said, We thought that to make a bold step, it is important for Africa as a continent to tackle issues challenging the cinema sector. That is why we reached out to partners in Nigeria and Ghana to go with us on this journey of proffering solutions. The convener noted that the concept of the summit goes beyond the shores of Nigeria and Ghana alone. Ghana is just a gateway. Africa is the destination. The people of Africa have a right to cinema. Cinema energises society. Cinema can not be dead. Meanwhile, she also acknowledged the efforts of Nigeria to be at the forefront of the cinema sector, saying, Africa recognises that. Streaming Platforms Responding to a question during the press conference, the co-founder and Managing Director of Film House Cinemas, Moses Babatope, doused claims that streaming platforms threaten cinemas in Africa. He said, We are not here to talk about streaming platforms. However, we do not feel threatened at all. We acknowledge their impacts. Particularly, in 2021, streamers impacted the cinema positively. He also noted that they love the streaming platforms and what they are doing, but they know that streamers wouldnt have penetrated the industry if not for strides in the Nigerian cinema scene. We are communal people, and this summit is a way to say there are people who drive the business, and investors can come in, he added. The Filmhouse cofounder further hinted at some of the highlights the upcoming summit would project. According to him, the summit would promote local content across African cinemas, and it would also address specifically the issues and peculiarities around the cinema sector. Africa Cinema Summit According to the United Nations Educational Scientific and Cultural Organisation (UNESCO) report, Africa, with 1,653 screens across the continent serving 1.3 billion people, is the most underserved continent concerning cinema distribution. The summit, with its maiden edition, hopes to address the bottlenecks of African cinema and also proffer solutions. It promises to feature some highlights, such as a vibrant showcase of African films alongside celebrating the richness and diversity of the continents cinematic artistry. It would also offer an exhibition area, business matchmaking sessions, targeted connections, panel discussions, and collaborations, amongst many others. Speaking on the summits significance, Mr Babatope said it would attract investments to double the number of screens in Africa. He said, It is time we stop folding our hands, and nothing happens. We are saying we have a track record, and we are ready for collaboration, investors, and conversations. Additionally, he noted that the summit is an impact-making moment to present a uniformity of purpose, particularly concerning opportunities in the cinema sector. The organisers unanimously echoed that the summit marks a pivotal moment in the history of the African film industry. With the collective aim of fostering growth, innovation, and collaboration, they said the summit seeks to unite cinema stakeholders from around the globe, offering a platform for insightful industry business dialogues, opportunity exchanges, and strategic partnerships. They said the initiative represents the first gathering on the African continent, aimed at propelling the African cinema ecosystem to greater heights. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Hypocrisy in politics is hardly breaking news, but Republican politicians and, more importantly, the voters who elect them, have taken hypocrisy to dizzying new heights. They will trot out the "Don't Tread On Me" mantra to justify their outrage at cameras meant to stop speeding in school zones and are convinced that the Founding Fathers would defend their right to purchase an assault rifle even after they've been convicted of domestic abuse. They can work the term "confiscatory taxes" into virtually any conversation, and if they're clever enough and rich enough they avoid paying taxes altogether. Rather than chip in like the rest of us, wealthy GOP business owners would rather pay their corporate accountants to circumvent already porous tax laws written by the legislators whose campaigns they contribute to. Entire sections of the country are up in arms about "welfare," but are the first to put their hands out for funds from the CARES Act or from the Federal Emergency Management Agency when their lives or property is destroyed by Covid-19, tornadoes, extreme heat, hail, hurricanes, drought, floods and other acts of God. The family values GOP is in the thrall of a man who tried to get others to overthrow the election he lost even as he hugs the flag. Newt Gingrich (one of three men on Trump's short list for vice president in 2016) was committing adultery, while his day job was to drag before American children on the 6 o'clock News every detail of the impeachment of Bill Clinton for lying about an affair. As a Georgia voter recently said, "We're all sinners," which I guess means to Republican voters that lack of character does not disqualify you as a political candidate as long as you promise to keep the poor from voting, to cut taxes, and to demonize anyone who's not a native born white Christian. John Nelson Kenmore There is also an urgent need for measures to improve access to credit, especially for economic actors lower down the... On 25 July, Emmanuel Aralu, a youth leader in Ayetoro in Ilaje Local Government Area of Ondo State in south-west Nigeria, welcomed his second child. But like many women in the coastal community, his wife shunned the local primary healthcare centre (PHC) for the delivery of the baby. Instead, a nurse nearby helped out. Mr Aralus wife lives in Ayetoro but had embarked on a two-hour journey to register for antenatal service at the states specialist hospital in Okitipupa, the headquarters of the neighbouring local government area (LGA}. The health centre in Ayetoro is not just bad, it is also costly, Mr Aralu told PREMIUM TIMES. Although she had her antenatal visits at the specialist hospital in Okitipupa, on the day Mrs Aralu went into labour, she was in Ayetoro. But rather than taking her to the local PHC, the family called a nurse to take the delivery. Mr Aralu did not disclose the qualification of the nurse or where she works from. However, dotting the community are patent medicine stores whose owners also serve as clinical consultants and traditional birth attendants. There are so many issues with the Ayetoro PHC, Mr Aralu continued. It charges as much as N50,000 for delivery of babies and the only nurse there may not even be around for weeks. So how can I pay as much as that for my wife just to be delivered when I even enrolled her on a free programme at Okitipupa? While speaking with our reporter on the phone, Mr Aralu was interrupted by unidentified women who quoted different rates charged by the Ayetoro PHC for delivery, ranging from N25,000 to N50,000. Death of Iya Ibeji Esther Adedoyin is a businesswoman whose shop has been ravaged by the recurrent sea surge that has been the woe of Ayetoro. After her shop was submerged the third time, Mrs Adedoyin said she no longer had enough money to eat, not to talk of attending to the health of the family who she said now faces a precarious situation due to the filthiness of the environment. According to her, like many other members of the community, she would rather patronise a private healthcare provider in the community than visit the PHC. She cited the example of a pregnant mother, who allegedly died at the PHC in 2022. Just last year, Aunty Taiwo, who we all called Mama Ibeji, was in labour at the health centre, but it was her corpse that her husband later went to pick. So many other cases like that, she said. She described the deceased, married to a young man in the community also identified simply as Mr Abuki, as a hardworking woman. Cases such as these may have qualified Nigeria to be ranked by the World Health Organisation (WHO) as the nation with the second-highest number of combined estimates of maternal and neonatal deaths and stillbirths globally as of 2020. The WHOs latest estimates show that the world recorded 0.29 million cases of maternal deaths, 1.9 million stillbirths and 2.3 million neonatal deaths in 2020. Of these figures, Nigeria recorded an estimated 82,000 maternal deaths, 181,000 stillbirths, and 277,000 neonatal deaths, amounting to 12 per cent of the global figure, and coming just behind India which recorded 17 per cent of the global figure. Akinlewas painful story Meanwhile, Ayetoros traditional ruler and spiritual head, Oba Ojagbounmi Oluwambe, narrated how not too long ago, a young engineer who was alo a drummer in his church, Anthony Akinlewa, died at the PHC. The monarch, who is referred to as the Ogeloyinbo of Ayetoro, described Mr Akinlewas death as a very painful moment for the community. It was at night that he had breathing difficulties. On that day, when I came in he was still alive. There was nothing the health centre could do, so we struggled to find a boat and I gave them the key to my vehicle, which was packed at Ugbonla. But while they were riding in my vehicle to Igbokoda, he died, he narrated. The monarch said the communitys health centre does not treat anything other than malaria, adding that anyone who deserves cesarean sections, if not referred on time dies before they get to the hospital upland. He said: From here to Igbokoda takes an average of an hour, and as the leader of the community it is a big challenge that I always face. We have many casualties from health issues. Most of the complications we experience here are because there are no doctors and access to good health care is a very difficult thing. By the time somebody needs urgent medical care, we need to go and look for fuel, a driver and a boat. We will then take the person up to Ugbonla or Ugbo, and start looking for a vehicle. It is a very serious challenge. A lot of people have died from that process while in transit. One of them was just Akinlewa. So many of them, especially those in labour, have died in such a circumstance, the monarch said. Facing destruction, health hazards In Ayetoro, the devastation caused by the rampaging sea surge has been monumental. Apart from sweeping almost half the communitys landmass under water in the process destroying houses, schools, markets and factories the angry waves have also dumped mountains of refuse on peoples homes and pathways. The consequences have been homelessness, hunger and diseases. Though the state has no data on the disease burden, the communitys ugly experiences as shared above have been corroborated by narratives of healthcare workers in the area. Due to the peculiar nature of the environment, malaria, helminthiasis and tuberculosis are common here, said Tolu Alabi, a medical doctor at Igbokoda General Hospital (IGH). Mr Alabi, who described helminthiasis as a worm infestation disease, said apart from being common to a coastal environment, unhygienic lifestyles also enables it to thrive. The Igbokoda General Hospital is the only secondary health facility in Ilaje LGA, serving about 400 towns and villages. More than 90 per cent of the communities, including Ayetoro, are riverine. It is also the hospital where patients from the PHCs across the LGAs 12 political wards are referred. But Mr Alabi lamented that many patients from the riverine communities usually report late. They only come when cases are almost out of hand and most times, the patients are messed up. The people of Ayetoro, speaking on the filthiness of their community, said their once beautiful and well-paved streets have been reduced to refuse dumps by an angry sea. They blamed the oil-producing companies operating in the area for their plight, saying that the mineral resource has brought them misery rather than blessing. They also blamed the late visits to the Igbokoda hospital on the poor state of Ayetoro PHC. They said lives have been lost to poor handling at the PHC due to unskilled staff, lack of facilities and non-availability of a stand -by boat to serve as an ambulance when patients are referred. But at the general hospital in Igbokoda, the situation is also not encouraging, especially as it only has five medical doctors and 15 nurses in charge of about five departments, including theatre. Mr Alabi said for two weeks at a stretch, he might not leave the hospital as he runs a 15-day 24-hour call duty, just like his remaining four colleagues. When our reporter visited the facility on a Sunday, there was only a nurse on duty. Mr Alabi said apart from covering the male, female, and paediatrics wards, the only nurse would be moved to the theatre should there be any emergency case. So, it is very challenging here, and that is why we keep losing staff every now and then. We do not have water, no morgue, and the light we have is solar which goes off in the night, maybe because the solar panels are weak, the doctor said. Sinking Ayetoro PHC The new Ayetoro PHC was reportedly built by the Niger Delta Development Commission (NDDC) about five years ago, replacing a dilapidated structure that once stood in its place. But like every other building in Ayetoro, the PHC is also already giving in to the rampaging sea surge, as tadpoles in their thousands swarm around its marshy surrounding. When PREMIUM TIMES visited the health centre, the most senior official on duty was a pharmacy technician, Regina Adekoya, who narrated the challenges confronting the facility. According to Mrs Adekoya, the centre, which is headed by a qualifying nurse, also has a record officer, two community health extension workers (CHEW), a dental technician, and a laboratory technician. In total, Ayetoro PHC has only seven workers, below the minimum of 24 personnel recommended by the National Primary Health Care Development Agency (NPHCDA). According to the agency, an ideal PHC should have a medical officer if available; one community health officer, four nurses or midwives, three community health extension workers (CHEW), one pharmacy technician, and six junior community health extension workers (JCHEW). Others are; an environmental officer, a medical records officer, a laboratory technician, two support staff health attendants or assistants, two security personnel, and a general maintenance officer. Were helpless Mrs Adekoya said apart from the shortage of staff, the PHC also does not have water, even though it is in a riverine community. She also said that the frequent transportation of staff from Ugbonla by boat without life-jackets has been risky, noting that due to the difficulty of the terrain, the workers run a roster of two weeks at work, two weeks off work. Five passengers reportedly died in June in a boat accident between Ugbonla and the riverine communities. Also in 2021, a similar accident claimed two lives. This, the people blamed on negligence and failure of the government to enforce the use of life jackets. Mrs Adekoya said though solar-powered electricity is installed for the health centre, the system is already weak, adding that the rusty facilities as a result of the sea incursion, also hampers service delivery. Fees charged by PHC But the official denied the claim of exorbitant charges by the hospital, revealing that the PHC has been a beneficiary of the Nigerian governments Basic Health Care Provision Fund (BHCPF) since the programme was rolled out in 2019 by former President Muhammadu Buhari. Mrs Adekoya said the health centre receives N375,000 every quarter, making a total of N1.5 million annually. The PHC, PREMIUM TIMES findings revealed, is one of the 195 health centres benefiting from more than N1 billion already released to the state government as BHCPF fund. Mr Adekoya said the management of the health centre uses the sum to cater for a total of 113 registered vulnerable people in the town, including pregnant mothers, children under five and the elderly. She, however, confirmed that any patient not on the list of the 113 vulnerable as provided to the centre by the state government is made to pay for the services rendered. But we only charge N8,000 for delivery while the patient also provides delivery packs which will contain Oxytocin, tissue papers, mucus extractor, gloves, sanitary pads, among others, she said. Mrs Adekoya said the facility, however, sells to mothers who do not have the delivery pack. But the community has queried the list of 113 vulnerable, describing the number as grossly insignificant considering the towns population of more than 10,000. Another source at the health centre, who does not want to be quoted for fear of sanctions, said the list of the vulnerable was generated from more than 1,000 who registered when the programme started. They just sent the list containing only 113 names to the hospital since 2019 or thereabouts, and this is the list we have been servicing since then. Many of them have since relocated due to the sea incursion. Some others have not even been here since we started, the source said. Ondo govt speaks The states Commissioner for Health, Banji Ajaka, who incidentally once served as the chairman of Ilaje LGA before his appointment as the Chairman of the states Health Management Board (HMB), said Ayetoro PHC is suffering from the consequences of the sea incursion in the community. The commissioner, however, added that he was aware that workers at PHCs in the state have not been diligent in their work and that some of them were recently disciplined. Thee commissioner said the state cannot afford to have nurses or midwives in all its PHCs. When confronted with the allegation of avoidable deaths at the facility, he said, there is really no way you can prevent people from dying. He also denied that Ayetoro PHC was charging as high as N50,000, saying as a beneficiary of BHCPF, the PHC receives more than N300,000 every quarter. But it is true that the health centre should generate some money to maintain certain things, Mr Ajaka said. That health centre (Ayetoro PHC) used to be the most outstanding in the riverine but for the sea incursion. And on the personnel, it is not only the nurses or midwives that make up a health centre because you cannot have nurses or midwives in all the centres. But I will find out if somebody is there as a nurse or midwife. He or she is supposed to be there and work every day. And we have some other health workers with him or her. And the CHEW are also trained health workers. In fact, they are the ones trained to work at health centres and we have a lot of them. We are supposed to have a doctor traversing that area but However, I am also aware that they (PHC workers) have not been doing their work diligently and in the recent time they were served disciplinary action. But we are still investigating them. But if they have issues, the community is supposed to tell us. When asked about the inadequacy of doctors at the Igbokoda General Hospital, Mr Ajaka said the five that are currently working there are there because of his influence. Mr Ajaka said; Igbokoda general hospital used to have one or two doctors in the past. In fact, the maximum they have ever had was three. They are having five now because I am here. The fact is that they cannot have more than that number in that place for now. Okitipupa which is a state specialist hospital has about 10 doctors and it is their referral centre. All hospitals in other local governments including Ese Odo, Ilaje, Irele, and even Ore will refer to Okitipupa. Having five doctors in Igbokoda is because the commissioner is from there. If not I would have posted one of them out to other places where we dont have up to that number. But is the state broke? Ondo State is the major oil-producing state in south-west Nigeria. Coincidentally, Ilaje LGA is also the only local government area in the state where oil is being explored, which qualifies Ondo to rank among the countrys eight beneficiaries of the 13 per cent derivation as enshrined in section 162, subsection 2 of Nigerias constitution. Apart from this extra source of funding, findings by PREMIUM TIMES have shown that since 2019 when the BHCPF initiative was introduced by the federal government, the state has received more than N1 billion to support a total of 195 PHCs to cater for the vulnerable as spelt out in the countrys National Health Act 2014. The money, according to the BHCPF guideline, is drawn by the states through four gateways, including the National Primary Health Care Development Agency (NPHCDA), National Health Insurance Agency (NHIA), Nigeria Centre for Disease Control (NCDC), and Emergency Medical Treatment Gateway. From the NPHCDA alone, Ondo has drawn a total of N567.8 million. But the N27.9 billion accessed by the NPHCDA constitutes only 45 per cent of the total amount released by the government, while the National Health Insurance Agency (NHIA) is statutorily empowered to receive 48.75 per cent of the fund for onward allocation to the various state governments just like the NPHCDA. But the extra funding sources available to Ondo State do not seem to have reflected in the conditions of the states health facilities. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Vice President, Kashim Shettima, has assured that President Bola Tinubus administration remains strongly committed to deepening democracy in the country. Mr Shettima stated this on Tuesday when he received some leaders of the Inter-Party Advisory Council (IPAC) at the Presidential Villa, Abuja, on Tuesday. The vice president emphasised the need for all Nigerians to work together to nurture the nations democracy. Ours is a young nation, a young democracy and there has to be a sense of belonging, a sense of inclusivity by all component units in the federation. The beauty of democracy is that it is about inclusivity, it is about give and take and as such the Tinubu administration is strongly committed to deepening our democratic values. Mr Shettima commended the role played by IPAC towards ensuring stability in the polity. IPAC deserves some commendation, we value you, IPAC keeps our democracy going, you deserve commendation. Honestly, I will strive to nurture and strengthen this relationship with the full confidence and backing of my principal. Mr Shettima, who told the delegation that though the country was going through some challenges at the moment, was optimistic that given the policies and decisions that have been taken by the new administration, it was a matter of time. According to him, the country will soon overcome economic challenges, especially those related to the removal of fuel subsidy. He said the president has a lot of regards and empathy for Nigerians, adding that in the coming months, the economy would stabilise and Nigerians would come to appreciate the policies of the Tinubu administration. He stated that the government has a robust plan in the pipeline for addressing the economic challenges facing the country. Earlier, the National Chairman of IPAC, Yabaji Sani, congratulated the Tinubu administration on its inauguration and expressed the readiness of IPAC to support the new administration to move the country forward. He said that IPAC was for national unity, inclusivity and dialogue, adding that opposition parties need to contribute to the progress of the country. He affirmed his trust in the ability of President Tinubu to transform the country. In the delegation of IPAC were the acting National Chairman of NNPP, Abba Kawo-Ali, National Chairman of Social Democratic Party (SDP), Shehu Gabam, and Sylvester Ezeokenwa, National Chairman, APGA. Others are Ralph Nwosu, National Chairman, ADC; Okechukwu Osuoha, Deputy National Legal Adviser, PDP; Zainab Ibrahim, and Assistant Secretary General, APC, among others. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Lagos Chamber of Commerce and Industry (LCCI) in partnership with the Ministry of Foreign Affairs and the Ministry of Industry, Trade and Investment is set to host the 2023 LCCI International Business Conference & Expo tagged Invest Nigeria. About 80 different countries in the world will be present in Nigeria, for the event. During the event, there will be the signing of landmark investment agreements between Nigeria and other Countries, the introduction of ten Unicorn Companies to the Nigerian Economy, and the establishment of 1000 new start-ups. The highlights of the event, according to a press statement made available to journalists last week, will feature the following: A Business Forum headlined by the Presidency, State Government, Diplomats, Ministry of Foreign Affairs, Ministry of Industry, Trade and Investment, and Leaders of the organised private sector. There would be a Governors session before the keynote address, where five state governors will speak to the audience on investment opportunities in their states and the available incentives. After this, there would be 10 Business to Business Sessions on Oil and Gas, Manufacturing, Agriculture, Fintech, Textile, and Creative Arts. Four Government to Government meetings between representatives of the Nigerian government and representatives of other governments, are expected to follow the sessions. There would also be an Expo section with delegates and exhibitors from different Countries. The event is scheduled to hold on Tuesday and Wednesday, 29 and 30 August 2023, by 9:00 a.m. at the Eko Hotel and Suite, Lagos. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The United Nations has called for the inclusion of women in resolving conflicts and peacebuilding in Nigeria. The world body made the call via its subsidiary UN Women Monday in Abuja during a sensitisation workshop organised for state lawmakers by the National Institute for Legislative and Democratic Studies in conjunction with the Norwegian Embassy. Speaking through the UN Women Country Representative, who was represented at the occasion by the Deputy Director, Representation, Lansana Inonneh, the body said women were disproportionately impacted during conflicts, saying it will be most unfair to bypass them during conflict resolution as they should be embedded in the process, given their status in the society. Insecurity impacts every segment of society, but women are disproportionately affected, she said. While making a case for women in conflict prevention and management, the Country Representative advocated that women and girls must be protected and secured during crises, which could occur through Gender-Based Violence and other armed conflicts. Similarly, the country representative said women and girls must benefit from relief packages provided during the recovery phase of conflict. She called on the lawmakers present at the workshop to get more involved in implementing the State Action Plans on UN Security Council Resolution 1325 via making relevant legislation, adequate funding through budgetary allocation and allied oversight responsibilities in their respective states. Lawmakers from Bauchi, Gombe, Borno, Yobe, Kaduna and Adamawa, represented mainly by their principal officers, including clerks, were present at the sensitisation workshop. About UNSCR 1325 The Resolution, which is about women, peace and security, came into being in 2000. By this resolution, there is a concerted call for women to participate fully in peace-building and peace-making processes, be involved in post-conflict recovery and peace negotiations, be protected from human rights violations, and have access to justice. To implement the resolution at national and subnational levels, it is essential for countries to come up with National Action Plans (NAPs) on women, peace and security that reflect their commitment and accountability towards the security of women and girls during armed conflicts while ensuring their participation in peace processes. These NAPs also serve as practical and operational tools for affected communities, informing them about the governments response to their situation while constituting an essential roadmap for implementing UNSCR 1325, both at the policy and enforcement levels. The first phase of the National Action Plan(NAP) of the Resolution was launched in Nigeria in 2013 through the Federal Ministry of Women Affairs in conjunction with UN Women, while the second phase was launched in 2017. According to the DG of NILDS, Abubakar Sulaiman, who was represented at the workshop by the Legal Director of the institute, Shuaibu Danwanka, the third NAP is currently being developed for Nigeria, which is what necessitated the institute in collaboration with UN Women, to bring together all states that have SAPS to deliberate and share experiences on the implementation process thus far. Mr Sulaiman, a professor, said 16 out of the 36 States in Nigeria have domesticated the NAPs, with Local Action Plans (LAPs) across at least 16 local government areas. Because the SAPs are domiciled with the executive, he stressed that it is essential that legislators assume collaborative ownership of the SAPs and become familiar with their content while ensuring oversight of their strategies/levels of implementation. According to him, this may require that State Houses of Assembly formulate relevant laws to ensure that SAPs reflect womens perspectives and that women are given full recognition and consideration in security matters, subject to the dictates of UNSCR 1325. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Speaker of the House of Representatives, Tajudeen Abbas, has said the 10th National Assembly has resolved to address issues surrounding multiple taxation in the country. He said this would be done through a bill addressing the anomaly. Mr Abbas said this when Lee Hun Seung, the South Korean Ambassador to Nigeria, paid him a courtesy call in Abuja on Tuesday. He said a committee to address the anomaly had been set up, adding that there was a mutual understanding between the two countries to address it to help businesses thrive. He said South Korea has over 20 companies in Nigeria plus investment worth over N3 billion, adding that it would encourage the country to do more. This, according to him, is by way of lifting the burden of multiple taxation. He commended the relationship between South Korean and Nigerian parliaments since 2015. He described such as a worthy investment, adding that the focus of the 10th assembly was to strengthen the economic ties between the two countries. He called on the South Korean parliament to continue collaborating with the Nigerian parliament on legislation to improve the ties between the two countries further. He further called for increased business promotion by organising trade fare and investment in the mining and petroleum sector. Mr Seung, while speaking, urged Nigeria to cement the economic ties between the two countries, adding that it was ready to help Nigeria in the area of technology. He said since Korea has technology, it would be willing to establish a partnership that would profit the two countries, adding that Nigeria is the largest trading partner in the African continent. He said in September, there would be a G20 meeting, and President Bola Tinubu would attend, adding that the South Korean President and the Nigerian leader would have further discussions on partnership. He said the meeting would provide a new foundation for more relationships between Nigeria and South Korea while congratulating the president on his election. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Former First Lady Patience Jonathan, on Wednesday, paid what she termed a solidarity visit to Oluremi Tinubu, the incumbent first lady, at the State House, Abuja. Mrs Jonathan, during the visit, thanked Mrs Tinubu for how she has impacted the lives of Nigerian women so far. She said it is imperative for her as a former presidents wife to also support and encourage Mrs Tinubu on things that would move the nation forward. Mrs Jonathan commended women groups for their support for President Bola Tinubu and his wife and pleaded that they should maintain their support for the government to sustain the patriotic efforts so far taken by the government. You are one of the women I looked forward to because you can make Nigerian women proud; I am here to encourage and support you because we are one country, and our country must move forward. Twenty-four hours, I am with you; call me anytime, any day; I will work with you for the country to move forward and to be better because it is our country (and) we have no other place to go. When we talk about the presidency, we have come and left; it is your turn. We that left must support you to achieve what you are here for, like we have achieved so that Nigeria can move forward. I use this opportunity to plead with the women of this country to support this government; she carried us along when she was a governors wife, and now that she is a first lady, the sky is her limit. Mrs Tinubu had earlier commended Mrs Jonathan for her support and advice. We thank God that she is here on a solidarity visit and for us to talk about how to move the nation forward. I appreciate her visit, her support and all the tips she is always giving, the first lady said. Mrs Jonathan was Nigerias first Lady between 2010 and 2015 when her husband, Goodluck Jonathan, was the president. SIGNED Busola Kukoyi SA Media to the First Lady of the Federal Republic of Nigeria Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print A multi-million naira automated farm located at Agbobo Umuoga Ossomala Community in Ogbaru Local Government Area of Anambra State has shut down operations due to flood disaster. The disaster resulted in the death of over 25,000 birds at the poultry section of the farm and adversely affected fish and potatoes and other crops. Patrick Sule-Ugboma, the proprietor of the farm complex, Matuuci Farms Ltd, made the disclosure on Wednesday when he took reporters round the facility. He said that about 400 staff members of the company had been directed to stop work. The News Agency of Nigeria (NAN) reports that communities in Ogbaru are living on the River Niger coastline and often experience flooding. Mr Sule-Ugboma said that the farm sitting on 20 hectares of land had lost a fortune to the flood disaster. We have lost more than 25,000 poultry birds, fish in our ponds worth several millions of naira, and numerous other property worth several millions of naira, he said. According to Mr Sule-Ugboma, the flood has equally affected some bridges on Onitsha-Ndoni Road especially Umunnakwo Bridge. NAN reports that the collapse of the same Umunnakwo Bridge in 2022 resulted in a boat mishap which claimed some lives. Mr Sule-Ugboma said that collapse of bridges on Ogbaru-Ndoni Road posed dangers to residents and travellers, who had resorted to crossing the river by wooden boats. He appealed to the federal and Anambra State governments to urgently address the situation. READ ALSO: LASEMA sensitises local authorities on flood mitigation I am calling on Governor Charles Soludo to look into the plight of our people, especially with flooding hitting Ogbaru communities again. I also call on the Niger Delta Development Commission to consider reconstruction of the Onitsha-Ndoni Road, he said. Agriculture is the main stay of communities in Ogbaru as well as communities in Delta, Imo and Rivers states, Mr Sule-Ugboma said. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print President Bola Tinubu on Wednesday in Abuja assured investors that his ongoing repositioning of the economy will receive a boost as he conducts an intensified removal of all bottlenecks in the way of efficient business startup and administration in Nigeria, with a particular emphasis on efficiency in service, tax reviews, and improved security. Receiving Ade Adeola, managing director of Energy and Natural Resources in Europe, Africa and Middle East for Standard Chartered Bank, President Tinubu said his administration was already working hard on making a difference in attracting investments. We are committed to strengthening partnership, encouraging efficiency, and creating a suitable environment for investors. We are already working hard on security. We will improve efficiency across the board for good input and output, and we will build a very friendly environment for growth and investments, he said. The president told the delegation that tax reforms are being undertaken with a close observance of best practices across the globe, which will favor businesses for the collective prosperity of the nation. We are open for business. We believe in partnership, and we will work with all those interested in the progress of Nigeria, he noted. In his remarks, Mr Adeola said the bank had been committed to enabling investments in Nigeria for many years while focusing on energy and natural resources with a huge portfolio in funding for the oil and gas industry. We are very excited about your leadership, and we want to restate our commitment to the growth of Nigeria, he said. Mr Adeola told the president that the bank supports investments of about $2 to $3 billion annually. Ajuri Ngelale Special Adviser to the President (Media & Publicity) August 16, 2023 Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The Chief of Army Staff (COAS), Taoreed Lagbaja ,has urged troops of the Nigerian Army to remain resolute in bringing the nations adversaries to their knees and restore sanity in troubled areas across the country. Mr Lagbaja gave the charge on Wednesday during an assessment visit to Niger State following troops encounter with insurgents in Zungeru general area where some gallant troops paid the supreme price. The statement by the Director of Army Public Relations, Onyema Nwachukwu, on Wednesday said the COAS arrived Minna, Niger state capital, late night on Tuesday. Mr Nwachukwu, a brigadier general, said that Mr Lagbaja immediately moved to Forward Operating Base Erena in Shiroro Local Government Area of the state, where he was briefed on the current security situation by the General Officer Commanding I Division, Bamidele Alabi, a major general. Addressing troops, the COAS urged them to rally together and be more resolute in bringing the nations adversaries to their knees and restore sanity in troubled areas. He said that the fight against insurgents and bandits was a just cause in defence of Nigeria and Nigerians. According to him, protecting lives and defending your nation are the noblest service anyone can offer. You are therefore in the noble profession of arms and must not allow your morale to dwindle. We must defeat the adversaries of our people and take back every inch of space where they are hibernating in our land, he said. Mr Lagbaja assured the troops of his commitment to them and their families the best in terms of welfare within the available resources, adding that welfare was topmost in the pillars of his command philosophy. He directed the immediate reinforcement of the troops with additional combat enablers to enhance their operational effectiveness. (NAN) Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Think about this legal hypothetical. As a concerned citizen, I appear in front of the Supreme Court of the United States and tell them I am going to sue them for $1 trillion each. They are represented by an army of the greatest lawyers throughout history, arguing their case and drafting their papers. My case will be based on extreme emotional distress. Guess what, here is the unfortunate wrinkle - I am also the judge in my own case. Even if they have legal luminaries, from Cicero to Brandeis - the current justices have lost. That is why Sir Edward Coke says being a judge in your own case provides for an absurdity. If the judge is biased, non neutral, partial and not objective, the judgment is not based on due process. Without due process, you dont have a Supreme Court and you also dont have a legal system based on the rule of law. You have a system based on the rule of a man or woman, which is an arbitrary and capricious system. These justices are judging themselves regarding ethics issues, that is absurd. When there is no check on power, leaders become high on their own supply and that is bad for the institution. There needs to be wholesale changes in the judicial make up of the Supreme Court. Further, there must be the application of ethics rules to all of these justices as lawyers, who are answerable to their state bars where they are members. Camp good days and fun times are over. Bill Licata Buffalo Some terrorists disguised in hijab abducted a popular local business man, Alhaji Isiya, in Maru area of Zamfara State on Monday. Hijab is the veil Muslim women wear. Its not allowed for men to wear it religiously. PRNigeria reported that the terrorists, who were on three motorcycles, arrived Hayi, a community in Maru area of Zamfara State around 10:00 p.m on Monday and kidnapped the business man Mr Isiya is a gold merchant. After invading Haji Isiyas residence, they thereafter abducted him before any intervention could come from the security personnel. The armed bandits, who were dressed in Hijab, left immediately with Isiya, and were reported to have been seen at Karakkai axis. Only God knows where they eventually took him to. But security agencies have already launched an investigation into the incident. They will surely facilitate his rescue, the source, who was not named, told PRNigeria. The police spokesperson in Zamfara State, Yazid Abubakar, didnt respond to SMS sent to him for comment about the attack. The abduction of Mr Isiya came a few hours after the Chief of Army Staff, Taoored Lagbaja, vowed that the military would soon launch an offensive against terrorists. There have been renewed terrorist attacks in Zamfara in the last few months. The army chiefs visit was coming some hours after terrorists invaded Bungudu town, 20 kilometres from the state capital and abducted seven people including a top retired civil servant, first son of the Emir of Bungudu and five women. Two weeks ago, a divisional police office was attacked in the same town. The assailants killed a policeman, Nura Ibrahim, during the attack. Other areas that witnessed terrorist attacks in the last few days include Talata Mafara town where 11 construction company workers were abducted and the killing of seven soldiers in Dansadau emirate. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The federal government will on Thursday arraign the suspended governor of the Central Bank of Nigeria (CBN), Godwin Emefiele. Sources at the Federal Ministry of Justice, Abuja, told PREMIUM TIMES on Wednesday that Mr Emefiele will be arraigned at the Federal Capital Territory (FCT) High Court in Maitama, Abuja. This follows Tuesdays request by the federal government to withdraw the initial charges of illegal possession of firearms and ammunition filed against Mr Emefiele at the Federal High Court in Lagos. The Director of Public Prosecutions (DPP) in the Federal Ministry of Justice, Abubakar Mohammed, told the judge, Nicholas Oweibo, that the application for withdrawal was based on the result of more investigations conducted by the government. The judge fixed Thursday to decide on the request which was opposed by Mr Emefieles legal team. Mr Mohammed told journalists after the days proceedings, about the filing of the fresh 20 charges against Mr Emefiele. Fresh charges That Thursday, Mr Emefiele, who has been in the custody of the State Security Service (SSS) since 10 June, is billed to appear before the Federal High Court in Abuja to answer to fresh 20 charges of conspiracy and procurement fraud. He was charged alongside a CBN staff member, Saadatu Yaro, and her company, April 1616 Investment Limited. The government accuses Mr Emefiele of conferring corrupt advantages on Ms Yaro through the award of contracts to her company up to the tune of N99.9m for the supply of cars. Court filings showed that the alleged transactions took place between 2018 and 2020. Section 19 of the Corrupt Practices and Other Related Offences Act 2000, under which he was charged, provides a five-year jail sentence upon conviction. Any public officer who uses his office or position to gratify or confer any corrupt or unfair advantage upon himself or any relation or associate of the public officer or any other public officer shall be guilty of an offence and shall on conviction be liable to imprisonment for five years without an option of fine, the law stipulates. According to the charges, Mr Emefiele and Ms Yaro were alleged to have purchased a fleet of about 100 posh vehicles and armoured buses worth about N6.9 billion. In December 2022, the SSS filed a warrant of arrest before the Federal High Court in Abuja, where it accused Mr Emefiele of egregious financial and terrorism-related crimes. However, the court declined to grant the request, citing the services failure to place any evidence before it to substantiate the application. But the fresh set of charges revealed the vehicles purchased between 2018 and 2020 were 84 Toyota Hilux vehicles, 10 armoured Mercedes Benz buses, three Toyota Landcruisers and one Toyota Avalon car. In count one, Mr Emefiele was said to have sometime in 2018 within the jurisdiction of this honourable court did use your position as Governor of the Central Bank of Nigeria to confer a corrupt advantage on Saadatu Ramallan Yaro, a staff member of the Central Bank of Nigeria by awarding a contract for the supply of 37 (Nos.) Toyota Hilux Vehicles at the cost of N854,700,000 only to April 1616 Investment Ltd, a company in which she is a director and thereby committed an offence. In another count, the suspended CBN chief, Ms Yaro and her company sometime in 2019 within the jurisdiction of this Honourable Court did conspire amongst yourselves to use the office of Mr. Godwin Ifeanyi Emefiele as Governor of the Central Bank of Nigeria to confer a corrupt advantage on Saadatu Ramallan Yaro, a staff of the Central Bank of Nigeria by awarding a contract for the supply of 1 (No.) Toyota Landcruiser V8 at the cost of N73,800,000 only to April 1616 Investment Ltd., the charge stated. According to count 10, Ms Yaro, sometime in 2018 within the jurisdiction of this honourable court while being employed at the CBN knowingly held directly a private interest as director in April1616 Investment Ltd., in a contract awarded to the said company, for the supply of 47 Toyota Hilux vehicles at the cost of N1,085, 700,000 and thereby committed an offence. Count 11 says: That you, Saadatu Rammala Yaro, female, adult, sometime in 2018 within the jurisdiction of this honourable court while being employed at the CBN knowingly held directly a private interest as director in April1616 Investment Ltd., in a contract awarded to the said company, emanating from the CBN where you are employed, for the supply of 10 Mercedes Benz armoured buses at the cost of N2,222, 500,00 and thereby committed an offence. To prove its case, the government has assembled seven witnesses to testify against the defendants. Some of the key witnesses include the apex banks director of procurement, Stanley Alvan; head of procurement, Mike Agboro and Tahir Jafar. President Bola Tinubu suspended Mr Emefiele as the CBN governor on 9 June. The next day, 10 June, the SSS picked him up in Lagos and flew him to its headquarters in Abuja for interrogations. Mr Emefiele has since remained in SSS custody. His co-defendant in the fresh case, Mrs Yaro, who was arrested alongside her husband, Aminu Yaro, on 12 July, is also still in custody. PREMIUM TIMES reported how the FCT High Court ordered the release of the couple on 25 July. But the order was not complied with. It took an order of the FCT High Court on 13 July, more than a month after the arrest, for the SSS to file the charges of the firearms charges against Mr Emefiele. Subsequently, on 25 July, the SSS arraigned him before the Federal High Court in Lagos on two charges of illegal possession of firearms and live ammunition. But the Federal Ministry of Justice, which appears to have now taken over the prosecution of the suspended central bank chief from the SSS, sought, through its DPP, Mr Mohammed, on Tuesday, to withdraw the firearms charges. In his response, the defence counsel, Joseph Daudu, a Senior Advocate of Nigeria (SAN), said such an application under section 174(1) and (3) of the Nigerian constitution cannot be made orally, but in a formal application which must establish the need for public interest, the interest of justice and the need to prevent abuse of legal process. Replying on points of law, the DPP said his application is brought under section 108 of the Administration of Criminal Justice Act of 2015, which grants a prosecutor powers to withdraw charges against the defendant at any stage and that it doesnt have to be in writing. The trial judge then adjourned until Thursday to rule on the request by the DPP. Coincidentally, Mr Emefieles arraignment on the fresh procurement fraud charges is scheduled to take place the same day in Abuja. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Poor governance of public affairs has been cited as one of the reasons for Burkina Fasos two coups last year, and the severe insecurity that has engulfed the country since 2015. The popular uprising of 2014, which ended Blaise Compaores 27-year reign, revealed citizens desire to rebuild their country on the principles of good governance and a public administration free of political influence. That aspiration was taken up in 2015 by then-president Michel Kafando, who laid the foundation for a new law to de-politicise the government. Eight years later, on 16 March this year, the bill which reinforces political neutrality and meritocracy in public administration was finally passed. The countrys Transitional Legislative Assembly approved the bill, which bans practices prejudicial to the good functioning and stability of the state. The law could serve as an example to other countries in the region whose public administrations have similar problems. Governance reforms are vital in most West African nations, where insecurity and rising coups are fuelled by exclusion, injustice and socio-economic inequality. Most of these problems stem from bad governance practices. The putschists in Mali, Guinea, Burkina Faso and recently Niger all justified their actions by referring to the governance deficit. A study requested by Burkina Fasos 2015 transitional government shows the damage caused by the politicisation of public administration. Political party cells or groups with regionalist leanings were active in government, and wearing uniforms in political party colours was common practice. Promotions and other favours were granted to certain civil servants based on political affiliation, while others could be punished or even persecuted for belonging to the political opposition. The influence of party politics on institutions such as the army, civil service and judiciary contributed to the 1998 murder of journalist Norbert Zongo, who was investigating cases involving people close to Compaore. It has also resulted in clientelism, favouritism, injustice and corruption in government, deepened social inequalities and prevented the equitable redistribution of national resources. The protest following Zongos assassination was the harbinger of the 2014 popular uprising. This situation has also created fertile ground for violent extremist groups in the countrys rural areas and sparked popular support for the two military coups in 2022. Drafting the new law was, however, an arduous process. The first version of the preliminary draft met with resistance from political stakeholders as early as 2015 particularly members of former president Roch Kabores party, the Peoples Movement for Progress. According to Institute for Security Studies sources, the party was worried that the law would prevent them from rewarding their militants and supporters with government appointments. Two other attempts to revive the process of finalising the draft bill failed for the same reasons first under Mr Kabore in 2017, then in April 2022, under coup leader Lieutenant-Colonel Paul-Henri Damiba. After the September 2022 coup led by Captain Ibrahim Traore, the new authorities undertook to reduce the negative influence of politics on the functioning of public administration. The preliminary draft bill was updated, adopted by the Council of Ministers on 30 November 2022, and referred to the Transitional Legislative Assembly for approval. Civil society in Burkina Faso considers certain provisions of the new law as a step forward. Article 19 in particular gives several key positions the status of technical posts in order to remove them from the political sphere. These include ministry secretaries-general, public and semi-public company general managers, public establishment general managers, national project or programme coordinators, embassy staff, and inspectors-general and technical inspectors of services. Other provisions have sparked controversy, however. Political party militants have expressed concern that they will be excluded from public office. Religious leaders fear restrictions on faith-based practices, such as wearing headscarves or other symbols in administrative offices. At a press briefing on 21 March, officials from the Ministry of the Civil Service clarified the laws aims and reassured the public of the inclusive nature of the legislation. Attention is now focused on the laws regulations, which should set out the conditions and procedures for appointments to technical posts and above all, the legislations effective execution. The challenge for the transitional authority will be to ensure compliance in a country where the politicisation of institutions and clientelism have been entrenched for decades. Mr Traores government faces the difficult task of not only implementing the law but entrenching it in Burkina Fasos political culture. To achieve this and ensure compliance by future authorities, the constitution should enshrine the principles of political neutrality and meritocracy in public administration. Burkina Fasos current political transition has provided an opportunity to introduce this important law, which is innovative compared to the reforms usually carried out by countries in transition. Its effective application could improve the administrations efficiency in serving citizens without discrimination. It could also help stabilise the countrys political and security situation. How useful the new law is will depend on how it is implemented. Hassane Kone, Senior Researcher and Fahiraman Rodrigue Kone, Sahel Project Manager, Institute for Security Studies (ISS) Regional Office for West Africa, the Sahel Basin and Lake Chad Research for the article is funded by Irish Aid and the Bosch Foundation. (This article was first published by ISS Today, a Premium Times syndication partner. We have their permission to republish). Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print The management of the National Assembly says the N2 million paid to all senators last week was not a vacation allowance. The assembly said this on Tuesday in a statement by its Secretary, Research and Information, Ali Umoru, on behalf of the Clerk to the National Assembly (CNA), Sani Tambuwal. After screening and confirmation of the ministerial nominees on 7 August, the Senate President, Godswill Akpabio, informed senators that money had been credited to their bank accounts to enjoy their holiday, which started that day. PREMIUM TIMES had reported how sources said each of the 109 senators received N2 million allowance before going on the seven weeks holiday. The Senate Chief Whip, Ali Ndume, had also said there was no big deal in all the senators receiving N2 million each for their vacation allowance, noting that it was not unusual. The N2 million allowance given to the senators is illegal as no such provision is made in the remuneration package approved by Revenue Mobilisation, Allocation and Fiscal Commission (RMAFC), the body authorised by law to prepare salaries and allowances for public servants. There is also no provision for a token in the RMAFC package for senators, but under the law, lawmakers are entitled to a recess allowance which is 10 per cent of the annual basic salary of each legislator and is paid once a year. Following the rage and anger that some Nigerians expressed over the payment amid hardship in the country, Mr Umoru said in the statement that the N2 million paid to each of the senators was part of the running cost of their offices and that it was budgeted for. It should be noted that the Two million Naira is part of the running cost of the office of each senator as provided for in the 2023 budget. The allocation for running costs is not a new development; it has been standard practice. These funds are utilised to facilitate various activities that directly contribute to effective representation, legislative activities and other initiatives aimed at serving the interests of the people. For the avoidance of doubt, and for emphasis, the said allowances were budgeted for in the 2023 Appropriation Act as part of the running cost of the office of each Senator of the 10th Assembly, he said. Mr Umoru stressed that the allowances are the entitlement of the senators The allowances are, therefore, an entitlement and not a privilege or largesse distributed to the Senators. However, given the wrong impression the subject matter has created, it has become imperative to make this clarification and put the records straight. The National Assembly remains committed to transparency and accountability in the management of public funds. We appreciate the continued support and understanding of the Nigerian people as we work together to uphold the principles of democracy and good governance, the statement said. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Terrorists are planning to attack the Abuja-Kaduna train to kidnap passengers, Nigerias secret police, SSS, wrote said in a security alert addressed to the Managing Director of the Nigeria Railway Corporation (NRC). In the letter, dated 11 August, the SSS said its intelligence indicates an impending threat to seamless train transportation along the Abuja-Kaduna Train Service (AKTS). It warned that a Coalition of Banditry Syndicate are planning to attack the train any moment from now. The attack is aimed at kidnapping passengers on board for ransom, the SSS said. The warning is coming about 18 months after terrorists attacked the Abuja-Kaduna train in March 2022. On the night of the attack, terrorists emerged from the Kateri-Rijana forest of Kaduna State, setting off explosives to derail the Abuja-Kaduna train carrying 362 passengers. Shots then came from all directions as the attackers surrounded the train, which had armed policemen on board, and managed to abduct over 100 of the passengers. At least eight people died in the attack. In view of the latest threat and the need to forestall likely breaches of security along the Abuja-Kaduna train service, the SSS advised that existing security arrangements be scaled up within and along the route. Among other security measures, the secret service advised: air surveillance patrol; surveillance on the rail track; military checkpoint or patrol; and security raid operation be carried out around Byazhin, Jibi, as well as Ija forest. It added that the Nigeria Police Mobile Force (NPMF) operatives should be enhanced with walkie-talkies to sustain escort services on the train. In addition, the agency called for the deployment of more Nigerian Security and Civil Defence Corps (NSCDC) with walkie-talkies to provide escort duty. PREMIUM TIMES could not confirm if the railway corporation had implemented the advice of the SSS. Pascal Nnorli, a general manager at the railway corporations headoffice in Abuja said he doesnt discuss security matters over the phone and refused to speak further on the matter. Thousands of Nigerians use the railway as a safer and faster alternative to the road route between Abuja and Kaduna, which for years has been one of the most dangerous routes in Nigeria because of kidnappings by armed bandits. Last year, the train attack sparked national outrage and fresh worries about the capacity of the federally-controlled security and intelligence systems to detect and prevent attacks. A few days after the attack, former Kaduna State Governor Nasir El-Rufai blamed the military for failing to attack the terrorists, despite identifying them long before the train tragedy. Also, former transport minister, Rotimi Amaechi, in an apparent attempt to absolve himself of blame, said he had long warned of possible attacks on the rail line. Mr Amaechi claimed he had requested some digital security and crime detection equipment that could forestall such terror attacks on the rail line but his requests were turned down. Several passengers were held hostage and released in batches following negotiations with their captors after the attack. The abductors were believed to have collected huge ransoms from the families of the abductees. Tukur Mamu, the publisher of Desert Herald newspaper, negotiated the release of some of the hostages in the train abductions. Mr Mamu has since been arrested by Nigerias secret police, the SSS, for allegedly aiding and abetting terrorism. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Saadatu Yaro, a co-defendant in the latest charges filed against the suspended governor of the Central Bank of Nigeria (CBN), Godwin Emefiele, has a pending money laundering case in court. She is billed for arraignment alongside Mr Emefiele in a fresh case of procurement fraud at the Federal Capital Territory (FCT) High Court in Abuja on Thursday. Before Mr Emefiele was arrested and was subsequently charged, Mrs Yaro had been facing money laundering charges. On 22 May, the Economic and Financial Crimes Commission (EFCC), arraigned her before a judge, Obiora Egwuatu, of the Federal High Court in Abuja for alleged N140 billion money laundering charges. She was arraigned alongside her company, Tsami Babi Resources Limited, for failing in her duty as director to disclose the financial transactions of the firm to the Federal Ministry of Commerce. Some of the alleged infractions were the financial transactions in Tsami Babi Resources Limiteds account with a new generation bank to the tune of over N100 billion which were not disclosed to the Ministry of Commerce. Saadatu Ramallan Yaro, being a Director Of Tsami Babi Resources Limited, a Designated Non-Financial Institution, between 5th July, 2021 and 20th day of April, 2022, in Abuja within the jurisdiction of this Honourable Court, failed to submit to the Ministry of Commerce, a declaration of activities of the said company within which period the total sum of N20,967,535,184.00(Twenty Billion, Nine Hundred and Sixty-seven Million, Five Hundred and Thirty Five Thousand, One Hundred and Eighty-Four Naira was deposited into the Companys account No.1216254641 domiciled in Zenith Bank Plc, one of the charges read. The EFCC said the alleged offence violates sections 5(1) (a), 16(1) of the Money Laundering Prohibition Act 2011 (as amended) and punishable under section 16 (2)(b) of the same Act. In another charge, Ms Ramallan-Yaro was charged alongside M.A.Y FINE FRAGRANCE LIMITED, a designated non-financial institution for money laundering to the tune of N40 billion. Upon the defendants arraignment, Ms Ramalan-Yaro pleaded not guilty to the charges. At the May hearing, the prosecution lawyer, Faruk Abdullah, urged the judge to set a date for trial. But, the defendants lawyer, Joseph Daudu, a Senior Advocate of (SAN), prayed the court to admit his client to bail. Subsequently, Mr Egwuatu granted the defendant bail in the sum of N100 million with two sureties in like sum. The judge also added that one of the sureties must be a public servant and the other a relation. Mr Egwuatu ordered Ms Ramalan-Yaro to deposit her international passport with the court Chief Registrar and adjourned the suit for trial. A couple of weeks before Ms Ramalan-Yaros arraignment at the Federal High Court in Abuja, she was arraigned in Lagos by the anti-graft agency for alleged money laundering, the Punch Newspaper reported. Fresh charges In a fresh set of charges, the Director of Public Prosecutions (DPP), Mohammed Abubakar, disclosed that Mr Ramallan-Yaro is an employee of the CBN. Mr Emefiele and Ms Ramalan-Yaro and her company, April 1616 Investment Limited, are due for arraignment on Thursday at the Federal Capital Territory High Court in Abuja. The prosecution accuses Mr Emefiele of conferring corrupt advantages on Ms Ramallan-Yaro through the award of contracts to her company up to the tune of N99.9 million for the supply of cars. Court filings showed that the alleged transactions took place between 2018 and 2020, involving the sum of N6.9 billion. In count one, Mr Emefiele was said to have sometime in 2018 within the jurisdiction of this honourable court did use your position as Governor of the Central Bank of Nigeria to confer a corrupt advantage on Saadatu Ramallan Yaro, a staff member of the Central Bank of Nigeria by awarding a contract for the supply of 37 (Nos.) Toyota Hilux Vehicles at the cost of N854,700,000 only to April 1616 Investment Ltd, a company in which she is a director and thereby committed an offence. In another count, the suspended CBN chief, Ms Yaro and her company sometime in 2019 within the jurisdiction of this Honourable Court did conspire amongst yourselves to use the office of Mr. Godwin Ifeanyi Emefiele as Governor of the Central Bank of Nigeria to confer a corrupt advantage on Saadatu Ramallan Yaro, a staff of the Central Bank of Nigeria by awarding a contract for the supply of 1 (No.) Toyota Landcruiser V8 at the cost of N73,800,000 only to April 1616 Investment Ltd., the charge stated. According to count 10, Ms Yaro, sometime in 2018 within the jurisdiction of this honourable court while being employed at the CBN knowingly held directly a private interest as director in April1616 Investment Ltd., in a contract awarded to the said company, for the supply of 47 Toyota Hilux vehicles at the cost of N1,085, 700,000 and thereby committed an offence. Count 11 says: That you, Saadatu Rammala Yaro, female, adult, sometime in 2018 within the jurisdiction of this honourable court while being employed at the CBN knowingly held directly a private interest as director in April1616 Investment Ltd., in a contract awarded to the said company, emanating from the CBN where you are employed, for the supply of 10 Mercedes Benz armoured buses at the cost of N2,222, 500,00 and thereby committed an offence. President Bola Tinubu suspended Mr Emefiele as the CBN governor on 9 June. The next day, 10 June, the SSS picked him up in Lagos and flew him to its headquarters in Abuja for interrogation. Mr Emefiele has since remained in SSS custody. His co-defendant in the fresh case, Mrs Yaro, who was arrested alongside her husband, Aminu Yaro, on 12 July, is also still in custody. This newspaper reported how the FCT High Court ordered the release of the couple on 25 July. But the order was not complied with. It took an order of the FCT High Court on 13 July, more than a month after the arrest, for the SSS to file the charges of the firearms charges against Mr Emefiele. Subsequently, on 25 July, the SSS arraigned him before the Federal High Court in Lagos on two charges of illegal possession of firearms and live ammunition. The government on Tuesday applied to have the firearms possession charges against Mr Emefiele dropped. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print While increasing complaints about poor governance, escalating poverty and insecurity are often cited for the coups, a deeper factor is the geopolitics of resource access and control. This involves the desire of foreign interests to explore and control the abundant mineral resources of West African nations. Hence, the ascendant tension in Niger and the wider subregion are impelled by the imperialist and economic rivalry between the East and the West. The hurriedly declared resolution of the Economic Community of West African States (ECOWAS) to deploy troops to restore democracy and reinstate the ousted President Mohamed Bazoum of Niger Republic, after the military takeover of power on the 26th of July, might have been influenced by the need to avoid foreign interventions that could lead to the kinds of destruction and agony evident in Syria, Yemen, Libya, Sudan, and a number of other places. In its keen and swift desire for a resolution to the crisis, President Bola Tinubu, on behalf of ECOWAS, had raised special envoys, comprising former Nigerian leader, General Abdulsalam Abubakar; the Sultan of Sokoto, Muhammad Abubakar III; and the President of the ECOWAS Commission, Alieu Touray, to mediate in the unfolding crisis in Niger. Unfortunately, the Nigerien coup leader, Abdourahmane Tchiani, snubbed the ECOWAS delegation by refusing to receive them, even though he later welcomed the former Emir of Kano, Sanusi Lamido Sanusi. It was quite disheartening that the official delegation was not even given access to leave the airport in Niamey, in its endeavour to meet with Tchiani and Bazoum. It is gratifying that Tinubu subsequently constituted another powerful delegation, comprising top Islamic clerics, to open talks with the junta in Niger, which has now agreed to dialogue with ECOWAS on the way forward for the country. The latest action by ECOWAS is possibly to checkmate what appears to be an evolving annual ritual, evident in the past three years, in which military personnel in Francophone countries in the subregion are overthrowing democratically elected leaders. The coup plotters removed Presidents Ibrahim Boubacar Keita of Mali in 2020, Alpha Conde of Guinea in 2021, Roch Marc Christian Kabore of Burkina Faso in 2022 and now President Mohamad Bazoum of Niger in 2023. The military juntas, led by Colonel Assimi Goita in Mali, Colonel Mamady Doumbouya in Guinea, and Captain Ibrahim Traore in Burkina Faso, were mostly trained by the US. In fact, Goita and Doumbouya attended a 2019 US military training exercise in Burkina Faso. Surprisingly after taking over, they also drove away French troops and allegedly invited Russian mercenary forces in as their replacement. While increasing complaints about poor governance, escalating poverty and insecurity are often cited for the coups, a deeper factor is the geopolitics of resource access and control. This involves the desire of foreign interests to explore and control the abundant mineral resources of West African nations. Hence, the ascendant tension in Niger and the wider subregion are impelled by the imperialist and economic rivalry between the East and the West. As it is now, if appropriate steps are not taken to defuse the budding conflict in Niger Republic, the ongoing proxy war between Russia and NATO/USA over Ukraine, can easily creep into West Africa, where diverse groups of mercenaries and Western Special Forces are already stationed across different locations, and with the military bases just waiting for instructions from their commanders for armed actions to break out. In many instances, military actions and interventions are not only carried out on battlegrounds but they are first activated in the minds of the public through crude propaganda. The conflict in Ukraine, for instance, exposes how the Western media essentially display their extreme biases in reportage, as they engage in psychological warfare, propagating one-sided and selective facts, while censoring counterclaims and obvious facts. Rather than striving for fair, conflict-sensitive, and objective reports towards the promotion of peace, they are advancing a highly inflammatory and pernicious form of war journalism and thereby escalating the crises in the process. This appears to be working to certain ends. In considering the unfortunate case of poor Ukraine, which has become the battleground for the flexing of muscles between Russian mercenaries and Western (US/NATO) Special Forces with attendant devastation that would take several years, if not decades, to recover from care should be seriously taken so that West Africa does not become next theatre of a proxy war between foreign powers driven by agenda that is far from the liberation of the subregion and the larger continent from their debilitating challenges. A similar instance of this that we should never forget in a hurry was the conspiracies involving the Western media over the so-called accumulation of weapons of mass destruction in Iraq, and the democratisation campaign in Libya, which led to the elimination of the leaders of the two mentioned countries, Saddam Hussein and Muammar Ghadafi, and to the death of scores of innocent citizens through the aggression of the allied forces and NATO. In considering the unfortunate case of poor Ukraine, which has become the battleground for the flexing of muscles between Russian mercenaries and Western (US/NATO) Special Forces with attendant devastation that would take several years, if not decades, to recover from care should be seriously taken so that West Africa does not become next theatre of a proxy war between foreign powers driven by agenda that is far from the liberation of the subregion and the larger continent from their debilitating challenges. Although the Russian government does not have military bases in Africa like the US and France that represents NATO do, its presence is strongly felt through the activities of the Wagner Group of armed mercenaries, which executes the governments military cooperation agreements, especially in a number of West African states. While Wagners fighters are hired by African leaders for regime protection and to consolidate their holds on power, the Group, founded by Yevgeny Prigozhin as a private military company, operates with the permission of Russian President Vladimir Putin. The mercenaries are hired to suppress dissent, guard natural resources, engage in direct combat with adversaries, bolster weak official military forces, and explore newer areas of strategic vulnerability towards rooting out the Wests declining influence in many sensitive spots around the world. Of its numerous engagements, the Wagner Group is more keenly involved in providing security cover for well-laden but remote mineral sites that are often under the constant threat of non-state actors. Meanwhile, as mercenaries are having their ways in the African region, NATO, through the US, continues to carry out joint military exercises with other allies and partners in contiguous territorial spaces within the region. For instance, the US Africa Command (USAFRICOM), headquartered in Stuttgart, Germany (another NATO member), is one of the US Department of Defense combatant commands, with a geographic or functional mission that provides for the command and control of military forces for peace and war. It has military bases in select African countries. In the West African rim of the Sahel, for instance, the United States under President Joe Biden has two military bases in Niger Air Base 101 in Niamey, and Air Base 201 in Agadez, which was constructed at the cost of $110 million. The American interventions in Niger have included the deployment of special operations forces, unmanned aerial vehicles and drones by its Air Force, while the CIA has engaged in counter-terrorism operations. After its ejection from the other francophone countries in West Africa, France (a NATO member), with the support of America, has reinforced its presence in Niger and despatched hundreds of its operatives to the southwest of the country, towards the Malian border. Niger previously served mainly as a transit base for Frances operations in Mali. While ECOWAS is struggling to ensure that the crisis in Niger is resolved amicably, some Nigerians are unmindful of their provocative behaviours and statements. It is quite shameful that those who never experienced a civil war or a military coup, are the ones clamouring for a military intervention in the worlds most populous black nation on the basis of myopic sentiments. And just recently, precisely in May 2023, in a new strategic partnership, Niger accepted 1,500 French soldiers on its soil to bolster its armed forces, at the time of a great security threat. Before then the European Union (EU) had accepted the call from Nigers parliament to station special operations forces (SOF) in the country, in order to counter its problems of insecurity. We should note that the security of the EU and NATO are inter-connected, having 27 and 31 member states respectively, of which 22 states are members of both organisations. It is also worth noting that the US and French Special Forces have jointly and discreetly undertaken major military operations within the ECOWAS region. It could be recalled that at some point, the US special forces secretly came into Nigeria and killed several kidnappers, while rescuing a 27-year-old American citizen, Philip Walton, who was abducted in Niger in 2020. Similarly, during the Tongo Tongo ambush in 2017, when armed terrorists attacked US and Nigerien soldiers in an ambush, French aircraft swiftly responded to this and brought the fire-fight to an end. Although some Americans and Nigeriens were killed during the military intervention, many soldiers actually survived it. While ECOWAS is struggling to ensure that the crisis in Niger is resolved amicably, some Nigerians are unmindful of their provocative behaviours and statements. It is quite shameful that those who never experienced a civil war or a military coup, are the ones clamouring for a military intervention in the worlds most populous black nation on the basis of myopic sentiments. Any attempt to disrupt the current democratic administration, under the leadership of President Ahmed Bola Tinubu, will not only lead to the dissolution of the country but would unleash on each region monumental security challenges that it barely has the capacity to contend with. Imagine an explosion of terrorism in the North-East, banditry in the North-West, kidnappings in the North-Central, volatile militancy in the South-South, violent secessionist agitation in the South-East, and cultism in the South-West. No single region will be willing to stick its neck out in furtherance of any campaign for a united country thereafter. In a nutshell, I agree with the recent position of the Arewa Economic Forum (AEC) supporting the deepening of democratic principles in the subregion and urging ECOWAS to allow the socio-economic reality of Nigeriens to govern their choices. While suggesting that sanctions should be targeted at the military junta and its cronies, the Forum yet admonished that ways have to be found to protect innocent citizens, especially vulnerable people, including traders, women and children, from these penalties. Being one of the poorest nations on earth, any further deterioration of the precarious living conditions of Nigeriens would activate hordes of new migrations into Nigeria for succour, which will invariably burden our current economic situation and put further pressure on our scarce national resources. All said, Nigeria must avoid going into a new war when the country is yet to contain ISWAP-Boko Haram terrorism and the pervasive acts of banditry, especially along the Northern corridor. Dialogue and diplomacy should be sustained towards resolving not only the Nigerien but also the ECOWAS crises. Yushau A. Shuaib, author of An Encounter with the Spymaster and blog www.YAShuaib.com yashuaib@yahoo.com. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print Coup is an unconstitutional, unlawful and illegal takeover of power, so is Nigers. As a member of ECOWAS, Niger is a signatory to all its pacts, treaties and protocol and President Bola Ahmed Tinubu, in his capacity as chairman of the regional body, has a responsibility, in consonance with his colleagues, to act, speak up and condemn the coup in Niger. And as the saying goes, when your neighbours house is on fire, you have to be watchful, because it could be your turn soon. A seeming innocuous incident, which however is of utmost importance, happened on the day the military adventurists of Niger Republic announced the closure of the countrys airspace, in a show of force or to rebuff the condemnation that trailed their coup. A British Airways Airbus A380 heading from Johannesburg to Londons Heathrow Airport was reported to have gone on a 10-hour flight to nowhere, when Nigers airspace was suddenly closed, after the plane had taken off. And because the airspaces of Sudan and Libya are already closed, the coupists action meant that 2,600 miles of Africas airspace, from Western Niger to the Red Sea, had become blocked to flights. Other flights from Cape Town and Nairobi were similarly diverted or returned to base. The lives of about 500 people on each of these flights were severely risked because of the ambitions of power mongers in Niger. Such is the fallout of Nigers avoidable coup that if not swiftly nipped in the bud, could have domino effects, including deaths and arms flow to further bolster regional instability. On the other hand, civilian uprisings against underperforming governments and the economic stranglehold of colonial masters, can be explored. Only two days ago, a new group headed by a former rebel leader and politician in Niger launched a movement to oppose the new military junta that overthrew Mohamed Bazoum on 26 July. With Rhissa Ag Boula as leader, the Council of Resistance for the Republic (CRR) aims to reinstate Bazoum. This being the first sign of internal resistance to the coup, the group claimed to be in support of any action that ECOWAS takes against those involved in the coup. How many more of such groups are in the offing? While we hope the Niger debacle does not become a full-blown war that could turn the country into the playfield of international interest groups, and by extension distabilise Nigeria, or inadvertently draw Nigeria into a war it is ill prepared for, the emergence of CRR is a sign of things to come total chaos. Niger could become Libya that has remained unstable and rudderless more than 10 years after its leaders in Tripoli and Benghazi turned against each other, necessitating a Western intervention. Sudan has since joined that rank of instability. Should ECOWAS watch and do nothing? Already, Mali and Burkina Faso have technically rebuffed ECOWAS. Pray, what is the use of coming together as a regional body and all the treaties entered into, if ECOWAS cannot call recalcitrant members to order? For our insurgency and banditry-weary northern states that are bordering Niger Republic and the attendant effects including insecurity, IDPs, hunger and poverty, war is not an option. But because Tinubu spoke forcefully against coups in the region when he took over as ECOWAS chairman, people now assume ECOWAS position to be his. The ECOWAS protocol on democracy and good governance abhors coups. Its recent pronouncement is in line with that protocol. Again, its past efforts in restoring democracy to Sierra Leone does not in any way portray it as a war-seeking body. Instead, the new military government is defiant; it disallowed General Abdulsalami and the Sultan of Sokotos entry into Niamey, while consolidating to legitimise an obviously illegal government. This and more are what ECOWAS, incidentally headquartered in Nigeria and at present led by Nigerias new President Bola Tinubu, was quick to avoid in its first offer of dialogue and threats, then sanctions, followed by attempts at negotiation, and finally the latest talk of the deployment of a standby force, as diplomacy seems to be failing. For our insurgency and banditry-weary northern states that are bordering Niger Republic and the attendant effects including insecurity, IDPs, hunger and poverty, war is not an option. But because Tinubu spoke forcefully against coups in the region when he took over as ECOWAS chairman, people now assume ECOWAS position to be his. Dialogue or democracy, ECOWAS had to come in, no matter how inconvenient. However, while the regional body can intervene militarily, it is almost politically impossible to do so. Therefore, the talk about Tinubu forcing the hands of ECOWAS to start a war does not arise. Nigeria cannot unilaterally use force in a collegiate arrangement that ECOWAS is. Besides, I understand that no regional group (like ECOWAS) can apply force without the UNs consent, and with regards to Niger, you can be sure that France and Russia will veto such at the UNSC, (UN Security Council) if it comes up. It is also true that Nigeria has not, or is not pursuing the path of peace, dialogue and diplomacy to resolve the Niger issue. On Tuesday, Sanusi Lamido Sanusi, the former emir of Kano paid a visit to the junta leader. Sanusi said he was not an emissary of the Nigerian government, but that the government was aware of his mission to Niger, backing it up with a return trip from Niger to President Tinubu. Sanusi might not have disclosed the full details of his visit, but the diplomatic overtures could not have happened if the government was intent on waging a war against Niger Republic. All diplomatic options should be explored towards amicable resolutions of the Niger crisis. According to Ambassador Usman Sarki, permanent sovereignty of peoples and nations is part of UNs resolutions; and this impasse has provided the leeway for Niger, nay Africa, to assert their powers over their natural resources. From the coup issue came another discourse about the economic liberation of francophone countries and Africa in general. This is very germane to the development of the continent or the lack of it. Supporters of the Niger coup have used the exploitation by France to justify their action. However, working with Wagner is like trading one colonial master for another, and replacing colonialism and economic exploitation with neo-colonialism. Russias Wagner is not on ground in Africa for the fun of it. Simply put, the groups steady encroachment can engender another form of cold war being fought on the African soil. There have been talks that the coup is a signpost of Nigers economic liberation. Africa should liberate itself from imperialism and economic exploitation, the type France has imposed on its former colonies since independence not that Anglophone countries like Nigeria are any better though, but Niger is going about it the wrong way. With Russia in and China by the door, I hope Nigers case will not result in a rehash of the divide-and-rule tactics of the past, and finally a recourse to another Berlin Conference. Yes, we must assert ourselves. We must control our natural resources and Niger must exploit its huge deposits of uranium for the development of the country, but we dont need a proxy war to achieve this objective. All diplomatic options should be explored towards an amicable resolution to the Niger crisis. According to Ambassador Usman Sarki, permanent sovereignty of peoples and nations is part of UNs resolutions; and this impasse has provided the leeway for Niger, nay Africa, to assert their powers over their natural resources. Coup is an unconstitutional, unlawful and illegal takeover of power, so is Nigers. As a member of ECOWAS, Niger is a signatory to all its pacts, treaties and protocol and President Bola Ahmed Tinubu, in his capacity as chairman of the regional body, has a responsibility, in consonance with his colleagues, to act, speak up and condemn the coup in Niger. And as the saying goes, when your neighbours house is on fire, you have to be watchful, because it could be your turn soon. This for me is the summary of what our President has done so far. Zainab Suleiman Okino chairs Blueprint newspaper. She is a syndicated columnist. She can be reached via: zainabokino@gmail.com Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print We have betrayed the hopes of those who died for freedom. We have dashed the hopes of the whole of Africa and Black people everywhere. Similarly, the Nigerian political elite has followed the same path as their South African counterparts, because it is unable to transform the economy and ensure that every Nigerian enjoys the benefits of democracy. The two largest economies of Africa have not met the aspirations of their people under democracy. In March 2005, Omano Edigheji, who was then research manager at the Centre for Policy Studies (CPS), was livid after reading the ANCs discussion documents for its forthcoming National General Council (NGC), a mid-term review of the partys performance. The ANC convenes NGCs mid-way between its national conferences, which are held every five years. The discussion document on the economy was the most reactionary I had ever read. I realised that South Africa would reverse the gains it had made since 1994 if the ANC adopted it. The reliance on the market was prominent throughout the document. There was no conversation about structural transformation, he said. Edigheji, an academic, author and policy advisor, who has lived half his life in Nigeria and the other half in South Africa, has had a unique window on economic development in the continents two largest economies. In 2010, he edited a book Constructing a Democratic Developmental State in South Africa, a classic that included contributions by the worlds top scholars. In 2020, he wrote a book, Nigeria: Democracy Without Development. How to Fix it. He recently returned to South Africa after serving a term as Special Advisor to Nasir Ahmad El-Rufai, the governor of Kaduna State in Nigeria. In his house in South Africa, he recalled how he told Chris Landsberg, the CPS director, that they must respond to the ANCs discussion documents. There was no money and not enough time but the Johannesburg think-tank found a way around this and commissioned a number of papers. By the end of June 2005, a few days before the NGC, Edigheji rushed to Luthuli house, the ANC headquarters in Johannesburg, and handed out copies of the CPS response to the partys top six officials, just before their weekly Monday meeting at 10 a.m. It was a daring intervention that succeeded. In his opening address to the NGCs 3,000 delegates on 30 June, President Thabo Mbeki quoted at length from the CPS document and said: I would like to convey our sincere thanks to the Centre for Policy Studies. Three days ago, the Centre sent me an interesting document. Though we received this document rather late, with no budget to cover its reproduction, I have requested that it should nevertheless be made available to the delegates for their information. And so it was that the ANC first embraced the need for a democratic developmental state, which was the core of the CPS response. Developmental state theory is an explanation of the experience of Asian countries Japan, South Korea, Taiwan and Singapore which achieved rapid economic transformation to become newly industrialised countries within one generation. They had a developmental mindset or ideological orientation as Edigheji says. This was a single-minded focus on economic development, which provided legitimacy for the political elites. Typically, there was a long-term vision, broken down into five-year plans with annual targets. The objective was to double the size of the economy every decade. Developmental states have an organisational structure that included: a pilot agency at the centre of the state; a powerful, meritocratic and elite bureaucracy; and a political system that insulated the bureaucracy from special interests. Developmental states utilised a wider range of macroeconomic policy tools that went far beyond Keynesian policies that stimulate demand. Developmental states have an organisational structure that included: a pilot agency at the centre of the state; a powerful, meritocratic and elite bureaucracy; and a political system that insulated the bureaucracy from special interests. Developmental states utilise a wider range of macroeconomic policy tools that go far beyond Keynesian policies that stimulate demand. For example, Chalmers Johnson, who coined the concept, said state control of finance was the most important, if not the defining feature of the developmental state. It provided an additional macroeconomic policy tool. In 2007, the ANC conference in Polokwane endorsed the concept of a democratic developmental state. But Edighejis victory was short-lived. In 2009, there was an uproar from COSATU and the SACP when President Jacob Zuma appointed Trevor Manuel as minister in the presidency for the planning commission, Edigheji says. While Polokwane meeting had agreed on the need for an institutional centre for government-wide economic planning, understood to mean a super-ministry, the October 2009 green paper on national strategic planning provided for everything but a super-ministry, he said. Edigheji pointed out that a super-ministry sets the broad policy direction. It determines budget allocations and ensures that they are aligned with developmental vision and plans. The National Treasury becomes like an ATM. Now working at the Human Sciences Research Council, he penned a scathing critique of the green paper. The argument was that the state had outsourced its planning responsibilities to part-time commissioners from outside government, for whom planning was a side hustle. By definition, they would not have the capacity to perform planning functions. Since a super-ministry is the nerve centre of a developmental state, the government had effectively killed its own policy. Soon after the publication of his first book, Ethiopian Prime Minister Meles Zenawi invited Edigheji to the country, which then had one of the fastest GDP growth rates in the world. I spent the whole day with Zenawi (and his successor Hailemariam Desalegn) and he took me to a local market for lunch. He understood the concept of a developmental state and aspired to be one but recognised that some of the institutional architecture was missing. I told him that a country in which a ruling party gets 90% of the vote is not democratic and that he needed to reduce the dominance of the Tigray ethnic group. Edigheji was born in Nigeria to a family of subsistence farmers and went to the University of Jos where he became a student leader. Upon graduation, he joined the pro-democracy movement during the early 1990s, when Ibrahim Babangida was the countrys military president. We were inspired by developments in South Africa and Namibia. He came to South Africa in 1994 and spent four months at the Community Law Centre and Lawyers for Human Rights in Durban as an intern. He returned to the country in 1995 and enrolled for a Masters degree in Social Policy at the University of Durban-Westville. Edighejis interest in the developmental state started when he was doing his masters degree, and he went on to obtain a PhD in Norway. The essence of the developmental state is the structural transformation of the economy and the enhancement of human capabilities, including education, health and social security. There must be a patriotic elite that has an ideology of development nationalism and is obsessed with transforming the economy. This requires an element of madness. I became the face of the Nigerian human rights movement in South Africa. I briefed many civil society organisations and Archbishop Desmond Tutu, who said he would talk to President Nelson Mandela. Desmond Tutu subsequently became the first envoy of President Mandela to discuss the human rights situation in Nigeria with General Abacha. In November 1995, Mandela lambasted military dictator Sani Abacha after the Nigerian government executed environmental activist Ken Saro-Wiwa who was Edighejis friend, and eight other people. It was a huge turning point in the struggle for democracy in Nigeria, he recounted. Edighejis interest in the developmental state started when he was doing his masters degree, and he went on to obtain a PhD in Norway. The essence of the developmental state is the structural transformation of the economy and the enhancement of human capabilities, including education, health and social security. There must be a patriotic elite that has an ideology of development nationalism and is obsessed with transforming the economy. This requires an element of madness. Edighejis second book focuses on the period between 1999 and 2019, when Nigeria had uninterrupted electoral democracy the longest period of civilian rule since independence in 1960. Nigerian politics is transactional and has no values, he noted. The two main parties the Peoples Democratic Party and the All Progressives Congress have no clear ideology. There is a system of godfatherism, where financiers determine who gets party tickets. The elected officials, the godsons, are the stooges of the godfathers. The elite has institutionalised corruption as an institutional norm, he writes. And Nigeria allocates only 7% of its budget towards education, far below a United Nations benchmark of 26%. Edigheji says when you look at both countries Nigeria and South Africa there has been democracy without development. The economies of both countries are benefiting the elite to the exclusion of the majority of the people. Both countries have been unable to undertake structural transformation from oil in Nigeria and minerals in South Africa or have meritocratic recruitment into the civil service. In South Africa, almost two decades after the ANC first embraced the concept of a developmental state, the government has pivoted back to the reliance on the market that enraged Edigheji. South Africa is not only relying on the market, it is dismantling the state, and there are increases in poverty and unemployment, he says. We have betrayed the hopes of those who died for freedom. We have dashed the hopes of the whole of Africa and Black people everywhere. Similarly, the Nigerian political elite has followed the same path as their South African counterparts, because it is unable to transform the economy and ensure that every Nigerian enjoys the benefits of democracy. The two largest economies of Africa have not met the aspirations of their people under democracy. Duma Gqubule is a columnist and research associate at the Social Policy Initiative in Johannesburg, South Africa. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print A notorious terrorist, Abdullahi Abubakar, popularly known as Dogo Gide has claimed responsibility for the shooting down of a Nigerian Air Force helicopter on a rescue mission in Niger State on Monday. In a two minute, seventeen seconds video clip seen by PREMIUM TIMES and authenticated by a security source, who pleaded anonymity, Mr Gides fighters were seen celebrating the shooting down of the helicopter with a voice in the clip narrating how the helicopter was shot down. Military authorities said the helicopter crashed while on a casualty evacuation mission near Chukuba village near Shiroro. The helicopter was on its way to evacuate some of the soldiers killed by the terrorists during an ambush in the state. By Gods grace, this is what we will be showing you. These are dead bodies of Nigerian soldiers that attacked us with the aim of killing us, a man with a heavy Fulani accent said in Hausa while showing the bodies of dead soldiers and the remnants of the helicopter. They wanted to kill Dogo Gide. But Dogo Gide by Gods grace is still alive and he will not die (by soldiers bullets). These are soldiers lying on the ground. Look at themand their helicopter lying wasted. I want you people to repent because we dont have any problem with anyone. Well not kill anyone except those who plan to attack us. Look at this; its the work of Allah who has given us the strength. We shut down the helicopter with All 47 rifles. Look at them. We want you to repent because its not our mission to be killing people. What we want is for everyone to repent, especially the leaders that send these soldiers to attack us, and theyre being killed God will apprehend them in the hereafter, well not retreat because of their helicopter or fighting equipment. Dogo Gide, whom they want to kill, is still alive. These (the terrorists) all have AK-47 rifles and that was what we used to bring down the helicopter. We stood our ground while they were shooting at us until we brought it down. We want you to repent. May God be our strength, were with our leader., he said. In the background, AK 47 rifles totting terrorists chant God is grace and laughed mockingly. Who is Dogo Gide Mr Gide rose to prominence in 2018 after he reportedly killed Tsoho Buhari, who used to be the most ruthless and most feared terrorist in the region. Mr Gide, who used to be a protege of Buhari Daji, killed him after an argument ensued between both men after Mr Buhari reportedly rustled the cattle of Mr Gides in-law. Mr Gide has led a life of violence and was radicalised by Boko Haram. Born in Erana District, Shiroro Local Government Area of Niger State, Mr Gide attended the Quranic school of Isah Erana, a popular moderate Islamic cleric and a former chief Imam of Erana town. It was unclear at what point Mr Gide started his criminal operations, but like the motley gangs operating in the Shiroro and surrounding area, his operation originally involved raiding villages, hijacking harvests, and rustling livestock. The trajectory of his criminal enterprise soon changed after he encountered members of Ansaru and Boko Haram, who had sleeper cells in the area. The Boko Haram members in the area advised him to desist from raiding villages but to switch to attacking government establishments, officials, and institutions such as schools, considered haram (forbidden by the Islamist group) Mr Gide teamed up with Ali Kawajo (Kachalla) who himself shot down a NAF helicopter in Zamfara in 2022 and Malam Abba, an Ansaru leader to abduct passengers of the Kaduna Abuja bound train last year. He also abducted pupils and staff of the Federal Government College Yauri in 2021, insisting that Western education is a waste of time for the children, a clear Boko Haram, ISWAP and Ansaru ideology. At a time, Mr Gide passed an edict banning gangs from attacking rural communities in Zamfara. He forbade them from abducting residents, demanding ransoms, or the payment of protection levies. However, he permitted them to attack security bases and schools in the area, and communities outside the state. The Air Force spokesperson, Edward Gabkwet did not pick up calls from our reporter. Messages sent to him were also not responded to. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print It all starts Friday, the grand finale this season to competition fishing in our Great Lakes. The Fall Lake Ontario Counties Trout and Salmon Derby begins, as does the Greater Niagara Fish Odyssey. The Ladies Reelin for a Cure in Wilson and Olcott is also on the schedule. The Innovative Outdoors Walleye Challenge has Big Fish Friday, plus the main event Saturday. Lake Erie and tributaries It has been a week of wind and waves out of Cattaraugus Creek and Dunkirk, Capt. Jim Kline of Eye-Fish Charters said. The wind has changed the thermocline that has been set up for weeks around 57 feet down over 75-100 feet of water. Kline said the bait also went with the cooler water that was below the thermocline. Walleyes are scattered tight to the bottom in 70-90 feet of water. The water temperature is virtually the same from top to bottom between Silver Creek and Dunkirk. For Kline, a No. 1 dipsy with a ring run on the 3 setting run 175-200 back near bottom with a small spoon was working well. Deep lead core line in 10 colors with 1-2 ounces of lead to get closer to the bottom was working with stickbaits. Riggers also produced fish for Kline using an Eye-Fish worm harness run near bottom in the bee pattern. With several windier days in the forecast, get out when you can. Capt. Mark Mohr of One Mohr Sportfishing reports walleye action has been great for him off Dunkirk, especially a short way to the west. His best water is depths of 70-90 feet, focusing around 60 feet below the surface. Stickbaits at 60 feet down have been the ticket, but hes also picking up fish on divers on a No. 2 setting, 170 clicks back with his worm harnesses. It will be a hot area for the Innovative Outdoors Walleye Challenge out of Dunkirk. Steve Haak of South Wales reports the walleye bite has been decent 55 feet and out, with some doing well with bottom bouncing in 55-65 feet of water out of the Catt. Trolling with divers and harnesses is great one day, then 8 and 10 color lead core line with stickbaits is hot the next day. Walleyes are also being caught in 85-90 feet of water near the Canadian line. Perch will start as soon as water temperatures drop, typically around Labor Day weekend. Haak is point person for a First Responders Day sponsored by Southtowns Walleye Association of WNY on Aug. 26. He has about 30 boats involved with approximately 100 responders/veterans to accommodate, so hes pretty much full for the big day. Steve Brzuszkiewicz of Marilla went searching for eyes and he finally found fish off the Catt in 80-plus feet of water this week. He was using 6 ounces of lead and a firetiger-bladed harness, alternating between perch and walleyes. He boated 10 eyes but released five. He also caught eight perch and some other fish for a great day. His speed was 1.2-1.3 mph. Niagara River Capt. Joe Srouji of Angler Edge Charters reports the walleye bite is great on the lower river. Fish are available throughout the system. Trolling or vertical jigging have been extremely effective at taking quality fish, he said. Focus on your electronics to find fish as depth changes daily depending on weather conditions. Low light and overcast days have been best. Walleyes are being caught regularly at the NYPA Fishing Platform during early morning and evening hours, said Tommy Holycross of Wheatfield. Worm harnesses are working best. Smallmouth Bass and the occasional drum are also being caught. No signs of early salmon yet, but he is hoping to catch one of the early ones in the next few weeks. Mike Rzucidlo of Niagara Falls reports good bass action in the lower river and a decent walleye bite. He hit four eyes from a boat along with 10 bass earlier this week, then Sunday, he went off the shoreline in the gorge and connected on eight bass and three eyes. His baits of choice were jigs and plugs. Lake Ontario and tributaries According to Capt. Pete Alex of Vision Quest Charters out of Wilson, brown trout fishing remains good inside of 80 feet of water along the shoreline. Find the intersection where cooler water hits the warmer inside waters. Locating that inside bait might also help you find active browns. DW-sized spoons and Michigan Stinger Stingrays are the preferred sizes. The deeper waters produce a mix of kings and steelhead of varying year classes, Alex said. A few adult kings are making their way inside now, but adult fish can be found as far out to 500 feet of water. Fish marks more so than temperature this time of year when looking for adult salmon, Alex said. Flasher/flies and meat seem to catch a lot of the bigger kings until they run the tributaries, perfect timing for the derbies. Alex and his Vision Quest team of son Colton, Ryan Snyder and Ray Halt just returned from Cobourg, Ont., where they won the King of the Lake Tournament with a six-fish tournament limit and beat 40 other Canadian teams by more than 15 pounds. They were the only U.S. team, winning the overall title for three tournaments for the third time. They used 8-inch spin doctors and Fish Flashers with Underdog Cut Bait Strips with Atlas Mikes Salmon Lunker Lotion to bring home the win. Capt. Codey Allen of Lake Affect Sportfishing has been fishing deep off Olcott between the 29-30 line and he had everything working magnum spoons, spin doctors/flies and meat rigs. All pulled mature kings this week. The big one was a 43-inch king salmon that tipped the scales at more than 30 pounds. They had five salmon between 36 and 38 inches long. Chautauqua Lake The best walleye fishing is trolling cranks on the south basin, reports Capt. Mike Sperry of Chautauqua Reel Outdoors. Running crankbaits a foot or two off the bottom in 15-18 feet of water is working. He landed some nice fish last week up to 25 inches long. The algae bloom is still in effect, but the fish are there. Casting for musky is still productive. Find decent weeds, and youll find fish. The south basin and upper basin will produce fish. Spinnerbaits, jerk baits and medium diving cranks are working. There have been short feeding windows lately so being persistent through the day is key. The water clarity north of Long Point is good. Finger Lakes Cayuga Lake has been fair to good, depending on the day, according to Capt. John Gaulke of Finger Lakes Angling Zone. A 17-pound lake trout was trolled up on the lake Saturday during Barneys Memorial Derby. Fishing was good for Gaulke from Ithaca to Sheldrake. He would expect good fishing further north, too. Weed mats are still abundant, but water fleas seem to be tapering off. Bass fishing can be tough with weed growth, far behind schedule on the lakes north end, likely due to low-light penetration from the July algae bloom. Seneca Lake fished well for Gaulke last Thursday. The best fishing was around Geneva, although Long Point and north showed respectable numbers of fish. Best success was between 85 and 100 feet of water. Fleas were an issue over there as well. Expect good trout fishing on Owasco Lake. August and September are usually good months. The Kaduna State government has donated N1m to each of the families of the eight people who were killed in the Zaria Central Mosque collapse. PREMIUM TIMES reported that the mosque collapsed last Friday. A resident, Murtala Kwarbai, told PREMIUM TIMES that the roof of the mosque collapsed on people while observing Asr prayers. The mosque was built in 1835. Sani visits Zaria The state governor, Uba Sani, who was in Zaria on Tuesday on a condolence visit to the Emir of Zazzau, Ahmad Bamalli, announced the cash donation to the victims. Mr Uba also said other victims of the mosque collapse receiving treatment would be supported with N500,000 for medication. Victims who were discharged from hospital would receive N200,000 each. We are working closely with the council and other key stakeholders on the best modalities of rebuilding the collapsed mosque. ALSO READ: Four people die as mosque collapses in Kaduna This is an ugly tragedy; the Kaduna state government would do everything humanly possible to renovate the mosque and ensure ugly incidents like this did not happen, he said. Responding, Mr Bamalli commended the governor for the gesture, adding that the incident affected 25 people, eight of who died while three were still receiving treatment at the hospital. According to the brief he received from the medical director of Ahmadu Bello University Teaching Hospital, the three victims sustained multiple injuries and would undergo surgeries. He said the mosque is one of the historical monuments of Kaduna state. Mr Bamalli commended the efforts of the state Ministry of Works, the Nigerian Society of Architects and the Faculty of Architecture of the Ahmadu Bello University on efforts to reconstruct the mosque. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print A civil society organisation in Zamfara State, the Zamfara Circle, has called on the governor of the state, Dauda Lawal, to develop a comprehensive security strategy to combat terrorism. The group, in a statement by its chairperson, Aminu Lawal, on Tuesday, said the strategy should have local content and input from all security stakeholders for a long-term solution. While looking for external support, Zamfara State Government should not completely rely on such support and must deploy local mechanisms to complement and effectively address this menace, he said. Zamfara Circle commended the governor for his stakeholder engagement approach, especially his meetings with the national security adviser and the service chiefs but said more needs to be done. Zamfara Circle is deeply concerned about the alarming increase in banditry activities in Zamfara state. The Circle condemns, in the strongest terms, these barbaric attacks on innocent citizens and sympathises with the victims across the state. These violent and incessant attacks by bandit terrorists over the years have not only compromised the security of lives but also disrupted the economic and social development of Zamfara state. It has also created an atmosphere of fear, instability, anguish and hopelessness among the good people of the state, leaving communities in abject poverty, Mr Lawal, who is a university lecturer, said in the statement. The organisation accused the state government of being slow in providing solutions to the situation and not having any strategy to displace terrorists. The Circle is worried that despite the formal declaration of the state government that it will no longer dialogue with these terroristswhich might have triggered the recent attacksit seems the Government has no clear strategy in place to deal with these beasts decisively. While the Circle also believes that the government should not negotiate from the position of weakness, the actions from the authorities so far have not been encouraging, the statement added. Other recommendations made by Zamfara Circle include the establishment of a trained and well-equipped Civilian Joint Task Force (CJTF), a special adviser on security matters should be appointed to coordinate security activities in the state. Zamfara, like several other states in the North-west, has been battling terrorist attacks for over a decade. And there has been a sharp increase in the attacks since June. The call is coming hours after terrorists invaded Bungudu town, 20 kilometres from the state capital and abducted seven people, including a top retired civil servant, the first son of the emir of Bungudu and five women. Two weeks ago, a divisional police office was attacked in the same town. The assailants killed a policeman, Nura Ibrahim, during the attack. Other areas that witnessed terrorist attacks in the last few days include Talata Mafara town, where 11 construction company workers were abducted and the killing of seven soldiers in the Dansadau emirate. Share this: Twitter Facebook WhatsApp Telegram LinkedIn Email Print ChainUp demonstrates its commitment toward data security and privacy protection SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- ChainUp, global blockchain technology solution provider, has announced its successful completion of the System and Organization Controls 2, audit and is now SOC 2 Type 1 certified. As a key industry standard for compliance, SOC 2 examines the security, availability, confidentiality, processing integrity, and privacy of customer data across solutions. Conducted by Deloitte Touche Tohmatsu Certified Public Accountants LLP, the audit included an evaluation of ChainUp's internal controls related to Information Technology, based around the Trust Principles of Security, Availability, Integrity of processing, Confidentiality and Privacy in accordance with the criteria set by the American Institute of Certified Public Accountants (AICPA). As ChainUp provides a complete suite of blockchain technology solutions, covering both infrastructure development and ecosystem support for businesses, it was built on a set of comprehensive security measures and processes to ensure system security, user information confidentiality, and privacy. The SOC 2 Type 1 certification reflects ChainUp's commitment to technical and operational best practices in protecting the business, customer data and users' digital assets. Presently, ChainUp is pursuing the completion of SOC 2 Type 2 audit to further demonstrate their commitment in protecting data and establishing total trust with businesses and users. Sailor Zhong, Founder and CEO of ChainUp, said: "ChainUp has been committed to providing efficient, secure and reliable blockchain technology services to businesses and users worldwide. The completion of SOC2 Type 1 certification is an important milestone for us in validating the quality and security of our services. As a customer-first company, we anticipate the level of compliance businesses and institutional investors would expect and ChainUp remains dedicated to ensuring the utmost security and privacy protection for all business, customer and user information." About ChainUp Founded in 2017 and headquartered in Singapore, ChainUp is a global leading end-to-end blockchain technology solutions provider covering infrastructure development and ecosystem support. We provide a complete suite of secure and compliant blockchain solutions including digital asset exchange, NFT trading, wallet, liquidity, Web3 infrastructure, merkle tree proof-of-reserves, digital asset custody, and more. ChainUp currently serves more than 1,000 customers across 30 countries, reaching more than 60 million end-users. Find out more about ChainUp: www.chainup.com CONTACT: Jacelynn Pang jacelynn.pang@chainup.com Logo - https://mma.prnewswire.com/media/2187072/ChainUp_Logo.jpg SOURCE ChainUp WILLIAMSVILLE, N.Y., Aug. 16, 2023 /PRNewswire/ -- Electric customers in New York can expect their electric bills to be lower, thanks to savings in the form of bill credits from new community solar projects. EnergyMark, an independent energy supplier based in Williamsville, announced a new $70 million development that is expected to produce $2.5 million annually in bill credits for their NYS electric customers for the next 10 years. This initiative builds on EnergyMark's existing deal inked last June to distribute another $175 million in solar credits over 25 years with the nation's largest renewable energy developer. This agreement provides for the distribution of 14 megawatts of solar generated power from seven new solar projects located in the National Grid service area enough energy to power the equivalent of 3,500 homes annually. The seven solar projects will operate under the community solar model. Community solar is a state-sponsored NYSERDA program which requires solar projects to share a percentage of their revenue with customers in the form of credits. EnergyMark will serve as a solar credit subscription manager, distributing savings via credits applied directly on their customers' monthly utility bill. Residential, commercial, and industrial electric users are qualified to receive the credits. These projects will support New York State's goal to achieve 70% renewable power generation by 2030. This long-term investment in the state's nation-leading renewable energy infrastructure impacts all NYS residents. According to NYSERDA, "achieving the state's expanded solar goal is expected to generate enough clean electricity per year to power nearly 700,000 additional New York homes, including those in disadvantaged communities." Luke Marchiori, Executive Vice President at EnergyMark shared, "This groundbreaking agreement allows us to play a key role in developing the future of the State's electricity grid while offering our customers relief during a time of rising energy costs." About EnergyMark EnergyMark, a leader in independent energy supply based in Williamsville, NY specializes in direct sourcing, storage, and distribution of natural gas, electricity, and renewable energy from NY and other regional supply sources. The company provides physical and financial energy commodity management to over 15,000 Northeast US clients, including The Buffalo Bills. Media Contact: Luke Marchiori, Executive Vice President, (716) 632-1800 SOURCE EnergyMark News / Local by Staff reporter A total of 40 Citizen Coalition for Change (CCC) activists have been arrested.CCC spokesperson Fadzayi Mahere confirmed the arrest."I can confirm that 40 CCC members have been arrested following our roadshow in Machipisa earlier today," she said.Mahere said the group is being represented by attorney Kudzai Kadzere.They are currently detained at Glenview 1 police station.Police spokesperson, Paul Nyathi said the 40 breached peace regulations."The Zimbabwe Republic Police confirms the arrest of 40 Citizen Coalition for Change (CCC) activists in Machipisa this afternoon for contravening provisions of the Maintenance of Order and Peace Act, Chapter 11:23 (MOPA)."Circumstances are that the CCC convenor, Lawrence Materege notified the local Regulating Authority for Harare South on their rally set for Churu Farm on 15th August 2023 from 1000 hours to 1600 hours."The convenor and Grandmore Hakata then decided to divert from the notified rally at Churu Farm and went on a car rally procession from Glen View area into High Glen Road, Main Street, Machipisa Road into Highfield suburb and stopped at the OK Machipisa traffic lights while openly blocking traffic," said Nyathi in a statement.Nyathi said the group started to chant party slogans and sing."A concerned citizen made an official report to the police."The Police reacted and arrested 40 CCC activists who were led by Grandmore Hakata."Hakata actually scuffled with the police officers as they effected arrests."The Zimbabwe Republic Police has also recovered the three trucks and radio speakers which were being used by the CCC supporters in the unsanctioned car rally."The arrest comes just a week before the crucial plebiscite.CCC which is the ruling party's main opponent has been encountering several setbacks during the campaign season, which has seen its members getting arrested, injured, or killed in the build-up to election day.Posting on her social media platforms, CCC spokesperson Fadzayi Mahere complained that their members got arrested for holding an event but the ruling party, Zanu-PF has been conducting the same without any disturbances. AdCellerant makes quality digital marketing accessible to every business. DENVER, Aug. 16, 2023 /PRNewswire/ -- On August 8, 2023, Inc. Magazine unveiled its highly-anticipated Inc. 5000 list, and we are thrilled to share that AdCellerant achieved a ranking of 3,439 overall, 102 in Colorado, 392 in the business products and service category, and 85 in the Denver-Aurora-Lakewood Colorado area. This accomplishment marks our sixth consecutive year securing a spot on this prestigious list, reaffirming our position as one of the nation's fastest-growing private companies. At AdCellerant, our success is not just a coincidence but a result of our commitment to our six core values. These values have been the driving force behind our continued ascent in independent small businesses, solidifying our reputation as a true leader in the industry. AdCellerant lands on the Inc. 5000 List for the Sixth Consecutive Year Tweet this AdCellerant six core values lands them on the Inc. 5000 list for the sixth year in a row. "Celebrating our sixth consecutive year on the Inc. 5,000 list commemorates our unwavering dedication, relentless drive, and unyielding passion. This achievement reaffirms our commitment to pushing boundaries, embracing challenges, and carving a path of sustained growth," said Brock Berry, CEO and Co-Founder of AdCellerant. "We extend our deepest thanks to our exceptional team, visionary leaders, and valued partners for their collective effort that propelled us towards this remarkable milestone." Six Core Values Lead to Six Years on the Inc. 5000 As we celebrate this milestone, we want to take a moment to highlight the six core values that have guided us on this journey: Results-Driven: We relentlessly pursue measurable and impactful results, ensuring every campaign delivers tangible success for our partners. Cutting-Edge Technology: By embracing the latest tools and data analytics, we stay ahead in the fast-paced world of digital marketing, guaranteeing you a competitive edge. Customers First: Your success is our mission's core. We prioritize your needs, goals, and satisfaction, building strong and enduring partnerships. Ethics & Transparency: Honesty, integrity, and ethical practices are the foundation of every decision we make, fostering trust and reliability in our relationships. Giving Back: We believe in the power of giving back to society. By actively engaging in philanthropic initiatives, we make a positive impact beyond our business success. Culture of Innovation: Our team's curiosity and creativity drive us to explore new ideas and pioneer groundbreaking marketing approaches, ensuring your brand stays ahead of the curve. Thanks to the foundation laid by these values, we have maintained our position as a leading digital marketing powerhouse. We are committed to driving even more success for your business and look forward to the journey ahead together. About AdCellerant AdCellerant provides businesses access to high-quality digital marketing technology and solutions through partnerships with media companies and agencies. Focused on generating results and growth for businesses of all sizes, AdCellerant offers best-in-class technology and software, award-winning customer service, expert education, and exceptional operational support to ensure customer campaign performance. Leveraging proprietary technology Ui.Marketing, AdCellerant effectively connects businesses with their ideal customer at the right time. With an easy-to-use and agile digital advertising tool, users can manage the entire buyer's journey from quick and accurate comprehensive proposal creation campaign launch to campaign performance. All within a single platform. For more information or to book a demo, visit www.adcellerant.com. More about Inc. and the Inc. 5000 Methodology Companies on the 2023 Inc. 5000 are ranked according to percentage revenue growth from2019 to 2022. To qualify, companies must have been founded and generating revenue by March 31, 2019. They must be U.S.-based, privately held, for-profit, and independentnot subsidiaries or divisions of other companiesas of December 31, 2022. (Since then, some on the list may have gone public or been acquired.) The minimum revenue required for 2019 is$100,000; the minimum for 2022 is $2 million. As always,Inc. reserves the right to decline applicants for subjective reasons. Growth rates used to determine company rankings were calculated to four decimal places. About Inc. Inc.Business Media is the leading multimedia brand for entrepreneurs. Through its journalism,Inc. aims to inform, educate, and elevate the profile of our community: the risk-takers, the innovators, and the ultra-driven go-getters who are creating our future. Inc.'s award-winning work reaches more than 50 million people across a variety of channels, including events, print,digital, video, podcasts, newsletters, and social media. Its proprietary Inc. 5000 list, produced every year since 1982, analyzes company data to rank the fastest-growing privately held businesses in the United States. The recognition that comes with inclusion on this and other prestigious Inc. lists, such as Female Founders and Power Partners, gives the founders of top businesses the opportunity to engage with an exclusive community of their peers, and credibility that helps them drive sales and recruit talent. For more information, visitwww.inc.com.For more information on the Inc. 5000 Conference & Gala, slated for October 31-November 2in San Antonio, visithttp://conference.inc.com/. <> Meghan Brito Senior Vice President, Marketing [email protected] SOURCE AdCellerant LLC In addition, Advyzon's TAMP adds two new professionals to the team CHICAGO, Aug. 16, 2023 /PRNewswire/ -- Advyzon Investment Management (AIM), a turnkey asset management program (TAMP) under the Advyzon umbrella, recently added multiple new asset managers to their platform, including Fidelity Investments, Alpha Vee Solutions, KKM Financial, and Zacks Investment Management. "My team and I are passionate about helping advisors," said Meghan Holmes, Chief Operating Officer at AIM. "Our recent additions and enhancements have allowed us to provide easily accessible investment services within a fully integrated single platform that already serves as the technology hub of an advisor's business. This eliminates the need for advisors to contract with outside managers or go to yet another platform for investment management services. It's all about making advisor's lives easier, and adding more managers to our platform is just another way we are doing exactly that." "It's all about making advisor's lives easier, and adding more managers to AIM is another way we are doing exactly that." Tweet this With these new strategists, AIM currently has over 150 strategies available to financial advisors in their Nucleus model marketplace, from 20 asset managers. Fidelity, Alpha Vee, KKM, Zacks, Davis Selected Advisors, PMV Capital, Next Century Growth Investors, and Unified Investment Management join existing managers Advyzon Investment Management, Taylor Frigon Capital Management, WCM Investment Management, AllianceBernstein, WealthTrust Asset Management, Trademark Capital Management, Passage Global Capital Management, Principal Asset Management, Evestia Financial, Integrated Capital Management, Berkshire Asset Management, and Palisades Investment Partners, with more managers and strategies coming in the near future. "Zacks Investment Management is very excited to be a part of Advyzon's new model marketplace," said Greg Murphy, Managing Director of National Sales at Zacks. "It was clear to us that Advyzon is an industry leader in providing comprehensive wealth management technology to financial advisors, and a firm that Zacks wanted to partner with. The launch of Nucleus provides financial advisors and RIAs an end-to end solution that will create practice management efficiencies, while also giving them access to best-in-class money management." AIM EMPOWERS ADVISORS TO WORK ON THEIR BUSINESS, NOT IN IT Advyzon Investment Management, which launched in March of 2022, was created to allow financial advisors to be more efficient via a better integrated user experience by providing TAMP services conveniently within Advyzon's single source code platform. AIM's managed portfolio solutions and high touch service model allow advisors to achieve operational efficiencies along with comprehensive investment selection and modeling, while empowering them to work on their business, not in it. Advyzon's cloud-based platform combines portfolio management, customizable performance reporting, trading and rebalancing, client web portals, client relationship management (CRM), client billing, and document storage plus a model marketplace with access to third party strategists via turnkey asset management with AIM making it easy for advisors to run their financial planning and investment advisory firms while managing their client accounts with efficiency and ease. Advyzon currently serves nearly 1,400 advisory firms. "We are excited to have joined AIM's platform to further our ability to connect with best-in-breed advisors and deliver our distinct Essential 40 model portfolio," said Jeff Kilburg, founder and CEO of KKM Financial. AIM offers risk-based models including active/passive mutual funds and ETFs, a tax-sensitive ETF only solution, an ESG active/passive model, an alternative model, and direct indexing, as well as tools and methodologies around tax optimization and tax loss harvesting. The firm has continued to build out additional investment products around all of these core offerings, as well as offer new strategies, as they've evolved over the course of their first year in business. In addition to their investment offering, AIM provides a personalized service model to advisors based on their philosophy of insourcing versus outsourcing. AIM's service team members are personally assigned to individual advisors and take the time to truly understand their businesses, helping with the heavy lifting on the whole lifecycle of an account including account opening, billing, reporting, trading, data reconciliation, and acting as a custodial liaison. NUCLEUS MODEL MARKETPLACE While at the T3 Technology Tools for Today conference this past Spring, AIM announced the launch of the Nucleus model marketplace, which is fully integrated into the comprehensive, award-winning Advyzon platform built on single source code. Adding a model marketplace enhances AIM's TAMP offering and moves Advyzon closer than ever to offering a fully comprehensive solution for financial advisors and investment managers to run their firms. "Alpha Vee Solutions is excited to join Advyzon's model marketplace," said Leigh Eichel, CEO of Alpha Vee. "Alpha Vee continues to experience hyper growth with its Risk Managed and growth research. Bringing these investable research tools to such an advanced and capable platform allows advisors more tools to grow their business and assist their clients. The new technology offered by the Advyzon team is exciting and compelling." The Nucleus model marketplace is structured for unified managed accounts (UMAs) and includes sleeve-level reporting and trading. Advisors have access to third-party strategists traded by AIM, with advisor built UMAs incorporating both advisor created models and strategist sleeves. Users have access to over 150 risk-based models and SMAs from some of the top third-party management firms in the industry. Single and multi-sleeve setup and trading include a variety of features, including cash management, dollar-cost averaging (DCA) and required minimum distribution (RMD) schedules, a 'Do Not Buy/Sell' securities list, equivalencies, carve outs, location optimization, maximum annual cap gain limit, onboarding tax management and ongoing tax loss harvesting, and wash sale alerts. AIM plans to add to Nucleus' features and capabilities in the coming months. To learn more about Advyzon Investment Management and the Nucleus model marketplace, please click here. AIM ADDS TWO NEW PROFESSIONALS TO TEAM In addition, Advyzon Investment Management recently announced that they are adding two key members to their growing team: Kyle Craig as Vice President of Platform Solutions and Mike Ursitti as Senior Vice President of Business Development. They join the current AIM team consisting of Lee Andreatta, MBA, Chief Executive Officer; Brian Huckstep, CFA, CFP, Chief Investment Officer; Meghan Holmes, MBA, Chief Operating Officer; Alex Riedel, Managing Director, Head of Client Portfolio Management; and Tony Oulahan, Senior Vice President of Business Development. Kyle Craig comes to AIM after 19 years at Charles Schwab, with deep knowledge of the TAMP space and experience working in specialized areas such as Third-Party Managed Accounts, TAMPs, IBDs, Outsource Agents, and Strategic Acquirers. He holds Series 7 and Series 63 licenses. Craig's role as Vice President of Platform Solutions is dedicated to growing and developing the Nucleus model marketplace: identifying and onboarding strategists, managing strategist relationships, and developing the platform, offering, and product. Mike Ursitti joins AIM as Senior Vice President of Business Development, with over ten years of experience in the financial services industry. The first half of Ursitti's career was spent on the wholesaling side of the industry with Invesco and Capital Group (American Funds), while the second half has been spent on the technology side with positions at Oranj, SS&C Advent, and most recently, Vice President of Business Development with YieldX, who recently sold to FNZ. He holds a Bachelor of Science degree from University of Illinois. "We're very excited to have both of these professionals join AIM," said Lee Andreatta, CEO and co-founder of AIM. "Their industry experience is extremely valuable, and both are perfect additions to our growing team." ABOUT ADVYZON AND ADVYZON INVESTMENT MANAGEMENT Advyzon provides comprehensive, intuitive, cloud-based, wealth management technology for independent financial advisors and registered investment advisors (RIAs). The Advyzon technology platform combines Advyzon Investment Management's turnkey asset management program (TAMP) with portfolio management, customizable performance reporting, trading and rebalancing, client web portals, client relationship management (CRM), client billing, and document storage. AIM's managed portfolio solutions and high touch service model empowers financial advisors to achieve operational efficiencies along with comprehensive investment selection and modeling. AIM is a registered investment adviser registered with the United States Securities and Exchange Commission, and a wholly owned subsidiary of yHLsoft Inc., doing business as Advyzon ("Advyzon"). All investment advisory services are provided by AIM, while some technology and administrative support services are provided by Advyzon. AIM's advisory services are available to financial advisors for use in managing assets for their clients only, and do not provide advisory services directly to retail investors. To learn more about Advyzon, visit www.Advyzon.com. To learn more about Advyzon Investment Management, visit www.AdvyzonIM.com. ABOUT STRATEGISTS With the exception of the propriety models offered by AIM, all other Strategists in the Nucleus program are independent companies that are unaffiliated with AIM and act as service providers to AIM. There is no form of legal partnership, agency affiliation, or similar relationship between financial advisors using the program and the Strategists or AIM, nor is such a relationship created or implied by the information herein. Strategists are not involved with the preparation of the content supplied by AIM. Statements made by third parties in this communication that indicate approval, support, or recommendation of Advyzon Investment Management (AIM) are considered endorsements. These endorsements are made by representatives of third party strategists, which are not current clients of AIM, and no cash compensation was paid to these parties for their statements. AIM receives compensation from third party strategists on the platform to cover the cost of AIM's administration and monitoring of the Models/Strategies. In addition, third party strategists on the AIM platform will receive compensation when advisors employ their strategies. ABOUT FIDELITY INVESTMENTS Fidelity Investments is an independent company, unaffiliated with Advyzon Investment Management. Fidelity Investments is a service provider to Advyzon to Investment Management. There is no form of legal partnership, agency affiliation, or similar relationship between your financial advisor and Fidelity Investments, nor is such a relationship created or implied by the information herein. Fidelity Investments has not been involved with the preparation of the content supplied by Advyzon Investment Management and does not guarantee, or assume any responsibility for its content. Fidelity Investments is a registered trademark of FMR LLC. Fidelity Investments provides clearing, custody or other brokerage services through National Financial Services LLC or Fidelity Brokerage Services LLC, Members NYSE, SIPC. 1099979.1.0 Media Contact: Jonny Swift Impact Communications, Inc. 913-649-5009 [email protected] SOURCE Advyzon Investment Management LONDON, Aug. 16, 2023 /PRNewswire/ -- Aleph, the leading global enabler of digital advertising connecting 22,000 advertisers in 130 primarily emerging countries with the world's leading digital tech platforms such as TikTok, X (formerly Twitter), Snap, Spotify, Uber, Meta, Microsoft, Twitch, Google and over 35 more, is launching their global cross-border payments and credit underwriting unit, Aleph Payments. The global digital advertising spend is expected to reach $766 billion by 2025 according to eMarketer . At the same time, global remittance and cross border payments transaction value is estimated to grow from $37.15 trillion in 2020 to $39.99 trillion in 2026 according to Frost & Sullivan . Over the past 19 years, Aleph has been providing credit underwriting and cross border payment offerings to advertisers and digital ad tech platforms building a robust capability. Aleph currently manages over $2bn worth of total cross border credit and payments through its network across international markets, and it is a trusted operator for its partners and clients. Aleph's proven reputation and expertise in primarily emerging markets provides the business with a solid foundation to roll out its payment service to new partners and clients. Gaston Taratuta, Aleph's CEO and Founder and EY's 2022 Entrepreneur of the World explains: "We have built our company with the capability to adapt to the ever changing needs of the digital industry. We will expand our cross border payment and credit underwriting capabilities into other subcategories within the digital advertising ecosystem such as SSP's, DMP's, DSP's, ad-quality, viewability, ad-serving tech, apps, gaming, etc. We are local market experts who can solve the complexities so global ad tech companies can focus on their core businesses, and local advertisers can finance their ad tech needs. We will also amplify our services to our current global partners such as Spotify, Uber, Meta, and new ones, expanding from our current services in digital advertising to allow cross border payment of streaming, mobility, and digital services commerce, among other categories. Finally, over 5,000 of Aleph's current digital-native advertisers, who are striving to connect with global consumers, could potentially become customers by leveraging the capabilities of Aleph Payments." Aleph will be presenting at DMEXCO in Cologne, DE September 20-21, 2023. For more information, visit payments.alephholding.com About Aleph Aleph Group, Inc is a dynamic network of global digital experts and technology-driven solutions that enables the growth of the digital ecosystem. We connect thousands of advertisers with billions of consumers and create markets for local businesses to grow. Aleph is active in more than 130 primarily emerging countries and helps advertisers engage with consumers on over 45 of the world's leading digital platforms, such as TikTok, X (formerly Twitter), Snap, Spotify, Uber, Meta, Microsoft, Twitch and Google. We build and supply proprietary technologies with localized solutions, offering local teams of industry and platform experts, as well as Digital Ad Expert, a social initiative to provide free digital advertising education for all. Our newest offering, Aleph Payments, is a leading cross-border payment enabler and credit underwriter in primarily emerging countries with an end-to-end payment solution to reach consumers on the world's leading digital platforms. Together, these solutions widen access to the digital ecosystem and ultimately work towards our purpose, to equalize the global digital playing field. Aleph was established in 2005 and continues to be led by its founding CEO, Gaston Taratuta, EY Entrepreneur of the Year 2022, with a vision to accelerate the global economic shift from offline to online and drive long-term economic growth. Aleph's approach has been highly successful to date. In recent years, the Group has scaled up to more than 1,500 employees in 65+ offices and has secured investment from institutional partners including CVC Partners, Mercado Libre, Sony, Twitter, and Snap. Logo - https://mma.prnewswire.com/media/2187529/Aleph_Payments_Logo.jpg SOURCE Aleph Suicide deaths in the United States reached a record high in 2022, according to CDC data, underscoring the need to swiftly act to reduce the stigma around mental illness, increase access to care and treatment and reverse the rise in these preventable deaths PASADENA, Calif., Aug. 16, 2023 /PRNewswire/ -- Alexandria Real Estate Equities, Inc. (NYSE: ARE), the first, preeminent, longest-tenured and pioneering owner, operator and developer of collaborative life science, agtech and advanced technology campuses in AAA innovation cluster locations, is at the vanguard of the life science ecosystem that is committed to accelerating and advancing the development of much-needed therapies to more effectively treat mental health disorders and to raising awareness of the unprecedented mental health crisis that is affecting people of all ages and backgrounds. Alexandria's eighth social responsibility pillar, a prioritized focus for 20232024, is addressing this widespread crisis by leveraging the company's impactful thought leadership platform and longstanding relationships within the life science and healthcare communities to drive forward actionable solutions aimed at meaningfully improving the lives of the over 57 million adults and nearly 8 million youth living with a mental illness in the United States. Further, in 2022 suicide deaths in the United States reached an all-time high of nearly 49,500, according to recently released provisional data from the Centers for Disease Control and Prevention (CDC). There is immense need and potential to transform the way mental health conditions are treated and understood, and the work of Alexandria's tenants, venture investment companies and the broader life science industry is critical to reversing the trajectory of one of the country's most complex public health challenges. "The mental health crisis in this country is continuing to skyrocket, and the latest suicide data reported by the CDC reinforces why we cannot stand idly by and must take immediate action," said Joel S. Marcus, executive chairman and founder of Alexandria Real Estate Equities, Inc. and Alexandria Venture Investments. "Our nation has also been grappling with the ongoing opioid epidemic, which similarly drove us to act in 2017, when we forged a partnership with Verily to pioneer OneFifteen, a data-driven comprehensive care model for treating addiction. We remain unwavering in our commitment to helping people on their personal path to sustained recovery, and this dedication extends to helping people with mental illnesses get the support and care they need, just as we would with any other disease. These people are our family, our friends, our colleagues, and it is imperative that our national public health agenda promote comprehensive access to affordable and high-quality behavioral health care and that we continue to pursue the next generation of innovative psychiatric medicines to benefit society." Alexandria established its highly collaborative, neutral thought leadership platform in 2011 with the goal to drive impactful solutions to the most important issues around human health. The advances cultivated by this unique platform over the last 12 years have had a profound influence on many fields, including oncology, neuroscience and infectious diseases, and now the company is focused on mental health and addiction in the face of staggering social and economic impact on our country. In February 2023, Alexandria convened a mission-critical Healthcare Policy Forum on Mental Health in partnership with former congressman Patrick J. Kennedy and The Kennedy Forum. The interactive forum catalyzed transformative conversations around major challenges and new approaches for treating mental illnesses, as well as ways to normalize the national dialogue on mental health and addiction and increase access to care and treatment. To build on the momentum of Alexandria's mental health policy forum, the company has assembled a working group dedicated to advancing the development of more effective targeted therapies for mental health disorders, with an emphasis on depression. This highly curated group consists of influential life science thought leaders with decades of experience across biopharma, government, academia, medicine, non-profits and venture capital. Alexandria is proud to partner with this esteemed group to drive forward medical innovations to create better outcomes for patients with mental illnesses and explore opportunities for public-private partnerships aimed at targeting the treatment of depression in a more personalized and precise way. About Alexandria Real Estate Equities, Inc. Alexandria Real Estate Equities, Inc. (NYSE: ARE), an S&P 500 company, is a best-in-class, mission-driven life science REIT making a positive and lasting impact on the world. As the pioneer of the life science real estate niche since our founding in 1994, Alexandria is the preeminent and longest-tenured owner, operator and developer of collaborative life science, agtech and advanced technology campuses in AAA innovation cluster locations, including Greater Boston, the San Francisco Bay Area, New York City, San Diego, Seattle, Maryland and Research Triangle. The trusted partner to approximately 825 tenants, Alexandria has a total market capitalization of $30.6 billion and an asset base in North America of 74.9 million SF as of June 30, 2023, which includes 41.1 million RSF of operating properties and 5.3 million RSF of Class A/A+ properties undergoing construction, 9.4 million RSF of near-term and intermediate-term development and redevelopment projects and 19.1 million SF of future development projects. Alexandria has a longstanding and proven track record of developing Class A/A+ properties clustered in life science, agtech and advanced technology campuses that provide our innovative tenants with highly dynamic and collaborative environments that enhance their ability to successfully recruit and retain world-class talent and inspire productivity, efficiency, creativity and success. Alexandria also provides strategic capital to transformative life science, agrifoodtech, climate innovation and technology companies through our venture capital platform. We believe our unique business model and diligent underwriting ensure a high-quality and diverse tenant base that results in higher occupancy levels, longer lease terms, higher rental income, higher returns and greater long-term asset value. For more information on Alexandria, please visit www.are.com. Forward-Looking Statements This press release includes "forward-looking statements" within the meaning of Section 27A of the Securities Act of 1933, as amended, and Section 21E of the Securities Exchange Act of 1934, as amended. Such forward-looking statements include, without limitation, statements regarding Alexandria's social responsibility initiatives, practices, investments and progress, the likelihood of continued support, investment and partnership by Alexandria and the potential impacts of such activities on Alexandria's business and tenants, the companies and non-profit organizations in which Alexandria invests or with which Alexandria has strategic relationships, therapies for mental health disorders and the country's mental health crisis. These forward-looking statements are based on Alexandria's present intent, beliefs or expectations, but forward-looking statements are not guaranteed to occur and may not occur. Actual results may differ materially from those contained in or implied by Alexandria's forward-looking statements as a result of a variety of factors, including, without limitation, the risks and uncertainties detailed in its filings with the Securities and Exchange Commission. All forward-looking statements are made as of the date of this press release, and Alexandria assumes no obligation to update this information. For more discussion relating to risks and uncertainties that could cause actual results to differ materially from those anticipated in Alexandria's forward-looking statements, and risks and uncertainties to Alexandria's business in general, please refer to Alexandria's filings with the Securities and Exchange Commission, including its most recent annual report on Form 10-K and any subsequently filed quarterly reports on Form 10-Q. CONTACT: Sara Kabakoff, Senior Vice President Chief Content Officer, (626) 788-5578, [email protected] SOURCE Alexandria Real Estate Equities, Inc. WASHINGTON, Aug. 16, 2023 /PRNewswire/ -- The American Land Title Association (ALTA) Good Deeds Foundation, the registered 501(c)(3) charitable organization founded by ALTA, the national trade association of the land title insurance industry, has awarded a $10,000 emergency grant to the Hawaii Community Foundation's Maui Strong Fund. The fund is providing financial resources that can be deployed quickly, focusing on rapid response and recovery for the recent devastating, deadly wildfires on the island of Maui, Hawaii. ALTA Good Deeds Foundation is the 501(c)(3) nonprofit organization of the American Land Title Association. Working quickly, the Maui Strong Fund already has granted more than $4.3 million so far to organizations such as the American Red Cross (Pacific Islands Region), which is focusing on sheltering people who have been displaced by the fires; the Family Life Center, which is providing shelter services in Kahului, Hawaii, and is at capacity; Maui Economic Opportunity, which is securing transitional housing for those affected by the Maui fires; and many more. The ALTA Good Deeds Foundation Board awarded the emergency grant to aid in any capacity as needed following the Maui fires, which are responsible for the deaths of more than 100 people and the displacement of more than 1,400 others. "ALTA and its members are grieving for our family, friends and communities impacted by the tragedy in Maui," said ALTA CEO Diane Tomb. "An ALTA member on the ground told the association that they are seeing the 'grace and kindness people can muster in the midst of trauma.' This emergency grant from the ALTA Good Deeds Foundation will help facilitate the critical work being done in direct response to Maui's needs." "Our thoughts and prayers are with all of the people on the island of Maui, especially those who lost or are missing a loved one or no longer have a home or business," said Foundation Board Chair Mary O'Donnell, president and CEO of Westcor Land Title Insurance Co. in Maitland, Fla. "The devastation created by the Maui wildfires will last for years. With the ALTA members' support of the ALTA Good Deeds Foundation, we are able to assist with basic needs and hopefully the recovery of a paradise." Since October 2020, the ALTA Good Deeds Foundation has awarded $739,000 in grants to 125 community nonprofits in 41 states and the District of Columbia. About the ALTA Good Deeds Foundation Founded in 2020 by the American Land Title Association, the ALTA Good Deeds Foundation is a 501(c)(3) nonprofit. Its mission is to support the charitable efforts of title professionals as they work to build and strengthen their local communities and exemplify the title industry's values of We Lead, We Deliver, We Protect. About ALTA The American Land Title Association, founded in 1907, is the national trade association representing the land title insurance industry, which employs more than 120,000 people working in every county in the United States. Contact: Megan Hernandez Office: 202-261-0315 Email: [email protected] SOURCE American Land Title Association ST. LOUIS, Aug. 16, 2023 /PRNewswire/ -- Anheuser-Busch and its wholesaler partners are teaming up with the National Volunteer Fire Council (NVFC) for the fifth consecutive year to donate emergency drinking water to local fire departments nationwide. This summer, more than 54,000 cans will be donated to 23 fire departments across Michigan to help them prepare for and respond in times of crisis and long incident at home. Firefighters and first responders in our communities rely on clean drinking water to stay hydrated in times of need; yet volunteer fire departments often lack the budget and resources to supply. The water donations to Michigan fire departments are designed to deliver on this critical need, as well as to support the communities where Anheuser-Busch's customers and employees live and work. "Firefighters rely on clean drinking water to stay hydrated and safe while suppressing fires and assisting with emergencies in our communities," said Brad Axtell, Chief of Venice Township Fire Department. "We thank Anheuser-Busch, the NVFC and Fabiano Brothers for helping supply our stations and many more across Michigan with these resources." Now in its fifth year, the partnership between Anheuser-Busch and the NVFC is expanding its reach to provide 2.5 million cans more than ever before to over 600 volunteer fire departments (VFDs) across 47 states, with a goal of reaching more than 1,000 VFDs before the end of the year. The initiative's expansion represents a significant evolution in the brewer's 30-year-strong commitment to disaster relief, as well its deep appreciation for the first responders who are keeping their communities safe. "Showing up for our communities and first responders has long been part of Anheuser-Busch's legacy that's who we are. We're proud to continue building on that tradition by working with our wholesaler partners and NVFC to support our neighbors, friends, and families," said Cesar Vargas, Chief External Affairs Officer at Anheuser-Busch. "Emergency drinking water is critical for firefighters' safety and ability to respond to crises at home each year," said NVFC Chair Steve Hirsch. "We appreciate Anheuser-Busch's increased commitment to supplying our nation's fire service with this much-needed resource and for their continued partnership in keeping our communities safe." In Michigan, the donations of emergency drinking water will be made to 23 volunteer fire departments including Bear Lake Township Fire Department, Hudson Fire Department, Mendon Fire Department, Perry Area Fire Rescue, and South Torch Lake Fire District. Since 2019, Anheuser-Busch and its national network of independent wholesalers including local partners, Bud Distributing, Daniel L Jacob & Co., Fabiano Brothers, Griffin Beverage Company, H. Cox & Son, Main Beverage Company, Marchetti Distributing Co., Smith Distributing Company, Tyler Sales Company, and West Side Beer Distributing have partnered with the NVFC to donate more than 8 million cans of clean, safe drinking water to more than 1,400 fire departments across 49 states to support disaster response needs. "At Fabiano Brothers, we take pride in giving back to the communities we serve. That's why we're honored to join forces with Anheuser-Busch and the NVFC to help deliver over 50,000 cans of drinking water to Michigan fire and EMS stations," said James C. Fabiano II, President of Fabiano Brothers, Inc. "Because of their commendable service, we can all feel safer at home." The emergency drinking water is sourced from Anheuser-Busch's breweries in Cartersville, Georgia and Fort Collins, Colorado which periodically pause beer production to can clean, safe drinking water to support disaster relief efforts in partnership with the American Red Cross and NVFC. Since the creation of its emergency drinking water program in 1988, Anheuser-Busch has donated more than 90 million cans of clean drinking water to U.S. communities affected by natural disasters and other crises. Learn more about the Emergency Drinking Water for Wildland Firefighters Program at www.nvfc.org/water. ABOUT ANHEUSER-BUSCH At Anheuser-Busch, our purpose is to create a future with more cheers. We are always looking to serve up new ways to meet life's moments, dream big to move our industry forward, and make a meaningful impact in the world. We hope to build a future that everyone can celebrate, and everyone can share. For more than 165 years, Anheuser-Busch has carried on a legacy of brewing great-tasting, high-quality beers that have satisfied beer drinkers for generations. Today, we own and operate more than 120 facilities, including breweries, wholesaler distribution centers, agricultural facilities and packaging plants, and have more than 18,000 colleagues across the United States. We are home to several of America's most loved beer and beyond beer brands, including Michelob ULTRA, Cutwater Spirits, Stella Artois, Budweiser and Bud Light as well as a number of regional brands that provide beer drinkers with a choice of the best-tasting craft beers in the industry. From responsible drinking programs and emergency drinking water donations to industry-leading sustainability efforts, we are guided by our unwavering commitment to supporting the communities we call home. For more information, visit www.anheuser-busch.com or follow Anheuser-Busch on LinkedIn, Twitter, Facebook and Instagram. ABOUT THE NATIONAL VOLUNTEER FIRE COUNCIL The National Volunteer Fire Council (NVFC) is the leading nonprofit membership association representing the interests of the volunteer fire, emergency medical, and rescue services. The NVFC serves as the voice of the volunteer in the national arena and provides critical advocacy, resources, programs, and education for first responders across the nation. Learn more at http://www.nvfc.org/. SOURCE Anheuser-Busch Assembly Ventures has built the first transatlantic venture capital platform to invest in cutting-edge technologies in the mobility sector. Founded by trailblazers in the mobility sector with strong operational and investment credentials, this brings total assets under management on the platform to $94 million after closing. after closing. Substantial investment potential seen in mobility technologies is driven by a shift in Western industries' and governments' approach to rebalance their technological dependencies on China . . Focused investment thesis validated by a broad range of top tier corporate investors, family offices, institutional investors, private investors and organizations of strategic relevance across the U.S. and Europe . DETROIT, Aug. 16, 2023 /PRNewswire/ -- Assembly Ventures ["Assembly"], the first early-stage transatlantic venture capital platform investing in cutting edge technologies across the mobility sector, today announced the successful closing of their inaugural $76 million Assembly Ventures Fund I ["Assembly I" or "the fund"]. Focused on series seed, A and B investments, Assembly is committed to strategically supporting outstanding entrepreneurs driving transformative innovations across land, air, sea, and space. The successful fundraise reflects Assembly's strong credentials as a world-class team , guided by a focused investment philosophy and founded in 2020 by experienced mobility investors and operators Chris Thomas , Jessica Robinson , and Felix Scheuffelen . Assembly recognizes substantial investment potential in the U.S. and Europe, especially in areas such as battery technology, clean energy solutions, climate tech, supply chain optimization, and automotive production. This is driven by a shift in many Western governments' and industries' approach to rebalance their technological dependencies, and as a result, the strengthening of public sector industrial policy to support critical investment. Chris Thomas, co-founder and partner at Assembly Ventures said, "We believe that the world is on the cusp of a new geopolitical era where the West will increasingly choose to de-risk its heavy reliance on China. In the coming decades, we anticipate a radical reshaping of economies, especially in automotive and mobility technology across air, land, sea, and space, along with their respective supply chains. We look at the world through this lens to anticipate and identify which technologies are critical, unique, and capable of generating exceptional value for our investors. By strategically investing in these technologies, and helping our portfolio companies to scale, we aim to play a pivotal role in moving the world towards efficiency, sustainability, growth, and freedom." With $94 million in assets under management, Assembly has benefited from its unique position with access to dealflow and thought-leaders in the industrial and innovation capitals of the Western world, including Detroit, Silicon Valley, Berlin. With the successful closing of the fund, Assembly Ventures is in the process of opening an office in the German capital to advise on European investments. To date, it has announced several investments following their Mobility 4.0 thesis , including Metropolis Technologies , NAVIT , Our Next Energy (ONE) , and Sortera . "Selecting investors with a shared vision and values is one of the most important and foundational decisions a new company can make," said Mujeeb Ijaz, CEO & Founder of ONE. "As an early-stage investor, Assembly Ventures has used their team's business relationships, sector knowledge, and operations expertise to help ONE grow efficiently and scale its manufacturing ecosystem here in Michigan." Amidst a declining global venture market, which saw only 16 first-time funds secure new capital in Q1 2023 in the U.S. compared to 181 in 2022 and 363 in 2021*, the closure of this fund further underscores the Assembly team's resilience. Despite weaker global economic growth, investors' appetites for unique mobility technologies continue to grow, validating the firm's investment strategy. Assembly's investors include strategic corporates such as Arbor Bancorp Inc., CRONIMET, MANN+HUMMEL, Renaissance Global Logistics, Stellantis Ventures, Vontier, and WF Whelan, and a long list of individual investors in the U.S. and Europe including Wolfgang Bernhart, Matt Cullen, Calvin Ford, Dan Gilbert (DVP), Philipp von Hagen, Joe Hinrichs, Karl Iagnemma, Jody Kelman, Tim Lalonde, Kathleen Ligocki, John Moavenzadeh, Stephen Polk, Tony Posawatz and Dug Song. Additional leading strategic entities, a pension fund, and individuals with deep expertise in automotive, mobility, energy and infrastructure are also part of Assembly's investor base. *Source: Pitch Book & NVCA: Venture Monitor 2023 Q1. For more info, visit www.assemblyventures.com Contact: [email protected] Logo - https://mma.prnewswire.com/media/2184073/Assembly_Ventures.jpg SOURCE Assembly Ventures LLC Tuesday, August 29th, 2023 | 12:00pm PDT LOS ANGELES, Aug. 15, 2023 /PRNewswire/ -- On Tuesday, August 29th at noon PDT, John Moran Auctioneers will present their Summer Art + Design sale. The auction, having more than 300 lots, will feature an array of styles and aesthetics from luminaries including Raimonds Staprans, Keith Haring, Alice Baber, James Siena, Richard Serra, Henrietta Berk, Max Klinger, Frederick Hammersley, Tarmo Pasto, and Invader. The decorative offerings boast works by Harrison McIntosh, Salvador Dali, James Nowak, and a favorite of the Los Angeles MidMod crowd, Malcolm Leland. Fabulous furniture designs by George Nakashima, Sam Maloof, Philip and Kelvin LaVerne, Paul Evans, Eames, Charles Hollis Jones, and multiple one-of-a-kind pieces by John Nyquist will round out the sale. Moran's will be offering works by Raimonds Staprans, Keith Haring, Alice Baber, Henrietta Berk, and Frederick Hammersley Tweet this Raimonds Staprans (b. 1926) "A Study of Down-Rolling Oranges with a Staid Neon Apple," 1995. Oil on canvas, 42" H x 46.75" H est $40,000-60,000. Moran's has been given another opportunity to offer works by Alice Baber (1928-1982), a major female figure of the Abstract Expressionist movement. In the past year, the auction house has continuously achieved phenomenal results for the artistincluding her top three world auction records! Featured in this collection are three works by Baber, with the collection's highlight, "The Door To The Gate To The Bridge," 1975, being offered at $50,000-70-000. "Blue Leaf to Shell" from the "Tragedy of Color Series," 1975, and "Red + Blue Deluge," both watercolors, will add to the breadth of Baber offerings with estimates ranging from $3,000-6,000. Raimonds Staprans (b. 1926), describes his paintings as "purely Californian." They each carry his signature palette of saturated blues, oranges, greens, and yellows, and all convey his style of color, light, geometry, and perception. This sale will feature, "A Study of Down-Rolling Oranges with a Staid Neon Apple," 1995, estimated $40,000-60,000. The painting exemplifies Staprans's distinctly Californian sensibility. His mastery as an artist has also been compared to Paul Cezanne, whose well-known still lifes emphasize the underlying geometric structure of objects arranged in compositions with slightly skewed perspectives. In the design category, collectors will definitely show enthusiasm for the multiple George Nakashima chairs. Come auction day, Moran's will offer a group of three "Conoid Lounge" chairs, originally designed in the early 80s, with a $8,000-12,000 estimate. There will also be a set of four Nakashima "New Chair" dining chairs, estimated $4,000-6,000, from his 1956 design. To learn more or request a free valuation, go to www.johnmoran.com. Contact: Brenda Smith, John Moran Auctioneers brenda@johnmoran.com SOURCE John Moran Auctioneers MYRTLE BEACH, S.C., Aug. 16, 2023 /PRNewswire/ -- Brittain Resorts & Hotels is thrilled to announce its recent recognition as the only hospitality management company named one of the "Best Places to Work in South Carolina for 2023." This prestigious award highlights the company's unwavering commitment to fostering a positive and enriching work environment for its team members. The Best Places to Work in South Carolina award is a testament to Brittain Resorts & Hotels' dedication to creating a workplace that prioritizes team member well-being, professional growth, and a strong sense of community. With a deep understanding that happy team members lead to exceptional guest experiences, the company has consistently invested in initiatives that promote a healthy work-life balance, open communication, and a culture of inclusivity. "We are extremely proud to receive this esteemed award, which underscores our ongoing efforts to provide our team members with a fulfilling and supportive work environment," said Melissa Bilka, Vice President of Team Member Services at Brittain Resorts & Hotels. "Our team members are the heart and soul of our company, and we are deeply committed to fostering a workplace where they can thrive both personally and professionally." Brittain Resorts & Hotels' dedication to associate development is exemplified through its comprehensive training programs, mentorship opportunities, and career advancement pathways. The company believes in recognizing and celebrating the unique talents and contributions of each team member, encouraging a collaborative and innovative atmosphere. The award also reflects Brittain Resorts & Hotels' commitment to giving back to the local community through various charitable initiatives and volunteer programs. The company's core values of integrity, respect, and excellence extend beyond its guest services and into its relationships with employees and the broader community. Brittain Resorts & Hotels (BRH) is a full-service hospitality management company providing multi-layered expertise in all disciplines of hotel and resort operations. Since 1943, BRH has been enriching the lives of their team members, guests, partners, and the communities they serve in providing exceptional guest experiences, a supportive work environment, and superior returns. With over 4,000 rooms, suites, and condos in the portfolio, the company currently operates 20+ hotels and resorts and 40+ restaurants & bars within the United States. For more information on Brittain Resorts & Hotels, visit BrittainResorts.com. Media Contact: Patrick Norton, Vice President of Sales & Marketing, (843) 282-7359, Patrick.norton@brittainresorts.com SOURCE Brittain Resorts & Hotels The Brand to Host "Wonders Await at La Casa Brugal," an Immersive Event Reminiscent of a Hill Country Retreat, in San Antonio, Houston and Austin HOUSTON, Aug. 16, 2023 /PRNewswire/ -- Brugal 1888, the renowned ultra-premium double-aged rum produced in the Dominican Republic, announces its expansion into the Lone Star State. Rum continues to rise as one of the most popular spirits in Texas and Brugal 1888's presence aims to set a new standard for ultra-premium spirits in the market. To celebrate Brugal's debut in Texas, the brand will host 'Wonders Await at La Casa Brugal', an immersive event that invites guests into the Brugal family's version of a Dominican Hill Country retreat, where traditional Dominican culture meets warm Texan hospitality. Brugal 1888 Rum Debuts in Texas "We eagerly anticipate sharing the rich heritage and culture of our ultra-premium rum with the Texas community," said Brugal 1888 Maestra Ronera Jassil Villanueva Quintana. "Known for its profound depth and complexity of flavors, Brugal strikes the perfect balance of versatility and sophistication. It delivers a smoothness that makes it the ultimate spirit for savoring neat or enhancing the full essence of spirit-forward cocktails. I personally love to enjoy our rum while spending time with family and friends or to celebrate life's big moments and I am confident that Brugal will be just as loved in Texas as it is in my home in the Dominican Republic, Puerto Plata." "Wonders Await at La Casa Brugal" will take you inside Don Andres Brugal's Hill Country home away from home for an immersive, multi-space sensorial experience. The event series is scheduled to make appearances in three Texas cities over the upcoming months, with the first taking place this August in San Antonio, in honor of National Rum Month. The night begins with a welcome drink that reenacts how Brugal Maestros would historically greet travelers once they arrived in the Dominican Republic. Following the warm welcome is a journey into the magical aging warehouse for a sensory-driven, guided tasting session that brings to life the influence that Brugal's hand-selected barrels have on the ultra-premium rum. The evening then concludes at La Reunion Intimate in the Salon Bar a recreation of Don Andres' favorite spot in his home where family and friends alike would come together for one final toast. The signature Brugal experience first debuted in Miami in August 2022 and the most recent 'Wonders Await at La Casa Brugal' event took place in New York City in March 2023. Mark your calendars and prepare to be enthralled by the essence of La Casa Brugal as it makes its tour through three iconic Texan destinations: Stop 1: San Antonio, TX at Hotel Havana on August 15-18, 2023 . Click here to RSVP at Hotel Havana on . Click here to RSVP Stop 2: Houston, TX at The Houstonian on September 20-23, 2023 . Click here to RSVP at The Houstonian on . Click here to RSVP Stop 3: Austin, TX with location and dates TBD. "Our goal is to integrate our cherished family history and traditions of the Dominican Republic with Texan culture through La Casa Brugal," continued Brugal 1888 Maestra Ronera, Jassil Villanueva Quintana. "This extraordinary experience will transport consumers to the very origins of our founder and my great-great grandfather, Don Andres Brugal, where you'll discover the artistry behind crafting and aging our rum in the finest hand-selected casks over the past five generations." Settling in the Dominican Republic after journeying from Spain and Cuba, Don Andres Brugal founded Brugal in 1888 with his sons. They cultivated sugar cane first and then began to distill rum, harnessing the warmth of the tropical sun to cask-age and imbue their spirit with rich complexity and flavor. Don Andres set sail once again to share the very best of Dominican rum with the world, and each successive generation of Maestros has since followed in his footsteps. They mastered the nuanced art of cask selection and ageing, which led to the brand's signature double ageing technique and use of ex-Bourbon and Oloroso sherry casks. For more than 135 years, each generation of Brugal family Maestros have played an essential role in carrying out the brand's legacy and passion for rum-making. Just like her predecessors, the brand's current rum master and fifth generation Maestra Ronera, Jassil Villanueva Quintana has dedicated herself to creating truly exceptional rums. Jassil was recognized as the youngest female rum master in the world, by World Record Academy in 2015. Brugal 1888 is produced via a double-aging process, which is unique for rum. It is first aged in ex-bourbon casks for up to eight years and is then extracted and given a new home in hand-selected, first-fill Oloroso Sherry casks. This process is what renders a phenomenal balance of smokiness and full mouth feel of Brugal 1888, which is difficult to replicate with any other rum in the world. On the nose, Brugal 1888 offers a refined sweetness of red and dried fruits, raisins and dates, which complement a spicy woodiness with hints of cinnamon. On the palate, the liquid is well-rounded and smooth with body and character, with a perceived sweetness of toffee and vanilla balanced with peach, cocoa and cloves. The aftertaste is remarkably long-lasting and elegant with a hint of honey. Brugal 1888 is the ideal rum to share with friends and family, present as a housewarming gift or to sip by the pool or while spending time with loved ones. For more information and to stay tuned for updates on Brugal events in Texas, please visit: https://www.brugal-rum.com/en-us/our-rum/brugal-1888. ABOUT BRUGAL: Home to 134 years of rum mastery, Brugal is an award-winning premium rum producer from the Dominican Republic. An esteemed portfolio of high-quality, top shelf aged rums tells the story of five generations of liquid mastery that began in 1888 by legendary founder Andres Brugal. After traveling from Spain and Cuba, Don Andres and his family settled in Puerto Plata and began distilling a uniquely light and pure spirit, harnessing the warm, tropical sun to imbue their spirit with complexity and flavor to create elegant, aromatic and smooth Dominican rum. Since its foundation, Brugal's cherished traditions and production expertise has been passed down through five generations of Maestros Roneros, each continuing to innovate. This mindset ensures that each expression remains of the highest quality, yet relevant through the decades. Over 130 years later, the legacy of Brugal continues to bring out the very best in our rum. ABOUT EDRINGTON: Edrington's vision is to give more by crafting exceptional ultra-premium spirit brands. Edrington owns some of the leading Scotch whisky and rum brands in the world, including The Macallan, Brugal Rum, Highland Park, The Glenrothes, The Famous Grouse, Naked Malt and Noble Oak bourbon. Edrington also has strategic partnerships with No.3 London Dry Gin and Wyoming Whiskey in the American Whiskey category. Edrington is headquartered in Scotland and employs over 3,500 people in its wholly owned and joint venture companies, with over 70% employed overseas. We own our route to market in 16 countries and distribute our brands to more than 100 countries around the world through joint ventures and third-party agreements. Edrington's principal shareholder is The Robertson Trust, which has donated over 320 million to charitable causes in Scotland since 1961. Our business is underpinned by the Edrington values of giving, respect, integrity and excellence. MEDIA CONTACT: QUINN PR [email protected] SOURCE Brugal News / Local by Staff reporter Leading political analyst Professor Jonathan Moyo has advised the opposition to request the polling station-based voters' roll from the Zimbabwe Electoral Commission (ZEC) as that is the official record used by the commission to conduct an election.Despite the fact that ZEC can combine the national and constituency voter rolls, Prof Moyo said under Section 20 (4a) of the Electoral Act, these cannot be utilised for elections because they are administrative or academic instruments.Prof Moyo said this while discussing the voters' roll, and its evolution in the context of comparative practices at the Morning Asakhe Show hosted by CITE on the X platform on Monday."I have seen some discussion saying a national voters' roll is very important but the polling station voters' roll is extracted from the constituency, ward and national voters' roll," he said.Prof Moyo advised having a ward consolidated voters' roll, which includes the entire number of polling stations in a ward.The national voters' roll, he claims, is also useless to the voter, who, according to Section 67 of Zimbabwe's Constitution, is the most important person in an election, whereas the polling station voters' roll was created for the voter's convenience."Attention on the polling station voters' roll is on the voter, as the voters' roll is now decentralised to the village in rural areas and to the streets in urban areas unlike the constituency voters' roll which has huge numbers," Prof Moyo explained.According to the scientist, contrary to common belief, a voter's roll was not more important than the election itself but the materialisation of political rights."The right to vote fueled the liberation struggle," Prof Moyo said as he unpacked the political rights of people to be able to vote and enjoy that right."A voters roll is not an election," he added, but an administrative instrument for giving effect to the right to vote.Prof Moyo remarked that in 1980, Zimbabweans voted in an election without the voters' roll."Zimbabwe's first election was historic and most significant because it was held without a voters' roll for the indigenous population/Black majority. We fought for it, won it and enjoyed that right without a voters' roll," he said.In 1980, Blacks voted for the 80 common roll seats in Parliament allotted for them, while Whites voted for the 20 special roll seats.At that time, Prof Moyo stated Blacks demonstrated citizenship by voting with national ID cards."This is a story that needs to be told and celebrated, as we often don't reflect on historic experiences. We had a great historic start, organising and running an election in 1980 without a voters roll," he said.However, in 1985, Zimbabwe copied' what was happening in other independent African countries by adopting what Prof Moyo described as a "colonial electoral system based on winner-take-all, first-past-the-post constituency elections.""The 80 seats in Parliament became 80 constituencies and the worst that happened fueled by one party sentiment of the day was the introduction of the constituency-based voters' roll that came with qualifications, which excluded Blacks," he said.Prof Moyo declared the constituency-based voters' roll had been perfected in 1990, when the bicameral house, special white seats were abolished and replaced with a new unicameral house with 150 members, 120 from constituencies, 80 governors, 10 chiefs, and 12 non-constituency MPs appointed by the president."Under this constituency-based voters' roll which started in 1985 and found greater expression in 1990, three problems arose that led to where we are today," said Prof Moyo.The constituency-based voters' roll caused three problems: it allowed multiple voting because constituencies had many polling places with identical copy of the voters' roll for residents in that constituency.This meant that a person might vote again at a different polling site.Two, the constituency-based voters' roll enabled the development of ghost polling stations, where ghost voting by ghost voters at a false polling station allowed for ballot stuffing and rigging.Three, which Prof Moyo described as a "persistent and very serious problem," was the then-Registrar-General, Tobaiwa Mudede, was accused of creating methods of manipulating the voters' roll to benefit Zanu-PF.These concerns, according to Prof Moyo, became a focal point between Zanu-PF and the MDC during the 2007 SADC Thabo Mbeki-mediated reform discussions, which resulted in one of the first substantial reforms ahead of the 2008 election.Zimbabwe removed the use of constituency voters' rolls for election purposes by legislation and replaced them with ward voters' rolls, however, the 2008 election was inconclusive.However, according to the scientist, the ward-based voter roll did not solve the three difficulties outlined above."Fortunately after the 2008 election, there was the Global Political Agreement GPA), where the MDC in its two formations (MDCT and MDC) defeated the ruling and won Parliament for the first time.The Government of National Unity (GNU) produced a new constitution under COPAC where the ward-based voters' roll was abolished, which had lived for one term in favour of a polling station-based voters roll," Prof Moyo said."The polling station-based voters roll only had your name only at one polling station which was a significant and important development, one that is progressive and should be celebrated as a major achievement but must be perfected." TAMPA, Fla., Aug. 16, 2023 /PRNewswire/ -- Capital Edge Consulting, Inc., the nation's leading independent federal contract and grants compliance consulting firm, is proud to announce its latest expansion with the opening of a new office in Tampa, Florida. Already equipped with a satellite team of professionals in Florida, the opening is a significant milestone in the company's continued growth and strategic efforts for future expansion. Located in Tampa's bustling business district, the new location and daily management of the office is being led by Director Alex Hernandez, with executive support from Managing Partner Sean O'Connor. "This strategic expansion is made possible by our amazing clients and our dedicated team of experts who have enabled this next step of our long-term growth plan," says Mr. Hernandez. In addition to our physical expansion, Capital Edge welcomed ten new team members to the firm in Q2 of 2023. This influx of talent is a testament to the company's commitment to expanding its reach across the nation and broadening its team of federal contracting and grants compliance experts. "Our success as a consulting firm relies on the subject matter expertise and commitment of our team members. We are proud to welcome aboard this talented group of individuals who further enhance and amplify our client-focused service offerings," said Mr. Hernandez. "With further support from Partner Paul Bailey and Director Eric Hayman, who have been fundamental to our growth within the region, Capital Edge's longstanding presence in Florida is only strengthened by the addition of our new office and team members", said Mr. O'Connor. "We are thrilled to have the opportunity to open our first office in the Southeastern region. We look forward to forging new partnerships and making a positive impact on businesses, organizations and the community in the greater Tampa area." About Capital Edge Consulting, Inc. Capital Edge is the world's largest management consulting firm of its kind, advising federal contract and grant recipients in the navigation and management of regulatory compliance risk. Their team of highly experienced professionals provide regulatory compliance expertise to companies and organizations across all phases of the federal contract and grant lifecycle. Headquartered in the greater Washington, D.C. area, Capital Edge's services include strategic planning, contract and grant management, compliance, and training of federal contractors and grants recipients across all federal agencies and business sectors. For more information, visit us at: https://www.capitaledgeconsulting.com/ SOURCE Capital Edge Consulting Inc With seamless low-latency live streaming services and round-the-clock support, CDNetworks elevates 17LIVE's live streaming user experience to greater heights. SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- CDNetworks, an APAC-leading network to deliver edge as a service, proudly acknowledges its instrumental role in the ascent of 17LIVE, Asia's leading live streaming platform. The collaboration between the two companies, which began in 2016, underpins 17LIVE's evolution as today's leading live streaming platform in Japan and, soon, across Asia. With a wide range of content offerings that span interactive gaming, music, and art sharing, 17LIVE has become a major player in the live streaming industry since its inception in 2015. Its unique combination of low latency, high stability, and superior audiovisual presentation sets it apart from competitors, creating an immersive interactive experience for users. This exceptional user experience is closely tied to the robust CDN capabilities and Media Delivery technologies offered by CDNetworks. How CDNetworks Powered up 17LIVE's Live Streaming Business Enhance Global Network Infrastructure (High-performance Cross-border Streaming) With a mission "To empower human connections through live technology," 17LIVE dedicated itself, from day 1, to serving global users with an unparalleled experience. CDNetworks, with over 2,800 points-of-presence in more than 70 countries and regions around the world, provides 17LIVE with the high-quality CDN and streaming media distribution services it needs to deliver on this vision. Notably, in Asia, CDNetworks is recognized as the top-performing CDN provider, enabling 17LIVE's live streaming platform to offer exceptional service and gain a competitive edge right from the beginning. For regions such as China, that enforce unique internet policies, CDNetworks overcame cross-border latency issues and unstable connections through its China Premium Service. This service enables live streaming viewers and key opinion leaders (KOLs) to engage in seamless cross-country interactions without limitations. Enable Low Latency Streaming Unlike some other CDN providers, CDNetworks is one of the few that offers latencies as low as 3 seconds for live streaming platforms through its support of FLV large volume distribution. This protocol not only provides lower latency compared to HLS/DASH, RTMP, and other protocols. It also helps live streaming platforms avoid the high costs associated with using ultra-low latency technologies that are required only for live event broadcasting. It perfectly suits the round-the-clock continuous live streaming business of 17LIVE. 17LIVE's growing user base is evidence of how low latency in the live streaming arena can be critical to a company's success by unlocking 17LIVE's many interactive features. Currently, 17LIVE has successfully developed multiple apps, including video streaming, voice streaming, and e-commerce streaming, all built around low latency features. Create Immersive User Experiences To build a platform that delivers a truly immersive live streaming experience, 17LIVE leverages the various streaming media processing technologies provided by CDNetworks, including screenshot, recording, and storage, to further enhance user engagement on their platform. For example, through the screenshotting and recording features, the platform can set corresponding covers for channels and provide users with the ability to replay content, thereby increasing interactive communication on the platform. At the same time, CDNetworks also offers transcoding to adapt and transcode live streaming content based on different network environments and device terminals. This approach ensures seamless end-to-end interactive experiences for users. Boost Daily Operation Efficiency With the exponential increase in the number of users and KOLs, the 17LIVE platform was in dire need of finding an automated solution that could regulate and store the mounting amounts of real-time content that were being generated on a daily basis. To address this challenge, CDNetworks built an efficient moderation and storage mechanism using the aforementioned streaming technologies that helped 17LIVE reduce the manpower required to perform daily operations. In this way, 17LIVE's backend team could easily monitor the entire platform around the clock, utilizing AI-monitoring and machine-learning technologies to prevent any illegal and inappropriate conduct on its streaming platforms. Provide 24/7 Dedicated Support CDNetworks formed a dedicated work group to provide wide ranging services such as business analysis, instant message services, policies customization & optimization, configuration validation, emergency response, and proactive optimization. CDNetworks also arranged periodic business reviews that enabled 17LIVE to boost its key technology iterations. "The CDNetworks' media delivery solutions provided to 17LIVE can be described as all-weather, all-encompassing, and multi-dimensional. We are fortunate to have become a valued partner from the very beginning of 17LIVE and witness its growth into the Asia's leading live streaming platform. 17LIVE's ongoing success serves as a testament to CDNetworks' cutting-edge media delivery solutions in the industry." said Doyle Deng, Head of Global Marketing and Product at CDNetworks. Regarding this successful cooperation Andy Tseng, Sr. Engineering Director from 17LIVE commented, "CDNetworks has been our trusted growth partner since 2016. Their services continue to play a critical role that empower us to meet the increasing demands of our growing business year after year. Their robust content delivery network, media processing capabilities, and supporting services enable us to provide exceptional streaming experiences to our end users and ultimately become the largest live streaming platform in Asia." Looking ahead, CDNetworks and 17LIVE are committed to further enhancing the live streaming experience for users and expanding 17LIVE's global reach. Both companies have ambitious plans for innovation, content delivery, and audience engagement, with the goal of providing the best live streaming platform to global viewers. About CDNetworks As the APAC-leading network with over 2800 global Points of Presence and more than 20 years of technology experience, CDNetworks embraces the new era of Edge and takes it to the next level by using the Edge as a service to deliver the fastest and most secure digital experiences to end users. Our diverse products and services include web performance, media delivery, cloud security, zero trust security, and colocation services all of which are uniquely designed to spur business innovation. To learn more, visit cdnetworks.com and follow us on LinkedIn About 17LIVE 17LIVE (one seven live) is Japan's largest* live-streaming platform and is located in Tokyo, headed by Global CEO, Hirofumi ONO. With a mission to "Empower Human Connections through Live Technology", we aim to create a world where users can enjoy every moment of their lives on the seven continents under one sky. The platform reached 50 million registered users worldwide as of September 2021. For more information about the company, please visit https://jp.17.live/ *Data from App Annie on downloads and sales (January-December 2020) Media Contact CDNetworks Co. Ltd media@cdnetworks.com www.cdnetworks.com Logo - https://mma.prnewswire.com/media/1736512/LOGO_Logo.jpg SOURCE CDNetworks CROCKETT, Calif., Aug. 15, 2023 /PRNewswire/ -- Arias Sanguinetti attorneys filed a lawsuit against C&H Sugar Inc., located in Crockett, CA. The company has sparked an uproar in nearby residential communities as "rotten egg" odors plague homeowners, decreasing their quality of life. C&H Sugar Inc. operates a sugar refinery and wastewater treatment plant. According to the complaint, it releases noxious odors into the nearby community as a result of the waste sludge it produces called "mud". Mud releases large quantities of hydrogen sulfide into the atmosphere, which is highly odiferous; hydrogen sulfide gas is easily identifiable by its characteristic "rotten egg" smell. The complaint alleges that when the mud and other waste byproducts from the defendant's facility are combined, the results of the mixture include extremely noxious odors to escape and permeate the surrounding residential areas. There are more than 2,200 residential households located within one mile of the facility. Odor emissions have specifically prevented homeowners and community members from opening windows to get fresh air. They are also unable to enjoy their yards or decks because outdoor activities carry the risk of nausea from the smells. "It's inexcusable that community members have had to file more than 350 complaints to the Bay Area Air Quality Monitoring Division (BAAQMD) regarding the intense 'hydrogen sulfide' and 'sewage' odors. Until now, these unfortunate residents have had no recourse," said Mike Arias, Managing Partner of Arias Sanguinetti. According to the complaint, BAAQMD has issued a vast number of Notices of Violations ("NOV") to C&H Sugar Inc. for odor nuisance and facility violations between September 15, 2022 and December 14, 2022. The complaint also states that the invasion of the odor into nearby properties has adversely impacted the value of those properties, resulting in damages. "Crockett homeowners are essentially losing property value all the while C&H Sugar Inc. has made no attempts to alleviate the situation at hand," said Arnold C. Wang Partner at Arias Sanguinetti. "C&H Sugar Inc. is part of the community, and it cannot put profit over the wellbeing of other community members." Members of the public, including but not limited to businesses, employees, commuters, tourists, visitors, minors, customers, clients, and students, have also experienced and been harmed by the noxious odors emitted from the facility into public spaces. "My hope is that Crockett community members and homeowners find some relief from the diminished quality of life that they've experienced in the past few years due to the negligence of C&H Sugar Inc.," added Mr. Arias. "They should not feel confined to their homes without getting the basic human needs such as fresh air or the ability to step outside." The case is Freddy Gutierrez et al. v. C&H Sugar, USDC Northern District of California, Case No. 3:23-cv-03192. To read the complaint, click here. About Arias Sanguinetti Arias Sanguinetti is one of the premier class action law firms in the United States. The firm handles class actions across various practice areas and has a stellar track record of getting top results for its clients. This includes a $49 million settlement in a class action involving billing overcharges; a $42 million settlement in a class action involving "out of cycle" billing; a $38 million settlement in a class action involving undisclosed fees; and, a $32.5 million settlement involving undisclosed background check fees among many others. SOURCE Arias Sanguinetti MONTREAL, Aug. 16, 2023 /PRNewswire/ - CMC Electronics is pleased to announce a multi-year contract with Swiss-based Pilatus Aircraft Ltd. for the purchase of its cutting-edge avionics solutions for the PC-21 Next Generation Trainer. This contract includes the supply of the Head-Up Display (HUD) sub-system, the Flight Management System, and the GNSS receiver. The award follows the acquisition of additional PC-21 turboprop-powered advanced trainers by Spain, an order that will bring Spain's PC-21 fleet to 40 aircraft. Spanish Air Force Pilatus PC-21 (CNW Group/CMC Electronics) CMC's HUD sub-system comprises the SparrowHawk HUD, which features a comprehensive set of Up Front Control Panels (UGCP) in both the front and rear cockpits, along with a HUD camera. Additionally, the subsystem includes a HUD Symbol Generator and a Video Display Unit (VDU) specifically designed for the rear seat instructor. The SparrowHawk HUD is a compact unit with a wide 25-degree Total Field of View (TFOV) capable of seamlessly displaying both raster video and stroke symbology. CMC's highly versatile civil-certified flight management systems (FMS) are easy to install, integrate and configure. Their specialized functions reduce pilot workload during mission-critical operations, and their integrated radio management system optimizes size, weight, power and cost. CMC's FMS also comply with the latest required navigation performance (RNP) and satellite-based augmentation system (SBAS) approach standards. The CMA-5024 GNSS receiver offers Pilatus' aircraft a cost-effective ADS-B Out solution and a high performance SBAS navigation sensor for all phases of flight. Recognized for its quality, reliability, versatility, reputation, and proven track record, the receiver can be easily installed as a bolt-on solution with minimal aircraft modifications, requiring less than a day for installation. "CMC Electronics and Pilatus have had a longstanding collaboration spanning over two decades, with more than 300 HUD sub-systems as well as more than 500 FMS and 300 GPS already delivered to Pilatus. The selection of CMC Electronics for this contract demonstrates Pilatus' continued trust in CMC's avionics solutions," said Brad Nolen, Vice President of Sales and Marketing at CMC Electronics. CMC Electronics is dedicated to delivering cutting-edge avionics solutions, and this contract win further solidifies its position as a trusted provider in the industry. The company looks forward to delivering its high-quality avionics systems to support the operational needs of Pilatus and the Spanish Air Force's growing PC-21 fleet. About CMC Electronics CMC Electronics (www.cmcelectronics.ca) has achieved an international reputation for innovation and excellence in the design and manufacture of innovative cockpit systems integration, avionics, display solutions and high-performance microelectronics for the military and commercial aviation markets. Based in Montreal, Canada, the company also has facilities in the USA serving its customers worldwide. SOURCE CMC Electronics Funds will strengthen East Village Access, a psychiatric rehabilitation and treatment program serving New Yorkers living with mental health concerns NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Community Access , a New York City-based mental health nonprofit, received a grant from Affinity Legacy to support the organization's East Village Access (EVA) program. This long-running psychiatric rehabilitation and treatment program in the East Village supports adults in their recovery and life goal-setting using a PROS model (Personalized Recovery Oriented Services). EVA is a critical mental health program with an open-door policy: all are welcome, and EVA does not exclude participants based on insurance coverage or lack thereof. Many participants are un-housed, all live with psychosocial disabilities, and most have minimal treatment options because they often struggle with both substance use and mental health concerns. Participants from all five boroughs access EVA, but over the past two years, many come from zip codes hardest hit by COVID-19 in neighborhoods with poor mental health and treatment options and severe food insecurity. "Thanks to Affinity Legacy's grant, we're going to be able to continue to bring rehabilitation and support services to people no matter what their financial or insurance situation may be. By welcoming all, EVA ensures that no community members are left out and EVA's vital services are available to all who need them," said Cal Hedigan, Chief Executive Officer of Community Access. "Affinity Legacy is proud to partner with Community Access to provide meaningful, accessible mental health and addiction recovery services to those most vulnerable. The work of East Village Access is critical to building a strong community and is aligned with the values Affinity Legacy holds most dear: Fostering sustainable, quality health care for all," said Clara Hansen, Executive Director of Affinity Legacy. About Community Access Founded in 1974, Community Access is a provider of supportive housing and support services in New York City for people living with mental health concerns. Rooted in the simple truth that people are experts in their own lives, Community Access expands opportunities for people living with mental health concerns to recover from trauma and discrimination through affordable housing, training, advocacy, and healing-focused services. Community Access provides person-centered services that help people pursue goals that are important to them from gaining access to an affordable home, to learning new skills; from re-establishing community ties, to setting goals for the future. About Affinity Legacy, Inc. Affinity Legacy, Inc., is a Bronx based non-for-profit dedicated to building a lasting legacy of access to care that improves the health and well-being of the neediest New Yorkers. Guided by the near forty years of work of its predecessor organization, Affinity Health Plan, Affinity Legacy focuses on five pillars critical to strong communities: mental health, food security, incarcerated individuals and consumer health education / workforce advancement. Affinity Legacy supports community based programs in the five boroughs of New York City, as well as Nassau, Orange, Rockland, Suffolk, and Westchester Counties. SOURCE Community Access SCOTTSDALE, Ariz., Aug. 16, 2023 /PRNewswire/ -- Inc. magazine has named Sectyr, a leading provider of SaaS-based compliance software for healthcare, to the 2023 Inc. 5000 list. This is the second time that Sectyr has been recognized by Inc.; in 2022, Sectyr ranked among Inc. magazine's annual list of Best Workplaces. "I could not be more proud of our team for the hard work and dedication it took for us to be recognized as one of the fastest-growing companies in America," said James Lawson, founder and CEO. "Growing in this challenging environment really shows that our solutions are hitting the mark with our customers and providing a substantial benefit for them in maintaining Continuous Program Compliance." Sectyr's Software for Continuous Program Compliance informs users ranging from hospitals and health clinics to pharmacies and health networks about the real-time status of their compliance with an array of federal and state laws as well as professional and business licenses. "Running a business has only gotten harder since the end of the pandemic," says Inc. editor-in-chief Scott Omelianuk. "To make the Inc. 5000with the fast growth that requiresis truly an accomplishment. Inc. is thrilled to honor the companies that are building our future. The 2023 Inc. 5000 list is ranked according to percentage revenue growth. To qualify, companies must have been founded and generating revenue by March 31, 2019. They had to be U.S.-based, privately held, for profit, and independent--not subsidiaries or divisions of other companies--as of December 31, 2022. The 2023 Inc. 5000 list is at http://www.inc.com/inc5000 and includes company profiles and an interactive database. About Inc. Media The world's most trusted business-media brand, Inc. offers entrepreneurs the knowledge, tools, connections, and community to build great companies. Its award-winning multiplatform content reaches more than 50 million people each month across a variety of channels including websites, newsletters, social media, podcasts, and print. Its prestigious Inc. 5000 list, produced every year since 1982, analyzes company data to recognize the fastest-growing privately held businesses in the United States. The global recognition that comes with inclusion in the 5000 gives the founders of the best businesses an opportunity to engage with an exclusive community of their peers, and the credibility that helps them drive sales and recruit talent. The associated Inc. 5000 Conference is part of a highly acclaimed portfolio of bespoke events produced by Inc. For more information, visit www.inc.com. About Sectyr, LLC Healthcare and other regulated businesses rely on Sectyr's compliance management software platform SectyrHub to achieve and maintain Continuous Program Compliance with ever-changing regulatory requirements. SectyrHub's cloud-based solutions help manage: HRSA 330 grant and 340B drug discount programs; licenses and registrations; contracts; accreditations; incidents; continuing education; and HIPAA risk assessments. To learn more, visit www.Sectyr.com and follow us on LinkedIn. Media Contact: Bill Perry 716-652-1762 SOURCE Sectyr Agency to Launch Licensing Program for America's #1 Bubble Tea Brand LOS ANGELES, Aug. 16, 2023 /PRNewswire/ -- Cronus Global , an international brand licensing agency, has announced that it will be representing bubble tea giant, Kung Fu Tea , for food, beverage, and lifestyle licensing across all consumer products categories. The partnership is intended to tap into the growing $2.7 billion global bubble milk tea market by leveraging Kung Fu Tea's signature flavors like Kung Fu Tea Milk Tea, Cocoa Cream Wow, and Taro Slush within the food & beverage sector while developing licensed lifestyle products catering to the chain's dedicated fan base and bubble tea enthusiasts everywhere. (From left to right) Kung Fu Tea Signature Drinks: Matcha Red Bean Slush, Taro Milk Green Tea with Pudding, Kung Fu Tea Milk Tea with Bubbles, Cocoa Cream Wow with Oreo, Fruit Flurry with Berry Crystal Bubbles With over 370 locations in 38 states, Kung Fu Tea was awarded " Fastest Growing Franchises " by Entrepreneur Magazine and named Yelp's top 3 most loved brands in 2023 . The bubble tea chain has amassed 400K followers on Instagram, 285K followers on TikTok and generates 4.7M monthly impressions on both channels combined. A further indication of Kung Fu Tea's success is the 2M downloads of the company's app. "We've had numerous requests for Kung Fu Tea-branded lifestyle merchandise from our fans via social media and through our app," says Matthew Poveromo, Marketing Manager for Kung Fu Tea. "We also think the infusion of our signature bubble tea flavors into other foods and beverages will create unique and delicious-tasting product combinations like matcha green tea ice cream or brown sugar waffles. Our partnership with Cronus Global allows us to expand our licensed footprint and find new and creative outlets in which consumers can engage with and experience the Kung Fu Tea brand." According to Future Market Insights , the bubble tea market is anticipated to reach a high of $6.17 billion in 2033 with demand driven by Gen Z and Millennial purchases, support on social media channels like TikTok, and celebrity endorsements. The U.S. is projected to dominate the market with a growth rate of 12.4%. Bloomberg reports that the tapioca balls, commonly referred to as "Boba" in bubble tea, are now the US's largest food import from Taiwan. "Kung Fu Tea is the dominant brand in the rapidly growing U.S. bubble tea market due to its exceptional tasting bubble teas and outstanding customer service," says Kristin Calzada, President of Cronus Global. "We see a chance to bring Kung Fu Tea's signature drink flavors to other categories within the food and beverage aisle and also fill the gap in demand for lifestyle products like candles and scent-based items using the sweet, unique, and calming scents associated with Kung Fu Tea's popular line-up of bubble teas." About Kung Fu Tea: Founded in Queens, NY, on April 30, 2010, Kung Fu Tea is a made-to-order bubble tea company with over 370 locations across the U.S. Bubble tea (also known as pearl milk tea, boba milk tea, or simply boba), is a Taiwanese drink that mixes tea with milk/fruit and topped off with chewy tapioca balls, and over the past decade has exploded in popularity across the world. At Kung Fu Tea, each beverage is freshly handcrafted with authentic, high-quality ingredients. The brand's founders, baristas, and customers are Kung Fu Masters passionate, dedicated, and fearless in changing the face of the beverage industry. To learn more, please visit https://www.kungfutea.com/ or follow Kung Fu Tea's official social channels: Instagram and TikTok. About Cronus Global: Cronus Global is bringing a new level of service to the Licensing Industry by delivering targeted business materials like customized product pitches and tapping into white-space categories to increase licensing opportunities for its clients. Cronus Global believes that through an effective mix of collaborations, product licensing, brand partnerships, and direct-to-retail initiatives that clients are able to achieve optimal exposure for their brands while maximizing consumer touchpoints. The company's tag line: "It's About TIME" speaks also to Cronus Global's focus on creating time-saving brand management solutions so that its clients can get back to the business of doing business. The company is headquartered in the Los Angeles, CA area. To learn more about Cronus Global, visit https://www.cronusglobal.com/. Media Contact: Company: Biztopia Partners Contact: Jill Rose, APR Email: [email protected] Tel: (316) 213-8789 SOURCE Cronus Global Gen AI will significantly speed up how Culture Amp's customers respond to workforce feedback at scale, while safeguarding employees from bias and privacy risks MELBOURNE, Australia, Aug. 16, 2023 /PRNewswire/ -- Google Cloud today announced that employee experience platform Culture Amp will use Google's Vertex AI as the foundation of its generative AI solutions, following a successful pilot that could save HR professionals hundreds of hours normally spent analyzing employee feedback. Culture Amp will use Vertex AI to train, model, and fine-tune its generative AI capabilities, underpinning efforts to combine highly accurate and actionable results from employee data with full transparency into how AI derives its conclusions. "Our multi-year journey with AI and machine learning has shown us that you can't take a short-term, opportunistic approach to this technologyyou need a rigorous operating model and ethical principles to create value in a way that doesn't endanger your customers or their employees' wellbeing," said Doug English, Co-Founder and Chief Technology Officer, Culture Amp. "Google Cloud's technical capabilities and commitment to responsible AI gave us the confidence to make it the foundation for building trustworthy and transparent generative AI functions within our Employee Experience Platform that keep humans firmly at the center of employee experience." The first of Culture Amp's generative AI capabilities will include features that summarize up to tens of thousands of employee survey comments into topics and actionable insightsautomating a process that typically takes HR administrators of medium-to-large organizations up to hundreds of hours to complete. HR Administrators using these functions retain full oversight of which employee feedback traces back to the summarized insights produced by Vertex AI, allowing them to correct the results for potential bias and organizational context while maintaining the anonymity of employees' responses. "Capabilities like summation of comments allow our customers to respond to employee feedback at scale in as close to real time as possible. This bolsters employee confidence in their employers to act on workplace issues in a timely and decisive fashion," said English. "The training and modeling capabilities that Vertex AI provides help us ensure such solutions lead to a much more intuitive and responsive employee experience, without negating HR's expertize in negotiating complex workforce dynamics or undermining people's trust in their employers." Google Cloud's generative AI security architecture ensures customer data remains with Culture Amp, and its open architecture will also support Culture Amp's efforts to involve customers in co-creating future generative AI functions for its platform. "We're proud to support Culture Amp in its pursuit of massive value creation for, and with, employers and their employees using generative AI. We're excited to work with the company to apply our testing and modeling environments to bring responsible, well-governed AI development into the mainstream," said Alister Dias, Vice President of Google Cloud Australia & New Zealand. "Vertex AI allows our customers to always tap into the latest and most powerful development tools on the market, while maintaining full control over access and privacy for sensitive employee data." About Google Cloud Google Cloud accelerates every organization's ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google's cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. About Culture Amp Culture Amp revolutionizes how over 25 million employees across 6,500 companies create a better world of work. As the global platform leader for employee experience, Culture Amp empowers companies of all sizes and industries to transform employee engagement, develop high performing teams, and retain talent via cutting-edge research, powerful technology, and the largest employee dataset in the world. The most innovative companies across the globe, such as PwC, KIND, SoulCycle, and BigCommerce depend on Culture Amp everyday. SOURCE Google Inc. With his decades of experience in senior care, Murphy will advise government agencies on improvements for the caregiving industry AUSTIN, Texas, Aug. 16, 2023 /PRNewswire/ -- The Helper Bees , an in-home care platform empowering older Americans to age in place and live independently, is announcing its general manager, Daniel Murphy, was appointed to serve on the federal Family Caregiving Advisory Council. Enacted by the passage of the Recognize, Assist, Include, Support, and Engage Family Caregivers Act (RAISE Family Caregivers Act) in 2017, the Family Caregiving Advisory Council makes recommendations to the Administrator of ACL/Assistant Secretary for Aging on how to support and improve the lives of family caregivers. An Army veteran who transitioned to private sector roles in the aging in-place industry, Murphy brings 25+ years of leadership experience to the Council. "My experiences in the military led me to the home healthcare industry when I transitioned to the private sector, where I continue to serve others," said Murphy. "I've spent the past decade in the aging services industry, helping families, insurance companies and policymakers deliver better care for veterans and older Americans who deserve quality care. I look forward to working with other members of the Council, advising on solutions to improve caregiving." Murphy currently serves as the GM of SaaS Solutions for The Helper Bees, which acquired healthAlign a company he co-founded in 2021. The Helper Bees empowers aging-in-place by providing products and services to insurers, homecare agencies and the older adults and their caregivers. Leading home care agencies already license The Helper Bees software, which solves for the administrative burdens of credentialing, fulfilling and reimbursements all while reducing costs. Homecare agencies and their clients also benefit by accessing The Helper Bees' network of 20,000+ vetted providers who address social determinants of health by providing services such as meal delivery, lawn care and more. Murphy also served as National Director of Population Health Product and Strategy for Maxim, one of the nation's largest home healthcare providers. In this role, he led the implementation of in-home programming for high-need populations. These programs launched in more than seven states, and by 2019, Maxim's teams of nurses and nurse practitioners were completing more than 200 in-home visits/day. In this same role, Murphy supported Maxim's Public Policy team, where he worked with federal and state policymakers. Notably, in the State of Michigan, Murphy successfully lobbied state agencies to implement better models of aging care for high-need veterans on Medicaid. This effort stemmed from his team's work at Michigan's state-run veterans home in Grand Rapids. Concurrent to his work at The Helper Bees, Daniel leads Oath Aging Care to assist older veterans and their families successfully age at home. Oath has partnered with the Department of Veterans Affairs to pilot new programs across four VA Medical Centers. Murphy's appointment on the Family Advisory Council begins in 2023, and he will serve through 2026. About The Helper Bees The Helper Bees is America's aging-in-place platform. We work with plans and providers to make the process of deploying in-home care more seamless, resulting in cost savings for plans and better access to in-home services for your members. Leading insurance providers already use our technology to streamline credentialing, fulfillment and payments. And, our vast in-home care network, known as our "helper bees," provides older adults with the non-medical services they need, from pest control, to meal delivery to home modification and more. With the Helper Bees, we're ready to help health insurance providers offer the best in-home care so that millions of Americans can age in place and live with dignity. Let us help at thehelperbees.com . SOURCE The Helper Bees Blossom Sky Shapes Data's Future: The Virtual Data Lakehouse on Google Cloud MIAMI, Aug. 16, 2023 /PRNewswire/ -- DataBloom AI, a leading provider of virtual data lakehouse solutions, today announced the beta release of Blossom Sky for Google Cloud. The beta release is part of Databloom AI's cooperation with Google for Startups Cloud Program. Blossom Sky is the first virtual data lakehouse (VDL) on the market. It enables organizations to store, process, and analyze data within multiple data lakes and data warehouses via a single, unified platform. Federated data processing enables customers and users to manage and process their data directly at the source through data pipelines, including low-level data operations like map, join, filter, or map reduce and high-level machine learning operations such as KMeans, SGD or PageRank. This provides organizations with a number of benefits, including: Increased Data Scalability and Flexibility: Blossom Sky enables organizations to leverage their existing data infrastructure without centralizing data. This provides organizations with the ability to scale up or down as required. Reduced Data Duplication and Complexity: Blossom Sky removes the need for expensive Extract, Transform, Load (ETL) and data transfer costs, reducing data duplication and making data management easier for organizations. Better Data Security and Governance: Blossom Sky brings federated data processing to every organization as a Software as a Service (SaaS) solution. Time to insights (T2I): Blossom Sky brings together data silos in a single data management platform, making it easier for organizations to discover, analyze, and act on data, resulting in faster T2I. "We are excited to partner with Google Cloud to bring Blossom Sky to market," said Alexander Alten, CEO of Databloom AI. "Google Cloud's infrastructure and services provide the perfect foundation for our platform, and we believe that this partnership will help us to accelerate our growth and reach more organizations around the world." The beta release of Blossom Sky in Google Cloud is available now. To learn more, please visit https://www.blossomsky.io or contact us directly. About Databloom AI Databloom AI, headquartered in Miami, FL, is one of the world's leading virtual data lakehouse providers. Databloom's cloud-based data lakehouse platform allows organizations to consolidate, manage, and analyze data across multiple data lakes or data warehouses in one, single platform. What is federated data analytics? Federated data analytics is a method of processing data that is stored in multiple locations without having to move the data. This is done by creating a virtual data lakehouse that connects to the different data sources and executes data related tasks directly at the source. The metadata is then processed in the virtual data lakehouse, and the results are returned to the user. Federated data processing is a powerful tool that can help organizations save time and money. It can also help improve data security and compliance. Contact: Public Relations ***@databloom.ai Photo(s): https://www.prlog.org/12979413 Press release distributed by PRLog SOURCE DataBloom AI News / Local by Staff reporter MDC-T leader Douglas Mwonzora has urged opposition parties to boycott next weekend's election, which he feels is unfairly skewed in favour of the ruling Zanu-PF.The politician, who recently withdrew from the presidential race citing a ZEC ban on 87 aspiring party MPs over nomination payment issues, was speaking at a Media Institute of Southern Africa (MISA) dialogue on upcoming elections.He also accused the controversial poll management authority of attempts to punish his party for challenging the delimitation report in court.Mwonzora said it was "foolish" for opposition parties to participate in elections marred by gross anomalies and electoral irregularities."Participating in this election is an act of foolish bravery."This election is stacked against the opposition; already, laws are being changed as the election process is going on."There is selective application of the law; some people are being arrested, some people are not being arrested," he said.Mwonzora and his party have announced plans to stage a street protest in Harare this Friday over ZEC's decision to exclude the 87 party candidates.He accused ZEC of manipulating census data "to steal elections".This comes after ZEC has added over 1,000 new polling stations which were not included in its delimitation report in areas seen as Zanu-PF strongholds."The constitution says that you must do delimitation after a census and you don't consider the census. And you couldn't consider the census because the census results are not out," said the politician."And why would the government not release census results for a year; it is because the census information is being used to steal the election."There has been a serious rural-urban migration in Zimbabwe and places like Harare must have actually gained 5 seats and what did they do."They took one seat from Bulawayo and gave it to Harare. This was gerrymandering at its worst."Right now, ZEC is changing boundaries in the middle of an election. It has added more than 1,000 new polling stations that it did not have in its report."Who has influenced where to station these polling stations and what is the pattern?"The pattern is clear; the polling stations are not being increased in Harare."They are being increased in Uzumba, Mwenezi and Chiredzi South; those areas Zanu-PF tends to score abnormal votes and my brothers and sisters within the opposition are not seeing this."Speaking at the launch of his party's manifesto in Bulawayo recently, CCC leader and party presidential candidate Nelson Chamisa vowed the main opposition party will not pull out of the poll even in the face of glaring cheating manoeuvres by the ruling Zanu-PF authority."We will fight them in the courts, we will fight them at the ballot box and, if necessary, we will fight them in the streets. We won't give up because we have the support of the people, but above all God is on our side," said Chamisa, a 45-year-old lawyer and pastor. Deloitte's ConvergeCONSUMER data, analytics and AI platform is now optimized for Google Cloud, accelerating enterprise value with Vertex AI, Cortex Framework, BigQuery and more NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Deloitte today announced a new co-innovation initiative with Google Cloud that helps consumer businesses transform how they operate to create more relevant, engaging and effective customer experiences. Deloitte's ConvergeCONSUMER portfolio of solutions is now available on Google Cloud and integrates Google Cloud's leading data analytics, artificial intelligence (AI) and Generative AI (Gen AI) capabilities. The solutions enable consumer organizations to rapidly deploy AI-powered tools that help them more accurately forecast demand, personalize marketing campaigns and optimize product pricing, which can increase brand loyalty and extend competitive advantage. To improve business performance, companies have traditionally relied on in-house teams of data scientists and engineers to develop and maintain bespoke solutions, which often results in significant expenses and lengthy development timelines. ConvergeCONSUMER revolutionizes this approach by providing organizations with turnkey solutions that can unlock a new layer of insights about their businesses and the consumer audiences they target. For example, customers can now apply Google Cloud's AI and data analytics capabilities to their business data with an extensive array of external data points from ConvergeCONSUMER, such as insights about specific customer segments or weather events with logistical implications. ConvergeCONSUMER by Deloitte and Google Cloud ConvergeCONSUMER ingests a wide array of consumer signals to better predict customer behavior, improve demand forecasting, optimize supply chains, streamline costs and tailor consumer experiences. With ConvergeCONSUMER now optimized for Google Cloud's infrastructure and products, enterprises can: Accelerate decision-making with Google Cloud Vertex AI: ConvergeCONSUMER combines Google Cloud's Vertex AI platform with Deloitte's comprehensive, proprietary consumer and market data and algorithms. Customers can more easily build and train machine learning models with the ability to choose pre-trained or custom AI models that accelerate decision-making and generate value from improved business analysis. ConvergeCONSUMER combines Google Cloud's Vertex AI platform with Deloitte's comprehensive, proprietary consumer and market data and algorithms. Customers can more easily build and train machine learning models with the ability to choose pre-trained or custom AI models that accelerate decision-making and generate value from improved business analysis. Quickly develop Gen AI experiences like chatbots and search engines : ConvergeCONSUMER integrates Google Cloud's Generative AI App Builder and Generative AI Studio to help businesses efficiently build applications that enable customers and employees to quickly get relevant information. For instance, an online retailer could create a chatbot to expedite customer inquiries about product availability and returns, freeing up time for agents to manage more complex inquiries. : ConvergeCONSUMER integrates Google Cloud's Generative AI App Builder and Generative AI Studio to help businesses efficiently build applications that enable customers and employees to quickly get relevant information. For instance, an online retailer could create a chatbot to expedite customer inquiries about product availability and returns, freeing up time for agents to manage more complex inquiries. Improve business insights with Google Cloud Cortex Framework: ConvergeCONSUMER leverages the Cortex Framework to help businesses enhance data and generate powerful insights about how they operate, plan and forecast. Cortex provides packaged solutions content and reference architectures that businesses can easily adapt to integrate across a variety of public, private and community data sources, including sales and marketing, finance, supply chain and more. For example, with ConvergeCONSUMER and Cortex, organizations can rapidly deploy demand-sensing and forecasting analytics, identifying insights faster and more cost-effectively. ConvergeCONSUMER leverages the Cortex Framework to help businesses enhance data and generate powerful insights about how they operate, plan and forecast. Cortex provides packaged solutions content and reference architectures that businesses can easily adapt to integrate across a variety of public, private and community data sources, including sales and marketing, finance, supply chain and more. For example, with ConvergeCONSUMER and Cortex, organizations can rapidly deploy demand-sensing and forecasting analytics, identifying insights faster and more cost-effectively. Accelerate time-to-value from business analysis with BigQuery: ConvergeCONSUMER applies BigQuery, Data Flow and Dataproc to help organizations derive value from structured and unstructured data, regardless of its storage location, which can be enriched with consumer data and external signals for improved business insights. Organizations can also view analytics in real-time, with the ability to apply pre-integrated signals to these datasets and accelerate time-to-value from business analysis. "Our research on the Future of Consumer has revealed that consumers have an increasingly diverse set of preferences and an expectation for choice and convenience," said Ben Stiller, ConvergeCONSUMER general manager and principal, Deloitte Consulting LLP. "To win in this changing market, consumer-facing companies should be more precise, granular and responsive to individual customer needs. However, historically, these companies won based on their scale or 'mass': production, distribution, top-down decision-making, marketing and more. ConvergeCONSUMER is about helping companies deliver outcomes and quickly engineer advantage by moving their decision-making and experiences from mass to micro at scale. By combining Google Cloud's advanced generative AI, data analytics and cloud technologies with Deloitte's IP, deep industry/domain knowledge, expansive set of customer signals and engineering capabilities, we are ushering in a new era of customer centricity that can have dramatic commercial, operational and financial benefits for our clients." "Consumer businesses run highly complex organizations and need tools that are practical to adopt and yield tangible benefits in real-world settings," said Carrie Tharp, vice president of Strategic Industries, Google Cloud. "With ConvergeCONSUMER, businesses can easily deploy and manage solutions that combine Google Cloud's leading generative AI and data analytics with Deloitte's deep industry experience, helping consumer organizations optimize areas that are core to their business, such as demand forecasting and supply chain performance." An Expanding Alliance Deloitte and Google Cloud have a longstanding alliance helping business and public sector organizations fundamentally improve how they operate. This next phase of the collaboration combines Deloitte's strategic vision and Google Cloud's leading technology helping clients make key decisions to improve consumers' experiences and expand their overall market potential. Deloitte is a four-time winner of Google Cloud's Global Services Partner of the Year award and is the inaugural winner of the Google Public Sector Partner of the Year award. Deloitte was recently recognized as the 2021 Industry Solution Partner of the Year. Converge by Deloitte platforms are used by leading consumer, retail, banking, insurance, wealth management, life sciences and healthcare companies in more than 30 countries. ConvergeCONSUMER combines Deloitte's cross-industry expertise and business strategy with next-generation technology to help organizations improve CX, expand product offerings, acquire new customers and deliver a strong ROI. Converge by Deloitte is powered by a $750+ million investment in research and development, talent and alliances over five years to help reinvent and reimagine industries leveraging the breadth and depth of Deloitte and its ecosystem. To learn more about ConvergeCONSUMER and Converge by Deloitte, visit our website. About Deloitte Deloitte provides industry-leading audit, consulting, tax and advisory services to many of the world's most admired brands, including nearly 90% of the Fortune 500 and more than 8,500 U.S.-based private companies. At Deloitte, we strive to live our purpose of making an impact that matters by creating trust and confidence in a more equitable society. We leverage our unique blend of business acumen, command of technology, and strategic technology alliances to advise our clients across industries as they build their future. Deloitte is proud to be part of the largest global professional services network serving our clients in the markets that are most important to them. Bringing more than 175 years of service, our network of member firms spans more than 150 countries and territories. Learn how Deloitte's approximately 415,000 people worldwide connect for impact at www.deloitte.com. Deloitte refers to one or more of Deloitte Touche Tohmatsu Limited, a UK private company limited by guarantee ("DTTL"), its network of member firms, and their related entities. DTTL and each of its member firms are legally separate and independent entities. DTTL (also referred to as "Deloitte Global") does not provide services to clients. In the United States, Deloitte refers to one or more of the US member firms of DTTL, their related entities that operate using the "Deloitte" name in the United States and their respective affiliates. Certain services may not be available to attest clients under the rules and regulations of public accounting. Please see www.deloitte.com/about to learn more about our global network of member firms. SOURCE Deloitte Consulting LLP TSX.V: DME U.S. OTC: DMEHF Frankfurt: QM01 VANCOUVER, BC, Aug. 16, 2023 /PRNewswire/ - DESERT MOUNTAIN ENERGY CORP. (the "Company") (TSXV: DME) (U.S.OTC: DMEHF) (Frankfurt: QM01) From the President of the Company. The Company is pleased to announce that it has successfully completed the disassembly of the helium processing plant. All components have been transported to the West Pecos Slope Abo Gas Field and reassembly has begun. The Company expects this process to take five to six weeks and plans on starting up the plant shortly after pressure testing of critical components is completed. "Our team has utilized our original design criteria to complete this strategic move in record time, on schedule and under our planned budget for this phase," states Robert Rohlfing, CEO of DME. "Cash on hand at the end of last quarter was approximately $14.7 Million CDN and we intend to maintain those solid cash balances. On-going well workovers will be out of cash flow." The Company has initiated the process of pigging flow lines, replacing specific portions of the flow lines and implementing maintenance procedures for the flow lines. Pigging of lines will help to lower flowing pressures from the furthest distant wells. The Company has also begun the process of removing choke points and will continue that process over the next 3-4 months. DME has purchased smaller volume compressors to initially enable boosting production from the wells containing the higher levels of helium. As stated in the previous news releases on 06/19/23 and 07/06/23, the geologic team has quickly identified and evaluated which wells will be initially targeted to maximize helium production. This includes independent gas analysis on individual wells to ensure a correlation between the flow tests originally provided to us by the seller. DME's goal continues to initially target wells where our tests and the previous tests from the past two years of production showed helium values to be above 0.7% and have an initial aggregate plant throughput for helium production above 0.50%. Currently, well flow line pressures have risen over the past month across the entire field due to the IACX gas plant being down for repairs and maintenance. In one example, the well furthest south was still selling some gas with the meter pressure showing at 184#psi. This well is located at the end of almost 9 miles of 2" flow line and over time we will incorporate boost compressors to cost-effectively increase production from outlying wells. Under current contracts, the Company will not be due to pay royalties on any inert gases recovered through plant operations. As mentioned previously by the Company, the condensate values and BTU values can vary widely between the wells. DME has initiated discussions with natural gas end users regarding the purchasing of natural gas after the current contract expires. All necessary permits for current operations are in hand. GENERAL WEST PECOS SLOPE ABO GEOLOGY The DME lease holdings in this area currently comprise of approximately 77,500 acres, (120 sq. miles) located within Chaves County, New Mexico. This large Abo Formation (Permian) field produces helium-bearing gas from sandstones and arkoses with moderate porosity, low permeability with a pressure gradient of about 0.33 psi/ft./ or 7.46 Kpa/m. The pay zones in the DME-operated wells lie at relatively shallow drilling depths of 2,800-3,000ft. (850-975M). Drilling and completion practices are straightforward and include small stimulations of multiple pay zones. All of the West Pecos Slope Abo gas wells appear to produce helium that, to date, has not been marketed. Consequently, more accurate reserve numbers, specifically NI 51-101 numbers, will be possible after production has begun and has continued for a few months. The Company had reviewed the seller's reserve numbers which were based predominantly on natural gas sales, with very minimal credit given for helium. As previously stated in the 07/06/23 press release, the Company intends to pursue a NI 51-101 filing based on initial production numbers by the end of 2023 into the first quarter of 2024. Processing out the nitrogen from the gas stream will improve upon the current and long-term price received for the natural gas. Engineering, geology, log analysis and inspection of well records continue, but wells are seen that appear to have untested pay or pay that is not performing as well data might indicate. Both the previous well owner and DME's geologic mapping indicate multiple areas where additional downhole completions in existing wells could be done, as well as areas where infill or step-out drilling has the potential for success. The Company has taken possession of a workover rig which will be moved to the West Pecos Slope Abo Gas Field by the end of the month. Discussions with a local company are nearly finished. As part of the agreement, the rig will be able to generate revenue for DME when it is not being used for well work. The Company continues to work with our outside hydrology company in Arizona to explore all options regarding its plan for long-term operations in the state. ABOUT DESERT MOUNTAIN ENERGY Desert Mountain Energy Corp. is a publicly traded resource company primarily focused on exploration, development and production of helium, hydrogen and noble gases. The Company is primarily looking for elements deemed critical to the renewable energy and high technology industries. We seek safe harbor "Robert Rohlfing" Robert Rohlfing Exec Chairman & CEO Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in polices of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. The statements made in this press release may contain certain forward-looking statements that involve a number of risks and uncertainties. Actual events or results may differ from the Company's expectations. Cautionary Note Regarding Forward-Looking Statements This news release contains "forward-looking statements" within the meaning of the United States Private Securities Litigation Reform Act of 1995 and "forward-looking information" within the meaning of applicable Canadian securities legislation. Such forward looking statements and information herein include but are not limited to statements regarding the Company's anticipated performance in the future the planned exploration activities, receipt of positive results from drilling, the completion of further drilling and exploration work, and the timing and results of various activities. Forward-looking statements or information involve known and unknown risks, uncertainties and other factors that may cause the actual results, level of activity, performance or achievements of the Company and its operations to be materially different from those expressed or implied by such statements. Such factors include, among others, changes in national and local governments, legislation, taxation, controls, regulations and political or economic developments in Canada and the United States; financial risks due to helium prices, operating or technical difficulties in exploration and development activities; risks and hazards and the speculative nature of resource exploration and related development; risks in obtaining necessary licenses and permits, and challenges to the Company's title to properties. Forward-looking statements are based on assumptions management believes to be reasonable, including but not limited to the continued operation of the Company's exploration operations, no material adverse change in the market price of commodities, and such other assumptions and factors as set out herein. Although the Company has attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking statements or information, there may be other factors that cause results to be materially different from those anticipated, described, estimated, assessed or intended. There can be no assurance that any forward-looking statements or information will prove to be accurate as actual results and future events could differ materially from those anticipated in such statements or information. Accordingly, readers should not place undue reliance on forward-looking statements or information. The Company does not intend to, and nor does not assume any obligation to update such forward-looking statements or information, other than as required by applicable law. SOURCE Desert Mountain Energy Corp. Cardiac surgeon and advocate for heart disease prevention joins MemorialCare's growing cardiovascular team in Southern California FOUNTAIN VALLEY, Calif., Aug. 16, 2023 /PRNewswire/ -- MemorialCare, a prominent nonprofit Southern California integrated health system, announced today that Patrick Griffith, M.D., F.A.C.S. has been named the new Medical Director of Adult Cardiac Surgery at MemorialCare Heart & Vascular Institute at Saddleback Medical Center. Dr. Patrick Griffith named Medical Director of Adult Cardiac Surgery at MemorialCare Heart & Vascular Institute at Saddleback Medical Center In his new role, Dr. Griffith will champion clinical integration, standardization of clinical practices, best practices for minimally invasive surgery and outstanding patient experience in collaboration with systemwide health care initiatives for MemorialCare Heart & Vascular Institute at Saddleback Medical Center. Additionally, Dr. Griffith will oversee the STEMI Receiving Center and the advanced and highly skilled cardiac and medical teams. An ST Elevation Myocardial Infarction (STEMI) is a form of heart attack. The Emergency Department at Saddleback Medical Center is one of only a few designated cardiac receiving centers in the region with emergency treatment times that beat the national average in patient cardiac care. The STEMI receiving team works in conjunction with the MemorialCare Heart & Vascular Institute at Saddleback Medical Center to provide the best cardiac care offered. "I am honored to join the MemorialCare Heart & Vascular Institute at Saddleback Medical Center to help expand and elevate cardiovascular care to patients in the community," said Dr. Griffith. "A patient-first approach to cardiac care is fundamental in the overall care of a patient and I am impressed with the highly skilled and specialized surgeons, physicians, programs and services at Saddleback and share the same passion and vision for minimally invasive cardiac care and the prevention of heart disease." Dr. Griffith brings to MemorialCare over 40 years of medical expertise as a specially trained cardiac and thoracic surgeon with focus on complex coronary, valve, and thoracic aortic surgery, as well as lung operations. He strongly believes in a holistic, patient-first and team-based approach to managing and treating patients with cardiac issues. Prior to this new position with MemorialCare Heart & Vascular Institute, Dr. Griffith was medical director at Adventist Health, Heart & Vascular Institute in Roseville, CA and staff surgeon, cardiovascular & thoracic surgery at Kettering Medical Center in Kettering, OH where he performed over 500 surgeries annually. "Dr. Griffith's expertise as a cardiac surgeon will make a significant impact on Saddleback's ability to expand and provide additional care to patients along with associated medical groups and community cardiologists," said Marc Sakwa, M.D., chief of cardiovascular surgery, MemorialCare Heart & Vascular Institute and medical director, adult cardiovascular surgery, MemorialCare Heart & Vascular Institute, Long Beach Medical Center. "Dr. Griffith's expertise and clinical leadership in cardiothoracic surgery will complement the MemorialCare Heart & Vascular Institute's minimally-invasive-first approach to treatment, which offers patients numerous benefits." Dr. Griffith graduated from Northwestern University with a bachelor's in biology/biological sciences, received his medical degree from Washington University in St. Louis, completed his surgery residency at Georgetown University followed by cardiac surgery residency at University of North Carolina at Chapel Hill. He conducted extensive research on cardiac physiology and transplantation at the National Institutes of Health. He then went on to pursue his master's in business from University of California, Irvine. Dr. Griffith also serves as a board member on several highly regarded health care research entities as well as the Beall Center for Innovation and Entrepreneurship. Additionally, he serves as a dean's advisory board member for the University of California, Irvine - The Paul Merage School of Business. The MemorialCare Heart & Vascular Institute is a premier institution in Southern California that specializes in offering the least invasive approaches for complex cardiovascular issues through minimally invasive procedures using catheters or tiny incisions so patients can experience rapid recoveries, less scarring, faster healing, and avoid traditional open-heart surgery. Distinguished as a leader in heart and vascular care, the MemorialCare Heart & Vascular Institute offers personalized prevention programs, world-renowned physicians, leading diagnostic screenings, advanced treatment, rehabilitation and leading-edge cardiovascular research. About MemorialCare Saddleback Medical Center MemorialCare Saddleback Medical Center is a member of MemorialCare, a not-for-profit, integrated health care system. Saddleback Medical Center received U.S. News & World Report high performance rankings for Abdominal Aortic Aneurysm, Congestive Heart Failure, COPD, Diabetes, Heart Attack, Kidney Failure, Knee Replacement, Pneumonia, and Stroke. Saddleback Medical Center is recognized by Healthgrades as America's 250 Best Hospitals, America's 100 Best Gastrointestinal Care and Surgery, Excellences Award in pulmonary care and critical care, and Five-Star Recipient for spinal fusion surgery; received Magnet recognition for nursing excellence, and Geriatric Emergency Department Accreditation. For nearly 50 years, south Orange County residents of all ages have entrusted Saddleback with their healthcare needs. To learn more, visit memorialcare.org/saddleback . SOURCE MemorialCare Saddleback Medical Center Renowned Neurologist Kenneth Sharlin, MD, Leads an Online Event That Offers Hope, Support, and Tools to Take Control of Life with Parkinson's Disease Key Takeaways: The Parkinson's Solutions Summit aims to provide real answers to people with Parkinson's, their families, and doctors. Esteemed neurologist, Kenneth Sharlin , MD, hosts the summit. Dr. Sharlin along with 40 experts share advice on how to understand and deal with Parkinson's Disease. , MD, hosts the summit. Dr. Sharlin along with 40 experts share advice on how to understand and deal with Parkinson's Disease. This online event is free for everyone and will provide a community that will support you on your health journey. CARLSBAD, Calif., Aug. 15, 2023 /PRNewswire/ -- DrTalks , a premier platform in health education, is excited to present the Parkinson's Solutions Summit . This transformative online event will provide tips and practical actions to help anyone affected by Parkinson's Disease. Your hosts for the summit are neurologist Kenneth Sharlin, MD , and functional medicine expert Sandra Scheinbaum, PhD . If you or someone you love is affected by Parkinson's, this summit will provide you with a beacon of hope. The Parkinson's Solution Summit will teach you about the root causes of Parkinson's Disease and practical treatments. With more than 40 expert talks, this summit will provide you with essential information and actions you can take to improve quality of life. You will learn insights and tools to use as well as receive dozens of resources to understand how to treat Parkinson's. What is more is that it will help you become part of a community that will support you in your health journey. For over 30 years, Kenneth Sharlin, MD , has been at the forefront of neurological care. He specializes in Parkinson's disease and Alzheimer's. As a graduate of Emory University, Dr. Sharlin has become a leading voice in the field. He has published scientific articles and helped hundreds of people struggling with Parkinson's. Dr. Sharlin's has dedicated his life to treating the root causes of brain diseases. This summit will help him fulfill his goals to give you the best treatment possible. His compassion and knowledge will empower you to feel hopeful in the journey with Parkinson's Disease. The Parkinson's Solutions Summit is for anyone interested in learning more about Parkinson's disease. DrTalks invites everyone to join this supportive community and learn how to live a full life with Parkinson's. Together, we can break down barriers and provide the essentials needed to improve your or a loved one's life with Parkinson's. For further information and to register for the summit, please visit: https://summits.drtalks.com/natural-parkinsons-solutions-summit/ . DrTalks continues to be a trusted source for empowering individuals through education and information. With on-demand access to talks, summits, and more, DrTalks brings together experts on the front lines of health and wellness. About DrTalks: DrTalks is located in Carlsbad, California. They are committed to leading the charge in health education with the goal of empowering 1 billion people worldwide. Offering unparalleled access to the most sought-after leaders in health, wellness, and medicine, DrTalks strives to make a significant difference in lives globally. To learn more about DrTalks, please visit our newsroom at https://drtalks.com/newsroom/ . For media inquiries: Daniel Chantigian, MS Senior Content Writer Daniel@drtalks.com +1 (507) 244-0107 SOURCE DrTalks The Growing use of E-Books drives the Demand. NEW YORK, Aug. 16, 2023 /PRNewswire/ -- The E-Paper Display (EPD) Market is expected to grow at a CAGR of 23.87% with USD 7,914.02 million between 2022 and 2027. The comprehensive report delves into the market's segmentation based on application (e-readers, mobile displays, public displays, wearables, and EPDs in smart cards and others), type (flexible EPDs, curved EPDs, foldable EPDs, and flat EPDs), and geography (North America, APAC, Europe, South America, and Middle East and Africa). The report also encompasses a comprehensive analysis of driving factors, trends, and challenges, complemented by historical market data from 2017 to 2021. Technavio has announced its latest market research report titled Global e-Paper Display (EPD) Market 2023-2027 The E-paper display (EPD) market's growth is anchored by several influential factors. The increasing adoption of e-books, coupled with the energy efficiency and glare-free nature of EPDs, contributes significantly to this upward trajectory. E-books are experiencing a surge in demand due to their portability and preference among consumers for digital reading formats. This transformation in reading habits is propelling the e-paper display market's expansion, with e-books expected to dominate the book industry by 2025. Growth Prospects of E-Paper Display (EPD) Market in Emerging Markets include: Evolution of color-capable EPDs Growing demand for e-readers and e-notes Rising preference for personalized and authentic experiences Increasing collaborations with local artists and designers Emphasis on eco-friendly practices and sustainable materials A key challenge for the E-Paper Display (EPD) market is its limited color range, which restricts its application in areas like newspapers and advertisements where vibrant colors are essential. This constraint could impact its appeal and growth in specific segments, necessitating research, and development to address this limitation and unlock broader market potential. Technavio provides a report on E-paper Display (EPD) Market that includes the market's key drivers, trends, challenges, and customer landscape, download the sample report now. North America holds a prominent position, anticipated to contribute 42% to the global market growth. The region's well-developed technological landscape and consumer preferences for innovative products drive the demand for EPDs. The research report on the E-paper Display (EPD) Market also includes detailed analyses of the competitive landscape of the market and information about 20 market companies, including Advantech Co. Ltd., ASSA ABLOY AB, CLEARink Displays Inc., DALIAN GOOD DISPLAY Co. Ltd., Hangzhou ZKONG Network Co. Ltd., Microtips Technology Inc., Orient Display USA Corp., Parallax Inc., PERVASIVE DISPLAYS Inc., Plastic Logic HK Ltd., Probots Techno Solutions, Rohde and Schwarz GmbH and Co. KG, Shanghai OKRA Technologies Co. Ltd., Solomon Systech International Ltd., SOLUM Europe Gmbh, Toppan Inc., Visionect LLC, Volex Plc and YFY Inc. These companies are implementing various strategies, such as strategic alliances, partnerships, mergers and acquisitions, geographical expansion, and service launches, to maintain a competitive edge in the market. The E-Paper Display (EPD) Market's growth is driven by factors like the surge in e-books, advancements in color capabilities, and partnerships with local artists, designers, and artisans. Although challenges exist, such as color limitations, the market's potential remains high, with key market players actively pursuing strategic initiatives to maintain a competitive edge. Buy the full report and make informed decisions to uplift your company. Below, find a few related reports: 3D Display Market: The 3D display market is estimated to grow at a CAGR of 17.85% between 2022 and 2027. The market size is forecast to increase by USD 92.41 billion. The report covers market segmentation by type (screen based display and micro-display), application (televisions, smartphones, and others), and geography (APAC, North America, Europe, South America, and Middle East and Africa). Smart Display Market: The smart display market share is expected to progress at a CAGR of 20.27% and reach an estimated value of USD 7.13 billion from 2021 to 2026. The report covers the floating hotels market segmentation by type (Signage, mirror, and home display) and Geography (North America, APAC, Europe, Middle East and Africa, and South America) About Technavio: Technavio is a leading market research company with global coverage. Technavio covers an unparalleled range of industries and offers in-depth assessments of thousands of emerging and niche segments around the world. We employ a dedicated team of industry analysts and maintain an exhaustive internal database of industry information. With well over 500 analysts around the world, we are able to draw on specialized knowledge of over 100 technologies. For media inquiries, please contact: Email: [email protected] Website: www.technavio.com SOURCE Technavio PHOENIX, Aug. 16, 2023 /PRNewswire/ -- EarthEn, a Phoenix-based startup has been awarded first-of-its-kind funding by the Office of Electricity (OE), U.S. Department of Energy (DOE) along with the U.S. National Lab support. The two years of funding and support will enable EarthEn to significantly advance the commercialization of its long-duration energy storage solution utilizing supercritical carbon dioxide (sCO 2 ) technology. EarthEn's sCO2-based energy storage EarthEn's founders. L-R: Palash Panja, Manas Pathak, Karthi Chakaravarty EarthEn's innovative technology is a hybrid thermo-mechanical form of energy storage using sCO 2 that is superior in its efficiency and flexibility to provide long-duration as well as shorter-duration energy storage. EarthEn's CEO Manas Pathak enters this exclusive program, "Innovation Crossroads" as one of only seven entrepreneurs selected this year for participation. Oak Ridge National Laboratory (ORNL) in partnership with the DOE and the Tennessee Valley Authority (TVA), has developed this program to support entrepreneurs in creating the next generation of U.S. clean energy companies. EarthEn will receive DOE funding and support for collaborative research and development of EarthEn's technology at ORNL's Knoxville, TN campus. "We are honored to be selected to participate in the prestigious Innovation Crossroads. The funding and support will propel our efforts to further develop and commercialize our sCO 2 -based energy storage technology" said CEO, Manas Pathak. In addition to EarthEn's participation in Innovation Crossroads, EarthEn is also the commercialization partner in the latest funding selections for the DOE's 2023 Technology Commercialization Fund (TCF) lab call where EarthEn's proposed topic on sCO 2 heat-exchangers, in partnership with ORNL was awarded $750,000 by the DOE. Contact [email protected] for any questions. For more information about EarthEn, visit www.earthen.energy For more information on Innovation Crossroads, visit https://innovationcrossroads.ornl.gov/ For more information on the 2023 TCF lab call, visit https://www.energy.gov/eere/ammto/funding-selections-2023-technology-commercialization-fund-lab-call SOURCE EarthEn DALLAS, Aug. 16, 2023 /PRNewswire/ -- Internet Travel Solutions (ITS), a pioneering force in travel technology, is proud to announce EasyCard, a versatile, multi-use financial credit card intended to streamline corporate payment for travel and non-travel purchases and expenses. EasyCard will be available in combination with the ITS proprietary expense solution or as a tightly integrated product within the ITS corporate travel booking engine, TripEasy. Total corporate payment management with EasyCard by ITS. Visit EasyCard.com for updates and latest features. This latest ITS offering is poised to redefine the landscape of corporate payment management for the mid-sized business market. The newly branded EasyCard offers a cash rebate to all corporations that adopt the program with the option to upgrade for more cash back and fully integrated travel and expense management. EasyCard will be offered as a combination of physical, virtual, or tokenized payment products, providing corporations the flexibility to meet the payment service needs of their employees across all roles in the organization. While not limited to travel, the seamless integration of EasyCard with the ITS travel program offers a real-time, comprehensive view of trip charges and cumulative expenses as each trip unfolds. With each swipe of EasyCard, charges are automatically checked against company policy, self-categorized, and reconciled, virtually eliminating the need for post-travel expense reports. "We have created EasyCard with an AI-based fintech application to facilitate immediate classification of each charge in a unique way that is a game-changer," says Brian Tanis, Senior Vice President of Product Marketing. "The overall cardholder experience is unparalleled in speed and simplicity. Each credit card charge is seamlessly updated directly from the cardholder via their mobile device into our expense system." To drive spend compliance, EasyCard offers clients program rewards for individuals to deter non-business issued credit card usage for work transactions. ITS is in the final stages of perfecting all program details and anticipates official release of the program in the coming weeks. ITS is in the final stages of perfecting all program details and anticipates official release of the program in the coming weeks. For more information, please visit www.its.com and www.easycard.com. About ITS ITS leads the way in delivering innovative travel technology solutions to diverse sectors, including airlines, corporate travel, events and meetings, HR, energy, and travel management. ITS products and services create savings and provide long-term value through ease of use and valuable integration. Our one-stop platform, TripEasy, simplifies the entire business travel process for all travel-related needs. With better fares, robust features, and more options from which to choose, TripEasy helps manage compliance and personalizes each experience. Explore our offerings at www.its.com, and connect with us on LinkedIn, Facebook, Twitter, and Instagram to learn more. Contact: ITS Media Relations Email: [email protected] Phone: +1 214.915.9039 SOURCE Internet Travel Solutions (ITS) Axis is bridging the gap between people of color and independent schools. LOS ANGELES, Aug. 16, 2023 /PRNewswire/ -- Axis, a nonprofit that partners with Los Angeles area independent schools to create pathways for underrepresented students and families of color to access, navigate and thrive in private education, is proud to announce the launch of the Axis to Equity Fund, an innovative solution aimed at providing equitable opportunities for students and families of color in Axis Partner Schools. This pioneering initiative, initially funded by The California Community Foundation, addresses the supplementary costs of education, ensuring that financial limitations do not impede access to quality education and the full range of benefits an independent school can offer. Education is a powerful tool that opens doors and empowers individuals to reach their fullest potential. Independent schools, in particular, are considered educational exemplars and agents of social mobility. However, the cost of an independent school education remains a barrier to access for many families. In addition to tuition, supplementary costs such as books, technology, uniforms, and student activities typically add another 30% in unexpected expenses for families attending independent schools. These supplementary costs are often difficult for families to predict and plan for, and can be an undue financial burden on families that hinders their children's educational journey. Axis is committed to addressing this challenge head-on. The Axis to Equity Fund has been specifically designed to assist the families in its cohorts who are financially unable to meet these supplementary expenses. By providing additional financial support, it aims to alleviate the financial strain and ensure that all students have equal opportunities to thrive within its partner schools. "Our goal is to create a level playing field for students with diverse backgrounds. The Axis to Equity Fund is an essential step toward achieving this goal," said Collette Bowers Zinn, Founder and Executive Director of Axis. "We firmly believe that access to quality education should not be limited by financial circumstances, and this fund reaffirms our commitment to fostering an inclusive and supportive educational environment for all." The Axis to Equity Fund seeks to promote inclusivity, diversity, and equitable opportunities within independent schools. By supporting families in times of unforeseen financial challenges that may arise during the independent school journey, it is dedicated to ensuring a nurturing and empowering educational experience for each student. Axis invites individuals, organizations, and corporations who share its vision for an equitable education system to contribute to the Axis to Equity Fund. Together, we can make a profound difference in the lives of countless students and their families, providing them with the tools they need to succeed and thrive academically and beyond. For further information on the Axis to Equity Fund or to make a donation, please visit privateschoolaxis.org or contact Dalia Caudle at [email protected]. SOURCE Axis WASHINGTON, Aug. 16, 2023 /PRNewswire/ -- The Edison Electric Institute (EEI) today announced that Dan Brouillette has been selected as EEI's President and Chief Executive Officer Elect, effective October 1, 2023, following a comprehensive search process. Brouillette will become EEI President and CEO on January 1, 2024, and will succeed Tom Kuhn, who previously announced his plans to step down on December 31, 2023. Edison Electric Institute President and CEO Elect Dan Brouillette "I am pleased to welcome Dan to the EEI team," said EEI Chair Pedro J. Pizarro, President and CEO of Edison International. "With his deep experience in both the public and private sectors, as well as his extensive energy industry expertise, Dan is the clear choice for EEI's next leader." "Dan's strong policy background and proven track record of collaboration across political lines will be key to EEI's success and that of our member companies as we continue our work to get the energy we provide as clean as we can as fast as we can, without compromising customer affordability and reliability," Pizarro added. "Most important, Dan's appreciation for the culture and values that make EEI such an effective organization and a wonderful place to work were evident throughout our discussions with him during the search process. It is clear to EEI's Board that Dan shares our strong commitment to safety, integrity, bipartisanship, and excellence. We are confident he will embody these long-standing values as he leads the EEI team in supporting our members' clean energy transition, maintaining strong relationships with our partners in organized labor and other stakeholders, and advancing our workforce development and DEI efforts." "I am honored to be joining the world-class team at EEI at a pivotal point for the industry," said Brouillette. "EEI is a preeminent voice helping to guide the nation's energy policy, and I believe the leadership role it performs has never been more important than it is today. I have long admired the determination of EEI and its member companies to deliver clean, reliable, and resilient energy in the most affordable and inclusive manner possible. In my former role as U.S. Secretary of Energy, I witnessed firsthand EEI's relentless dedication to these goals, and I am excited to now work with the EEI team in support of their member companies, their customers, and our country." "On behalf of the EEI Board, I would like to thank Tom Kuhn for his visionary leadership and the incredible legacy he has established over the last three decades," Pizarro continued. "His passion and commitment to advancing EEI's mission and his clear love for the industry have been instrumental to the countless public policy and operational milestones we have achieved during his tenure. We trust that our industry, our members, the customers and communities they serve, and the country as a whole will reap the benefits of these initiatives for years to come. We look forward to benefiting from Tom's wisdom and support until the end of the year and wish him all the best in his next chapter." "It has been an incredible honor to have led EEI for more than three decades, and I am incredibly proud of the significant results that EEI and our member companies have achieved in so many critical areas for the benefit of electricity customers across the country," Kuhn said. "When Dan was leading the Department of Energy, I got to know him well through our work on energy issues and the Electricity Subsector Coordinating Council, addressing storms and other extreme weather events and cyber issues. I have full confidence in his ability to guide EEI as the organization continues its important work in support of our members' clean energy, reliability, and energy security goals. I look forward to working alongside Dan and the rest of our talented EEI team in the coming months to ensure a seamless transition for our employees, member companies, and all of our stakeholders." Brouillette currently serves as president of Sempra Infrastructure, a leading global energy transition company. Prior to joining Sempra, Brouillette served as the 15th United States Secretary of Energy. He served as the President's primary advisor on energy and nuclear weapons matters, and was a member of the President's National Security Council as well as the Vice President's National Space Council. Brouillette also served as U.S. Deputy Secretary of Energy, the chief operating officer of the department. Brouillette is the only person ever to be confirmed by the U.S. Senate to serve in both roles. In the private sector, Brouillette has held leadership positions at USAA, where he served as a senior vice president and corporate officer, and at Ford Motor Company, where he was a vice president and served on its North American Operating Committee. Brouillette is a veteran and former tank commander with the U.S. Army's highly decorated 11th Armored Cavalry Regiment. He has been awarded Distinguished Public Service awards by both the U.S. Secretary of Defense and the U.S. Secretary of State, the highest award an individual can receive by either department. Brouillette holds a master's degree in intelligence and national security from The Citadel and a bachelor's degree in economics from the University of Maryland. EEI is the association that represents all U.S. investor-owned electric companies. Our members provide electricity for nearly 250 million Americans, and operate in all 50 states and the District of Columbia. As a whole, the electric power industry supports more than 7 million jobs in communities across the United States. In addition to our U.S. members, EEI has more than 65 international electric companies, with operations in more than 90 countries, as International Members, and hundreds of industry suppliers and related organizations as Associate Members. SOURCE Edison Electric Institute Baerg's deep local government and budgeting technology experience will play an integral role in Envisio's next growth phase providing the public sector with solutions for building trust and transparency VANCOUVER, BC, Aug. 16, 2023 /PRNewswire/ -- Envisio , a strategic planning and performance management software company, today announced it has named Stefan Baerg as Chief Revenue Officer (CRO). An experienced leader in government technology (GovTech) and public sector sales, Baerg will head alignment and integration of all revenue-related functions for the business. He joins Envisio following record-breaking growth in 2022 and will steer revenue activities at a pivotal time when more local governments are seeking solutions to increase trust and transparency through effective planning, budgeting, and performance management processes. "We are thrilled to add Stefan's deep public sector expertise to our team," said Mike Bell, CEO and Founder of Envisio. "Our company's growth is a direct reflection of the increasing demand for greater trust and transparency in local government. Stefan's alignment with our company mission and extensive knowledge of best-in-class government technology solutions will benefit both our new and existing customers." Stefan Baerg joins Envisio, a strategic planning and performance management software company, as Chief Revenue Officer. Tweet this Previously, Baerg was Head of Enterprise and Mid-Market Sales for Euna Solutions, where he started his journey as the Vice President of Sales for Questica Software, before adding responsibility for marketing as well as sales leadership for eCivis. Prior to Questica, Baerg spent time leading sales and client management organizations at Amex and TD Bank. He holds a Bachelor of Commerce Degree with Distinction from the University of Alberta. Baerg recently helped develop a joint white paper with Envisio, Balancing Act, and Euna Solutions A Roadmap to Real Collaboration in Budgeting that outlines a roadmap for implementing budgeting best practices recommended by the Government Finance Officers Association (GFOA). At Envisio, Baerg will continue collaborating with sector leaders on the critical role of technology, data, resident engagement, and strategic planning in supporting transparent budget processes for local governments. "Envisio is setting a high bar when it comes to technology tools that build trust and transparency in the public sector," said Baerg. "I look forward to working with such an innovative, mission-driven team supporting local governments in their efforts to better align the work of government with the needs of their communities." About Envisio Envisio is the most widely used strategic planning and performance management solution in local government. For more information, visit www.envisio.com . Contact: Ashley Hunt ashley@keetonpr.com SOURCE Envisio Hunter Biden disembarks from Air Force One in New York in February 2023 A long-running investigation into US President Joe Biden's son, Hunter, may be heading for a jury trial. The five-year probe appeared near its end last month, with Mr Biden, 53, agreeing to resolve tax and gun offences and avoid prison time. But the case has since taken several dramatic twists and turns. Mr Biden's plea agreement unravelled in court, and federal prosecutors have since argued it is no longer binding. The man who was overseeing the investigation, David Weiss, has now been elevated to special counsel, giving him greater prosecutorial authority. The lawyer guiding Mr Biden's case has withdrawn his representation amid concerns he may be called to testify against his client in the months ahead. And all the while congressional Republicans have forged ahead with their own inquiries into Mr Biden's foreign business dealings. Here is a guide to the first son's legal troubles. The plea deal In June, prosecutors with the US Department of Justice struck a two-part plea agreement with Mr Biden's legal team. Under the deal, he was to be charged with two misdemeanour counts for failing to pay his taxes on time in 2017 and 2018. He was also to admit that he had illegally possessed a gun while being a drug user, and agree to drug treatment and monitoring in lieu of a more serious felony charge and possible jail time. Republicans argued the president's son was receiving "a sweetheart deal". That claim was bolstered by two tax investigators, who testified to Congress that they believed political considerations had hampered the probe and benefited Mr Biden. At a hearing in Delaware last month, the deal crafted over several months dissolved under scrutiny from the federal judge overseeing the case. Both sides negotiated unsuccessfully in full view of reporters, before District Judge Maryellen Noreika refused to "rubber stamp" what she called an "atypical" agreement. Story continues The special counsel David Weiss, the US attorney in Delaware, began investigating allegations of Mr Biden's criminal conduct in 2019. On 11 August, Attorney General Merrick Garland appointed Mr Weiss as special counsel, providing him with extra resources and the power to bring charges in other jurisdictions. Republicans had previously advocated for the appointment of a special counsel, but have criticised the choice of Mr Weiss even though he is a Trump appointee. They have pointed to Mr Weiss' role in brokering the controversial plea deal, as well as the justice department's delay in appointing a special counsel, to argue that he will "protect" Mr Biden from further prosecution and slow down their own inquiries. The continuing legal battle will also now proceed without Christopher Clark, Mr Biden's lead attorney for the past five years. Mr Clark withdrew from the case on 15 August, writing in a court notice that he could be called as a witness in any potential trial to discuss the plea agreement. Taking the baton from him is Abbe Lowell, a legal fixture of scandal-ridden Washington who has previously represented Bill Clinton, Jared Kushner and Ivanka Trump. In a court filing on Sunday, Mr Lowell said prosecutors had "changed their decision on the fly" about a deal he argued was still "valid and binding". But Mr Weiss' team has indicated the deal is dead and only a trial will now bring a fair resolution to the case. In a court filing, they wrote that the agreement on the gun charge "never went into effect" and "none of its terms are binding on either party". They also called separately for the tax-related offences to be dismissed so that future charges can be brought in California or Washington DC. Those two jurisdictions may have more scope to charge Hunter Biden's tax violations, although Republicans contend that is a ploy to get the case before friendlier judges. Foreign business deals Questions have been raised over the past two decades about Hunter Biden's business practices, and whether he had leveraged his name and access to his powerful father to make money and land clients. Particular attention has been paid to what he was doing in China and Ukraine during Joe Biden's vice-presidency. In 2013, the younger Mr Biden became a founding board member at BHR, a private equity firm backed by some of China's local governments and largest state banks. He went on to a hold a 10% equity stake, although attorney George Mesires has claimed that Mr Biden did not acquire the stake until after his father's tenure as vice-president ended in 2017. He remained with the board until 2020. In 2014, Mr Biden joined the board of Burisma, a Ukrainian natural gas company where he made about $1.2m per year. His father was at the time engaged in anti-corruption work as the Obama administration's point man on US-Ukraine relations. The elder Mr Biden argued the country's top prosecutor Viktor Shokin was blocking corruption investigations and he rallied the international community to push for his ouster. But Republicans allege Mr Shokin, who was removed by parliament in 2016, was fired because he was investigating Burisma. President Biden says he has never discussed his son's business interests with him The president has long maintained he never discussed business with his son or his associates. But Devon Archer, a long-time business partner, testified behind closed-doors to lawmakers that Mr Biden frequently put his father on speakerphone during calls with various contacts. Congressman James Comer, who is leading the probe in the House of Representatives oversight committee, has alleged that the then-VP was "the brand" sold to enrich the Biden family. On 9 August, Mr Comer cited bank records obtained by his panel that he said showed the Biden family and its associates had reaped $20m from oligarchs in Russia, Kazakhstan and Ukraine during his vice-presidency. The child in Arkansas Separately, Hunter Biden's alcohol and drug abuse, and relationship strife, has provided ample fodder for the tabloids - and at least some measure of pain to his family. In 2019, a DNA test confirmed that, despite his repeated denials, Hunter Biden had fathered a child with an Arkansas woman who filed a paternity suit against him. Lunden Alexis Roberts has since settled that suit, with her child - Navy, now 4 - receiving an undisclosed amount in monetary child support as well as some of Mr Biden's paintings. Ms Roberts also agreed to drop a previous effort to have her daughter's last name changed to Biden. Amid pressure from US media, President Biden was forced to acknowledge his seventh grandchild for the first time late last month. Leading insurance, real estate, financial services, and sustainability companies commit to health at scale. NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Fitwel today announced the latest cohort of companies to join its global community of Champions, Providers, and Partners, signaling an intensifying race for quality to meet the demand for healthy buildings in real estate. Originally created by the U.S. Centers for Disease Control and Prevention (CDC) and U.S. General Services Administration (GSA), Fitwel is the leading healthy building certification used by top real estate companies across the globe to ensure their assets are designed and operated to positively impact the health, productivity, and satisfaction of residents, employees, and tenants. The Fitwel Standard translates the global public health evidence base into practical, implementable design and operational strategies and guidelines to be used at the building level, community level, and at scale. "The demand for healthy spaces and communities has never been higher. Just in recent weeks, the world has felt the impact of record-breaking high temperatures, coastal flooding, and far-reaching toxic air quality due to climate change and wildfires, but often left out of the conversation is the inextricable link between the health of the planet and the mental and physical health of people," says Joanna Frank, President & CEO of the Center for Active Design, the sole operator of Fitwel. "Evidence shows that responding to this demand with a health-focused approach mitigates risk now and in the future. We're thrilled to welcome these trailblazing companies as we continue on our mission to transform the real estate market by leading the healthy building movement." Fitwel Champions Champions are real estate owners and managers that have committed to implementing Fitwel Healthy Building Certification at scale. Recently, the following companies have signed on as dedicated Fitwel Champions, joining a community representing more than $3 trillion in AUM and over 410 million square feet of space across the globe: MetLife Investment Management Manulife Investment Management The Green Cities Company "Creating healthy spaces within our buildings continues to be a top priority," said Regan Smith, Managing Director, Sustainable Investing, Private Markets, Manulife Investment Management. "We're glad to see the increased recognition across the real estate industry of how interconnected buildings and human health are, and we're proud to be helping advance the healthy building movement." New Fitwel Providers Launched in 2022, Fitwel's Provider program is designed for industry-leading architecture, design, engineering, property management, and consulting firms committed to supporting their clients' implementation of Fitwel. Joining current Fitwel Providers Brightworks Sustainability, which consulted with Salesforce on its award-winning San Francisco headquarters , and real estate and investment management services firm JLL, among others, the following new Providers include: CD Sonter, Ltd. BranchPattern Sustainable Investment Group Fitwel Partners The Partner program recognizes companies that support the healthy building movement through Fitwel-aligned products. As a testament to the value of the program, also launched in 2022, the following Partners recently renewed their commitments: Exubrancy HXE Partners, a Morrow Sodali Company Trane Technologies "Exubrancy is thrilled to continue our partnership with Fitwel to help improve workplace wellbeing around the world. As a subsidiary of Tishman Speyer (a Fitwel Champion and founding member of Fitwel's Leadership Advisory Board), we proudly power wellness programming for Tishman Speyer's global portfolio and, most notably, its Fitwel-certified projects, including the newly certified Rockefeller Center ," said Liz Wilkes, CEO and Founder of Exubrancy. "In an effort to improve the mental health and overall health and happiness of employee populations, we are seeing stakeholders across our hundreds of corporate clients moving to identify strategic health and wellness investments as business imperatives." Fitwel's membership programs offer a range of mission-aligned companies opportunities for brand visibility and recognition, exclusive access to resources and discounts, and dedicated account support by the Fitwel team. The company's global community expansion follows the recent announcement of its new v3 Standard , Fitwel's latest version of evidence-based strategies that will launch in December, along with various technology, user experience, and data insights enhancements on the Fitwel Platform. About Fitwel Fitwel is the world's leading certification system committed to building health for all. Generated by expert analysis of 7,000+ academic research studies, Fitwel is implementing a vision for a healthier future where all buildings and communities are enhanced to strengthen health and well-being. Fitwel was originally created by the U.S. Centers for Disease Control (CDC) and Prevention and U.S. General Services Administration. The CDC remains the research and evaluation partner for Fitwel. The Center for Active Design (CfAD), a global not-for-profit organization, maintains the Fitwel standard and conducts objective third-party assessments that lead to certified projects. CfAD's new business unit, Adai (pronounced "a-DAY"), is charged with expanding Fitwel to the global market, administering the program while providing best-in-class customer service and technical support. To learn more about Fitwel, please visit: www.fitwel.org . SOURCE Fitwel Lawmaker-survivor C.T. Wilson to keynote auspicious September 23rd Annapolis gathering ANNAPOLIS, Md., Aug. 16, 2023 /PRNewswire/ -- Grant & Eisenhofer, the national law firm that recently significantly expanded its sexual abuse/survivors advocacy practice with the addition of renowned Maryland litigator-survivor Steven J. Kelly, along with Baltimore-based Jenner Law, is now co-sponsoring the 2023 Maryland Crime Victims Resource Center's (MCVRC) 41st annual statewide recognition event takes place Saturday, September 23rd, in Annapolis (Crowne Plaza). The event will feature a keynote address by the Hon. C.T. Wilson, a survivor of child sexual abuse and fearless leader of the successful fight for passage of the new Child Victims Act (CVA) of 2023; the landmark 'justice-for-survivors' law that takes effect October 1st. Kurt Wolfgang, executive director of MCVRC, in announcing the co-sponsorship, and Mr. Kelly's role at the event, said, "We are honored and humbled to have these two outstanding firms, that often jointly represent crime victims, serve as our lead sponsors for this capstone occasion. They truly lead by example in all areas of practice, and working together have been a force truly capable of defending victim's rights and interests in civil, criminal and related proceedings, including child sex abuse, holistic, trauma-based representation throughout the criminal, civil and other proceedings." Mr. Wolfgang noted that Jenner Law, headed by Robert Jenner, and G&E collectively and creatively harness firm resources and know how to allow victim's voices to be heard to hold abusers and enablers fully accountable. Regarding Mr. Kelly, Mr. Wolfgang said MCVRC's founders, Vince and Roberta Roper, practically adopted Steve when he was a 14-year-old survivor (his oldest sister had been raped and murdered, and the well-heeled suspect went free). "In the intervening 30 years, Steve has consistently supported MCVRC as a volunteer, employee, board member and cheerleader. I and am deeply grateful to consider him not only a friend, but an esteemed colleague," Mr. Wolfgang added. "Jenner Law is thrilled to share the role of presenting sponsor with G&E in support of an absolutely indispensable, one-of-a-kind public interest law center, MCVRC, that serves with exceptional skill and deep compassion the citizens of our great state," said Mr. Jenner. "Particularly over the past year, from the state house in Annapolis to the courthouse in Baltimore County, and throughout Maryland, we've been successfully working together on legislation and litigation focused on obtaining justice for victims and survivors," he explained. "It is only fitting that on September 23rd we take the time to recognize MCVRC and all others focused on survivors." Mr. Kelly, who will address the audience of fellow advocates, survivors, and legislators on behalf of G&E prior to the awards' presentations, stated, "All of us at Grant & Eisenhofer literally from coast to coast are so proud to be affiliated with Kurt his fearless founder the remarkable Roberta Roper - and his entire team of talented attorneys and advocates who are among the unsung heroes fighting in the trenches for the rights of our state's most vulnerable citizens. Last year was special as MCVRC marked its 40th anniversary, and this year as it enters its fifth decade of public service what could be more fitting than honoring those who overcame what at times seemed like insurmountable adversity to see the CVA finally become law, led by Delegate C.T. Wilson who compassionately and skillfully guided the bill through his committee? His Lifetime Achievement Award, to be presented at the event, is so well deserved." He added, "We join Jenner Law in encouraging our peer law firms across the spectrum of practice throughout Maryland and our region, and businesses, organizations, and individuals throughout our communities, to join us in supporting the work of MCVRC. The extraordinary services they perform on a budget that can best be described as 'modest' - on behalf of victims/survivors, benefit not just impacted individuals and families, but every aspect of our society." Sponsorship opportunities, as well as tickets, for the event can be purchased through the organization's website. Contacts: Steven J. Kelly / [email protected] Robert K. Jenner / [email protected] M. Elizabeth "Beth" Graham / [email protected] Sandra Sanna-Buckles / [email protected] SOURCE Jenner Law; Grant & Eisenhofer CAMBRIDGE, Mass., Aug. 16, 2023 /PRNewswire/ -- Inc. Revealed today that PEAK SUPPORT ranks No. 854 on the 2023 Inc. 5000, its annual list of the fastest-growing private companies in America. The prestigious ranking provides a data-driven look at the most successful companies within the economy's most dynamic segment its independent, entrepreneurial businesses. "It is always an honor to be named to the Inc. 5000," said Peak Support CEO Jonathan Steiman. "Going from 1035 in 2021 to 1016 in 2022 and now breaking into the top 1000 is a sign we're building with no signs of slowing down. However, none of it means anything if we lose sight of our primary objective: building an exceptional team that delivers beyond client expectations." Peak Support has expanded its geographic footprint and its service lines in recent years. Peak Support is now able to offer support teams based out of the US, Philippines, Eastern Europe, and Colombia, making Peak Support a one-stop-shop for offshore, near-shore, and domestic outsourcing. In addition, Peak Support now offers Tech & Artificial Intelligence Solutions, for clients seeking to optimize their tech stack or incorporate AI. If you are interested in learning more about Peak Support, visit peaksupport.io for additional information. For more information about the Inc. 5000, go to www.inc.com/inc5000. Peak Support is the outsourcing company for clients who put people first. We are dedicated to providing exceptional support to our clients and an exceptional work environment for our employees. We offer a wide array of services including customer support, technical support, back office services, and tech & AI solutions, from locations in the US, Asia, Colombia, and Eastern Europe. Peak Support won Best Outsourcing Provider at the 2022 ICMI Awards, is certified as a Great Place to Work, and is recognized by Clutch as a Top Global BPO. Media Contact: Jess Elgene Marketing Specialist [email protected] 347.603.2288 SOURCE Peak Support FARGO, N.D., Aug. 16, 2023 /PRNewswire/ -- With 100 years in the books, Gate City Bank has published a memoir about its amazing history, which has inspired a better way of life for customers, communities and team members. The week of August 14 marks Gate City Bank's 100-year celebration event, but the bank has celebrated this milestone in many other ways, as well. From record-breaking donations to a new location to a limited-edition cookie flavor and more, the bank has made a splash, culminating in its new written work, For a Better Way of Life. "These past 100 years are important because they tell an inspirational story that sets the stage for a strong future." Tweet this Gate City Bank told its story with Write Stuff Enterprises, the world's leading publisher of books on the evolution of industry and technology. With Write Stuff founder Jeffrey Rodengen authoring the publication himself, both organizations worked tirelessly to capture the bank's inspiring history. Gate City Bank was determined to do things right. From vetting countless interviewees to combing through regional archives, teams worked meticulously to assemble diverse sources. Board members spoke of the unwavering determination of the bank's leaders. Historic journal entries revealed the company's forward thinking. Polaroid photographs helped researchers journey through days past, granting them a front-row seat to the bank's evolution and triumph. "These past 100 years are so important because they tell an inspirational story, one that not only speaks of our perseverance through challenging times, but sets the stage for our continued commitment to a strong future," said Kevin Hanson, President & CEO. About Gate City Bank A $3.4 billion mutual bank founded in 1923, Gate City Bank is the region's leading financial institution and the #1 mortgage lender in North Dakota. Celebrating its 100th year in 2023, the bank employs more than 770 team members at 44 locations in 23 communities across North Dakota and central Minnesota. The bank believes in creating a better way of life for customers, communities and team members, and it has contributed $36.6 million in philanthropic giving and more than 285,000 volunteer hours since 2003. Additionally, for the third consecutive year, Gate City Bank has ranked in the top four of Forbes' World's Best Banks list, claiming the #4 spot in the U.S. for 2023. It has received a five-star rating from BauerFinancial for the past 27 years, and has been named one of the "50 Best Places to Work" nine years in a row by Prairie Business magazine. SOURCE Gate City Bank DUBLIN, Aug. 16, 2023 /PRNewswire/ -- The "Artificial Intelligence (AI) in Mining - Thematic Intelligence" report has been added to ResearchAndMarkets.com's offering. The global AI market is poised for remarkable growth, with projections indicating a value of $909 billion by 2030, expanding at an impressive compound annual growth rate (CAGR) of 35.2% between 2022 and 2030. This exponential growth is fueled by recent advancements in machine-learning algorithms, such as OpenAI's GPT-4, coupled with the increasing computing power, allowing AI to revolutionize problem-solving in real-time. One sector where the impact of AI is particularly pronounced is the mining industry. Companies in this sector are actively seeking innovative solutions to enhance productivity, cut costs, and identify new mineral sources. Already playing a significant role, AI's influence is expected to magnify in the years ahead, reshaping mining operations. Despite its potential, embracing AI represents a substantial investment, particularly for mining companies grappling with the aftermath of the COVID-19 pandemic and the subsequent economic downturn. As these companies navigate financial challenges, they face the imperative to reevaluate their allocation of resources in order to adopt emerging AI technologies. The transformative power of AI is manifesting across industries, making its mark in real-time problem-solving and revolutionizing traditional workflows. With the AI market's projected growth and its already tangible impact on sectors like mining, companies are compelled to chart a strategic course forward to harness the full potential of this technological revolution. Scope AI enables mining companies to use autonomous machinery and data to improve efficiency and productivity and reduce downtime. These tools can reduce operational costs for mining companies. Autonomous machinery can also reduce the requirement for on-site workers, thereby removing them from potential hazards and improving safety. AI can help companies better understand the environment and terrain where exploitation is to begin. According to Glencore, this can save firms up to 80% of unnecessary costs Reasons to Buy Understand the impact of the AI theme on the mining sector. Understand the impact of generative AI on the mining sector. Access the latest data on the AI theme within the mining sector. Identify the leading digital transformation efforts from mining companies through investment into the AI theme. Access case study insights on leading players within the AI theme. Key Topics Covered: 1. Executive Summary 2. Players 3. Consumer Challenges 4. The Impact of AI on Consumer 5. Case Studies 6. AI Timeline 7. Market Size and Growth Forecasts 8. Signals 8.1. Mergers and acquisitions 8.2. Patent trends 8.3. Company filings trends 8.4. Hiring trends 8.5. Social media trends 9. AI Value Chain 9.1. Hardware 9.2. Data management 9.3. Foundational AI 9.4. Advanced AI capabilities 9.5. Delivery 10. Companies 11. Sector Scorecards 12. Glossary 13. Further Reading 14. Thematic Research Methodology 15. About the Publisher 16. Contact the Publisher A selection of companies mentioned in this report includes ABB Agnico Eagle Akkio Alibaba Alphabet Amazon AMD Apple Baidu BHP Boliden C3.ai Caterpillar Champion DroneDeploy Earth AI EVRAZ Fortescue Freeport McMoRan Goldspot Discoveries Hikvision IBM Imago Kobold Metals Komatsu Minerva Intelligence Newcrest Rio Tinto Sandvik SenseTime Teck Resources Tesla Vale For more information about this report visit https://www.researchandmarkets.com/r/pnqht2 Source: GlobalData About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg SOURCE Research and Markets WASHINGTON, Aug. 16, 2023 /PRNewswire/ -- A group of researchers from the University of Bergen (Norway), the U.S. Naval Research Laboratory (NRL), and three NASA centers have just completed a month of flights to study lightning and the vast energy fields around thunderclouds in our atmosphere. Their new observations will help scientists gain further insight into how lightning forms and help them better predict when storms could turn severe. With operations based out of Tampa, Florida, the ALOFT field campaign logged approximately 60 hours of flight time across Central America and the Caribbean. The team used NASA Armstrong's ER-2 aircraft to fly near thunderclouds as tall as 18 kilometers (10 miles) in altitude in order to measure gamma-ray glows and flashes produced by the electric fields of thunderclouds. ALOFT is short for Airborne Lightning Observatory for Fly's Eye GLM Simulator and Terrestrial gamma-ray flashes. The campaign included researchers and flight crews from the University of Bergen, NRL, NASA's Armstrong Flight Research Center, NASA's Marshall Space Flight Center, and NASA's Goddard Space Flight Center. Led by Nikolai Ostgaard of the University of Bergen and using new and recently upgraded lightning instrumentation, the team was able to capture the most detailed airborne analysis of gamma-rays and thunderclouds ever recorded. One of the reasons was the University of Bergen-Bismuth-Germanium-Oxide (UIB-BGO) gamma-ray detector instruments, which collected and downloaded real-time data, allowing researchers to instruct the ER-2 pilot to return to a glowing thunder cell if it continued to glow. "Within a thunderstorm, there's a huge electric field that can cover a large area within the thundercloud," said Ostgaard, who has been studying gamma-ray flashes and the electric fields within thunderclouds since 2005. "Once the electric field accelerates free electrons, they produce the gamma-ray radiation, which creates a glow throughout the entire thunderstorm." There are two types of gamma-ray radiation emitted from thunderstorms: gamma-ray glows and terrestrial gamma-ray flashes. The glow can last from hours to minutes, so the team is working to determine how long these glows last on average. They also are investigating if there's a relationship with the terrestrial gamma ray flashes, which are very short bursts of gamma rays that occur from specific points within the thundercloud. Teams from NASA Marshall and NASA Goddard also added instrumentation to the ER-2 aircraft to observe other wavelengths emitted by thunderclouds. For instance, Timothy Lang and Mason Quick of Marshall, with support from the University of Alabama Huntsville and partners, flew their Fly's Eye GLM Simulator (FEGS), which has been substantially upgraded since it was last flown in 2017. "FEGS optical sensors capture different wavelengths, such as near infrared and ultraviolet radiation from lightning, that are not captured by current satellites," said Lang. "These smaller, less dense flashes are known as precursors of when storms are turning severe. FEGS could help scientists see when storms are strengthening and provide extra lead time of information to keep the public safe from the threat of lightning." The partnership with the University of Bergen was also beneficial for future NASA missions, Lang noted. "We were able to add some additional instruments that can help with forthcoming missions and could help NASA build the next generation of lightning instrumentation." NASA has been developing cutting-edge lightning instrumentation for decades. In the 1980s, a NASA Marshall team including Hugh Christian, Richard Blakeslee, and Steve Goodman develop one of the earliest space sensors for optical lightning detection. Later teams led by Marshall and the University of Alabama in Huntsville built the Optical Transient Detector, which was active from 1995 to 2000, and the Lightning Imaging Sensor (LIS), which was flown on the Tropical Rainfall Measuring Mission from 1997 to 2015. A second LIS was launched to the International Space Station in 2017 and remains active. The Marshall team also contributed to the commercially built Geostationary Lightning Mapper (GLM) aboard the National Oceanic and Atmospheric Administration's Geostationary Operational Environmental Satellite (GOES-R) series. Learn more about the ALOFT project Learn more about NASA's ER-2 aircraft SOURCE NASA DUBLIN, Aug. 16, 2023 /PRNewswire/ -- The "Conjugation and Labeling Services Market: Distribution by Type of Molecule, Type of Molecular Conjugate / Label, and Key Geographical Regions: Industry Trends and Global Forecasts, 2023-2035" report has been added to ResearchAndMarkets.com's offering. In the rapidly evolving field of pharmaceuticals, the utilization of conjugation and labeling techniques has gained significant traction. These techniques, including fluorescent and isotopic labeling, enable the quantification, visualization, and monitoring of biological processes and compound interactions. Over recent years, the pharmaceutical industry has recognized the potential of these methodologies, employing them in diverse applications such as the development of conjugated drug therapeutics, diagnostic tools, drug delivery systems, and high-throughput screening. This article delves into the key insights surrounding the conjugation and labeling services market, discussing the current landscape, market trends, regional dynamics, and the anticipated growth in the foreseeable future. Outsourcing for Expertise: The Need for Conjugation and Labeling Services Many drug developers and research institutions lack the specialized expertise and advanced technologies required for effective bioconjugation and labeling. As a result, outsourcing these operations to dedicated service providers has become a prevailing trend. These providers possess established methodologies and a wide array of reagents necessary for the chemical modification of diverse biomolecules, facilitating the conjugation process. By collaborating with service providers, organizations gain access to the necessary skills and equipment, fostering the growth of the market as outsourcing of protein labeling, antibody labeling, and conjugation services to Contract Research Organizations (CROs) becomes more prevalent. Diverse Market Landscape The current conjugation and labeling services market comprises more than 170 companies offering antibody conjugation services and 120 companies specializing in protein labeling, distributed globally. This landscape is characterized by a mixture of large, mid-sized, and small entities, each equipped with the expertise to provide bioconjugation services for various biomolecules, including proteins, antibodies, peptides, and small molecules. Among these, 78% of service providers offer antibody conjugation with haptens, exemplified by well-known names such as AAT Bioquest, Abzena, Fina Biosolutions, Life Tein, ProSci, Synaptic Systems, and ViroGen. Segment Analysis - Focus on Antibody Conjugation Services Custom antibody conjugation services for research applications dominate the market demand. These services encompass various offerings, such as HRP conjugation, Biotin Conjugation, and Fluorescent labeling. Antibody labeling, which involves attaching tags to antibodies, plays a crucial role in research and diagnostic applications. The report extensively covers the spectrum of antibody conjugation services relevant to these applications. Fluorescent Tags: Essential Tools for Biomolecule Labeling Fluorescent tags play a pivotal role in proteomics, facilitating the detection of protein location and quantity, identification of conformational changes, and tracking biological processes. Some companies even offer the tagging of fluorescent probes with antibodies and peptides for research purposes, underscoring their widespread utility in the field. Regional Dynamics: North America's Dominance The proliferation of companies offering protein labeling, peptide labeling, small molecule conjugation, and antibody conjugation services has been evident over recent years. North America emerges as a hotspot for bioconjugation service providers, with a majority of them based in this region. This underscores the importance of North America in driving the growth of the market. Evolving to Meet Demand: Industry Trends The market's stakeholders have been actively forming partnerships with other industry and non-industry players. Since 2018, more than 70 strategic alliances have been established, indicating a drive among service providers to enhance their conjugation capabilities in response to the growing demand for bioconjugates. The industry is dynamic, with stakeholders participating in various events such as webinars, conferences, and symposiums related to bioconjugation and biomolecule labeling. These platforms enable companies to showcase innovative methodologies, discuss applications, and collaborate, fostering an environment of innovation and rapid evolution. Scope of the Report The report provides a comprehensive analysis of organizations engaged in conjugation and labeling services across different segments and geographies. It includes: An executive summary offering an overview of the current state and potential evolution of the conjugation and labeling services market. An introduction to biomolecule conjugation and labeling, discussing advantages, types of conjugates, and their applications in biotechnology and pharmaceuticals. An assessment of the market landscape, categorizing companies based on parameters such as establishment year, company size, headquarters location, supported molecule types, and conjugate/label types. A company competitiveness analysis based on experience, size, supported molecule types, and offered services. Profiles of prominent players offering conjugation and labeling services, focusing on North America , Europe , and Asia-Pacific regions. , , and regions. An analysis of recent partnerships among stakeholders, including acquisitions, service agreements, and product development deals. A review of recent events in the bioconjugation domain, categorizing them by year, platform, type, geography, and more. A discussion on trends, drivers, challenges, and opportunities within the conjugation and labeling services market using a SWOT analysis framework. Forecasting the Future The report's primary goal is to assess the present and potential opportunities in the conjugation and labeling services market through the forecasted period from 2023 to 2035. Projections are categorized by molecule types, conjugate/label types, and global regions, accommodating various growth scenarios - conservative, base, and optimistic - to provide a comprehensive view of potential market developments. Conclusion The field of conjugation and labeling services for biomolecules in the pharmaceutical industry is experiencing remarkable growth, driven by the increasing demand for specialized expertise and advanced technologies. Stakeholders are actively collaborating and innovating to meet market demands, and events serve as platforms for knowledge sharing and collaboration. As cutting-edge technologies and innovative approaches continue to shape the landscape, the conjugation and labeling services market is poised to evolve rapidly in the coming years, presenting abundant opportunities for growth and advancement. The opinions and insights presented in the report were influenced by discussions held with stakeholders in this domain. The report features detailed transcripts of interviews held with the following industry stakeholders: Sudhirdas Prayaga (President and Chief Executive Officer, Antibody Research) Vishal Rai (Founder, Plabeltech) (Founder, Plabeltech) Alastair Dent (Managing Director and Quality Director, Fleet Bioprocessing) Key Topics Covered 1. PREFACE 1.1. Introduction 1.2. Key Market Insights 1.3. Scope of the Report 1.4. Research Methodology 1.5. Frequently Asked Questions 1.6. Chapter Outlines 2. EXECUTIVE SUMMARY 3. INTRODUCTION 3.1. Chapter Overview 3.2. Overview of Biomolecule Conjugation and Labeling 3.3. Types of Biomolecular Conjugates and Labels 3.4. Applications of Conjugated and Labeled Biomolecules 3.5. Concluding Remarks 4. CONJUGATION AND LABELING SERVICE PROVIDERS: MARKET LANDSCAPE 4.1. Chapter Overview 4.2. Conjugation and Labeling Service Providers: Overall Market Landscape 4.2.1. Analysis by Year of Establishment 4.2.2. Analysis by Company Size 4.2.3. Analysis by Location of Headquarters 4.2.4. Analysis by Company Size and Location of Headquarters 4.2.5. Analysis by Type of Molecule(s) Conjugated / Labeled 4.2.6. Analysis by Type of Molecular Conjugate(s) / Label(s) Used 4.2.6.1. Analysis by Type of Enzyme(s) Used 4.2.6.2. Analysis by Type of Hapten(s) Used 4.2.7. Analysis by Conjugation Service(s) Offered 5. COMPANY COMPETITIVENESS ANALYSIS 5.1. Chapter Overview 5.2. Assumptions / Key Parameters 5.3. Methodology 5.4. Company Competitiveness Analysis 5.4.1. Conjugation and Labeling Service Providers based in North America 5.4.2. Conjugation and Labeling Service Providers based in Europe 5.4.3. Conjugation and Labeling Service Providers based in Asia-Pacific 6. COMPANY PROFILES 6.1. Chapter Overview 6.2. AltaBioscience 6.2.1. Company Overview 6.2.2. Recent Developments and Future Outlook 6.3. Bachem 6.3.1. Company Overview 6.3.2. Recent Developments and Future Outlook 6.4. Bio-Synthesis 6.4.1. Company Overview 6.4.2. Recent Developments and Future Outlook 6.5. Cayman Chemical 6.5.1. Company Overview 6.5.2. Recent Developments and Future Outlook 6.6. CliniSciences 6.6.1. Company Overview 6.6.2. Recent Developments and Future Outlook 6.7. Eurogentec 6.7.1. Company Overview 6.7.2. Recent Developments and Future Outlook 6.8. GBI (formerly known as Goodwin Biotechnology) 6.8.1. Company Overview 6.8.2. Recent Developments and Future Outlook 6.9. Mimotopes 6.9.1. Company Overview 6.9.2. Recent Developments and Future Outlook 6.10. Peptide Institute 6.10.1. Company Overview 6.10.2. Recent Developments and Future Outlook 6.11. piCHEM 6.11.1. Company Overview 6.11.2. Recent Developments and Future Outlook 7. PARTNERSHIPS AND COLLABORATIONS 7.1. Chapter Overview 7.2. Partnership Models 7.3. Conjugation and Labeling Services: Partnerships and Collaborations 7.3.1. Analysis by Year of Partnership 7.3.2. Analysis by Type of Partnership 7.3.3. Analysis by Year and Type of Partnership 7.3.4. Analysis by Type of Partner 7.3.5. Analysis by Year of Partnership and Type of Partner 7.3.6. Analysis by Type of Partnership and Type of Partner 7.3.7. Analysis by Location of Headquarters of Partner 7.3.8. Analysis by Type of Partnership and Location of Headquarters of Partner 7.3.9. Most Active Players: Analysis by Number of Partnerships 7.3.10. Analysis by Geography 7.3.10.1. Intercontinental and Intracontinental Deals 7.3.10.2. Local and International Deals 8. GLOBAL EVENT ANALYSIS 8.1. Chapter Overview 8.2. Scope and Methodology 8.3. Conjugation and Labeling Services: Global Event Analysis 8.3.1. Analysis by Year of Event 8.3.2. Analysis by Event Platform 8.3.3. Analysis by Type of Event 8.3.4. Analysis by Year and Type of Event 8.3.5. Analysis by Geography 8.3.6. Word Cloud Analysis: Evolutionary Trends in Event Agenda / Key Focus Area 8.3.7. Most Active Organizers: Analysis by Number of Events 8.4. Global Events: Speaker Analysis 8.4.1. Most Active Industry Players: Analysis by Number of Speakers 8.4.2. Most Active Non-Industry Players: Analysis by Number of Speakers 8.4.3. Analysis by Seniority Level of Event Speakers 8.5. Geographical Mapping of Upcoming Events 8.6. Concluding Remarks 9. SWOT ANALYSIS 9.1. Chapter Overview 9.2. Conjugation and Labeling Services: SWOT Analysis 9.2.1. Comparison of SWOT Factors 10. MARKET FORECAST AND OPPORTUNITY ANALYSIS 10.1. Chapter Overview 10.2. Key Assumptions and Forecast Methodology 10.3. Global Conjugation and Labeling Services Market, 2023-2035 10.4. Conjugation and Labeling Services Market: Analysis by Type of Molecule 10.4.1. Conjugation and Labeling Services Market for Proteins, 2023-2035 10.4.2. Conjugation and Labeling Services Market for Antibodies, 2023-2035 10.4.3. Conjugation and Labeling Services Market for Peptides, 2023-2035 10.4.4. Conjugation and Labeling Services Market for Small Molecules, 2023-2035 10.4.5. Conjugation and Labeling Services Market for Other Molecules, 2023-2035 10.5. Conjugation and Labeling Services Market: Analysis by Type of Molecular Conjugate / Label 10.5.1. Conjugation and Labeling Services Market for Polymers, 2023-2035 10.5.2. Conjugation and Labeling Services Market for Haptens, 2023-2035 10.5.3. Conjugation and Labeling Services Market for Enzymes, 2023-2035 10.5.4. Conjugation and Labeling Services Market for Fluorescent Tags, 2023-2035 10.5.5. Conjugation and Labeling Services Market for Bead Coupling / Particle Conjugates, 2023-2035 10.5.6. Conjugation and Labeling Services Market for Quantum Dots, 2023-2035 10.5.7. Conjugation and Labeling Services Market for Isotopes, 2023-2035 10.5.8. Conjugation and Labeling Services Market for Other Molecular Conjugates / Labels, 2023-2035 10.6. Conjugation and Labeling Services Market: Analysis by Key Geographical Regions 10.6.1. Conjugation and Labeling Services Market in North America, 2023-2035 10.6.2. Conjugation and Labeling Services Market in Europe, 2023-2035 10.6.3. Conjugation and Labeling Services Market in Asia-Pacific, 2023-2035 10.6.4. Conjugation and Labeling Services Market in Middle East and North Africa, 2023-2035 10.6.5. Conjugation and Labeling Services Market in Latin America, 2023-2035 11. CONCLUSION 12. EXECUTIVE INSIGHTS 13. APPENDIX 1: TABULATED DATA 14. APPENDIX 2: LIST OF COMPANIES AND ORGANIZATIONS Companies Mentioned 2BScientific AAPPTec AAT Bioquest Ab Biotechnology AbBioSci Abbiotec Abcam Abcepta AbClon Abclonal Abcore Abeomics ABI Scientific Abingdon Health Abnova Absea Biotechnology Absolute Antibody Abzena ACROBiosystems Activotec Adaltis Advanced Peptides Affigenix Biosolutions Affinity Biologicals Affinity Biosciences Affinity Life Sciences Ainnocence AIVD Biotech AlexoTech Almac Alomone Labs Alpha Diagnostic AltaBioscience AMSBIO AnaSpec Antagene AnteoTech Antibodies antibodies-online Antibody Research Arbor Assays Arista Biologicals ARVYS Proteins Ascension Aurigene Pharmaceutical Services Aurion Aurora Biolabs AURUM Pharmatech Aves Labs Aviva Systems Biology Bachem BBI Solutions Bethyl Laboratories (a subsidiary of Fortis Life Sciences) Bhat Bio -tech -tech Bicycle Therapeutics Bio Basic BioActs Biobest Bioclone BioCores BioEastern BioGenes BIOHUB INTERNATIONAL BioLegend Biologics International Biomatik BioNova Pharmaceuticals Biorbyt BiOrion Technologies BIOSYNTAN Biosynth Bio-Synthesis BioTag Biotech Desk BioTeZ BioVision BIOZOL BOC Sciences Boster Biological Technology BPS Bioscience BrickBio BroadPharm Brookwood Biomedical Calico Biolabs (a subsidiary of Abcam) Cambridge Innovation Institute CanPeptide Capra Science Caprico Biotechnologies CARBOGEN AMICS CASLO Cayman Chemical CD Bioparticles celares Cell Signalling Technology CellMosaic Centre national de la recherche scientifique (CNRS) Charles River Laboratories Chayon Laboratories ChemPartner ChemPep CHI Scientific ChinaPeptides ChromoTek (a subsidiary of Proteintech) Cisbio (a subsidiary of PerkinElmer) Clementia Biotech Click Biosystems CliniSciences Coherent Biopharma Columbia Biosciences CordenPharma CortecNet Covalab CPC Scientific CreaGen Creative Biolabs Creative BioMart Creative Diagnostics Creative Enzymes Creative Peptides Creative Proteomics CRELUX C-Six Labs Curia Curida Diatec Cygnus Technologies Cytiva Cytodiagnostics Denovo Biolabs Denovo Biotechnology Designer BioScience Detai Bio-Tech Diatheva Drug Delivery Experts (acquired by Pace Analytical Services) Elabscience emp Biotech Enzo Life Sciences ETH Zurich Eurogentec Exeris exonbio Expedeon (a subsidiary of Abcam) Explora Biotech EZBiolab Fagus Antibody Services Fina Biosolutions Fleet Bioprocessing Fortis Life Sciences FUJIFILM Wako Pure Chemical Full Moon BioSystems Fusion Pharmaceuticals Future Diagnostics G&P Biosciences G-Biosciences GCC Biotech GeneDireX Genei Laboratories Genemed Synthesis GENEPEP GeneTex GeNext Genomics Genosphere Biotechnologies Genovis GenPro Biotech GenScript GenxBio German Research Products Gifford Bioscience Giotto Biotech GL Biochem GlycoT Therapeutics Glykos Goodwin Biotechnology Gyros Protein Technologies (a subsidiary of Mesa Laboratories) Hanyang University Healstone Biotech HUABIO HumanZyme (a subsidiary of Proteintech) Hypermol Immuno-Biological Laboratories INDIGO Biosciences InnoCare Pharma InnoPep Innova Biosciences Institut de Biologie Structurale Institute of Pharmacology and Structural Biology InVivo BioTech Services IRBM Isca Biochemicals Jackson ImmunoResearch Jena Bioscience JPT Peptide Technologies KareBay Biochem Kementec Kerafast Kinexus Bioinformatics KMD Bioscience Krishgen Biosciences KTH Royal Institute of Technology Kunming Institute of Botany Kyungpook Chonnam National Hospital Lab Bioreagents LabCorp Labospace LakePharma LAMPIRE Biological Laboratories Leadgene Biomedical Leading Biology Lehigh University Leinco Technologies Levena Biopharma LGC LifeTein Lonza LifeSpan BioSciences (LSBio) LubioScience Mabtech Macrocyclics Maliba Pharmacy College MBL International MedChemExpress Medicinal Chemistry Pharmaceutical MedKoo Biosciences Merck KGaA Mesa Laboratories Meso Scale Diagnostics metabion Microcoat Biotechnologie Mimotopes Molnova MuseChem nanoComposix Nanocs NanoHybrids Nanoimmunetech NeoScientific NJ Bio Nordic BioSite NOVA School of Science and Technology Novatein Biosciences NovoPro Novoprotein Scientific Novus Biologicals Ohio Peptide Oncomedics Ontores Biotechnologies OriGene Technologies OriMAbs Otto Chemie Pacific Immunology PATH Pepceuticals PeproTech Pepscan Peptide 2.0 Peptide Institute Peptide Protein Research Peptide Therapeutics Foundation PeptidePharma PerkinElmer Phoenix Pharmaceuticals piCHEM Plabeltech PolyPeptide PPD Prayaga Scientific Laboratories Precision BioLogic Primm Biotech Profacgen ProSci Protein One Protein Ark Proteintech ProteoGenix Proteos Prudue University PurMabiologics QED Bioscience Qognit R&D Systems RayBiotech Life RD-Biotech RevMAb Biosciences Rockland Immunochemicals rPeptide RS Synthesis RWJBarnabas Health SanBio SB-PEPTIDE SBS Genetech SCHOTT MINIFAB Scotia Biologics Seoul National University SeraCare Life Sciences Seramun Diagnostica Shikhar Biotech ShineGene Bio-Technologies Singzyme Sino Biological South Bay Bio SouthernBiotech Space Peptides Standard BioTools Steffens-Biotec Swiss Biotech Association Syd Labs Synaptic Systems Synbio Technologies Syngene SynPeptide Tata Institute of Fundamental Research Telix Pharmaceuticals The Antibody Company The Michael J. Fox Foundation The University of British Columbia Thermo Fisher Scientific Toxikon trenzyme TriLink BioTechnologies UNC Lineberger Comprehensive Cancer Center University of Georgia University of Bologna University of Eastern Finland University of Florence University of Iceland University of Louisville University of Prince Edward Island USV University of Utah Vector Laboratories Viewpoint Molecular Targeting ViroGen World Academy of Science, Engineering and Technology WuXi Biologics WuXi STA Xaia Custom Peptides Xynomic Pharmaceuticals YCharOS Yonsei University YuRoGen Biosystems For more information about this report visit https://www.researchandmarkets.com/r/6kjcs2 About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg SOURCE Research and Markets DUBLIN, Aug. 15, 2023 /PRNewswire/ -- The "Hemophilia Market Size, Share & Trends Analysis Report By Type (Hemophilia A), By Treatment Type (On-demand), By Therapy (Gene Therapy & Monoclonal Antibodies), By Distribution Channel, By Region, And Segment Forecasts, 2023 - 2030" report has been added to ResearchAndMarkets.com's offering. The global hemophilia market size is expected to reach USD 21.07 billion by 2030, expanding at a CAGR of 6.6% from 2023 to 2030. The approval of gene therapy and monoclonal antibodies for treating hemophilia and the presence of multiple such candidates in the pipeline are major drivers for market growth. In addition, the increasing life expectancy of hemophilia patients due to reduced mortality is another key factor driving market growth. The development and launch of novel therapies to control hemophilia are propelling the market growth. For instance, in April 2020, FDA approved the genetically engineered product Sevenfact [coagulation factor VIIa (recombinant)-jncw] as a treatment option to control bleeding episodes in adolescents (of age group 12 years and above) and adults. Furthermore, the presence of 2 gene therapy products, BioMarin's Roctavian for hemophilia A, was approved only in Europe, and CSL's Hemgenix (etranacogene dezaparvovec-drib) was approved both in the U.S., and Europe demonstrates an opportunity for expansion in other regions. BioMarin's Roctavian is under review with the U.S. FDA after its previous rejection and has a PDUFA date set for June 30, 2023. Major players are focused on developing new products and entering into strategic collaborations for enhanced geographic presence. For instance, in April 2022, Novo Nordisk A/S partnered with Canadian Blood Services to enhance its product offering with marketed drugs Esperoct and Zonovate, publicly available for treating type A. Moreover, in February 2023, Sanofi received FDA approval for its drug Altuviiio, a factor VIII replacement therapy for treating hemophilia A. However, a key restraining factor is the delayed diagnosis of mild to moderate disease in developing countries such as China and India. Another key challenge for the market is the possible access issues for gene therapy due to its high cost and lack of long-term data. For instance, the cost of treatment using Hemgenix (Approved in 2022) is USD 3.5 million per treatment, making it the most expensive treatment in the world. Hemophilia Market Report Highlights The type A segment held the largest share of the hemophilia market owing to the higher prevalence of hemophilia A and the availability of multiple products for its treatment. This segment is also expected to grow at the highest CAGR during the forecast period owing to the approval of gene therapy Based on the distribution channel, the specialty pharmacies segment held the largest market share in 2022, attributable to the specialized nature of the treatment required for the condition By therapy factor replacement therapy held the largest share of the hemophilia market in 2022, as it is the major form of treatment used in both prophylaxis and on-demand treatment North America was the largest revenue-generating region in the hemophilia market in 2022, which can be attributed to the presence of key players, regulatory support, patient awareness, and favorable funding assistance Key Attributes: Report Attribute Details No. of Pages 105 Forecast Period 2022 - 2030 Estimated Market Value (USD) in 2022 $12.6 billion Forecasted Market Value (USD) by 2030 $21.07 billion Compound Annual Growth Rate 6.6 % Regions Covered Global Hemophilia Market Variables, Trends, & Scope Hemophilia Market Lineage Outlook Parent Market Outlook Penetration And Growth Prospect Mapping Market Driver Analysis Increasing Population Of Newborns Favorable Government Initiatives Rising R&D And New Product Development Rising Adoption Of Prophylaxis Treatment Market Restraint Analysis High Cost Associated With Procuring Treatment Business Tools Porter's Five Forces Analysis Pestle Analysis Pipeline Analysis Competitive Landscape Company Categorization Participant's Overview Financial Performance Product Benchmarking Company Market Positioning Company Market Share Analysis, 2022 Company Heat Map Analysis Strategic Initiatives Company Profiles Novo Nordisk A/S Takeda Pharmaceutical Company Limited F. Hoffmann La-Roche Ltd. Bayer AG Pfizer, Inc. CSL Behring BioMarin Octapharma AG Sanofi Spark Therapeutics, Inc. For more information about this report visit https://www.researchandmarkets.com/r/5purul About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg SOURCE Research and Markets DUBLIN, Aug. 15, 2023 /PRNewswire/ -- The "Next Generation Sequencing in Agrigenomics Market - Global Industry Size, Share, Trends, Opportunity, and Forecast, 2017-2027" report has been added to ResearchAndMarkets.com's offering. The global next-generation sequencing (NGS) in the agrigenomics market is poised for remarkable growth during the forecast period from 2023 to 2027. With a particularly promising landscape, the United States stands out as a lucrative market due to the continuous advancements in tools and techniques within the country. The market's expansion is underpinned by several significant factors, including the mounting global pressure on food production, the increasing demand for improved livestock and crops, and the ongoing technological strides made in the realm of genomics and agriculture. Agrigenomics, the application of genomics in the agricultural sector, plays a pivotal role in enhancing livestock and crop productivity and sustainability. This approach involves the comprehensive exploration of complex crop and livestock genomes. Leveraging next-generation sequencing (NGS), breeders, farmers, and researchers can accurately detect genetic sequences, enabling more informed breeding decisions. Beyond these factors, the growth of the NGS in agrigenomics market is further bolstered by heightened government investments in research and development, a rising global population, a growing preference for organic food products, increased demand for genomic databases by livestock breeders, a surge in the quest for enhanced crop quality, and the expanding footprint of the biotechnological industry. Growing Food Demand, Livestock, and Crops The surge in demand for food among people across the globe is propelling the growth of the market. Owing to the increase in population, urbanization, and increasing incomes of people and others, the demand for food is growing, which impels the growth of the market. Many factors, such as water scarcity, climate change, rising global temperatures, and shrinkage in lands, are making it challenging for farmers to produce enough food. Therefore, farmers are opting for agrigenomics as it helps to develop new and specific varieties of crops, which in turn improve productivity and various other food problems. As per the United Nations report, in 2020, approximately one in three people in the world did not have access to sufficient food, which is an increase of roughly 320 million people in just one year. Growing demand for genome sequencing in livestock and crops are bolstering the growth of the market. This is attributed to the use of sequencing as it helps to revolutionize food security and sustainable farming, including food safety, animal, and plant health, eliminating the risk of spreading diseases, and others. According to the UN report, in 2021, global hunger numbers rose to as many as 828 million. Increasing Technological Advancements Continuous technological advancements in NGS, such as DNA/RNA sequencing, marker-assisted selection (MAS), agritype targeted genotyping by sequencing is, positively impacting the agricultural sector by solving food problems, nutrition, and others which in turn augments the growth of the market, globally. The growing demand for environmentally friendly and innovative production techniques, modernization in the agriculture sector, and higher yields have found the need for new opportunities in agrigenomics technology. Also, the availability of cost-effective sequencing methods is fueling the growth of the market. For instance, in 2018, 10x Genomics, Inc., a company that emphasized accelerating genomic discovery, introduced a new version of their 'de novo assembly solution.' The de novo solution's aim is to provide the fastest and most cost-effective solution for creating high-quality de novo assemblies on a large scale for animals and plants. The de novo assembly solution encourages high-throughput crop health, breeding, and other studies in the agrigenomics sector. Company Profiles 10x Genomics, Inc. AgriGenome Labs Pvt. Ltd Agrigenomics Inc. Daicel Arbor Biosciences Biogenetic Services Inc. Eurofins Scientific SE GALSEQ S.r.l. Illumina, Inc. Genome Life Sciences Private Limited NuGen Technologies Inc. Report Scope: Next Generation Sequencing (NGS) in Agrigenomics Market, By Product: Kits & Consumables Sequencing Kits Isolation & Extraction Kits Others Instruments Others Next Generation Sequencing (NGS) in Agrigenomics Market, By Technique: DNA Extraction & Purification DNA/RNA Sequencing Genotyping Marker Assistance Selection Others Next Generation Sequencing (NGS) in Agrigenomics Market, By Application: Crops Livestock Next Generation Sequencing (NGS) in Agrigenomics Market, By Region: North America United States Canada Mexico Asia-Pacific China India Japan Australia South Korea Europe & CIS & CIS Germany France United Kingdom Spain Italy South America Brazil Argentina Colombia Middle East & Africa & South Africa Saudi Arabia UAE Turkey Egypt For more information about this report visit https://www.researchandmarkets.com/r/1slsta About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg SOURCE Research and Markets The Alliance Takes Place During the Latin GRAMMY Award-Winning Multi-Platinum Musician and Songwriter's North American 'El Tour De Los 30' Kicking Off August 19 in New York MIAMI, Aug. 16, 2023 /PRNewswire/ -- Global music icon Carlos Vives joins Goya Cares, a global humanitarian initiative, to raise awareness of the child trafficking and mental health epidemic. GOYA CARES AND CARLOS VIVES UNITE TO RAISE AWARENESS OF THE CHILD TRAFFICKING AND MENTAL HEALTH EPIDEMIC Tweet this Loud And Live, Carlos Vives, Goya Cares "Tour de los 30" Press Conference This collaboration, crafted with the intent to protect children and provide hope for the future will be brought to life through a series of educational videos, cause-related marketing campaigns, and community outreach programs during El Tour De Los 30, the latest U.S. trek from the renowned Colombian artist and advocate for social change, who is committed to using his influence to help communities in need. "Goya Cares is dedicated to educating, supporting, and protecting children and families. This is an amazing partnership that will help reach millions and encourage community collaboration. Our hands and hearts are filled with the purpose of inspiring tangible and positive changes in society and work collectively to raise awareness and teach prevention. Together, we will forge a beacon of hope in this global fight," said Bob Unanue, President and CEO of Goya Foods. As part of the Goya Cares coalition, a network of community organizations and businesses dedicated to eradicate human trafficking, Carlos Vives' non-profit organization Tras La Perla, will receive a donation to support the children of Santa Marta, Colombia. The chilling numbers cannot be ignored: thousands of children in the United States are victims of human trafficking, a third of them innocent infants. Every minute, two children fall into the clutches of this cruel trade. Each year, 2,000,000 children are trafficked worldwide and more than 100,000 are trafficked in the United States. The reality is stark: human trafficking is the modern-day slavery that is a growing darkness. About El Tour De Los 30: Produced by Loud And Live, the entertainment, marketing, media and live events company will carry the exclusive nine-show US tour beginning August 19 at New York's iconic Radio City Music Hall and ending on November 5 at the Microsoft Theater in Los Angeles. El Tour De Los 30 represents the journey towards Carlos Vives' roots and the milestones that made him an ambassador of the Colombian sound on a global level. A tour in tribute to his outstanding musical career, reliving 30 years of La Provincia. SOURCE Loud and Live, Inc. United Nations - ohchr.org Afghanistan: UN human rights experts denounce idea of reformed Taliban 14 August 2023 GENEVA (14 August 2023) Two years after seizing power in Afghanistan, the Taliban continues to violate many human rights including implementing a system of total discrimination, exclusion and subjugation of women and girls, UN experts said today. The gap between promises and practices by Afghanistans de facto authorities has widened and the idea of a reformed Taliban has been exposed as mistaken, the experts said. To mark that two years have passed since the Talibans take-over of Afghanistan, they issued the following statement: Two years ago, the Taliban seized power in Afghanistan. Since then, the policies they have imposed on the Afghan population have resulted in a continuous, systematic and shocking rescinding of a multitude of human rights, including the rights to education, work, and freedoms of expression, assembly and association. Consistent credible reports of summary executions and acts tantamount to enforced disappearances, widespread arbitrary detention, torture, and ill treatment, as well as arbitrary displacement have caused increased concern. The hardest hit are women and girls, ethnic, religious and other minorities, people with disabilities, displaced persons, LGBTQ+ persons, human rights defenders and other civil society actors, journalists, artists, educators, and former government and security officials. Despite reassurances by the Taliban de facto authorities that any restrictions, particularly in terms of access to education would be temporary, the facts on the ground have demonstrated an accelerated, systematic, and all engulfing system of segregation, marginalization and persecution. In comparison to last year [1], the Taliban has even further implemented a system of discrimination with the intention to subject women and girls to total domination so egregious, that the collective practices constitute gender persecution, a crime against humanity, and has necessitated a discussion about the codification of gender apartheid [2]. In December [3], the Taliban de facto authorities barred women from working at NGOs and this April from working at the UN. Now, reportedly, de facto authorities in several provinces have instructed schools recently that girls over 10 years old are not allowed to study, while previously the ban started at Grade 6. Women have even been denied the ability to seek comfort in some of their own spaces such as beauty salons that were frequented and run by women, as these have been recently ordered to close. Both Afghan women and men, including many community leaders and teachers have voiced their profound disappointment at the inability of girls and women to continue with their education. More widely, promises for a more inclusive form of government did not materialize; the amnesty for former government and military officials is being violated; guidelines to stop torture and ill treatment in detention centers are too often ignored, minorities are marginalised and suffer discrimination despite promises of inclusion, and lawyers, judges, prosecutors and other actors involved with the legal system face grave security risks [4]. The de facto authorities have introduced [5] the use of cruel and undignified punishments, such as stoning, flogging and burying under a wall in contravention of international human rights standards. The concept of a reformed Taliban has been exposed as mistaken. Our message to the Taliban could not be clearer, they need to: 1. Immediately reverse course on the treatment of women and girls; allow women to work and run businesses, including the employment of women to deliver essential services to women and girls, and allow all women and girls to enjoy all human rights, including freedom of movement and participation in political and public life, as well as to ensure equal and meaningful representation of women in decision-making processes, 2. Immediately reopen schools at all levels and universities for girls and women and fulfill the right to education, which includes access to quality and comprehensive education; 3. End reprisals against former government and security officials as well as civil society members and fully uphold the declared general amnesty; 4. Stop arbitrary detention, acts tantamount to enforced disappearances, torture and ill-treatment, including as part of judicially sanctioned punishments, and in this regard, allow free, unhindered access for human rights monitors and humanitarian actors to monitor all places of detention; 5. Reverse actions that have resulted in the shrinking of civic space and ensure that civil society organisations, journalists and other media workers can function without undue hindrance; 6. Enforce strict measures to prevent discrimination against ethnic and religious minorities, and to guarantee their representation and meaningful participation in decision-making processes. A faltering economy, eroded livelihoods, drought-like conditions and additional climate shocks have also put the social, economic and cultural rights of Afghans under pressure and caused a heightened need for humanitarian assistance. An estimated 16 million children [6] in Afghanistan are not receiving basic food or health care essential to their wellbeing and development. The economic downturn drives harmful, discriminatory, oppressive, and violent practices, such as forced and child marriage, abuse and economic and sexual exploitation, the sale of children and body organs, forced and child labour, trafficking, and unsafe migration. The ban on Afghan female aid workers has also impacted the effectiveness of the humanitarian response. While the humanitarian and human rights situation have continued to deteriorate for the last two years, the UN warns about critical funding gaps [7] for its humanitarian response. This could lead to discontinuation of community-based classes, reduced food assistance, and closure of health facilities, among other consequences. Moreover, these dire conditions, compounded by a plethora of restrictions, and a lack of employment opportunities may lead to harmful coping mechanisms such as joining criminal or armed groups. Many Afghans continue to leave the country out of desperation. While countries that are receiving Afghans should be commended, many Afghan refugees reside in host countries in desperate circumstances. It does not need to be this way. But to effect change, it is time for the international community to commit to the people of Afghanistan with renewed vigour and increased unity, and take decisive actions by: Ensuring political engagement with all Afghan interlocutors manifests a human rights centered and gender integrated approach; Bridging the funding gap of the humanitarian response plan, and devising ways to provide aid that directly reaches the Afghan people, including displaced populations and host communities, while utililising exemptions within sanction regimes; Supporting investigation and accountability mechanisms for human rights violations to avoid their recurrence and address impunity; Recognizing the treatment of women and girls by the Taliban as gender persecution; Granting refugee status to all Afghan women and girls on the basis of human rights violations against them stemming from the discriminatory policies and practices instituted by the de facto authorities; Stepping up its commitment to Afghan refugees and migrants by ensuring that refugee status can be granted on the basis of gender persecution, that refugees and migrants are received in a dignified manner and that they are protected against refoulement and mistreatment; Backing initiatives by Afghan women leaders and thinkers, and civil society groups, operating inside and outside Afghanistan to explore practical avenues to promote human rights values and principles. The experts: Mr. Richard Bennett,Special Rapporteur on Afghanistan; Ms. Mary Lawlor,Special Rapporteur on the situation of human rights defenders, Mr. Fernand de Varennes;Special Rapporteur on Minority issues, Ms. Irene Khan;Special Rapporteur on freedom of opinion and expression, Ms. Reem Alsalem;Special Rapporteur on violence against women and girls, Ms. Dorothy Estrada Tanck (Chair), Ms. Ivana Rada?i? (Vice-Chair), Ms. Elizabeth Broderick, Ms. Meskerem Geset Techane, and Ms. Melissa Upreti,Working Group on discrimination against women and girls; Ms. Ana Pela?ez Narva?ez, Chair of the Committee on the Elimination of Discrimination against Women; Ms. Alexandra Xanthaki,Special Rapporteur in the field of cultural rights; Ms. Paula Gaviria Betancur, Special Rapporteur on the human rights of internally displaced persons; Mr. Tomoya Obokata, Special Rapporteur on contemporary forms of slavery, including is causes and consequences; Mr. Morris Tidball-Binz,Special Rapporteur on extrajudicial, summary or arbitrary executions; Mr. Fabian Salvioli,Special Rapporteur on the promotion of truth, justice, reparation and guarantees of non-recurrence; Mr. Michael Fakhri, Special Rapporteur on the right to food; Ms. Priya Gopalan (Chair-Rapporteur), Mr. Matthew Gillett (Vice-Chair on Communications), Ms. Ganna Yudkivska (Vice-Chair on Follow-Up), Ms. Miriam Estrada-Castillo, and Mr. Mumba Malila,Working Group on arbitrary detention; Ms. Farida Shaheed, Special Rapporteur on the right to education; Ms. Margaret Satterthwaite, Special Rapporteur on the independence of judges and lawyers; Ms. Alice Jill Edwards, Special Rapporteur on torture and other cruel, inhuman or degrading treatment or punishment, Victor Madrigal-Borloz, Independent Expert on sexual orientation and gender identity, Ms. Aua Balde (Chair-Rapporteur), Ms Gabriella Citroni (Vice-Chair), Ms Angkhana Neelapaijit, Ms. Gra?yna Baranowska, Ms. Ana Lorena Delgadillo Perez, Working Group on enforced or involuntary disappearances, Ms. Fionnuala Ni Aolain , Special Rapporteur on the promotion and protection of human rights while countering terrorism . The experts are part of what is known as the Special Procedures of the Human Rights Council. Special Procedures, the largest body of independent experts in the UN Human Rights system, is the general name of the Councils independent fact-finding and monitoring mechanisms that address either specific country situations or thematic issues in all parts of the world. Special Procedures experts work on a voluntary basis; they are not UN staff and do not receive a salary for their work. They are independent from any government or organization and serve in their individual capacity. For more information and media requests, please contact hrc-sr-afghanistan[at]un.org Online Learning Evidence in Motion Brings Hybrid Accelerated Doctoral Programs to Southern California University of Health Sciences Healthcare hybrid learning company Evidence in Motion (EIM) has partnered with Southern California University of Health Sciences (SCU) to offer three hybrid accelerated doctoral programs starting in 2024. The accredited program campuses will be located in Whittier, CA, and Phoenix. The accelerated programs mean students will graduate earlier than they would in traditional programs. The new programs are planned to start with the Doctor of Occupational Therapy (ODT) in Phoenix. SCU will start accepting applications in January 2024, and classes will begin in early 2025. The accelerated hybrid program takes two years and combines online learning, hands-on labs, fieldwork, and a doctoral capstone, EIM said. The program is accredited by the Accreditation Council for Occupational Therapy Education (ACOTE). The Doctor of Physical Therapy (DPT) program, traditionally a three-year program, also follows a two-year, hybrid accelerated model. Fifty percent will be online coursework, 20% in person in hands-on labs, and 30% in clinical education experiences. This program is accredited by the Commission on Accreditation in Physical Therapy Education (CAPTE). The Masters in Speech-Language Pathology (MS-SLP) degree is a 16-month program with approximately 80% of coursework online, 10% in person during hands-on labs, and 10% in clinical education experiences. This program is accredited by American Speech-Language Hearing Association (ASHA). Although students will be enrolled through SCU, they can live anywhere and do the bulk of their coursework in an online virtual classroom, with several visits to campus locations for labs and other needed in-person experience, the company said. EIM will assist SCU in recruiting faculty, obtaining clinical sites, attracting diverse and qualified students, and helping faculty develop content. SCU believes that a diverse student body is essential for developing the knowledge and skills needed to address the complex range of health challenges facing patient populations and meeting them in their communities, EIM noted. "Given the ability to recruit students from anywhere in the country in these hybrid programs, we expect a large number of our new students will come from economically disadvantaged backgrounds and minority groups," said John Scaringe SCU president. "We are honored that Southern California University of Health Sciences has entrusted us to deliver and support its newest graduate health care degree programs," said Pradeep Khandelwal, EIM's CEO. "Through this partnership, we are working side by side to expand access to quality hybrid education for these in-demand health care professions and further our shared mission to reach underserved communities across the country." TOWSON, Md., Aug. 16, 2023 /PRNewswire/ -- Greenspring Advisors is pleased to announce, that throughout 2023, they have successfully established a new workplace initiative, Women & Wealth. The Women & Wealth employee resource group is led by Laura Stoner, Greenspring's Director of People Operations, and Molly Goetz, CFP, CDFA, MBA, Partner, and Practice Lead at Greenspring Advisors. Women & Wealth is dedicated to elevating women's voices in the workplace by providing a constructive environment for meaningful conversations, community, and skills-based learning. "Our intention behind creating this group was to foster new relationships, community between our locations, create an inclusive environment, as well as attract and retain clients and team members," said Laura Stoner. "Additionally, our goal is to nurture an inclusive dialogue around engagement, leadership, and empowerment in an industry where women are under-represented." Since inception, the Women and Wealth group have held a professional clothing drive, a conversation circle, and an executive presence presentation. Their team has volunteered at the Medstar Health Baltimore Women's Classic 5k. Additionally, the group releases quarterly newsletters within the firm, based around themes such as confidence, defining wealth, and work/life balance. These employee-run newsletters provide advice, insights, and women-led wealth content recommendations. While their moniker may suggest the group is solely female-inducted, Women & Wealth welcomes all employees to enjoy purposeful and thoughtful opportunities and a safe space among peers. "At Greenspring we strive to create an environment where everyone feels welcome, supported, and valued," said Molly Goetz. "We look forward to sharing the insights and resources that we have cultivated through our Women & Wealth initiative with our clients and our community." Established in 2004, Greenspring Advisors was built on the fiduciary principle that the client comes first. Greenspring is an independent, fee-only firm that specializes in holistic, progressive, and unbiased investment advisory services to retirement plan fiduciaries, foundations, and endowments; and comprehensive wealth management services including financial planning for private investors. Continually recognized as a "best places to work", Greenspring is also acknowledged as one of the country's top 100 financial advisors in the nation; and one of the top five largest registered investment advisory firms in Maryland. The firm has offices in MD, NJ, and PA. SOURCE Greenspring Advisors SANTIAGO, Chile, Aug. 16, 2023 /PRNewswire/ -- Guacolda Energia SpA (f/k/a Empresa Electrica Guacolda S.A., the "Company") announces today the expiration and final results for its previously announced offer to Eligible Holders (as such terms are defined below) of the Company's 4.560% Senior Notes due 2025 (CUSIP Nos. 29244U AF5 / P3711H AF6; ISINs US29244UAF57 / USP3711HAF66) (the "Existing Notes") to exchange (the "Exchange Offer") any and all of the U.S.$273,831,000 aggregate principal amount outstanding of Existing Notes for its newly issued 10.000% Senior Notes due 2030 (the "New Notes"). The terms and conditions of the Exchange Offer are described in the Private Placement Memorandum and Consent Solicitation Statement dated July 19, 2023 (as amended or supplemented prior to the date hereof, the "Private Placement Memorandum"). Capitalized terms used but not otherwise defined herein have the meanings ascribed to them in the Private Placement Memorandum. On July 19, 2023, the Company commenced the Exchange Offer for any and all of its Existing Notes. Subject to the terms and conditions of the Exchange Offer, Eligible Holders of Existing Notes that were validly tendered at or prior to 5:00 p.m., New York City time, on August 8, 2023 (the "Amended Early Tender Time") and accepted for purchase pursuant to the Exchange Offer will receive U.S.$1,000 principal amount of New Notes for each U.S.$1,000 principal amount of the Existing Notes validly tendered and accepted for purchase pursuant to the Exchange Offer (the "Total Consideration"), plus accrued interest. The consideration for each U.S.$1,000 principal amount of the Existing Notes validly tendered after the Amended Early Tender Time and accepted for exchange pursuant to the Exchange Offer will be U.S.$950 (the "Exchange Consideration"), plus accrued interest. The difference between the Total Consideration and the Exchange Consideration is referred to as the "Early Tender Premium." The Company hereby announces that according to information received from D.F. King & Co., Inc. ("D.F. King"), the Exchange Agent and Information Agent for the Exchange Offer and Consent Solicitation, as of 5:00 p.m., New York City time, on August 15, 2023 (the "Expiration Date"), (i) the Company had received valid tenders of Existing Notes (which are also eligible to be accepted) in the Exchange Offer representing an aggregate principal amount equal to U.S.$168,290,000 (or 61.46%, of the aggregate principal amount of the Existing Notes; and (ii) Existing Notes validly tendered and eligible to be accepted in the Exchange Offer and Concurrent Tender Offer taken together represent an aggregate principal amount equal to U.S.$190,451,000 (or 69.55%, of the aggregate principal amount of the Existing Notes). The Company confirms that the Minimum Tender Condition and the Requisite Consents Condition have been satisfied or waived prior to this date, and that subject to satisfaction or waiver of the other conditions to the Exchange Offer and Consent Solicitation, which are more fully described under the heading "Terms of the Exchange Offer and the Consent SolicitationConditions to the Exchange Offer and Consent Solicitation" in the Private Placement Memorandum, the Company expects the settlement of the Exchange Offer to occur on August 17, 2023 (the "Settlement Date"). The Company has accepted for exchange and cancellation all of the Existing Notes validly tendered at or prior to the Expiration Date and not validly withdrawn pursuant to the Exchange Offer, except that the Company has not accepted any tender that would result in the issuance of less than the minimum denomination of New Notes to a participating Eligible Holder. As such, on the Settlement Date, the Company will issue $168,290,000 aggregate principal amount of New Notes, to be paid as consideration of the Existing Notes validly tendered in the Exchange Offer. The New Notes will be issued as fully registered senior notes registered in the name of Cede & Co., which is the partnership nominee of The Depository Trust Company ("DTC"). As noted in the Private Placement Memorandum, it is expected that delivery of the New Notes will be made in book-entry form through DTC, as depositary, for the accounts of its participants and that the New Notes will be credited to the accounts of tendering Eligible Holders on or promptly after the Settlement Date. All Existing Notes tendered and accepted in the Exchange Offer will be retired and canceled. Unless redeemed early, installments of principal under the New Notes will be payable quarterly on each Scheduled Payment Date on January 15, April 15, July 15 and October 15 of each year, commencing on the April 15, 2024, pro rata to the registered holders thereof on the immediately preceding Record Date, as fully described under the heading "Description of The New Notes Payments on the Notes" in the Private Placement Memorandum. Concurrently with the Exchange Offer, the Company solicited consents from Eligible Holders to amend the indenture governing the Existing Notes (the "Existing Indenture"), to eliminate substantially all of the restrictive covenants and certain events of default contained in the Indenture, among other things (the "Proposed Amendments"). As previously announced, the Requisite Consents were received from Eligible Holders to execute the Supplemental Indenture to implement the Proposed Amendments. The Company expects the Supplemental Indenture reflecting the Proposed Amendments to become operative on the Settlement Date. Eligible Holders who tendered their Existing Notes are expected to deliver or cause to deliver to the Issuer a digital (PDF) copy (NO Medallion Guarantee stamp or similar certification is required) of their executed counterpart of the Subscription Agreement. An execution copy of such Subscription Agreement including all relevant information has been delivered to the custodian that tendered Existing Notes on behalf of each tendering Eligible Holders. Eligible Holders are advised to contact their custodians in order to execute and deliver, or cause to be executed and delivered, its executed counterpart of the Subscription Agreement, as promptly as practicable prior to or after the Settlement Date. THE NEW NOTES HAVE NOT BEEN AND WILL NOT BE REGISTERED WITH THE U.S. SECURITIES AND EXCHANGE COMMISSION (THE "COMMISSION") UNDER THE U.S. SECURITIES ACT OF 1933, AS AMENDED (INCLUDING THE RULES AND REGULATIONS THEREUNDER, THE "SECURITIES ACT") OR ANY STATE SECURITIES LAWS. THE EXCHANGE OFFER WAS MADE, AND THE NEW NOTES WERE OFFERED ONLY TO HOLDERS OF EXISTING NOTES (1) IN THE UNITED STATES, WHO ARE INSTITUTIONAL "ACCREDITED INVESTORS" (WITHIN THE MEANING OF RULE 501(A)(1), (2), (3), (7) OR (8) UNDER REGULATION D ("REGULATION D") UNDER THE SECURITIES ACT, EACH AN "IAI") AND THAT ARE ALSO "QUALIFIED INSTITUTIONAL BUYERS" (AS DEFINED IN RULE 144A UNDER THE SECURITIES ACT, OR AND "QIBS"), IN A PRIVATE TRANSACTION IN RELIANCE UPON THE EXEMPTION FROM THE REGISTRATION REQUIREMENTS OF THE SECURITIES ACT PROVIDED BY SECTION 4(A)(2) THEREOF AND (2) OUTSIDE THE UNITED STATES AND CHILE, WHO ARE PERSONS OTHER THAN "U.S. PERSONS" (AS DEFINED IN RULE 902 UNDER THE SECURITIES ACT) IN OFFSHORE TRANSACTIONS IN RELIANCE UPON THE EXEMPTIONS AFFORDED BY REGULATION S UNDER THE SECURITIES ACT. THE HOLDERS OF EXISTING NOTES WHO WERE ELIGIBLE TO PARTICIPATE IN THE EXCHANGE OFFER PURSUANT TO THE FOREGOING CONDITIONS ARE REFERRED TO AS "ELIGIBLE HOLDERS." Only Eligible Holders of Existing Notes were authorized to receive and review the Private Placement Memorandum and to participate in the Exchange Offer and Consent Solicitation. The Private Placement Memorandum was distributed only to Eligible Holders of Existing Notes who validly completed and returned an letter of eligibility confirming that they satisfy the eligibility requirements for purposes of the Exchange Offer. The New Notes will be subject to restrictions on transferability and resale and may not be transferred or resold except as permitted under the Securities Act and other applicable securities laws, pursuant to registration or exemption therefrom. To contact the Information and Exchange Agent, banks and brokers may call +1-212-269-5550, and others may call U.S. toll-free: +1-866-856-3065. Additional contact information is set forth below. By Mail, Hand or Overnight Courier: 48 Wall Street 22nd Floor New York, NY 10005 USA Attention: Andrew Beck By Facsimile Transmission: (for eligible institutions only) +1(212) 709-3328 For Confirmation: +1(212) 232-3233 Attention: Michael Horthman Confirmation by Telephone: Toll-Free: +1 (800) 848-3409 Collect: +1 (212) 269-5550 Email: [email protected] Website for this Exchange Offer: www.dfking.com/guacolda None of the Company, the Information and Exchange Agent, the Placement Agent nor any of their respective directors, officers, employees or affiliates, made any recommendation as to whether Eligible Holders should tender or refrain from tendering all or any portion of their Existing Notes in response to the Exchange Offer. None of the Company, the Information and Exchange Agent, the Placement Agent nor any of their respective affiliates directors, officers, employees or, was authorized any person to give any information or to make any representation in connection with the Exchange Offer and Consent Solicitation other than the information and representations contained in the Private Placement Memorandum. The terms of the Exchange Offer and Consent Solicitation and the New Notes are more fully described in the Private Placement Memorandum and other diligence materials that were made available to Eligible Holders (the "Exchange Offer Materials"). This press release is qualified in its entirety by the Exchange Offer Materials. This press release is for informational purposes only and does not represent an offer to sell securities or a solicitation to buy securities in the United States or in any other country. This press release is released for disclosure purposes only, in accordance with applicable legislation. It does not constitute marketing material, and should not be interpreted as advertising an offer to sell or soliciting any offer to buy securities issued by the Company in any jurisdiction where it is illegal to do so. This press release to the market is not for distribution in or into or to any person located or resident in any jurisdiction where it is unlawful to release, publish or distribute this announcement. Neither the U.S. Securities and Exchange Commission, any U.S. state securities commission nor any regulatory authority of any other country has approved or disapproved of the Exchange Offer or the Consent Solicitation, passed upon the merits or fairness of the Exchange Offer or the Consent Solicitation, or passed upon the adequacy or accuracy of the disclosure in the Private Placement Memorandum or any other Exchange Offer Material. Neither the delivery of this announcement, the Exchange Offer and Consent Solicitation nor any exchange of Existing Notes pursuant to the Exchange Offer shall under any circumstances create any implication that the information contained in this announcement or the Private Placement Memorandum is correct as of any time subsequent to the date hereof or thereof or that there has been no change in the information set forth herein or therein or in the Company's affairs since the date hereof or thereof. Forward-Looking Statements This press release may contain forward-looking statements within the meaning of Section 27A of the Securities Act and Section 21E of the U.S. Securities Exchange Act of 1934 that are not based on historical facts and are not assurances of future results. These forward-looking statements are based on management's current expectations and estimates about future events and financial trends, which affect or may affect the Company's businesses and results of operations. The words "believe," "may," "will," "estimate," "continue," "anticipate," "intend," "expect" and similar words are intended to identify estimates and forward-looking statements. These statements include but are not limited to forward-looking statements about the planned Exchange Offer and Consent Solicitation and the Concurrent Tender Offer. Although the Company believes that these forward-looking statements are based upon reasonable assumptions, these statements are subject to several risks and uncertainties and are made in light of information currently available to the Company. Estimates and forward-looking statements involve risks and uncertainties and are not guarantees of future performance. Any changes in such assumptions or factors could cause actual results to differ materially from current expectations and the Company's future results may differ materially from those expressed in these estimates and forward- looking statements. All forward-looking statements are expressly qualified in their entirety by this cautionary statement, and you should not place reliance on any forward-looking statement contained in this press release. The Company undertakes no obligation to publicly update or revise any forward-looking statements, whether as a result of new information or future events or for any other reason. SOURCE Guacolda Energia SpA SALT LAKE CITY, Aug. 16, 2023 /PRNewswire/ -- Halia Therapeutics, a clinical-stage biopharmaceutical company advancing innovative medicines to treat a broad range of diseases driven by chronic inflammation and neurodegeneration, today announced the opening of its new 20,000 square foot headquarters located at The Summit, on 3900 North Traverse Mountain Blvd., in Lehi, Utah. Halia Therapeutics will welcome the community to its new facilities on August 22 at 11 a.m. MDT. The new building will: Halia Therapeutics Headquarters House a variety of state-of-the-art research and development lab equipment managed by 18 current, full-time employees and contractors, and dedicated to the development of novel therapies for patients with inflammatory and neurological diseases Provide flexibility, integration, and the ability to streamline drug discovery processes Add meeting areas to promote collaboration within the company and affiliates Create dozens of new jobs, as pipeline programs enter the clinic Promote precise environmental control in scientific research with individually temperature-controlled rooms to ensure the highest quality of research while supporting sustainability This event will include the following: Opening ceremony featuring words from David Bearss, Ph.D., CEO of Halia Therapeutics Comments from federal, state, and local officials Reserved time for interviews Facility tour of the laboratory areas and community spaces welcome to all media and community members Special guests in attendance include: Where: 3900 North Traverse Mountain Blvd. in Lehi, Utah When: Tuesday, August 22, 11 a.m. 1 p.m. MDT "We are very excited to welcome the community and media to our new headquarters and research facility in Utah, especially as this move brings us back to our roots where our first endeavor as a team, Tolero Pharmaceuticals, originated," said President and CEO David Bearss, Ph.D., "The opening of these new facilities is a testament to our shared vision and unwavering commitment to developing NLRP3 inflammasome-targeted therapeutics to treat chronic inflammation for a variety of diseases. We feel incredibly honored to welcome the esteemed Senator Mike Lee to the special opening of our facilities." Kelvyn Cullimore, CEO of BioUtah says, "we are proud to be a partner of Halia Therapeutics and we celebrate this milestone with the Halia team. The company is exemplary in its approach to solving the root issue of inflammation that will have impacts on numerous disease indications." For logistical questions on the day of the event, please contact James Dye, Sr. Director of Strategic Communication at Halia Therapeutics, at (801) 361-7980 or email him at [email protected]. About Halia Therapeutics, Inc. Halia Therapeutics is discovering and developing a pipeline of novel therapeutics to improve the lives of patients with chronic inflammatory disorders and neurodegenerative diseases, with its initial programs targeting NEK7 and LRRK2. Halia's lead candidate, HT-6184, a novel NEK7 inhibitor, which prevents the assembly of the NLRP3 inflammasome and has been shown to disassemble the inflammasome once formed, is currently completing a Phase 1 study (NCT05447546) evaluating the safety and tolerability of HT-6184 when administered as single or multiple oral doses at escalating dose levels in healthy volunteer subjects. The company is headquartered in Lehi, Utah. For more info, visit www.haliatherapeutics.com or follow us on LinkedIn. Company Contact: James Dye info@haliatherapeutics.com +1.385.355.4315 Media Contact: Ignacio Guerrero-Ros, Ph.D. Russo Partners, LLC +1 (646) 942-5604 [email protected] SOURCE Halia Therapeutics Henkel has announced Pernille Lind Olsen as President of the Henkel North America region STAMFORD, Conn., Aug. 16, 2023 /PRNewswire/ -- Henkel, a leading manufacturer of well-known consumer brands including Persil, Purex, and all laundry detergents, and global leader in the industrial business for adhesives, sealants and functional coatings, announced that it has named Pernille Lind Olsen as President of the North America region. Pernille Lind Olsen She also serves as Corporate Senior Vice President Adhesive Technologies Packaging & Consumer Goods; and Regional Head Adhesive Technologies, North America. In her role as President Henkel North America, Lind Olsen succeeds Steven Essick who has retired after 27 years with the company. Lind Olsen is based at Henkel's North America Adhesive Technologies headquarters in Bridgewater, NJ. "I am excited to take on this role and together with my fellow leadership team and our colleagues across the region continue building on our strong position in the North American region, by delivering innovative products and sustainable solutions that help our customers solve their most urgent challenges and improve the lives of our consumers," said Lind Olsen. Before joining Henkel in January 2022 as Corporate Vice President Adhesive Technologies leading the global Metals business, Lind Olsen was with the global coating supplier Hempel A/S for eight years where she held different roles as Group Product & Portfolio Director and as Group Vice President South & East Asia and most recently as Group Vice President Europe & Africa. In both regional roles she was a Member of Hempel's Operational Management Board. Before that, she started her professional career at Novozymes and held different positions in local and global roles. Lind Olsen is well-positioned to lead Henkel North America with an entrepreneurial and strategic mindset, shaped by her significant global market knowledge and business development experiences. Her background in leading diverse and high-performing teams will empower the Henkel North America teams to bring value to our customers and drive growth across industries and markets. "I believe that we have an enormous potential to bring our company purpose pioneers at heart for the good of generations to life by playing together as team and by leveraging the market know-how and collaborative spirit of the Henkel team," said Lind Olsen. Lind Olsen holds a Master's in Political Science from the University of Copenhagen. About Henkel in North America Henkel's portfolio of well-known brands in North America includes Schwarzkopf hair care, Dial soaps, Persil, Purex, and all laundry detergents, Snuggle fabric softeners as well as Loctite, Technomelt and Bonderite adhesives. With sales close to 6.5 billion US dollars (6 billion euros) in 2022, North America accounts for 27 percent of the company's global sales. Henkel employs over 8,000 people across the U.S., Canada and Puerto Rico. For more information, please visit www.henkel-northamerica.com, and on Twitter @Henkel_NA. About Henkel With its brands, innovations and technologies, Henkel holds leading market positions worldwide in the industrial and consumer businesses. The Adhesive Technologies business unit is the global leader in the market of adhesives, sealants and functional coatings. With Consumer Brands, the company holds leading positions especially in hair care and laundry & home care in many markets and categories around the world. The company's three strongest brands are Loctite, Persil and Schwarzkopf. In fiscal 2022, Henkel reported sales of more than 22 billion euros and adjusted operating profit of around 2.3 billion euros. Henkel's preferred shares are listed in the German stock index DAX. Sustainability has a long tradition at Henkel, and the company has a clear sustainability strategy with concrete targets. Henkel was founded in 1876 and today employs a diverse team of more than 50,000 people worldwide united by a strong corporate culture, shared values and a common purpose: "Pioneers at heart for the good of generations." More information at www.henkel.com Photo material is available at www.henkel-northamerica.com/press Henkel Contacts Contact Jennifer Schiavone Contact Erica Cooper Phone 475-299-9192 Phone 475-232-4973 Email [email protected] Email [email protected] SOURCE Henkel Leading Denver plumbing provider recognized for continued growth in the home service industry DENVER, Aug. 16, 2023 /PRNewswire/ -- High 5 Plumbing , a family-owned and operated plumbing company serving residents in the greater Denver metropolitan area, has earned placement on the prestigious Inc. 5000 list for a second consecutive year. The Inc. 5000 represents the fastest-growing private companies in America. High 5 Plumbing ranked No. 1,917 overall. High 5 Plumbing has earned placement on the prestigious Inc. 5000 list for a second consecutive year. Pictured here are owners Levi and Cassi Torres. "Being named to the Inc. 5000 for a second consecutive year is further proof that our team is one of the best in the country," said Levi Torres, co-owner of High 5 Plumbing. "Your company can't grow unless you have a team that buys into your vision and goals. Every day, our team hits the ground running and provides the type of customer experience that guarantees every service call ends in a high-five. That's what we pride ourselves on." Last year, High 5 Plumbing ranked 3,153 overall while ranking 100th in Colorado and 50th in consumer services. Torres said being a part of a great community has helped contribute to their continued growth over the years. "The Denver community has always supported High 5 Plumbing," Torres said. "They are like family to us. In addition to having a great team, you must have a supportive community that trusts you and calls you when they are in need. We couldn't have asked for a better community to serve." High 5 Plumbing offers a variety of plumbing services to residents in the Denver area, including repair and installation, drain cleaning, pump plumbing, piping, garbage disposal services, sewer line repair, gas plumbing, water heater installation and much more. In addition, the company offers 24/7 emergency services. For complete results of the Inc. 5000, including company profiles and an interactive database that can be sorted by industry, location, and other criteria, go to www.inc.com/inc5000. The top 500 companies are featured in the September issue of Inc. magazine, available on newsstands beginning Tuesday, August 23. To learn more about High 5 Plumbing, visit https://high5plumbing.com/ . About High 5 Plumbing Founded in 2012, High 5 Plumbing is a local, family-owned company serving residents in the greater Denver metropolitan area. With a professional team that has extensive experience and a commitment to service, High 5 Plumbing offers comprehensive plumbing, sewer and drain services. The company was built on the values of solving plumbing problems and serving every customer with professionalism and respect. For more information about High 5 Plumbing, visit https://www.high5plumbing.com/ . MEDIA CONTACT: Heather Ripley Ripley PR (865) 977-1973 [email protected] SOURCE High 5 Plumbing VANCOUVER, Wash. and DENVER, Aug. 16, 2023 /PRNewswire/ -- Holland Partner Group proudly announces the promotion of Kelly Dranginis as managing director for the Denver region, reporting to Tom Warren, Holland's president of development. This promotion underscores Holland's commitment to nurturing the growth of its internal team members and recognizing exceptional talent within the organization. "Kelly's exemplary experience and leadership make her the ideal candidate to lead our Denver region," said Tom Warren, president of development for Holland Partner Group. "Her commitment to cultivating innovation and collaboration across our organization will play an integral role in our success as we continue to grow in this market." Kelly Dranginis joined Holland in 2021 and has led the development of four projects totaling 1,000 units. Her contributions to advancing the development pipeline in Denver and her leadership in fostering a collaborative environment among our development, construction, and management teams have been instrumental in propelling our projects forward. In her new role as Managing Director, Dranginis will spearhead Holland's Denver development team, championing the interests of our investors as we expand our network of capital partners in the region. Dranginis will be a key advocate for fostering collaboration across all business lines within the Denver region and beyond. Her responsibility also extends to promoting the exchange of best practices between regions, ensuring that the communities we build embody the highest standards of excellence within the industry. Before joining Holland, Dranginis worked at UDR, Inc. and S.L. Green. She graduated from Johns Hopkins University in Baltimore, Maryland. About Holland Partner Group: Founded in 2000, Holland is a fully integrated real estate investment company developing high-quality investment properties in the Western United States, with expertise in development, construction, acquisition, redevelopment, and property management. Holland creates sustainable, socially connected, and vibrant communities to work, live and enjoy life. The company's seasoned 750-plus-member team creates innovative, quality, and value-driven residential and commercial projects, championing every phase of development and construction of its mixed-use properties, including residential, office, parking garages and retail assets. Headquartered in Vancouver, Wash., Holland has offices in Denver, Seattle, Southern California, Northern California, and San Diego. For media inquiries, please contact: Dan Tremaine-McCarthy, Holland Partner Group [email protected] | 360-949-3652 Heather Villanueva, Weinstein PR [email protected] |503-753-9193 SOURCE Holland Partner Group Establishes Parent Company, Newfound, And Launches New Brands HomeRise, Dash, And Newfound Enterprise Saved Customers More Than $500 Million In Commissions PHILADELPHIA, Aug. 16, 2023 /PRNewswire/ -- The nation's largest one-stop-shop real estate brokerage, Houwzer announces the creation of its parent company, Newfound . Newfound will leverage Houwzer and Trelora's national network of salaried agents and its well-established full-service, 1% listing model that has already saved thousands of customers hundreds of millions in commissions. The nation's largest one-stop-shop real estate brokerage, Houwzer announces the creation of its parent company, Newfound Tweet this Newfound Brand Matrix The company is launching a suite of pioneering product lines designed to address the needs of a rapidly evolving real estate market. This strategic move follows the recent acquisitions of Trelora , and select assets from Reali, bolstering Houwzer's national presence. Among Newfound's game-changing introductions is HomeRise , a national, 'flat-fee DIY' listing platform. HomeRise empowers homeowners with the tools to list and sell their properties with confidence. Colby Sambrotto , a veteran in the online real estate space who founded ForSaleByOwner.com and USRealty.com, leads the new brand as President. "Despite a market share around 10% over the last forty years, this category remains incredibly fragmented at scale," said Sambrotto. "Our platform will meet the needs of today's DIY audience, providing them with the resources and reach to help save them tens of thousands per transaction." Newfound is also introducing Dash , a robust transaction management platform that streamlines transactions for all the parties involved. Today, Dash helps Newfound brands deliver a unified customer experience while also bringing unprecedented visibility into adjacent services like mortgage and title. "Dash will be the central nervous system of all the Newfound brands and act as the face of Newfound to the customer, well beyond simple transaction management," said Mike Maher , Co-Founder and CEO at Newfound. "The team is expanding its capabilities to include artificial intelligence where DashAI will power intelligent, automated brokerage services to support DIY HomeRise customers." With a nationwide footprint now in place, the company is accelerating the expansion of its newest business line, Newfound Enterprise , the preferred real estate brokerage for enterprise partners. The Enterprise team has already helped institutional investors and other enterprise clients acquire and dispose of thousands of homes nationwide. "Newfound Enterprise is creating an entirely new segment in the market called 'Real Estate Brokerage as a Service' or REBaaS," said Rod Ward , President at Newfound Enterprise. "Our solution has become the gold standard for institutional-grade brokerage services at scale. Our national salaried agent fulfillment center helps our partners with 'the last mile,' providing industry-leading acceptance rates on acquisitions and fast, cost-effective dispositions." "The team at Newfound is dedicated to bringing continuous innovation to the residential real estate tech space," said Daniel Herscovici , Board Director and Partner at Edison Partners . "Newfound is the evolution of Houwzer transitioning from an east coast tech-enabled brokerage to a national brand with an expanding portfolio of services. I look forward to what is next as they explore further innovation and acquisition opportunities." Newfound Mortgage and Newfound Title are rebranded from Houwzer Mortgage and Houwzer Title, extending their offering of a fully integrated real estate experience nationwide. The increased efficiencies from the one-stop-shop experience allow Newfound to pass the savings to consumers and institutions through innovative products like the Bundle & Save Rebate . This rebrand of core services is a tangible commitment to Newfound's mission to maximize value while minimizing costs. About Newfound: Newfound is a national real estate brokerage and technology company committed to make buying, selling, and investing in real estate more cost-effective and rewarding for consumers and institutions. Newfound partners with our clients to understand their unique needs and goals. It leverages its extensive network of top-rated salaried agents and integrated real estate services to deliver an exceptional experience at honest prices. Newfound brands include Houwzer (brokerage), Trelora (brokerage), HomeRise (flat fee, DIY listing platform), Dash (transaction management software), Newfound Enterprise (institutional partnerships), Newfound Mortgage , and Newfound Title (fig 1, brand matrix). To learn more about our vision and services, visit newfoundgroup.com. Fig 1, Brand Matrix: Newfound Maximizing value, minimizing costs. Your partner in smart real estate solutions. SOURCE Newfound MISSION VIEJO, Calif., Aug. 16, 2023 /PRNewswire/ -- International humanitarian charity, Human Appeal, dispatched a team to Hawaii led by its Head of Fundraising to initiate humanitarian aid to those impacted by the wildfires that ravaged the Hawaiian Island of Maui. The first wave of aid worth US$20,000 will be coordinated with local partners of Human Appeal in Hawaii and comes as an initial wave of assistance being planned by the global faith-based charity to help the vulnerable in Maui. Photo taken by Human Appeal team member of the wildfire's destruction in the town of Lahaina on the Hawaiian Island of Maui. (PRNewsfoto/Human Appeal) "Human Appeal has launched an emergency appeal for Hawaii and I came to see for myself how we can help. Our motto is "Here for Every Human" and we are committed to contribute and help as many people as possible impacted by this tragedy. After assessing the situation with our local partners, we are initiating aid as so many have lost their entire property and possessions," commented Zaheer Khan, Head of Fundraising at Human Appeal. Thousands have been displaced by the blaze that ripped through the heart of the Hawaiian Island of Maui, an area that is recognized as historically the original capital of the Hawaiian Kingdom. Those displaced by the wildfires have lost most of their possessions as the blaze destroyed everything in its path. The Hawaii wildfires are considered the worst US blaze in 100 years and spread so fast that residents of Lahaina town on Hawaii's Maui Island were forced to flee towards the seashore to find safety. About Human Appeal USA Here for Every Human. Human Appeal is a non-profit organisation working across the globe to strengthen humanity's fight against poverty, social injustice and natural disaster. Through the provision of immediate relief and the establishment of self-sustaining development programmes, we aim to invest in real, effective solutions. Human Appeal USA is a 501 (c) (3) non-profit organization. Tax ID # 87-2410117. Your donations are tax deductible. Human Appeal Inc. 26050 Acero, Suite 415, Mission Viejo, CA 92691, USA. Tel: +1 949 569 9060. Email: [email protected] For more information visit: www.humanappealusa.org Photo - https://mma.prnewswire.com/media/2187287/Human_Appeal_Hawaii_wildfire.jpg SOURCE Human Appeal Builds on HydroMinder Technology to Create High-Pressure Chemical-Dilution System for Vehicle Washes DOWNERS GROVE, Ill., Aug. 16, 2023 /PRNewswire/ -- Hydro, part of PSG and Dover (NYSE: DOV), today announced the release of its new HydroMinder HP chemical-dilution system for use in tunnel vehicle wash systems. Building on the design and 30-year history of Hydro's HydroMinder float-based chemical-dilution system, the HydroMinder HP is our first "high pressure" chemical-proportioning system. The HydroMinder HP's venturi-injector-based, multi-channel design enables it to deliver premier chemical-dilution accuracy, with 11% more accurate performance on average compared to competitors on the market today. The HydroMinder HP system creates a crucial advantage on busy wash days, featuring five or seven single-sized coaxial valves per panel and a variety of injector assemblies with flow rates ranging from 0.75 to 12 gallons per minute. This multi-valve design allows the HydroMinder HP to change valves or chemicals without shutting down, resulting in less wash downtime and higher, more consistent throughput rates. Other benefits of the HydroMinder HP system include: 11-gauge stainless steel back panel, valve bodies, valve manifold and manifold fascia extend service life and corrosion resistance; Self-priming floor-mounted pump with a capacity of 20 gallons per minute, featuring stainless steel housing and impellers; Metering tips that enable consistent disbursement of chemical dosages, reducing the need for continuous adjustment; Kynar composite injectors designed for maximum chemical compatibility, delivering reliability, longevity and reduced maintenance; Functionality with most common trigger voltages, including 24 VAC, 24 VDC and 110 VAC; and Compact footprint that reduces space requirements. To learn more about the HydroMinder HP or any of Hydro's other chemical-dispensing and dosing products and systems, please visit https://www.hydrosystemsco.com/products/hydrominder-hp.html. About Hydro: Based in Cincinnati, Ohio and with offices and support teams around the globe, Hydro is a world leader in delivering chemical dispensing and dosing solutions including equipment, software and services. With strategic partnerships, innovative solutions and more than 50 years of experience, Hydro is committed to enriching the lives of its customers by creating a cleaner, more sustainable world. Its products serve numerous dosing and dispensing applications within commercial cleaning, laundry, warewash, food service, industrial, irrigation, horticulture, animal health, and other industries. Hydro ensures dosing is always accurate, safe and cost effective, helping customers clean with confidence. For more information, visit www.hydrosystemsco.com. About PSG: PSG is the global pump, metering and dispensing-solution expert, enabling the safe and efficient transfer of critical and valuable fluids that require optimal performance and reliability in applications where it matters most. Additionally, PSG is a leading provider of flow meters designed to reduce waste and downtime while accurately measuring, monitoring and controlling the distribution of fluids. Headquartered in Oakbrook Terrace, IL, USA, PSG is comprised of several world-class brands, including Abaque, All-Flo, Almatec, Blackmer, Ebsray, em-tec, Griswold, Hydro, Malema, Mouvex, Neptune, PSG Biotech, Quantex, Quattroflow, RedScrew and Wilden. PSG products are manufactured on three continents North America, Europe and Asia in state-of-the-art facilities that practice lean manufacturing and are ISO-certified. PSG is part of the Pumps & Process Solutions segment of Dover Corporation. For additional information on PSG, please visit psgdover.com. PSG: Where Innovation Flows. About Dover: Dover is a diversified global manufacturer and solutions provider with annual revenue of over $8 billion. We deliver innovative equipment and components, consumable supplies, aftermarket parts, software and digital solutions, and support services through five operating segments: Engineered Products, Clean Energy & Fueling, Imaging & Identification, Pumps & Process Solutions and Climate & Sustainability Technologies. Dover combines global scale with operational agility to lead the markets we serve. Recognized for our entrepreneurial approach for over 65 years, our team of over 25,000 employees takes an ownership mindset, collaborating with customers to redefine what's possible. Headquartered in Downers Grove, Illinois, Dover trades on the New York Stock Exchange under "DOV." Additional information is available at dovercorporation.com . Hydro Contact: Karalee Jerse-Woodward (513) 739-6843 [email protected] Dover Media Contact: Adrian Sakowicz, VP, Communications (630) 743-5039 [email protected] Dover Investor Contact: Jack Dickens, Senior Director, Investor Relations (630) 743-2566 [email protected] SOURCE Dover Hyundai Motor America Donates $150,000 for Maui Relief Efforts FOUNTAIN VALLEY, Calif., Aug. 16, 2023 /PRNewswire/ -- In support of residents and communities affected by the wildfires in Maui, Hyundai Motor America is announcing a multifaceted wildfire relief program to help deploy immediate humanitarian aid and provide financial relief for current Hyundai and Genesis owners and prospective customers who were impacted by wildfires in Maui. Hyundai Capital America, which includes Hyundai Motor Finance and Genesis Finance, will also be providing financial hardship support to impacted customers who are eligible for payment relief solutions. "The island of Maui has been devastated by the recent wildfires and our thoughts are with the local and historic communities that are greatly impacted," said Jose Munoz, president and CEO of Hyundai and Genesis Motor North America. "Hyundai is deeply committed to delivering immediate support to assist in the road to recovery for Maui and its residents." Hyundai Motor America is donating a total of $150,000 to American Red Cross and local Hawaii charities. The program is an extension of Hyundai's long-standing Hyundai Assurance program that is dedicated to the safety, health and well-being of its customers, employees, dealers and communities in which it does business. The following local Hawaii charities will receive donations in addition to American Red Cross: Maui Food Bank: Maui Food Bank supports those in Maui County by collecting and distributing food through community partnerships. With every $1 donated, the Maui Food Bank can provide 4 meals to the hungry living in their island community. It is supporting victims of the wildfire in Maui by organizing food drives and distributing food, diapers, feminine hygiene products, toiletries and more. Maui Food Bank supports those in by collecting and distributing food through community partnerships. With every donated, the Maui Food Bank can provide 4 meals to the hungry living in their island community. It is supporting victims of the wildfire in by organizing food drives and distributing food, diapers, feminine hygiene products, toiletries and more. The Salvation Army Hawaiian & Pacific Islands Division: The Salvation Army's Kahului Corps began mass feedings for thousands at Maui County /American Red Cross shelter in response to the various evacuations for wildfires. The Salvation Army in Maui County is providing emergency food boxes, meals-to-go, and emotional and spiritual support at a variety of locations. Maui Disaster Relief Buyer and Owner Assurance $1,000 cash rebate on all new 2023-2024 Hyundai models* cash rebate on all new 2023-2024 Hyundai models* $2,000 cash rebate on all new 2023-2024 Genesis models** cash rebate on all new 2023-2024 Genesis models** With no payments for 90 days on all new APR contracts financed through Hyundai Motor Finance and Genesis Finance Hyundai Motor Finance and Genesis Finance may be able to provide financial hardship support options to affected, current customers, who can contact HMF at (800) 523-4030 or GF at (844) 363-7477 Extended availability of towing/roadside assistance*** *$1000 Maui Disaster Relief Buyer and Owner Assurance available on new purchases of all Hyundai vehicles. Must take delivery from a participating dealer retail stock from August 16, 2023 through November 1, 2023. New vehicle must be financed through Hyundai Motor Finance. Maui Disaster Relief Buyer and Owner Assurance amount must be applied as a down payment. Customer must take delivery from retail stock by November 1, 2023. Offer may not be combined with other special offers except where specified. Not all customers will qualify. Actual dealer price may vary. See participating Hyundai dealer for details. Offer ends November 1, 2023. **$2000 Maui Disaster Relief Buyer and Owner Assurance available on new purchases of all Genesis vehicles. Must take delivery from a participating retailer stock from August 16, 2023 through November 1, 2023. New vehicle must be financed through Genesis Motor Finance. Maui Disaster Relief Buyer and Owner Assurance amount must be applied as a down payment. Customer must take delivery from retail stock by November 1, 2023. Offer may not be combined with other special offers except where specified. Not all customers will qualify. Actual retailer price may vary. See participating Genesis retailer for details. Offer ends November 1, 2023. ***Hyundai Roadside Assistance services are provided through the American Automobile Association, Inc., Heathrow, FL, and its affiliated motor clubs, which are independent contractors of Hyundai Motor America. Hyundai Motor America makes no guarantee or warranty regarding the services provided by the American Automobile Association, Inc. and its clubs, and is not liable for the actions of their employees or subcontractors. The Hyundai Roadside Assistance program does not include reimbursement for any costs/charges for repairs, parts, labor, property loss, or any other expenses incurred as a result of accident/collision, vehicle abuse, racing, vandalism, or other items not covered by the Hyundai New Vehicle Limited Warranty. Also excluded are services for snow tires, repairs to studs, mounting or demounting of snow chains, any fines, fees, or taxes associated with impound towing as a result of any actual or alleged violation of any law or regulation. Hyundai Motor America reserves the right to limit services or reimbursement to any owner or driver when, in Hyundai Motor America's judgment, the claims are excessive in nature, frequency or type of occurrence. See www.HyundaiUSA.com/assurance for full Hyundai Roadside Assistance program details. Hyundai Motor America Hyundai Motor America focuses on 'Progress for Humanity' and smart mobility solutions. Hyundai offers U.S. consumers a technology-rich lineup of cars, SUVs, and electrified vehicles. Our 830 dealers sold more than 724,000 vehicles in the U.S. in 2022, and nearly half were built at Hyundai Motor Manufacturing Alabama. For more information, visit www.HyundaiNews.com. Hyundai Motor America on Twitter | YouTube | Facebook | Instagram | LinkedIn SOURCE Hyundai Motor America Viewpoint Who's on First: Defining Institutional Roles in the Age of AI Higher education is no stranger to disruptive technologies, and artificial intelligence is the latest to transform teaching, learning, and research. In order to thrive in this new age, institutions must plan, collaborate, and communicate an AI strategy for stakeholders across the campus. The artificial intelligence powering OpenAI's now infamous ChatGPT natural language processing tool is not the first technology to reshape higher education, and, if we're being honest, it won't be the last. Most recently, digital transformation evoked its own brand of trepidation and chaos. Ultimately, however, digitalization gave rise to innovative student-centered distance learning practices, creative modalities for demonstrating mastery, and progressive hybrid instructional models. These new technologies have forever transformed the way institutions teach, research, and prepare students for life beyond education. Technology is disruptive, yet institutions survive even thrive. Why? Because we are relators, critical thinkers, communicators, and eternal optimists. These are precisely the superpowers we must call forth now, in this AI age. GPT Has Entered the Chat While the disruptive magnitude of artificial intelligence in higher education cannot be overestimated, it is equally unavoidable. Artificial intelligence, or "AI," describes a computer's ability to mimic human thinking by drawing insightful conclusions based on massive data sets. Generative AI is a type of artificial intelligence used to create unique content, like responsive essays, programming code, musical compositions, or artistic images the same products instructors often ask students to generate as evidence of learning. Fortunately, higher education has a knack for reimagining itself in the face of breathtaking change. From 2020 forward, digital transformation swept through every facet of higher education. Slowly but surely, faculty and students mastered distance learning models. Then, leaning into disruption, capitalized by embracing innovative methods of instruction, learning, and collaboration. We are, after all, in the business of transformation, and while global change starts with education, educational leadership begins with a plan. To cultivate an environment in which effective teaching, authentic learning, and visionary research remain priorities, institutions should consider (1) identifying key stakeholders affected by AI and their respective roles and responsibilities, (2) emphasizing strategic collaboration, and (3) working together to disseminate cohesive messaging related to AI technologies. Plan Diversely Because the ramifications of AI are so widespread, it's challenging to determine which segments of an institution should contribute to drafting cohesive university policy, formulating strategic plans, and identifying communication goals. The departments and divisions involved in these decisions may vary from institution to institution; however, key stakeholders consistently represent specific areas of expertise. Institutional administration, faculty leadership, academic affairs, university communications, student government, and information technology services can each play a significant role in supporting the university community. With so many divisions, departments, and teams involved, defining roles and responsibilities is paramount to effective strategic collaboration. Dynamic partnerships between the professionals who guide curriculum and instruction, oversee academic integrity standards, communicate on behalf of the university, and supply information technology services can lead to the creation of trusted resources and foster stability for instructional faculty, academic staff, and students. Collaborate Inclusively With key stakeholder roles and responsibilities established, collaboration becomes the next priority. Strategic approaches to expanding AI technologies may range from monitoring new technological developments and researching innovative teaching practices to drafting syllabus statements and revising academic integrity policies. Successful collaboration requires a shared understanding of each group's capabilities and limitations. Information technology services, for instance, may not be in the best position to provide instructional guidance, but a team of diversely experienced IT members can offer holistic approaches and help address some of the academic challenges generative AI creates when designing authentic learning experiences. A common vision for acceptable uses of AI technologies provides students with a greater level of consistency, and the emphasis remains on authentic learning rather than on the inevitable cat-and-mouse game of generative AI detection and evasion. Collaborative strategic planning also leads to consistent, cohesive, and reliable messaging. Communicate Cohesively The sheer volume of digital information published about AI technology in higher education is staggering. From articles and discussion boards to videos and online courses, predictions about current and future educational impacts of AI and its progeny abound. For faculty, support staff, and students involved in the day-to-day business of teaching and learning, discerning fact from fiction in online sources presents an insurmountable task. Nevertheless, it's understandable to seek out a foundational understanding of artificial intelligence, explore reasonable predictions about next iterations, and draw our own conclusions. A cohesive communication strategy, formulated to further institutional goals, therefore includes a curated list of industry-standard resources and frequently asked questions. Using these references, all stakeholders have access to reliable information, reinforcing the institution's commitment to learning through original thought and critical analysis. Unified and coordinated messaging supports clarity and negates confusion. Collaborative decision-making about the tone, content, objectives, and timelines for all messaging sustains common understandings and expectations across the institution. Transform Together It makes sense for higher education to provide the center stage on which this very visible struggle between human intelligence and artificial intelligence will play out. Our institutions have always served as microcosms of change. Like the disruptions spawned by graphing calculators, the internet, spell checkers, open content sources, and distance learning, generative AI is the latest in a long line of growth opportunities for higher education. Fortunately for us, change is part of who we are, and embracing transformation is what we do. The Asset Purchase Agreement covers assignment of land and buildings and acquisition of identified assets at General Motors India's Talegaon Plant Manufacturing operations at the plant are planned to commence in 2025, augmenting Hyundai Motor India's manufacturing footprint As part of Hyundai's resolute commitment to the Indian automotive industry, with the Sriperumbudur ( Chennai ) and Talegaon plants, Hyundai Motor India aims to cumulatively achieve a production capacity of 1 million units a year ) and Talegaon plants, Hyundai Motor India aims to cumulatively achieve a production capacity of 1 million units a year Leveraging the expanded capacity, HMIL will review plans to launch additional electric vehicle models into the Indian market, manufactured at its Sriperumbudur plant, thus accelerating India's electrification goals. SEOUL, South Korea and GURUGRAM, India, Aug. 16, 2023 /PRNewswire/ -- Hyundai Motor India Limited (HMIL), India's first smart mobility solutions provider and the largest exporter since inception, today signed an Asset Purchase Agreement for the acquisition and assignment of identified assets related to General Motors India's Talegaon Plant in Maharashtra. (from left) Unsoo Kim, MD & CEO, Hyundai Motor India Ltd. (HMIL) / Asifhusen Khatri, VP Manufacturing, General Motors India (GMI) and General Motors International Operations Unsoo Kim, Managing Director and CEO of Hyundai Motor India Ltd., and Asifhusen Khatri, Vice President Manufacturing of General Motors India and General Motors International Operations, participated in the signing ceremony in Gurugram, Haryana. The APA covers the acquisition and assignment of land and buildings as well as certain machinery and manufacturing equipment situated at GMI's Talegaon plant. The completion of the acquisition and assignment is subject to fulfilment of certain conditions precedent and receipt of regulatory approvals from relevant government authorities and relevant stakeholders. Announcing the APA signing, Unsoo Kim, Managing Director and CEO of HMIL said, "This year is a significant milestone for Hyundai Motor India, as we celebrate 27 years of activity in the market. Demonstrating our dedication to India, earlier this year, HMIL entered into a Memorandum of Understanding (MoU) to invest INR 20,000 crore in Tamil Nadu for expanding capacity and establishing an electric vehicle ecosystem. As we reinforce our commitment to 'Atmanirbhar Bharat' (Self-Reliant India), we intend to create an advanced manufacturing center for cars made in India in Talegaon, Maharashtra. Our manufacturing operations are scheduled to begin in Talegaon, Maharashtra, in 2025." More information about Hyundai Motor and its products can be found at: https://www.hyundai.com/worldwide/en/ or https://www.hyundai.com/worldwide/en/company/newsroom.release.all.latest SOURCE Hyundai Motor India Limited Serving a variety of Life, Health and Wealth initiatives, the charity brings employees, partners, carriers and customers together to build a legacy of giving in communities across the country DALLAS, Aug. 16, 2023 /PRNewswire/ -- Integrity Marketing Group, LLC ("Integrity"), a leading distributor of life and health insurance, and provider of wealth management and retirement planning solutions, today announced the launch of the Integrity Foundation to drive meaningful and sustainable change that improves the health and wellbeing of those it serves. As one of the first organizations of its kind in the industry, the 501(c)3 public charity is guided by Integrity's deeply held core values of Family, Service, Respect, Partnership and Integrity. The new Foundation will mobilize Integrity's expansive partner, employee, agent, customer and carrier networks and connect them to enriching opportunities that help protect life, health and wealth, as well as promote vital, vibrant and sustainable communities. Integrity Introduces the Integrity Foundation to Enhance Charitable Giving and Impact Communities Nationwide "Service has always been one of our most cherished core values at Integrity, and from day one our mission has been to make a meaningful difference in the lives of the people we serve," shared Bryan W. Adams, Co-Founder and CEO of Integrity. "The historic establishment of the Integrity Foundation helps us fulfill that mission in vital new ways, while empowering us to include other stakeholders including our partners, employees, carriers, financial institutions and customers in our charitable giving initiatives. This enhanced philanthropic commitment unites our team under one impactful vision and focus as we 'Work, Win, Prosper and Share' with a spirit of abundance and hope of leaving a legacy of impact. We are passionately committed to creating and delivering on significant service opportunities, both nationally and in the communities where our teams live, work and serve. Through the Integrity Foundation, we can intentionally guide our impact to create long-lasting change and bring families the comfort and peace of mind that helps them plan for the good days ahead. Our efforts have already transformed lives and we are excited about our future initiatives that enable us to all come together as an Integrity family to serve even more Americans!" Through one of the most robust commitments of its kind in the industry, Integrity and its partners have already committed donations to be utilized in sponsorship of initiatives that support the Integrity Foundation's key focus areas of investment. Additionally, Integrity provides its more than 5,000 employees with two days of paid time off each year to serve in their communities a contribution that equates to millions of dollars annually and has had an immeasurable impact on the lives of those who benefit from the service. Integrity employees actively donate their time, energy and resources to a variety of important causes across the country, including food banks, shelters and other human services, education, public health, culture and arts, and many more. The cornerstone of Integrity Foundation's mission is a focus on Life, Health and Wealth three key pillars that holistically affect the lives of all Americans. To help support Life, investments are being made to develop and build safe places where families can grow and thrive, including the construction of state-of-the-art playgrounds in the communities where Integrity and its stakeholders operate. Promoting its focus on Health, the Foundation serves and supports community members and their families when hope is needed most. The Integrity Gives Back Toy Drive demonstrates that focus, benefitting children's hospitals across the nation with more than 16,000 toys donated in 2022. And lastly, to help support the Wealth pillar, the Integrity Foundation promotes success and development of young students, helping to ensure they're prepared to lead fulfilling and successful lives. This commitment is evidenced by the Foundation's support of a back-to-school supply drive that has served more than 4,000 children in its first year. The Integrity Foundation is honored to welcome Mary Elyse Farah as its President. Farah previously served as President of the Celanese Foundation where she led corporate social responsibility for a network of thousands of employees globally. She also served as a Co-Founder and member of the executive team of City Year Dallas, an AmeriCorps program that helps develop students into leaders through community service. A native of El Paso, Texas, Farah holds a bachelor's degree from the University of Texas at El Paso and a master's degree from New York University. "I'm excited and energized to lead Integrity's charitable contributions on a national scale and look forward to working alongside our Integrity partners and employees to invest in better communities for all," said Mary Elyse Farah, President of the Integrity Foundation. "Service and Partnership are core values at the heart of Integrity's mission. Those values become even more powerful change agents when they're galvanized by Integrity's innovative, entrepreneurial spirit. Through this historic announcement, we can now leverage the full strength of the Integrity community as a catalyst to expand on current initiatives and create new opportunities to give and serve. Our toy and school supply drives and playground building initiatives are already helping thousands of children experience meaningful physical, social and mental health benefits. It's inspiring to see our Integrity family rally together in support of causes that resonate deeply with them and we have only begun to see the impact the Integrity Foundation will have on communities nationwide." "We are excited to welcome Mary Elyse to this crucial role. Her achievements in demonstrating servant leadership and driving change speak for themselves," continued Bryan W. Adams. "With thousands of team members in hundreds of partner offices, our Integrity family is uniquely positioned to be a powerful force for good making communities stronger, connections deeper and enriching the lives of everyone around us. When we all come together and put people first, amazing things can happen. It's key to making the work we do more human and to helping the millions of people we serve make the most of what life brings." "Every person at Integrity approaches community building and service with an abundance mindset," explained Steve Young, Integrity's Chairman of the Board. "This means that as an Integrity family of employees, partners, carriers and customers, we act as a united force to leverage our ties, time, talents and resources to accomplish our purpose. We understand the importance of giving back, and that our collective impact is much more powerful than any one group could have on its own. It's an absolute honor to be associated with a company that doesn't just talk about its core values, but consistently strives to live them." To learn about the Integrity Foundation, view a video at www.integritymarketing.com/IntegrityFoundation. About Integrity Integrity, headquartered in Dallas, Texas, is a leading distributor of life and health insurance, and provider of innovative solutions for wealth management and retirement planning. Through its partner network of over 550,000 agents and advisors, Integrity helps millions of Americans protect their life, health and wealth with a commitment to meet them wherever they are in person, over the phone and online. Integrity's cutting-edge technology helps streamline the insurance and financial planning experience for all stakeholders. In addition, Integrity develops products with carrier partners and markets them through its nationwide distribution network. In 2022, Integrity helped carriers place over $22 billion in new sales and oversaw more than $40 billion of assets under management and advisement through its RIA and broker-dealer platforms. For more information, visit www.integritymarketing.com . SOURCE Integrity Marketing Group, LLC PHOENIX, Aug. 16, 2023 /PRNewswire/ -- Integro Bank celebrates their one-year Grand Opening, provides a performance update and announces a program to reward its existing and new clients. From the first quarter to the second quarter of 2023, Integro Bank grew its loan portfolio by 57% and their deposit portfolio by 14%, demonstrating positive momentum. Thomas J. Inserra, CEO & President, Integro Bank Integro Bank successfully launched the CEO Club which provides local business leaders access to education, networking, advisory, and small business support services. With 50 to 100 small business leaders taking part at each event, the quickly growing CEO Club is proving to be a key differentiator setting Integro Bank apart from other lenders. "At a time when numerous banks are scaling back their lending volumes and reducing their deposits, Integro Bank continues to grow," said Thomas Inserra, CEO & Founder at Integro Bank. "Our Mission to help small businesses grow and transform lives has taken root. To celebrate this progress, we are announcing a reward to existing and new clients with a "Double Our Rate" Promotion. Be sure to contact us to learn how business owners and individuals can benefit from this promotion." "These are exciting times for everyone here at the bank. The next several years of anticipated loan and deposit growth aimed at helping small businesses and clients - has our entire team buzzing with opportunity and purpose. It's something I have rarely experienced at any other bank in my career," said Executive Vice President and Chief Lending Officer, Greg Stava. Consistent with its Mission, Integro Bank continues to provide opportunities to connect small businesses and start-ups with business leaders, including its upcoming Innovation & Technology Leadership Summit taking place at its Phoenix headquarters on August 18, 2023. About Integro Bank: Integro Bank is an FDIC-insured bank based in Phoenix, Arizona (USA). Our proprietary INTEGRO360 SM consultative approach and CEO Club are designed to help small businesses grow and maximize employment. Why? Because employment lifts people up and transforms lives. Visit www.Integro.Bank to learn more. Media Contact: Brandon Price, Marketing at [email protected] or (602) 325-9431 SOURCE Integro Bank The analytics-led digital marketing company has once again made it to the list of America's fastest-growing private companies. JERSEY CITY, N.J., Aug. 16, 2023 /PRNewswire/ -- iQuanti, a leading performance marketing agency, has been featured for the 9th time on the Inc. 5000 list of the fastest-growing private companies in the U.S. This recognition is possible because of the trust and support of our clients. Tweet this Inc5000 Founded in 2008, iQuanti drives superior performance in digital marketing through a data and analytics led approach and a strong vertical focus, earning a reputation for excellence and innovation. Over the years, iQuanti has found success through its focus on developing innovative, industry-leading digital programs and solutions for verticals like banking, financial services, and insurance (BFSI) and ecommerce. "We're happy to be named to the Inc. 5000 list yet again! This recognition is possible because of the trust and support of our clients. We're thankful to them for enabling us to do our best work every day," said Vish Sastry Rachakonda, the founder and CEO of iQuanti. He added, "This recognition reflects our team's dedication to driving impactful results for our clients while consistently pushing the boundaries of innovation in digital marketing." This accolade arrives just as iQuanti celebrates its 15th anniversary. Over these fifteen years the company has evolved from a startup into a global digital marketing company, serving a diverse portfolio of leading brands, and now poised at the forefront of leveraging AI in digital marketing for its clients. About iQuanti iQuanti ignites powerful and predictable digital marketing performance for global brands with an approach rooted in data science and deep vertical knowledge. iQuanti has 500+ employees across New York, Chicago, Dallas, San Francisco, Mexico City, London, Toronto, Bangalore, and Singapore. The company was also previously recognized in the inaugural AdWeek 100: Fastest Growing Agencies and the Fast 50 Asian American Business lists. For more information, visit iQuanti.com. About Inc. Media Inc. is a US-based business magazine founded in 1979. Published by Mansueto Ventures, Inc. is best known for its annual rankings of the fastest-growing privately held companies in the United States, called the "Inc. 500" and "Inc. 5000." www.inc.com. Media Contact: Anjana Karthikeyan Senior Manager, Marketing [email protected] SOURCE iQuanti The global IT operation analytics market is driven by an increase in focus on enhancing operational efficiency, and rising need for real-time insights and proliferation of huge amounts of IT operations data PORTLAND, Ore., Aug. 16, 2023 /PRNewswire/ -- IT operations analytics (ITOA) is a way of retrieving, analyzing, and reporting information about IT operations. Cloud computing services enable enterprises to store and handle massive volumes of data by providing scalable infrastructure. ITOA solutions assist enterprises to maintain a high standard of service quality by offering real-time data on IT system efficiency, accessibility, and security. Allied Market Research published a report, titled, "IT Operation Analytics Market Component (Solution, and Service) Type (Predictive Analytics, Visual Analytics, Root Cause Analytics, Behavior Analytics, and Others) Deployment Mode (On-Premise, and Cloud) Enterprise Size (Large Enterprises, and Small and Medium-Sized Enterprises) and End User (BFSI, Healthcare, Retail and E-Commerce, Manufacturing, Government, Telecom, and Others): Global Opportunity Analysis and Industry Forecast, 2022-2032". According to the report, the global IT operation analytics industry generated $11.7 billion in 2022, and is anticipated to generate $191.1 billion by 2032, witnessing a CAGR of 32.5% from 2023 to 2032. Prime determinants of growth The convergence of IT operations and security analytics and surge in adoption of cloud-based infrastructures drive the growth of the IT operation analytics market. However, the limited investments by organizations to upgrade the prevailing IT system is expected to hamper market growth. On the contrary, the proliferation of Internet of Things (IoT) devices and edge computing is anticipated to provide lucrative development opportunities for the IT operation analytics market in the forthcoming years. Download Sample Report at: https://www.alliedmarketresearch.com/request-sample/157641 Report coverage & details: Report Coverage Details Forecast Period 20232032 Base Year 2022 Market Size in 2022 $11.7 Billion Market Size in 2032 $191.1 Billion CAGR 32.5 % No. of Pages in Report 310 Segments covered Component, Type, Deployment Mode, Enterprise Size, End user, and Region. Drivers Proliferation of huge amounts of IT operations data Increase in focus on enhancing operational efficiency Rise in need for real-time insights Opportunities Increase in adoption of artificial intelligence and machine learning. Growth in need of companies for holistic 360-degree visibility. Restraints Increase in complexities and data security concerns Covid-19 Scenario The pandemic accelerated the digital transformation efforts of many businesses. As organizations rapidly adopted remote work arrangements, online services, and digital platforms, the complexity and volume of IT infrastructure grew significantly. This created a greater need for advanced analytics tools to monitor, manage, and optimize these complex IT environments effectively. Overall, ITOA solutions had played an important role in improving business continuity and resilience by providing visibility into IT performance and identifying inefficiencies for cost optimization. In addition, the increased demand for cloud-based services during the pandemic prompted IT operation analytics providers to expand their cloud-based offerings. Therefore, the COVID-19 pandemic had a positive impact on the IT operation analytics market. Buy this Complete Report (310 Pages PDF with Insights, Charts, Tables, and Figures) at: https://www.alliedmarketresearch.com/it-operation-analytics-itoa-market/purchase-options The root cause analytics segment garnered the major share in 2022 Based on type, the root cause analytics segment held the highest market share in 2022, accounting for more than one-third of the global IT operation analytics market revenue and is estimated to maintain its leadership status throughout the forecast period. Increasing IT operations data, a number of sensors for fault diagnosis, and the number of Internet of Things (IoT) devices has led to an increase in the demand for root cause analytics in enterprises. The root cause is the primary reason for the drop in the quality or the overall device/equipment effectiveness of an asset. The use of analytics in this domain has gained prime importance. However, the predictive analytics segment is projected to manifest the highest CAGR of 35.9% from 2023 to 2032, Predictive analytics helps by analyzing vast amounts of data and by providing meaningful insight into the problems thus leading to proactive planning, decision-making, and actions. Therefore, these trends are expected to drive the growth of the IT operation analytics market. The BFSI segment to maintain its leadership status throughout the forecast period Based on end user, the BFSI segment held the highest market share in 2022, accounting for more than one-fourth of the global IT operation analytics market revenue, owing to the increasing adoption of analytics solutions by banking and financial companies for their in-house operations. Operational analytics is likely to help banks in risk management, fraud management, and customer understanding to develop and retain a profitable customer base. However, the manufacturing segment is projected to manifest the highest CAGR of 38.6% from 2023 to 2032, as ITOA provides manufacturers with actionable insights derived from data analysis. These insights can inform decision-making processes, such as optimizing production schedules, improving supply chain management, and enhancing overall operational efficiency. North America garnered the major share in 2022 Based on region, North America held the highest market share in terms of revenue in 2022, accounting for nearly two-fifths of the global insurance chatbot market revenue, owing to increasing adoption of cloud computing across the region provides opportunities for vendors to provide ITOA solutions. Furthermore, with the growth of IIoT across Industry 4.0 platform, ITOA is gaining traction within hybrid IT operations environment. However, the Asia-Pacific region is expected to witness the fastest CAGR of 36.0% from 2023 to 2032 and is likely to dominate the market during the forecast period, owing to the increasing awareness of operational analytics among enterprises in emerging countries such as China and India. The growing popularity of cloud computing and the adoption of IoT and smart technologies are the key growth drivers expected to boost the regional ITOA market. Leading Market Players: - Oracle IBM Cisco Systems, Inc. SAS Institute Inc. Broadcom Microsoft Hitachi, Ltd. Micro Focus BMC Software, Inc. SAP SE The report provides a detailed analysis of these key players of the global IT operation analytics (ITOA) market. These players have adopted different strategies such as partnership, product launch, and expansion to increase their market share and maintain dominant shares in different regions. The report is valuable in highlighting business performance, operating segments, product portfolio, and strategic moves of market players to showcase the competitive scenario. Purchase Inquiry: https://www.alliedmarketresearch.com/purchase-enquiry/157641 Trending Reports in ICT & Media Industry (Book Now with 10% Discount): Artificial Intelligence in Education Market Expected to Reach $88.2 Billion by 2032 Voice Cloning Market Expected to Reach $16.2 Billion by 2032 Voice Communication Equipment Market Expected to Reach $13.1 Billion by 2032 Retail Analytics Market Expected to Reach $58.4 Billion by 2032 3D Ceramic Printer Market Expected to Reach $12.7 Billion by 2032 About Us Allied Market Research (AMR) is a full-service market research and business-consulting wing of Allied Analytics LLP based in Portland, Oregon. Allied Market Research provides global enterprises as well as medium and small businesses with unmatched quality of "Market Research Reports" and "Business Intelligence Solutions." AMR has a targeted view to provide business insights and consulting to assist its clients to make strategic business decisions and achieve sustainable growth in their respective market domain. We are in professional corporate relations with various companies and this helps us in digging out market data that helps us generate accurate research data tables and confirms utmost accuracy in our market forecasting. Allied Market Research CEO Pawan Kumar is instrumental in inspiring and encouraging everyone associated with the company to maintain high quality of data and help clients in every way possible to achieve success. Each and every data presented in the reports published by us is extracted through primary interviews with top officials from leading companies of domain concerned. Our secondary data procurement methodology includes deep online and offline research and discussion with knowledgeable professionals and analysts in the industry. Contact: United States 1209 Orange Street, Corporation Trust Center, Wilmington, New Castle, Delaware 19801 USA. Int'l: +1-503-894-6022 Toll Free: +1-800-792-5285 Fax: +1-800-792-5285 [email protected] Logo: https://mma.prnewswire.com/media/636519/Allied_Market_Research_Logo.jpg SOURCE Allied Market Research ORLANDO, Fla., Aug. 16, 2023 /PRNewswire/ -- Today, Margaritaville, in association with Levy Holding, announces the commencement of sales for houses and apartments at Latitude Margaritaville International Lake Chapala. This unique community is designed to meet the highest standards of living for adults 55 and better looking for a relaxed and vibrant lifestyle in Mexico. Located next to Lake Chapala, Latitude Margaritaville International, operated and sold by Levy Holding, offers one and two-bedroom apartments ranging from 602 to 1,765 square feet, as well as 2,368-square-feet single-story houses. Whether looking for a retreat or a new full-time home, prospective residents will now have the opportunity to purchase one of the various units ranging from $215-490K. Furnished options will also be available. Prospective buyers can view the community's brand-new virtual tour here. The design of Latitude Margaritaville International Lake Chapala, led by Diagrama Arquitectos, is a testament to the fusion of modern and traditional charm. Inspired by Mexican architecture, each home embraces the spirit of Margaritaville while incorporating elements of local culture. With elongated windows, colorful facades, and classical-style columns, the traditional design elements and details seamlessly blend with Margaritaville to create a unique experience for future residents. As the only residential community in the region with a rooftop bar, residents can enjoy breathtaking 360 views of Lake Chapala and the surrounding mountains. The community will also offer a variety of amenities, signature food and beverage options, pools, a fitness center, pickleball courts, a Barkaritaville pet area, and a live music stage, theater, and more. Residents will be able to partake in various activities such as pool volleyball, yoga and Pilates classes, pickleball tournaments, karaoke nights, philanthropic events, and more. Renderings are available for download here. For more information, visit: www.margaritaville.com/Latitude-Margaritaville-International. About Margaritaville Margaritaville, a state of mind since 1977, is a global lifestyle brand inspired by Jimmy Buffett, whose songs evoke a passion for escape and relaxation. Margaritaville features over 40 lodging locations and over 20 additional projects in the pipeline positioned across a variety of full-service and boutique hotel and resort brands, branded real estate, premium RV destinations, and gaming properties, all complemented by an extensive suite of food and beverage concepts, including Margaritaville Restaurant, award-winning JWB Prime Steak and Seafood, 5 O'clock Somewhere Bar & Grill, and LandShark Bar & Grill. Ranked #1 in Guest Satisfaction among Upper Upscale Hotel Brands in the J.D. Power 2023 North America Hotel Guest Satisfaction Study, Margaritaville's inspired hotel brands with high-quality partners include Margaritaville Hotels & Resorts, Compass by Margaritaville, Margaritaville Beach House, Margaritaville St. Somewhere, and Margaritaville Beach Resorts, part of the Island Reserve Inclusive Collection. Further elevating experiences, Margaritaville's branded real estate includes Latitude Margaritaville, "55 and better" active adult brand; Latitude Margaritaville International; Margaritaville Cottages, Villas and Residences; One Particular Harbour Margaritaville; and Margaritaville Vacation Club by Wyndham. The newest brand additions to Margaritaville's properties includes the rapidly-growing Camp Margaritaville RV Resorts and Margaritaville at Sea, which debuted in 2022 with its first ship, Margaritaville at Sea Paradise. Additionally, consumers can escape every day through a collection of lifestyle products including apparel, footwear, frozen concoction makers, home decor, a satellite radio station, the License to Chill Podcast, and more. More than 20 million travelers and consumers every year change their latitude and attitude with Margaritaville. For more information, visit www.margaritaville.com and follow Margaritaville on Facebook, Twitter and Instagram. About Levy Holding Levy Holding is an integral and autonomous business group focused on real estate development, seeking to innovate and offer great quality in all projects, always considering sustainability and the human factor behind them. For more information, please visit www.levyholding.com. Media Contacts: Margaritaville Finn Partners, [email protected] Levy Holding Santiago Saracho, [email protected] Brenda Ramirez, [email protected] SOURCE Margaritaville Now beachgoers can scan a QR code on the tape to find out more about sea turtles and even adopt one. PALM BEACH SHORES, Fla. , Aug. 16, 2023 /PRNewswire/ -- For millions of years, female sea turtles return to the same beaches where they were hatched to make their nests and lay their eggs. It is estimated that only 1 in 1,000 sea turtle hatchlings will survive to adulthood, so it is vital that these nests are preserved. To preserve these nests, volunteers walk the beaches every morning searching for signs in the sand to tell if a nest has been dug. Currently, some of these nests get marked with typical yellow "Caution" tape or plain red ribbon. L-R William Akridge, Ali Akridge, Steve Miller, Carol Roach, Trudy Solomon. Photo credit: KBSTRRC. Biodegradable and Reusable Sea Turtle Nest Marking Tape from Love thy turtle. Now, Keith Dorman, founder and managing director of Love thy turtle, has created and designed a new version of barrier tape that helps raise sea turtle awareness. What makes Love thy turtle's biodegradable barrier tape different is; the eye-catching color, more descriptive text, it's reusable, and above all, a QR code printed on the tape that says, "Adopt-A-Turtle." By scanning the QR code printed on the tape, beachgoers are directed to the Map page on Love thy turtle's website. Here they can: Instantly find out facts on the different species of sea turtles that nest on that particular beach. Get directed to the nearest sea turtle rehabilitation center or conservancy, where they can adopt a turtle with a touch of a button. "Now we have a barrier tape that can both help educate beachgoers on sea turtles and help drive donations," says Dorman. "After learning how plastics and other environmental conditions have led to an 80 percent decline in the sea turtle population, I realized I needed to do something, so I re-invented the barrier tape," he said. It is estimated that over 50 percent of sea turtles have ingested plastic, which is contributing to their population decline. The very first Love thy turtle sea turtle nest was marked by the Topsail Turtle Project on July 6, 2023, a group led by Terry Meyer, Deputy and Conservation Director of the Karen Beasley Sea Turtle Rescue and Rehabilitation Center in Surf City, North Carolina. "It's so cool! The QR code is awesome," says Meyer. "My cheeks are still hurting from smiling after receiving a picture of our very first nest," says Dorman. "We are raising sea turtle awareness, one nest at a time." Love thy turtle's website has become an information hub for educating the public on sea turtles, including their life cycle, behavior, and the dangers these species face in the wild. It also acts as a conduit to the adoption pages of sea turtle rehabilitation centers throughout the country. By adopting a sea turtle, individuals can provide critical support for their rehabilitation, research and habitat preservation. About Love thy turtle Love thy turtle ( https://lovethyturtle.com/ ) is committed to protecting sea turtles through community outreach and education. Engaging local communities with sea turtles and their habitats, Love thy turtle hopes to inspire individuals to take action in support of conservation efforts. One way Love thy turtle helps save sea turtles is by sharing information about other organizations that specialize in sea turtle conservation. By promoting and supporting these organizations, Love thy turtle can help to ensure that injured or sick sea turtles receive the care they need to recover and return to their natural habitats. SOURCE Love thy turtle Decision benefits consumers; halts monopolization of Illinois transmission projects SPRINGFIELD, Ill., Aug. 16, 2023 /PRNewswire/ -- LS Power, a leading development, investment and operating company focused on the North American power and energy infrastructure sector, today announced its support for Illinois Governor J.B. Pritzker's landmark veto of Right of First Refusal (ROFR) legislation that would limit transmission competition and unnecessarily increase the cost of the clean energy transition. "Governor Pritzker's decision is the right one for consumers," said Paul Segal, CEO of LS Power. "Allowing competition for ownership of new transmission projects is essential to advance the energy transition in a cost-effective manner." Governor Pritzker's veto is the first such executive action in the nation to stop an anti-competitive energy transmission ROFR bill. In doing so, Governor Pritzker preserves a competitive backdrop for the development and ownership of additional energy transmission projects in Illinois. Research has shown that competition can reduce the cost of new transmission projects by 20% to 30%.1 Sharon K. Segner, Senior Vice President for Transmission Policy at LS Power, stated, "The protectionist ROFR provisions in HB 3445 stand in the way of more competitive, innovative and cost-effective energy transmission projects hurting consumers in the process. There should not be monopolies written into state law for the clean energy transition." Illinois Joins Other States in Rebuffing ROFR Legislation While today's action is the first veto of ROFR legislation, Illinois joins other states in rejecting monopoly transmission ownership. ROFR legislation has been defeated in both Missouri (2023) and Wisconsin (2022), and in March 2023 the Iowa Supreme Court issued a temporary injunction on a similar Iowa ROFR provision. Several other states, including Texas, have ongoing disputes on the subject of competitive bidding for energy transmission projects. The United States Supreme Court in March 2023 asked the U.S. Solicitor General to file a Merits Brief at the United States Supreme Court on the Texas issue. The brief is expected this Fall. About LS Power LS Power is a development, investment, and operating company focused on the North American power and energy infrastructure sector and a leader in competitive transmission processes through LS Power Grid (LSPG). LSPG has more than 680 miles of high-voltage transmission in service, with an additional 200+ miles and multiple substations under construction. LSPG is headquartered in the St. Louis, MO area. LS Power's roots date back to 1990, where it began as one of the first non-utility developers, operators and investors in North American power generation. Historically, LS Power has developed, constructed, managed, or acquired more than 47,000 MW of power generation, including utility-scale Solar, Wind, Hydro and Gas-fired facilities. Its current operating portfolio exceeds 19,000 MW. Furthermore, LS Power's energy transition platforms include CPower Energy, Endurant Energy, EVgo, Rise Light & Power, and REV Renewables, as well as Waste-to-Energy initiatives. Across these efforts, LS Power has raised $53 billion in debt and equity financing to support North American infrastructure. Through 2022, assets under LS Power control avoided 93.2 million metric tons of CO2e, equivalent to more than 215 million barrels of oil not consumed or nearly 21 million cars taken off the road for one year. For more information, please visit www.LSPower.com. SOURCE LS Power NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Midori & Friends, a distinguished nonprofit organization dedicated to enriching the lives of countless children across NYC through high-quality music education programs, proudly announces the launch of the Crescendo Circle: a collective of arts supporters united by the belief in the power of music to inspire and transform lives. The Crescendo Circle welcomes patrons at various donation levels, starting at $1,500. Each donation to the Crescendo Circle grants access to a three-part concert series and goes entirely towards supporting Midori & Friends programming. This exceptional performance series will showcase the extraordinary talents of four brilliant artists - Midori, Jonathan Biss, Yefim Bronfman, and Randall Goosby. Midori & Friends has been instrumental in making a profound difference in the lives of young minds for over 30 years, empowering them with creativity, self-expression, and academic success through the power of music education. By attending the Crescendo Circle performances, audiences will experience breathtaking music and contribute directly to Midori & Friends' mission of bringing comprehensive music programs to under-resourced schools, opening doors to a world of possibilities for aspiring musicians. Members of the Crescendo Circle receive exclusive perks including access to all three concerts and receptions, behind-the-scenes access to Midori & Friends' programs, and the unique opportunity to engage directly with the featured artists. This close interaction with the artists provides a rare chance for patrons to deepen their appreciation for music while actively supporting growth in the NYC community. 2023- 2024 Crescendo Circle Programs: October 31, 2023 | 1:30 PM Concert & Reception Featuring: Midori and Jonathan Biss Location: Steinway Hall January 4, 2024 | 6:30 PM Concert & Reception Featuring: Yefim Bronfman Location: Private Tribeca Loft April 16, 2024 | 6:30 PM Concert & Reception Featuring: Randall Goosby Location: Opera America Howard Sendrovitz, Chair of the Board of Directors at Midori & Friends, expressed his excitement about the Crescendo Circle, stating, "The Crescendo Circle is an exciting new initiative from Midori & Friends that provides stakeholders with the opportunity to engage directly with our mission and make a lasting impact on the lives of students in New York City schools through the power of music." Sponsorship opportunities for the Crescendo Circle are available, offering a chance for like-minded individuals and organizations to support the arts community and nurture future artists. To learn more about the benefits of sponsorship and how to get involved, please visit www.midoriandfriends.org/membership, or contact Vice President of Development, Luz MacManus, at [email protected]. Join the Crescendo Circle today and become a driving force behind the transformational power of music education. Midori Midori is a visionary artist, activist and educator exploring and building connections between music and the human experience. She has performed with the world's prestigious orchestras and collaborated with some of the most exceptional musicians, including Leonard Bernstein, Yo-Yo Ma, and many others. Jonathan Biss Jonathan Biss is a world-renowned pianist who channels his deep musical curiosity into performances and projects in the concert hall and beyond. In addition to performing with today's leading orchestras, he continues to expand his reputation as a teacher, musical thinker, and one of the great Beethoven interpreters of our time. Yefim Bronfman Internationally recognized as one of today's most acclaimed and admired pianists, Yefim Bronfman stands among a handful of artists regularly sought by festivals, orchestras, conductors and recital series. His commanding technique, power and exceptional lyrical gifts are consistently acknowledged by the press and audiences alike. Randall Goosby Signed exclusively to Decca Classics in 2020 at the age of 24, American violinist Randall Goosby is acclaimed for the sensitivity and intensity of his musicianship alongside his determination to make music more inclusive and accessible, as well as bringing the music of under-represented composers to light. Midori & Friends For over 30 years, Midori & Friends has been making a significant impact on young minds, empowering them with creativity, self-expression, and academic success through the power of music education. SOURCE Midori & Friends CARYTOWN, Va., Aug. 16, 2023 /PRNewswire/ -- Monkee's of Richmond's owner Leigh Sewell shares an energetic and expressive light as she elevates women's fashion in Carytown. As the former president of Bon Secours Memorial Medical Center and Rappahannock General Hospital, Leigh will use her superior work ethic, proven business skills and passionate energy as a Monkee's entrepreneur. Monkee's of Richmond Grand Opening in Carytown Owner Leigh Sewell cuts opening day ribbon After graduating from Virginia Commonwealth University with a master's degree in health administration, Leigh became the CEO of Memorial Regional Medical Center in Hanover County. Leigh envisioned owning her own business, and after she visited her daughter at the University of Tennessee, she came upon a Monkee's store where she learned about Monkee's Franchising and the opportunity to open a location of her own. Inspired by artwork in her former workplace, Leigh created a hand-painted mural in her new store that embodies her bright and fun attitude. She is an avid fashion enthusiast and will use her creative business skills at Monkee's in hopes to sell merchandise appealing to multiple generations. The new Monkee's boutique is located in Carytown and opened Friday, August 11, 2023. Leigh is excited to showcase a variety of high-end brands such as Anna Cate, Marie Oliver, Paige, Marc Fisher and will offer an array of shoes, clothing, accessories and gameday apparel. She looks forward to introducing trending and upscale brands to the Richmond area. The store address is 3504 West Cary Street and will be open from 10am-6pm Monday through Saturday and 12pm-5pm on Sundays. Follow @monkeesrichmond on Instagram and check out https://monkeesofrichmond.com/ for more information. SOURCE Monkees Franchising, LLC WILMINGTON, Del., Aug. 16, 2023 /PRNewswire/ -- MRA Group (MRA), a privately held real estate development and client services firm, announced it has secured $63M in additional debt for the ongoing redevelopment of Chestnut Run Innovation & Science Park (CRISP), the 164-acre, 14-building campus which is quickly becoming northern Delaware's premier applied sciences hub. The favorable financing secured in the current debt market reflects the caliber of MRA's portfolio and strength of MRA's relationships with financial partners. Fulton Bank in conjunction with Nuveen Green Capital through C-Pace financed $50M, while the remaining $13M was provided by WSFS Bank. Aerial View of Chestnut Run Innovation & Science Park "Our continued relationship with Fulton and WSFS Bank, along with our new relationship with Nuveen Green Capital are testaments to the capital markets' belief in the long-term vision of CRISP," stated MRA Executive Vice President and Partner Phil Butler. "I'm very proud of our team's ability to execute on creative capital structures that allow us to accomplish our goals, particularly during this challenging economic environment." The utilization of C-PACE financing via Nuveen is a first for MRA, but undoubtedly a program that will gain traction for the organization given their commitment to developing energy efficient buildings. "It was a pleasure to work with MRA Group and Fulton Bank on this major deal," said Nuveen Green Capital Senior Director of Originations Shelah Wallace. "We are excited to see the energy efficient developments at CRISP." "The potential for CRISP and its impact on the Wilmington region is very exciting," said Fulton Bank Vice President of Commercial Real Estate Bill Grasso. "We are happy to continue working with MRA Group and supporting this growing project." MRA will use the funds for the continued redevelopment efforts at CRISP which include renovated buildings for lab, R&D, and advanced manufacturing. Additional plans for the campus include amenities such as a hotel, a fitness center, conference space, an outdoor amphitheater, and accommodations for food services. About MRA Group MRA Group (MRA), based in Horsham, PA, is a privately-held, vertically integrated real estate development and client services firm servicing the healthcare, higher education and life sciences sectors. Founded in 1991 by CEO and founding partner, Lawrence J. Stuardi, MRA prides itself on maintaining the same high level of integrity and excellence that led to its recognition as one of the most respected real estate firms in the mid-Atlantic region. With 32 years of value-add and ground-up development experience, along with providing a full suite of real estate solutions to our clients, MRA has developed and continues to manage more than 4.5M square feet of medical, life sciences and office real estate, and has structured over $2B of financial transactions. A few recent and notable development projects include Chestnut Run Innovation and Science Park, Spring House Innovation Park, the Holy Redeemer Medical Building at 201 Veterans Way, the Lab at the University of Pennsylvania's Pennovation Works Campus, and TEK Park among others. Learn more at www.mragroup.net. Media contact: Brendan Farrell [email protected] 215-449-2442 SOURCE MRA Group HOUSTON, Aug. 16, 2023 /PRNewswire/ -- Nabors Energy Transition Corp. (NYSE: NETC) ("NETC" or the "Company") announced that its board of directors has elected to extend the date by which NETC has to consummate a business combination by one additional month from August 18, 2023 to September 18, 2023 (the "Extension"), as permitted under NETC's second amended and restated certificate of incorporation. The Extension provides NETC with additional time to complete its previously announced initial business combination (the "Business Combination") with Vast Solar Pty Ltd ("Vast"). In connection with the Extension, Nabors Lux 2 S.a.r.l. ("Nabors Lux"), an affiliate of Nabors Energy Transition Sponsor LLC (the "Sponsor"), has deposited $295,519.23 (the "Extension Payment") into NETC's trust account for its public stockholders (the "Trust Account"), which enables NETC to effectuate the Extension. Nabors Lux loaned the Extension Payment to NETC through a non-interest-bearing loan. If NETC consummates an initial business combination, it will repay the loans out of the proceeds of the Trust Account or, at the option of the Sponsor, convert all or a portion of the loans into warrants for $1.00 per warrant, which warrants will be identical to the warrants issued by NETC in a private placement in connection with NETC's initial public offering. If NETC does not consummate an initial business combination, it will repay the loans only from funds held outside of the Trust Account. About Nabors Energy Transition Corp. NETC is a blank check company formed to effect a merger, capital stock exchange, asset acquisition, stock purchase, reorganization or similar business combination with one or more businesses. The Company focused its search for a target business on companies that identify solutions, opportunities or technologies that focus on advancing the energy transition; specifically, companies that facilitate, improve or complement the reduction of carbon or greenhouse gas emissions while satisfying growing energy consumption across markets globally. Important Information for Stockholders This communication does not constitute an offer to sell or the solicitation of an offer to buy any securities or constitute a solicitation of any vote or approval. In connection with the proposed Business Combination, Vast has filed with the U.S. Securities and Exchange Commission (the "SEC") a registration statement on Form F-4 (File No. 333-272058) (the "Registration Statement"), which includes (i) a preliminary prospectus of Vast relating to the offer of securities to be issued in connection with the proposed Business Combination and (ii) a preliminary proxy statement of NETC to be distributed to holders of NETC's capital stock in connection with NETC's solicitation of proxies for a vote by NETC's stockholders with respect to the proposed Business Combination and other matters described in the Registration Statement. NETC and Vast also have filed and plan to file other documents with the SEC regarding the proposed Business Combination. After the Registration Statement has been declared effective by the SEC, a definitive proxy statement/prospectus will be mailed to the stockholders of NETC. INVESTORS AND SECURITY HOLDERS OF NETC AND VAST ARE URGED TO READ THE REGISTRATION STATEMENT, THE PROXY STATEMENT/PROSPECTUS CONTAINED THEREIN (INCLUDING ALL AMENDMENTS AND SUPPLEMENTS THERETO) AND ALL OTHER DOCUMENTS RELATING TO THE PROPOSED BUSINESS COMBINATION THAT HAVE BEEN OR WILL BE FILED WITH THE SEC CAREFULLY AND IN THEIR ENTIRETY WHEN THEY BECOME AVAILABLE BECAUSE THEY CONTAIN IMPORTANT INFORMATION ABOUT THE PROPOSED BUSINESS COMBINATION. Investors and security holders are able to obtain free copies of the proxy statement/prospectus and other documents containing important information about NETC and Vast once such documents are filed with the SEC, through the website maintained by the SEC at http://www.sec.gov. In addition, the documents filed by NETC may be obtained free of charge from NETC's website at www.nabors-etcorp.com or by written request to NETC at 515 West Greens Road, Suite 1200, Houston, TX 77067. Participants in the Solicitation NETC, Nabors Industries Ltd., Vast and their respective directors and executive officers may be deemed to be participants in the solicitation of proxies from the stockholders of NETC in connection with the proposed Business Combination. Information about the directors and executive officers of NETC is set forth in NETC's Annual Report on Form 10-K for the year ended December 31, 2022, filed with the SEC on March 22, 2023. To the extent that holdings of NETC's securities have changed since the amounts printed in NETC's Annual Report on Form 10-K for the year ended December 31, 2022, such changes have been or will be reflected on Statements of Change in Ownership on Form 4 filed with the SEC. Other information regarding the participants in the proxy solicitation and a description of their direct and indirect interests, by security holdings or otherwise, are contained in the proxy statement/prospectus and other relevant materials to be filed with the SEC when they become available. You may obtain free copies of these documents as described in the preceding paragraph. Forward Looking Statements The information included herein and in any oral statements made in connection herewith include "forward-looking statements" within the meaning of Section 27A of the Securities Act of 1933, as amended, and Section 21E of the Securities Exchange Act of 1934, as amended. All statements, other than statements of present or historical fact included herein, regarding the proposed Business Combination, NETC's and Vast's ability to consummate the proposed Business Combination, the benefits of the proposed Business Combination and NETC's and Vast's future financial performance following the proposed Business Combination, as well as NETC's and Vast's strategy, future operations, financial position, estimated revenues and losses, projected costs, prospects, plans and objectives of management are forward-looking statements. When used herein, including any oral statements made in connection herewith, the words "could," "should," "will," "may," "believe," "anticipate," "intend," "estimate," "expect," "project," the negative of such terms and other similar expressions are intended to identify forward-looking statements, although not all forward-looking statements contain such identifying words. These forward-looking statements are based on NETC and Vast management's current expectations and assumptions about future events and are based on currently available information as to the outcome and timing of future events. Except as otherwise required by applicable law, NETC and Vast disclaim any duty to update any forward-looking statements, all of which are expressly qualified by the statements in this section, to reflect events or circumstances after the date hereof. NETC and Vast caution you that these forward-looking statements are subject to risks and uncertainties, most of which are difficult to predict and many of which are beyond the control of NETC and Vast. These risks include, but are not limited to, general economic, financial, legal, political and business conditions and changes in domestic and foreign markets; the inability to complete the Business Combination or the convertible debt and equity financings contemplated in connection with the proposed Business Combination (the "Financing") in a timely manner or at all (including due to the failure to receive required stockholder or shareholder, as applicable, approvals, or the failure of other closing conditions such as the satisfaction of the minimum trust account amount following redemptions by NETC's public stockholders and the receipt of certain governmental and regulatory approvals), which may adversely affect the price of NETC's securities; the inability of the Business Combination to be completed by NETC's business combination deadline and the potential failure to obtain an extension of the business combination deadline if sought by NETC; the occurrence of any event, change or other circumstance that could give rise to the termination of the Business Combination or the Financing; the inability to recognize the anticipated benefits of the proposed Business Combination; the inability to obtain or maintain the listing of Vast's shares on a national exchange following the consummation of the proposed Business Combination; costs related to the proposed Business Combination; the risk that the proposed Business Combination disrupts current plans and operations of Vast, business relationships of Vast or Vast's business generally as a result of the announcement and consummation of the proposed Business Combination; Vast's ability to manage growth; Vast's ability to execute its business plan, including the completion of the Port Augusta project, at all or in a timely manner and meet its projections; potential disruption in Vast's employee retention as a result of the proposed Business Combination; potential litigation, governmental or regulatory proceedings, investigations or inquiries involving Vast or NETC, including in relation to the proposed Business Combination; changes in applicable laws or regulations and general economic and market conditions impacting demand for Vast's products and services. Additional risks will be set forth in the section titled "Risk Factors" in the proxy statement/prospectus that will be filed with the SEC in connection with the proposed Business Combination. Should one or more of the risks or uncertainties described herein and in any oral statements made in connection therewith occur, or should underlying assumptions prove incorrect, actual results and plans could differ materially from those expressed in any forward-looking statements. Additional information concerning these and other factors that may impact NETC's expectations can be found in NETC's periodic filings with the SEC, including NETC's Annual Report on Form 10-K filed with the SEC on March 22, 2023 and any subsequently filed Quarterly Reports on Form 10-Q. NETC's SEC filings are available publicly on the SEC's website at www.sec.gov. Investor Relations Contact William C. Conroy [email protected] SOURCE Nabors Energy Transition Corp. The National Comprehensive Cancer Network expands materials tailored for the MENA region to help improve patient outcomes by standardizing local cancer care; includes new adaptation focused on genetic/familial cancer risk, as well as care for ovarian/fallopian tube/primary peritoneal cancers. PLYMOUTH MEETING, Pa., Aug. 16, 2023 /PRNewswire/ -- The National Comprehensive Cancer Network (NCCN)an alliance of leading cancer centerstoday announced that a library of resources for improving cancer care in the Middle East and North Africa (MENA) has been updated and expanded in collaboration with regional experts. The United States-based non-profit has worked with the King Abdulaziz Medical City in Riyadh, Saudi Arabia, since 2015 to standardize cancer treatment based on the latest evidence and expert-consensus, as part of the MENA-NCCN Regional Coordinating Center. Their efforts have led to the publication of 12 new and updated clinical guidelines covering several cancer presentations. View the new and updated International Adaptations of NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines) MENA Editions at NCCN.org/global. The NCCN Guidelines MENA Editions now include up-to-date adaptations for: Adult Cancer Pain Breast Cancer Chronic Lymphocytic Leukemia/Small Lymphocytic Lymphoma Colon Cancer Genetic/Familial High-Risk Assessment: Breast, Ovarian, and Pancreatic (new) Hairy Cell Leukemia Hepatobiliary Cancers Hodgkin Lymphoma Non-Small Cell Lung Cancer Ovarian Cancer/Fallopian Tube Cancer/Primary Peritoneal Cancer (new) Prostate Cancer T-Cell Lymphomas The guidelines provide color-coded information for health care providers on how to care for people with cancer. Text in black represents current global recommendations, while italicized blue text indicates appropriate and feasible regional modificationsas determined by in-country experts. Approaches that are not currently feasible are marked with grey strikethrough text. "Cancer care for people in the Middle East and North Africa should follow internationally recognized gold standards for clinical direction and policy," explained Kanan Mamdouh Alshammari, MD, Department of Oncology, King Abdulaziz Medical City - Central Region, Ministry of National Guard, Saudi Arabia, Director of the MENA-NCCN Regional Coordinating Center. "Numerous studies have shown how standardizing care results in better outcomes and a more efficient use of resources. These adapted guidelines will enable our local care providers to have access to expert-vetted information on the latest research, but with their specific circumstances in mind." "We are always grateful for the opportunity for knowledge sharing with cancer care experts around the worldallowing us to provide accessible, tailored information to improve cancer outcomes across different regions," said Robert W. Carlson, MD, Chief Executive Officer, NCCN. "Our colleagues with the MENA-NCCN Regional Coordinating Center collaborated with us to address the growing need for genetic testing guidance in the Middle East and North Africa as part of our ongoing efforts to make sure everyone, everywhere, has access to the best evidence-based cancer care available." The NCCN Global Program offers numerous free International Adaptations, including European Editions of NCCN Guidelines for Spain and Poland. The NCCN Framework for Resource Stratification (NCCN Framework) and NCCN Harmonized Guidelines provide pragmatic approaches for defining appropriate treatment across different resource levels, particularly in low- and middle-income countries. NCCN resources have been translated into nearly 70 different languages. Learn more at NCCN.org/global. About the National Comprehensive Cancer Network The National Comprehensive Cancer Network (NCCN) is a not-for-profit alliance of leading cancer centers devoted to patient care, research, and education. NCCN is dedicated to improving and facilitating quality, effective, equitable, and accessible cancer care so all patients can live better lives. The NCCN Clinical Practice Guidelines in Oncology (NCCN Guidelines) provide transparent, evidence-based, expert consensus recommendations for cancer treatment, prevention, and supportive services; they are the recognized standard for clinical direction and policy in cancer management and the most thorough and frequently-updated clinical practice guidelines available in any area of medicine. The NCCN Guidelines for Patients provide expert cancer treatment information to inform and empower patients and caregivers, through support from the NCCN Foundation. NCCN also advances continuing education, global initiatives, policy, and research collaboration and publication in oncology. Visit NCCN.org for more information. Media Contact: Rachel Darwin 267-622-6624 [email protected] Logo - https://mma.prnewswire.com/media/441768/NCCN_Logo.jpg SOURCE National Comprehensive Cancer Network New PSAs from Ad Council, Alzheimer's Association, and Lopez Negrete Communications highlight the early warning signs of Alzheimer's that can be mistaken for normal aging NEW YORK, Aug. 16, 2023 /PRNewswire/ -- The Ad Council, in partnership with the Alzheimer's Association and creative agency Lopez Negrete Communications, launched new public service advertisements (PSAs) "Some Things Come with Age" in an effort to increase early detection of Alzheimer's and other dementias within the Hispanic community by raising awareness of the early signs and symptoms. The new campaign, which celebrates the positive aspects of aging while educating about changes that could be signs of Alzheimer's, will be available in English and Spanish nationwide. "Early detection and diagnosis of Alzheimer's and other dementia offers important benefits to individuals and families affected by Alzheimer's, but even more so today with the advancements of new dementia treatments," said Carl V. Hill, Chief Diversity, Equity & Inclusion Officer, Alzheimer's Association. "Hispanic and Latino Americans are disproportionately affected by Alzheimer's, but are diagnosed later in the disease or not at all. This important campaign is designed to address this disparity by providing equitable information and other resources for Hispanic and Latino communities in the fight against Alzheimer's and other dementia." Since June 2019, the Ad Council and Alzheimer's Association have partnered on multiple efforts to promote early detection of Alzheimer's and encourage family members to talk about visiting a doctor together. However, with Latinos having a longer life expectancy than most other ethnicities, according to 2022 CDC data , and quickly becoming the fastest-growing group of older adults in the U.S. with an older adult population expected to quadruple by 2060 , the Hispanic community remains disproportionately at-risk for Alzheimer's. Latinos are 1.5 times more likely than non-Hispanic Whites to develop Alzheimer's disease, according to the 2023 Alzheimer's Association Alzheimer's Disease Facts and Figures report. Due to overlapping systemic and cultural barriers that make access to diagnosis more challenging than for non-Hispanic Whites, early signs of cognitive change typically go unnoticed or undiscussed in Hispanic families, and too often a diagnosis happens only in a moment of crisis and/or emergency. "We know that for many families, it can be difficult to distinguish between early signs of Alzheimer's and normal signs of aging," said Heidi Arthur, Chief Campaign Development Officer, Ad Council. "With this new campaign we aim to educate individuals, especially in Hispanic communities, to recognize changes in their loved ones' behavior that could be Alzheimer's and empower them to have a conversation." Created and produced pro bono by Lopez Negrete Communications, this new campaign seeks to encourage Hispanic pre-care partners to recognize the early warning signs of Alzheimer's and other dementias in their loved ones. By celebrating the positive changes that come with getting older (like feeling more confident), the campaign also seeks to raise awareness around cognitive and behavior changes that aren't normal aspects of aging, and could be signs of Alzheimer's. The PSAs will run in Spanish and English on television, radio, out-of-home, and digital sites across the country, including donated media support. "This body of work brings to life the idea that, as Latinos, we tend to expect certain illnesses with aging. The "waving off" of early signs of any disease, Alzheimer's in this case, in the name of normal aging is keeping many Hispanic families from having the necessary conversations and doctor consultations," said Lopez Negrete Communications President and CEO, Alex Lopez Negrete. "We're hoping this campaign will open an important conversation about what getting older really brings, so we can avoid misconceptions that also reinforce ageism." The campaign's website 10signs.org in English and 10senales.org in Spanish, offers tools and resources to help families recognize early warning signs of Alzheimer's, tips for facilitating conversations about cognition, benefits of early detection and diagnosis, a discussion guide for use with doctors and health providers, and other disease-related information. About the Alzheimer's Association The Alzheimer's Association is a worldwide voluntary health organization dedicated to Alzheimer's care, support and research. Our mission is to lead the way to end Alzheimer's and all other dementia by accelerating global research, driving risk reduction and early detection, and maximizing quality care and support. Our vision is a world without Alzheimer's and all other dementia. Visit alz.org or call 800.272.3900. About the Ad Council The Ad Council convenes creative storytellers to educate, unite and uplift audiences by opening hearts, inspiring action and accelerating change around the most pressing issues in America. Since the non-profit's founding, the organization and its partners in advertising, media, marketing and tech have been behind some of the country's most iconic social impact campaigns Smokey Bear, A Mind Is a Terrible Thing to Waste, Love Has No Labels, Tear the Paper Ceiling and many more. With a current focus on mental health, gun safety, the opioid epidemic, skill-based hiring and other critical issues, the Ad Council's national campaigns encompass advertising and media content, ground game and community efforts, trusted messenger and influencer engagement, and employer programs, among other innovative strategies to move the needle on the most important issues of the day. To learn more or get involved, visit AdCouncil.org , join the Ad Council's communities on Facebook , Instagram , LinkedIn and Twitter , and view campaign creative on YouTube . About Lopez Negrete Communications, Inc. Lopez Negrete Communications stands as the largest independent, Hispanic-owned-and-operated, full-service agency in the United States, specializing in providing marketing services to corporations wishing to reach and engage with America's large and influential Hispanic consumer segment. Founded in 1985 by Alex and Cathy Lopez Negrete, the agency offers thought leadership and a full range of marketing, advertising, and communications services, including strategic planning, brand strategy, creative and production, research and consumer insights, media planning and buying, digital/social/mobile marketing services, public relations, and promotions. Award-winning throughout a rich 38-year history, Lopez Negrete counts as clients some of the nation's largest corporations and their prestigious brands, such as Bank of America, Walmart, McDonald's, Hyundai Motor America, Sam's Club, Mattress Firm, Phillips 66 Company, and Motiva Enterprises LLC. With headquarters in Houston, Texas, Lopez Negrete employs over 100 professionals who are dedicated to delivering the promise of Maximum Return On Cultural Intelligence and is a founding agency member of both the Hispanic Marketing Council and the ANA's Alliance for Inclusive and Multicultural Marketing (AIMM). SOURCE The Ad Council MARLBOROUGH, Mass., Aug. 16, 2023 /PRNewswire/ -- Corero Network Security, the specialists in distributed denial of service (DDoS) protection solutions, announced today a new threat research report, "Mirai and Its Common Attack Methods," authored by cybersecurity engineer Huy Nguyen. The new report uncovers the evolving nature of the notorious Mirai botnet and its methods for distributed denial-of-service (DDoS) attacks. Corero Network Security Threat Research: Mirai Since its emergence in 2016, the Mirai botnet has been a significant concern for cybersecurity professionals worldwide. This new Corero report offers a comprehensive examination of Mirai variants, its attack vectors, and the implications for defenders. Key findings from the research include: Complicating Defense : Multiple attack methods detailed in the report blur the lines between legitimate and malicious traffic. While blocking these attacks is feasible, the challenge lies in filtering out the harmful traffic without inadvertently obstructing legitimate traffic. : Multiple attack methods detailed in the report blur the lines between legitimate and malicious traffic. While blocking these attacks is feasible, the challenge lies in filtering out the harmful traffic without inadvertently obstructing legitimate traffic. Ease of Botnet Creation: The research underscores the relative ease with which even novices can create botnets and potentially develop innovative new attack techniques. By exploiting IoT devices with remote code execution (RCE) vulnerabilities, these botnets can be weaponized for malicious purposes. Huy Nguyen, the report's author, emphasizes the need for vigilance. "The Mirai botnet's capabilities serve as a stark reminder of the importance of robust cybersecurity practices. Both individuals and organizations must prioritize securing their devices to mitigate the risks posed by such threats." The report underscores a concerning trend that despite only a few recent variations to the Mirai codebase, it consistently sets new benchmarks for the magnitude of DDoS attacks. Even the original attack methods remain alarmingly potent, capable of inflicting significant damage. Download the full report here: https://go.corero.com/threat-research About Corero Network Security Corero Network Security is a leading provider of DDoS protection solutions, specializing in automatic detection and protection solutions with network visibility, analytics, and reporting tools. Corero's technology protects against external and internal DDoS threats in complex edge and subscriber environments, ensuring internet service availability. With operational centers in Marlborough, Massachusetts, USA, and Edinburgh, UK, Corero is headquartered in London and listed on the London Stock Exchange's AIM market (ticker: CNS). SOURCE Corero Network Security Recent projects and manufacturing pushes state's solar, wind, and storage workforce to nearly 10,000 jobs WASHINGTON, Aug. 16, 2023 /PRNewswire/ -- A newly-released report from the American Clean Power Association (ACP) details significant contributions being made in North Carolina from utility-scale clean energy projects. The report, Clean Energy Powers North Carolina, finds that nearly 10% of the state's energy capacity is currently generated through solar and wind projects. Clean energy capacity in the state now stands at 6,433 megawatts (MW), enough to power 1.1 million homes. Growth in the state builds on historical investments totaling $15 billion in utility-scale solar, wind, and storage projects. The demand for the clean energy generated at these sites is strong, with corporations including Meta and Google making procurement agreements for North Carolinian clean power totaling over 1,600 MW. By 2030, North Carolina can anticipate an additional $14 billion of capital investment being made into the utility-scale clean power sector. Clean power projects throughout North Carolina are contributing beyond the expansion of energy capacity at both the state and local levels. North Carolina's 27 operating clean energy manufacturing facilities have created jobs across the state, helping push the number of North Carolinians working in the utility-scale sector to nearly 10,000. Additionally, clean power projects have generated revenue for both local communities and the state overall. More than $30 million is collected annually from these projects in state and local taxes, and another $42 million in annual revenue is made by landowners leasing their property to clean power projects. This totals $72 million in annual contributions flowing into North Carolina's economy. North Carolina's clean energy capacity is expected to double by the end of the decade, according to the ACP report. ACP estimates additions to the state's energy grid of around 8,000 MW of solar, 1,400 MW of land-based wind power, and 2,500 MW of battery storage capacity by 2030. Increased investment in clean energy is expected to increase annual tax revenues from these projects to $83 million, and push revenue for landowners to over $110 million in land lease payments. Read the full report. About ACP The American Clean Power Association (ACP) is the leading voice of today's multi-tech clean energy industry, representing 750 utility-scale solar, wind, energy storage, green hydrogen and transmission companies. ACP is committed to meeting America's national security, economic and climate goals with fast-growing, low-cost, and reliable domestic power. Learn more at cleanpower.org. Contact: Terry Banks Deputy Director, Media Relations [email protected] SOURCE American Clean Power Association WOODSTOCK, Ga., Aug. 16, 2023 /PRNewswire/ -- Northpoint Roofing Systems Operating, LLC, a renowned roofing company known for its commitment to excellence, innovation, and customer satisfaction, proudly announces its remarkable achievement of being ranked 653 on the prestigious Inc. 5000 list. In addition, Northpoint secured an impressive 29th position in the state of Georgia and an outstanding 26th position in the competitive construction sector. Northpoint Roofing Systems Operating, LLC, proudly announces they are ranked 653 on the prestigious Inc. 5000 list. Tweet this Northpoint secured an impressive 29th position in the state of Georgia and an outstanding 26th position in the competitive construction sector. Patrick Maginn, CEO of Northpoint Roofing Systems, expressed his enthusiasm for this achievement, stating, "Being recognized on the Inc. 5000 list reflects our team's efforts to revolutionize the roofing industry on a national scale. From how we work with our amazing employees and customers to our meticulous processes, we are driven by a mission to make a difference, one roof at a time." Steered by the resonating motto, "GUIDING YOU TO PROTECTED," Northpoint Roofing Systems Operating, LLC has consistently exemplified its dedication to roofs and the lives that depend on them. With an unwavering commitment to reinventing the roofing industry and positively impacting the communities it serves, Northpoint's visionary approach has now been recognized on a national scale. With a strong set of values serving as its foundation, Northpoint Roofing Systems Operating, LLC is deeply committed to its customers, colleagues, and communities. Its customer-centric philosophy is a driving force, ensuring that every interaction and every project is met with the utmost care and commitment. Keith Priddy, CRO & Co-Founder of Northpoint Roofing Systems, echoed this sentiment: "This achievement is a testament to our unwavering dedication to excellence and innovation. We believe in the power of roofing to protect both properties, and the people within them, and this recognition motivates us to continue raising the bar in the industry." Compassion is at the heart of Northpoint's operations, demonstrated through kindness and dedication to helping customers find the best roofing solutions for their unique needs. Moreover, innovation is woven into the fabric of Northpoint's identity, as the company continually strives to provide better solutions and enhance its processes. Northpoint Roofing Systems Operating, LLC offers various roofing services tailored to suit residential and commercial needs. From trusted residential roofing solutions backed by top-tier warranties to comprehensive commercial roofing services designed to minimize disruptions, Northpoint ensures lasting quality and reliability. The company's commitment to sustainability shines through its solar installation services, where GAF Energy solar roofs provide durability, curb appeal, and significant long-term energy savings. Gutter services provided by Northpoint protect and beautify properties, embodying the company's dedication to holistic solutions that safeguard both structure and aesthetics. A streamlined process, drone-advanced AI technology, and a dedication to customer satisfaction characterize the Northpoint roofing experience. From free inspections with detailed roof assessments to personalized consultations, Northpoint's process ensures clients are informed and empowered every step of the way. Northpoint Roofing Systems Operating, LLC's achievement of ranking 653 on the Inc. 5000 list, along with its strong performance in Georgia and the construction sector, testifies to its unwavering commitment to its customers, industry-leading innovation, and lasting impact on the roofing industry. For more information about Northpoint Roofing Systems and their services, please visit their website at https://www.northpointroofingsystems.com. About Northpoint Roofing Systems Northpoint Roofing Systems has three locations operating in Georgia. With 100 years of combined experience serving the greater Atlanta metropolitan area, Northpoint offers leading expertise in shingles, metal roofing, gutters, and solar for homeowners and commercial property owners. Northpoint is also known for providing top-quality services using AI technology and innovative full-roof protection. Additional information is available at https://www.northpointroofingsystems.com/. About Halmos Capital Partners Halmos Capital Partners is a private equity firm that invests in leading lower-middle market businesses and focuses on partnering with best-in-class management teams to build companies in niche markets with an operational focus. Halmos aims to transform small capitalization and entrepreneurial businesses into institutional platforms for continued growth and investment. Please visit www.halmoscapital.com for more information. About NewSpring Founded in 1999, NewSpring partners with the innovators, makers, and operators of high-performing companies in dynamic industries to catalyze new growth and seize compelling opportunities. The Firm manages over $3.0 billion across five distinct strategies covering the spectrum from growth equity and control buyouts to mezzanine debt. Having invested in over 200 companies, NewSpring brings a wealth of knowledge, experience, and resources to take growing companies to the next level and beyond. Partnering with management teams to help develop their businesses into market leaders, NewSpring identifies opportunities and builds relationships using its network of industry leaders and influencers across a wide array of operational areas and industries. To learn more, visit www.newspringcapital.com. SOURCE Northpoint Roofing Systems Operating, LLC CLEVELAND, Aug. 16, 2023 /PRNewswire/ -- Novagard, a woman-owned innovator and manufacturer of silicone sealants, coatings, lubricants, and foams announced that they received UL 746E certification on two of their conformal coatings. A conformal coating is a layer of thin polymeric film that is applied to Printed Circuit Boards (PCBs) to protect circuits from moisture, dust, and other contaminants. PCBs are used in a broad spectrum of industries, including electronics, automotive & EV electronics, aerospace, renewable energy, LED lighting, medical devices, and telecommunications equipment. "We're extremely pleased that 800-505FC UV Alkoxy Dual Cure Sprayable Silicone and 500-210 General Purpose Conformal Coating passed the rigorous testing required to achieve UL 746E certification," said Robert Duan, Ph.D., Novagard's Vice President of R&D. "At Novagard, we are committed to delivering products that meet the highest standards our customers demand." UL 746E certification is obtained after the product passes a series of tests meant to evaluate whether a conformal coating can withstand sudden electrical surges and maintain its dielectric integrity. These are done in succession and include: voltage transient testing, dielectric withstand testing, and dielectric breakdown. There is also a vertical burn flammability test conducted as part of the UL 746E certification, and both coatings are rated V1. 800-505FC UV Alkoxy Dual Cure Sprayable Silicone cures in 3 5 seconds upon exposure to UV light. It has a secondary, neutral alkoxy moisture cure for shadow areas that begins immediately and develops full adhesion in hours. 500-210 General Purpose Conformal Coating is a clear moisture cured sprayable silicone that offers simple "dispense and forget" processing and tack free performance in as little as 10 minutes. With the miniaturization and electrification of everything, silicones play a vital role in today's manufacturing process. Novagard's moisture cure and UV/dual cure silicones cure tack free in a fraction of the time needed for traditional conformal coatings. After the initial UV cure, the secondary cure ensures no unreacted coating remains in shadow areas. Novagard electronics grade silicones offer superior performance in harsh and demanding environments. They combine increased flexibility and high temperature resistance, offering more versatility in the design and assembly process. Novagard silicones seal, bond, coat, gasket, and encapsulate to protect sensitive components and modules, enhancing and enabling their customers' technological innovations. These high-performance materials contain NO added solvents (no isocyanates, benzene, toluene, ethylbenzene, or xylene), and are PFAS free. Novagard will be demonstrating their UL 746E certified conformal coatings in booth 1845 at The Battery Show in Novi, MI (September 12 14). About Novagard Novagard, a Certified Women's Business Enterprise headquartered in Cleveland, Ohio, is the market leader in UV/dual cure alkoxy silicone technology for electronic devices and component assemblies. An early pioneer of this technology, Novagard leverages its manufacturing and R&D capabilities to constantly innovate and expand its product line to meet the demands of today's products and speed of manufacturing requirements. For more information, visit novagard.com. CONTACT: Mike Kister, [email protected] SOURCE Novagard Solutions, Inc Opptly ranked highest for "Solution Strength," a category that assesses a company's technological capability, and was recognized for revolutionizing talent acquisition. HOUSTON, Aug. 16, 2023 /PRNewswire/ -- Opptly, an AI leader and direct sourcing pioneer, is proud to announce it has been named a "Market Leader" as part of Ardent Partners' 2023 Digital Staffing Technology Advisor Report. Ardent's annual research report is designed to help business leaders navigate the enterprise talent technology and solution landscape. Opptly's proprietary AI platform was designed to take today's workforce strategies to sophisticated new heights, delivering unrivaled speed and accuracy of talent matches while also modernizing the experience for hiring companies, curators, and job seekers. Evaluated against other digital staffing and direct sourcing technology providers across the competitive solutions landscape, Opptly was ranked highest for "Solution Strength." This category assesses a company's technological capability and depth of functionality to support a wide range of workforce solutions, such as talent engagement, talent community development, direct sourcing, talent-matching, and more. "[Opptly's solution] will continue to be a best-in-class offering as the business arena continues to shift." Tweet this Ardent pinpointed several of Opptly's key strengths. Notably, Opptly boasts a unique AI platform that learns solely from aggregated workforce data such as skills, job roles, descriptions, and candidate profiles, delivering the industry's most robust skills-matching capability. At the same time, Opptly's talent communities provide rapid access to talent while also enhancing established private, branded talent communities. Opptly's flexible integration hub simplifies the process of embedding direct sourcing into existing infrastructure, enhancing efficiency through seamless technology integration. "We are honored to receive this outstanding level of recognition as a 'Market Leader' from Ardent Partners," said Lori Hock, CEO of Opptly. "In what seems like a new dawn of AI for all things, Opptly has been deeply entrenched for nearly a decade, seeding and training our AI on a wealth of data exclusively in the workforce domain. Our proprietary AI drives enhanced efficiency, accuracy, and speed, supporting our mission to remove the friction between talent and work. Ardent Partners' recognition is important validation that Opptly is realizing our goal of using AI to effect positive change in the workforce industry." "Opptly's AI-driven platform is a pure reflection of Future of Work innovation," said Christopher J. Dwyer, SVP of Research at Ardent Partners and author of the new study. "Their solution optimizes the utilization of artificial intelligence in talent acquisition and direct sourcing, and will continue to be a best-in-class offering as the business arena continues to shift in the years ahead." To access the full report, click here. About Opptly Opptly has revolutionized the way talent and work connect. With our purpose-built AI, intuitive user experience, and expert curation partners, Opptly has created a single platform that powers our direct sourcing solution and accelerates optimal matches between job seekers and hiring companies. We're changing the course of the workforce industry, using AI to effect positive change, and help companies win in an intensely competitive market for talent. Opptly. Talent search meets talent found. Learn more at opptly.ai. About Ardent Partners Ardent Partners is a research and advisory firm focused on defining and advancing the strategies, processes, and technologies that drive Best-in-Class performance for procurement and finance departments within the enterprise. Since 2010, Ardent Partners has actively covered the procurement, FinTech, and workforce solutions marketplace and produced research to help business decision-makers understand the technology landscape and select the best-fit solutions for their needs. ardentpartners.com Media Contacts: Internal Communications Opptly [email protected] SOURCE Opptly Research that makes pathogens more dangerous or likely to spread would be banned at Wisconsin colleges and universities under a bill proposed by Republican state legislators, who point to incidents and controversy involving bird flu studies at UW-Madison. The bill, being circulated for co-sponsors through Thursday, would ban higher education institutions from conducting so-called gain-of-function studies, which make viruses or other pathogens more transmissible or virulent in an effort to see and prepare for what could occur in nature. In April, a book alleged the university didnt properly report incidents in 2019 and 2013 involving potentially dangerous bird flu viruses in Kawaokas lab. Campus officials said the books claims were not rooted in the facts. The bill, by Rep. Elijah Behnke, R-Oconto; Rep. Ty Bodden, R-Hilbert; and Sen. Andre Jacque, R-De Pere, also would require scientists to notify the state Department of Health Services before starting research on any potentially pandemic pathogen. In a memo seeking support for the bill, the lawmakers cite the 2019 and 2013 incidents, along with a 2009 case in which UW-Madison researchers in a different lab broke federal rules by creating a drug-resistant strain of bacteria. The university was fined $40,000 and banned the lead scientist from working in the lab for five years. The risks of these dangerous (gain-of-function) experiments are not only catastrophic, they are unnecessary, the legislators said in the memo. Viruses mutate very rapidly all by themselves; they do not require humans conducting genetic engineering experiments to make them more lethally infectious. UW-Madison has several layers of oversight for pathogen research, much of which is regulated by the federal government, spokesperson Kelly Tyrrell said in a statement. The ability to safely study harmful viruses, bacteria and other pathogens is critically important for protecting public health and the food supply, she said. In another statement sent to lawmakers this week, UW-Madison said the bill would cause the state to lose millions of dollars in federal grant funding and hamstring the growth of the biotech and biomedical sectors of Wisconsins economy. Past incidents In a Dec. 9, 2019, incident in Kawaokas lab, a research trainees hood-like respirator briefly became disconnected from its safe air supply. That left the devices hose loose in air potentially contaminated with an H5N1 flu virus altered to become transmissible among ferrets, Alison Young, a journalism professor at the University of Missouri and former investigative reporter at USA Today, wrote in her book, Pandoras Gamble: Lab Leaks, Pandemics, and a World at Risk. UW-Madison didnt report the incident to the National Institute of Allergy and Infectious Disease until three days later and took two months to file a report with the National Institutes of Healths Office of Science Policy, which should have been told immediately, Young said. The situation raises uncomfortable questions about the tremendous trust the world places in these kinds of labs, she wrote. UW-Madison said campus officials did immediately report the incident to the Centers for Disease Control and Prevention. They determined the incident was not an exposure or potential exposure under NIH criteria so didnt immediately notify the NIH Office of Science Policy, the university said. After the lab and campus biosafety officials had a series of meetings with the NIH safety office, the university was asked to file the report. At the time of the incident, the infectious agent was stored away, infected animals were in HEPA-filtered containment cages, the biosafety cabinet where the sample collection took place had been disinfected and Tyvek sleeves and outer gloves had been disinfected and changed, the university said. The detachment was also so brief that the researcher would have continued to breathe the filtered air still present in their (respirator), officials said in a statement. In 2013, a member of Kawaokas research team accidentally pierced their finger with a needle that had an engineered H5N1 virus on it, Young wrote. The researcher was sent home to quarantine and family members were told to stay in a hotel, but NIH officials said a researchers home was not an appropriate site for quarantine because flu viruses can spread through the air, she wrote. The university said that because the needle stick was a low-risk exposure, the quarantine at home was approved by the NIH. But the NIH noted a discrepancy in the labs plans for quarantine in the event of a high-risk exposure. As a result, the university corrected its plans, the university said. The research involved in the 2019 incident is no longer being conducted, and no studies currently being done on campus meet the federal criteria for potential pandemic pathogen care and oversight, Tyrrell said. In the 2009 case, after workers in the lab of Gary Splitter created an unauthorized strain of brucella, the university hired a new biosafety officer and doubled the offices staff and budget. Kawaoka and Dutch scientist Ron Fouchier caused an international stir in 2011 when they said they had separately altered the H5N1 bird flu virus so it could spread in ferrets. In 2012, they agreed to a yearlong moratorium. A few months after Kawaoka was allowed to resume the work in 2014, the Obama administration called for another stoppage of such research nationally. In 2017, the government issued a framework through which grant reviewers are expected to consider the benefits and risks of gain-of-function studies. In January 2019, UW-Madison was told it could resume the work. BURGAW, N.C., Aug. 16, 2023 /PRNewswire/ -- The Own Your Own (OYO) Million Dollar Nationwide Restaurant Challenge is excited to announce the 24 Finalists who will be coming to Burgaw, NC this October to compete for the opportunity to own their own restaurant at 106-108 W. Courthouse Avenue. These finalists, selected from a group of over 500 applicants, represent 10 states and a wide range of concepts. On Saturday, October 21, 2023, the final 24 will face off against each other in a Town Square Cook-off along W. Courthouse Avenue in conjunction with Burgaw's inaugural Autumn Fest. Each applicant will have a pop-up kitchen from which to serve a sample size dish that represents their concept. The cook-off will be judged by 200 Burgaw residents and a panel of award-winning judges, including Christi Ferretti of Pine Valley Market, Myra McDuffie of Mema's Chick'n & Ribs, Keith Rhodes of Catch and Dean Neff of Seabird. Both residents and judges will cast their votes for the entrepreneurs and concepts they believe will be the best fit for Burgaw. It's no secret that the American Dream of owning your own business is out of reach for many due to economic barriers. Social entrepreneur and OYO founder, Richard Johnson, is hoping to change that. Own Your Own is designed to give hopeful entrepreneurs the opportunity to connect with investors, open small businesses and help revitalize historic downtowns. The Town Square cook-off will result in 12 of the finalists being eliminated. The remaining 12 will present business plans, from which 8 will be selected to work shifts in the judge's restaurants. On Sunday, October 29th two finalists will be given a list of seasonal, local ingredients from which to prepare a three-course meal to the judges, the OYO team, and 50 VIP guests at the Historic Burgaw Train Depot. A winner will be crowned at the end of the evening and handed the keys to their new restaurant space along with a million dollar budget to design and outfit the 2,250-square-foot space. The restaurant challenge in Burgaw is just the beginning. OYO's mission is to create a movement that helps revitalize small towns across the country and bring back the belief in the American Dream. To learn more about the OYO process, the restaurant space, and most importantly, our final 24 applicants, please visit our website where you can find profiles of each of them. See names below. Below is a list of the THE FINAL 24: Pilar Beasley, Charlotte, NC Nathaniel Blanford, Cincinnati, OH Shawn Buskirk, Holly Ridge, NC Christopher Carlo, Waterford, MI Mandy Chow, Wilmington, NC Matthew Cole, Winterville, NC Charmaine Lizette Eclarin Devine, Wilmington, NC Zachary Fabian, Jersey City, NJ Joe Friday, Toronto, ON Canada Christopher Gates, Wilmington, NC Penny Hayes, Myrtle Beach, SC Brandon Hunsaker, Escondido, CA Khristen Hunter, Wilmington, NC Zinyusile Khumbula, New York, NY John Knox, Maple Hill, NC Vincent Mangual, Brooklyn, NY B. Lucas McLawhorn, Wilmington, NC Katharine Myhand, Rocky Point, NC Karoline Schwartz, Tabernash, CO Allan Tijerino, Morristown, TN Peggy Walker, Fayetteville, NC Julia Warren, Whiteville, NC Bart Weber, Hickory, NC Oscar Zeno, Wilmington, NC Contact: Jessica Maurer Email: [email protected] Phone: 910.322.9687 SOURCE Own Your Own Alexandre Renoir to host special exhibition, along with a free fan meet and greet, Q&A and live painting at Park West Fine Art Museum & Gallery in the Forum Shops at Caesars Palace Saturday, August 26, 2023 from 4 p.m. to 6 p.m. LAS VEGAS, Aug. 16, 2023 /PRNewswire/ -- Park West Fine Art Museum & Gallery is continuing its artist showcase series in August with a free exhibition and fan event with renowned impressionist artist and great grandson of famed French Impressionist painter Pierre-Auguste Renoir, Alexandre Renoir. Held Saturday, August 26, 2023 from 4 p.m. to 6 p.m., fans will have the opportunity to view Renoir's one-of-a-kind work, while he hosts a live painting, meet-and-greet and Q&A. "Tuscan Sunset," Alexandre Renoir Alexandre was born in the town of Cagnes-sur-Mer on July 7, 1974. Cagnes-sur-Mer is a lush urban settlement located in the Cote d'Azur in southern France. Alexandre's hometown was also the retreat and final home of his famed great-grandfather, French Impressionist painter Pierre-Auguste Renoir. At the age of 4, Alexandre and his family moved to Western Canada. Alexandre's exposure to art began at a young age. Always surrounded by art, Alexandre was immersed in his family's history and French culture. While drawing and sketching, Alexandre demonstrated a vivid imagination. His parents saw his creative abilities and decided he should be in art-oriented schools and classes. Renoir attended the Virginia Park School and the Victoria School of Performing and Visual Arts in Edmonton, Alberta. Alexandre tried his hand in many different endeavors including: goldsmithing, silversmithing, jewelry design, graphic design, photography, and theater arts. In 2004, Alexandre was given the opportunity to pursue painting full time by a prestigious publisher in Beverly Hills, California. This was Alexandre's breakthrough moment. Finally realizing what he was always meant to do, he dove into a career in painting. Over the next 12 years, Alexandre traveled the world and displayed his work in numerous galleries and exhibitions. Alexandre bases his style in Impressionism, a style his ancestor helped innovate, but his style and technique departs from that of his great-grandfather. Whereas Pierre-Auguste captured French lifestyle, culture, and landscape in his intricate works, Alexandre's approach focuses on looser, nature-inspired compositions. Pierre-Auguste joked that a palette knife is only good for cleaning a palette. Alexandre, on the other hand, exclusively paints with palette knives, going so far as to design custom knives to achieve the effects he desires. Instead of mixing his paints on a palette, Alexandre prefers to mix his paint directly on the canvas. The technique produces vibrant colors not seen in traditional, 19th-century Impressionism. His use of thick, impasto texture creates paintings infused with dimension and depth that harkens to Impressionism's emphasis on light. Much like Pierre-Auguste, Alexandre prefers to paint bright and joyful works. He takes to heart his great-grandfather's philosophy: "There are too many unpleasant things in life as it is without creating still more of them," preferring to portray the pleasurable and serene aspects of life. Park West Gallery has two locations at The Forum Shops at Caesars Palace on the famed Las Vegas Strip. Current hours of operation are 10 a.m. to 9 p.m. Sunday through Thursday and 10 a.m. to 10 p.m. Friday and Saturday. For more information, please visit ParkWestVegas.com or call 702-630-1037. Keep up with Park West Gallery on Facebook, Twitter, Instagram and YouTube at @ParkWestGallery. About Park West Gallery Park West Gallery is the world's largest art dealer, bringing the experience of collecting fine art to more than 3 million customers since 1969. Whether it's masterpieces from history's greatest artists or the latest artwork from leading contemporary icons, Park West offers something for everyone through its accessible art exhibitions and auctions all over the world. You can learn more about Park West Gallery at ParkWestGallery.com. Park West also hosts live-streaming online art auctions every weekend. To learn more about Park West's online collecting events, visit ParkWestGallery.com. For media information, contact: Jeff Klein Atomic City PR [email protected] SOURCE Park West Gallery Innovative New Jersey community college expands existing partnership to deploy full enterprise stack, including Anthology Student, Reach in support of Passaic's strategic vision BOCA RATON, Fla., Aug. 16, 2023 /PRNewswire/ -- Anthology, a leading provider of education solutions that support the entire learner lifecycle, today announced Passaic County Community College (PCCC) will deploy Anthology's full Enterprise Resource Planning (ERP) ecosystem, empowering PCCC to build a learner-centric experience, streamline administrative processes, and increase institutional flexibility. Anthology's solutions will serve as a catalyst to support PCCC in achieving strategic objectives to increase student completion, align pathways with student needs, ensure the effectiveness of educational offerings, and other critical goals designed to improve the student experience. "We were looking for a true partner, committed to our vision and one that understands the community college market," said Dr. Steven M. Rose, President of Passaic County Community College, a northern New Jersey institution that serves 10,000 students across four campuses. "Anthology's innovative, client-focused solutions coupled with their outstanding professional services team will help our learners thrive during their time with PCCC and into the next chapter of their career journeys. Expanding our relationship to tap Anthology's ecosystem of solutions is the next step in providing the best experience for our learners." Along with PCCC's current use of Blackboard Learn by Anthology, Anthology Ally and additional services, the deployment of Anthology ERP solutions Anthology Student, Anthology Finance and Anthology Human Capital Management (HCM) will simplify and streamline the academic journey for learners while delivering automated processes that help reduce costs, mitigate risk and enable administrators to spend time on the activities that drive the greatest value. Anthology Student's industry-leading support for both traditional term-based and non-credit programs was an important factor in PCCC's selection process as this dual experience is critical for institutions looking to expand their offerings. Anthology's ERP solutions, together with critical engagement solutions like Anthology Reach, Engage and CourseEval Assessment Management, will provide dynamic, data-informed experiences to help learners and educators can achieve their goals. "Community colleges are on the cutting edge, experimenting and innovating to deliver an attainable, affordable education," said Anthology President of Global Markets Joe Belenardo. "Our team is committed to helping PCCC provide the best academic outcomes for their students throughout their post-secondary education fueled by the power of our ecosystem approach." After a comprehensive review, PCCC selected Anthology to replace their legacy solution, Ellucian Colleague. About Anthology Anthology offers the largest EdTech ecosystem on a global scale for education, supporting more than 150 million users in 80 countries. With a mission to provide dynamic, data-informed experiences to the global education community through Anthology Intelligent Experiences, we help learners, leaders and educators achieve their goals by offering over 60 SaaS products and services designed to advance learning. Discover more about how we are fulfilling our mission for education, business and government institutions at www.anthology.com . Media Contact: Erin Mitchell Anthology [email protected] SOURCE Anthology LOS ANGELES, Aug. 16, 2023 /PRNewswire/ -- Children have a fresh start to the school year due to Pathways LA's Back-to-School Resource Fair held earlier this month. Pathways LA, a resource and referral provider, hosted its annual event for families living in Metro Los Angeles at El Centro Del Pueblo. A total of 844 backpacks were distributed to families with children ages 3-13 years old to help prepare children for the new school year. School supplies, lunch bags with nutritious snacks, educational activity kits, and insulated water bottles were distributed. "With support from generous organizations and donors, we are able to promote healthy child and family well-being and support positive outcomes for all children through an array of free services and signature events like our Back-to-School Resource Fair," said CEO Pathways LA Tamika Farr. "Thanks to our partners we were able to help build brighter futures for children and families living in Los Angeles as they prepare for the new school year." Contributions were provided by Walmart through a community local grant in the amount of $1,000, in addition to in-kind school supply donations. Also, Baby2Baby offered in-kind donations of 144 backpacks while CNY Global Foundation contributed school supplies. With support from Parents Educators/Teachers & Students in Action (PESA), Senator Maria Elena Durazo (D-Los Angeles) donated 200 backpacks with school supplies. Target Commercial Production Company contributed additional backpacks and school supplies, while the agency also received diapers from Hello Bello to help families with babies and toddlers. Durazo welcomed children and families along with representatives from Pathways LA and PESA. Los Angeles Councilmember Hugo Soto-Martinez (District 13) also participated by distributing backpacks to residents. In addition, families connected with community resources from PHFE WIC (Women's, Infants, and Children), Saban Community Clinic, Liberty Dental Plan, LIFT Los Angeles, Options for Youth, Los Angeles Public Library, Frank D. Lanterman Regional Center, PESA, and California Highway Patrol El Protector. Pathways LA is a nonprofit that strengthens low-income and vulnerable working families, promotes high quality early care and education services for children of all abilities, develops knowledgeable early care providers and promotes economically resilient communities. The nonprofit serves families living in Los Angeles communities of Central City, Downtown LA, Echo Park, El Sereno, Hollywood, Mid-City Wilshire, Monterey Hills, Mount Washington, Silverlake, West Hollywood, and Westlake. To learn how families can receive free or affordable child care, visit www.pathwaysla.org or call 213-427-2700. SOURCE Pathways LA TORONTO and DALLAS, Aug. 16, 2023 /PRNewswire/ - Perimeter Medical Imaging AI, Inc. (TSXV: PINK) (OTC: PYNKF) (FSE: 4PC) ("Perimeter" or the "Company") a commercial-stage medical technology company announced that it has retained Bristol Capital Ltd. ("Bristol") a leading investor relations firm servicing Canadian and US micro-cap and small-cap companies across international markets, to provide investor relations and communication services. Adrian Mendes, Perimeter's Chief Executive Officer stated, "We are pleased to retain Bristol as part of our commitment to enhance our investor outreach efforts to engage with existing investors and grow our shareholder base. Our strategy includes hosting key investor webinars with plans for a number of events already underway including those with key opinion leaders that aim to communicate Perimeter's strong business case and key opportunities for growth." Bristol has been engaged by the Company for an initial period of 12 months (the "Initial Term") which shall be renewed automatically for successive one-year periods thereafter, unless terminated in accordance with the provisions of the agreement. Bristol will be paid a monthly fee of up to CAD$14,000, plus applicable taxes, based on the services to be provided during the applicable month, such fee payable by Perimeter within 30 days of receipt of invoice. In addition, the Company has agreed to grant Bristol an aggregate of 100,000 stock options to acquire one common share in the capital of the Company at an exercise price of CAD$2.00 per share, exercisable for a period ending 36 months from the date of grant. 25% of the options will vest and become exercisable on a quarterly basis over the course of the Initial Term until all options are vested. Other than the options described in this news release, neither Bristol nor its principals or affiliates have an interest, directly or indirectly in the Company or its securities, and act at arm's length to the Company. The appointment of Bristol remains subject to regulatory acceptance of applicable filings with the TSXV. The Company also announced that, on March 23, 2023, it granted 1,510,000 stock options to certain directors, officers, consultants and employees of the Company. Each stock option entitles the holder to acquire one common share in the capital of the Company at an exercise price of $1.58 per common share and expire 10 years from the date of grant. Of the 1,510,000 stock options issued, 365,000 stock options were issued to certain non-executive directors and consultants of the Company and 800,000 stock options were issued to certain members of the executive team. Stock options issued to non-executive members of the board and consultants vest fully on March 23, 2024. Stock options issued to certain members of the executive team and employees vest in accordance with the following schedule: 25% on March 23, 2024; 25% on March 23, 2025; 25% on March 23, 2026; and 25% on March 23, 2027. As of the date of this news release, the Company has a total of 6,507,729 stock options outstanding and the Company may issue further equity compensation securities exercisable for up to 5,963,814 common shares under the Company's omnibus equity incentive plan. About Perimeter Medical Imaging AI, Inc. Based in Toronto, Canada and Dallas, Texas, Perimeter Medical Imaging AI (TSX-V: PINK) (OTC: PYNKF) (FSE: 4PC) is a medical technology company driven to transform cancer surgery with ultra-high-resolution, real-time, advanced imaging tools to address areas of high unmet medical need. Available across the U.S., our FDA-cleared Perimeter S-Series OCT system provides real-time, cross-sectional visualization of excised tissues at the cellular level. The breakthrough-device-designated investigational Perimeter B-Series OCT with ImgAssist AI represents our next-generation artificial intelligence technology that is currently being evaluated in a pivotal clinical trial, with support from a grant of up to US$7.4 million awarded by the Cancer Prevention and Research Institute of Texas. The company's ticker symbol "PINK" is a reference to the pink ribbons used during Breast Cancer Awareness Month. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Forward-Looking Statements This news release contains statements that constitute "forward-looking information" within the meaning of applicable Canadian securities legislation. In this news release, words such as "may," "would," "could," "will," "likely," "believe," "expect," "anticipate," "intend," "plan," "estimate," and similar words and the negative form thereof are used to identify forward-looking statements. Forward-looking information may relate to management's future outlook and anticipated events or results and may include statements or information regarding the future financial position, business strategy and strategic goals, competitive conditions, research and development activities, projected costs and capital expenditures, research and clinical testing outcomes, taxes and plans and objectives of, or involving, Perimeter. Without limitation, information regarding the potential benefits of Perimeter S-Series OCT and Perimeter B-Series OCT and information related to the services to be provided by Bristol are forward-looking information. Forward-looking statements should not be read as guarantees of future performance or results, and will not necessarily be accurate indications of whether, or the times at or by which, any particular result will be achieved. No assurance can be given that any events anticipated by the forward-looking information will transpire or occur. Forward-looking information is based on information available at the time and/or management's good-faith belief with respect to future events and are subject to known or unknown risks, uncertainties, assumptions, and other unpredictable factors, many of which are beyond Perimeter's control. Such forward-looking statements reflect Perimeter's current view with respect to future events, but are inherently subject to significant medical, scientific, business, economic, competitive, political, and social uncertainties and contingencies. In making forward-looking statements, Perimeter may make various material assumptions, including but not limited to (i) the accuracy of Perimeter's financial projections; (ii) obtaining positive results from trials; (iii) obtaining necessary regulatory approvals; and (iv) general business, market, and economic conditions. Further risks, uncertainties and assumptions include, but are not limited to, those applicable to Perimeter and described in Perimeter's Management Discussion and Analysis for the year ended December 31, 2022, which is available on Perimeter's SEDAR+ profile at https://www.sedarplus.ca, and could cause actual events or results to differ materially from those projected in any forward-looking statements. Perimeter does not intend, nor does Perimeter undertake any obligation, to update or revise any forward-looking information contained in this news release to reflect subsequent information, events, or circumstances or otherwise, except if required by applicable laws. SOURCE Perimeter Medical Imaging AI, Inc. Accelerates Growth in its Bi-Modal Brokerage Platform at Radiant Road and Rail RENTON, Wash., Aug. 16, 2023 /PRNewswire/ -- Radiant Logistics, Inc. (NYSE American: RLGT), a technology-enabled global transportation and value-added logistics services company, today announced that its wholly owned subsidiary and U.S. brokerage platform, Radiant Road and Rail, Inc, has opened a new operating location in Overland Park, Kansas. The Overland Park location will augment the Company's robust bi-modal brokerage operation providing truckload and less-than truck load trucking; temperature controlled and dry intermodal; asset and brokered drayage; transloading; and other value-added services. Steve McCleary will lead the local customer and carrier facing teams in Overland Park while leveraging Radiant Road and Rail's robust technology, carrier base and Chicago-based back-office platform. Leading the group's growing field sales organization will be Ryan Stroup. Both McCleary and Stroup were formerly part of the leadership team at Yellow Logistics and were instrumental in building that company's truck brokerage operation from its inception in 2017 and will report directly to Radiant Road and Rail's SVP and General Manager, Chris Brach. "With the abrupt closure of Yellow, we were able to move quickly to stand up an operation in Overland Park, while attracting quite a few very passionate and talented people looking to minimize service disruption for their customers," remarked Stroup. "Radiant offered a robust, technology-enabled operating platform and a solid financial footing from which we can continue to service our customers. It was truly a team effort and with the 'hands-on' approach of CEO Bohn Crain, the help of Chris Brach and the extraordinary efforts from folks working behind the scenes in Chicago and Seattle, we rallied to go from that first phone call to a full-fledged office with freight on board in about 2 weeks time." "We are truly excited to welcome such a great team to our organization," said Founder and CEO, Bohn Crain. "Over the years we have looked at various acquisition candidates in the brokerage space to help build out our bi-modal brokerage capabilities at Radiant Road and Rail but just never found the right fit. I believe our patience and discipline is going to be rewarded with the opening of operations in Overland Park. Historically this team could only sell truckload brokerage due to the business rules in place at Yellow. Now as part of Radiant, not only will they begin to sell LTL services, but they can now also offer the full suite of domestic, international and cross border services available from the broader Radiant platform. We called this initiative 'Project Charger' because we believe this is going to super-charge the growth in our over-the-road brokerage capabilities. Now it is time to go to work and prove just that." Contact the Radiant Road & Rail, Overland Park Team today at [email protected]. About Radiant Road and Rail, Inc. Founded in 1938 and formerly known as Clipper Exxpress, Radiant Road & Rail, Inc. is the wholly owned subsidiary and U.S brokerage platform for Radiant Logistics, Inc. Radiant Road and Rail has a rich history in the brokerage space and provides customer-centric expertise in the areas of truckload and less-than truck load trucking; temperature controlled and dry intermodal; asset and brokered drayage; transloading; and other value-added services. With long established relationships across these service offerings, Radiant Road and Rail is well situated to provide diverse solutions in a challenging and competitive market. Visit Radiant Road & Rail at: https://rrs.radiantdelivers.com/ to learn more. About Radiant Logistics (NYSE American: RLGT) Radiant Logistics, Inc. (www.radiantdelivers.com) operates as a third-party logistics company, providing technology-enabled global transportation and value-added logistics services primarily to customers in the United States and Canada. Through its comprehensive service officering, Radiant provides domestic and international freight forwarding along with truck and rail brokerage services to a diversified account base including manufactures, distributors and retailers, which it supports from an extensive network of Radiant and agent-owned offices throughout North America and other key markets around the world. Radiant's value-added logistics services include warehouse and distribution, customs brokerage, order fulfillment, inventory management and technology services. SOURCE Radiant Logistics, Inc. Industry Veteran to Drive People-Centric Initiatives in Support of Growth and Customer Success BOISE, Idaho, Aug. 16, 2023 /PRNewswire/ -- Truckstop is pleased to announce Ron Storn is joining the leadership team as Chief People and Culture Officer. In this pivotal role, Storn will be instrumental in shaping and advancing the people and organizational capabilities that underpin the company's strategic priorities. Storn brings over two decades of strategic HR leadership to Truckstop. His career spans prestigious roles at Fortune 500 companies, where he has driven transformative people strategies that align with business objectives. Most recently, Storn served as the Chief People and Business Operations Officer at Booster, a dynamic last-mile mobile energy provider for fleets, businesses and consumers. Prior to that, he played a pivotal role as the Chief People Officer at Motive, a hyper-growth startup dedicated to modernizing the transportation industry. "I am privileged and excited to join Truckstop and look forward to supporting the continued growth of the business," said Storn. "I am eager to work alongside our talented team to further enhance our people and organizational capabilities, enabling us to better serve our customers and drive the company's strategic vision." "Ron's in-depth experience as an HR and business leader as well as his extensive knowledge of organizational design and development are just some of the reasons why we are excited to have him at Truckstop," said Kendra Tucker, chief executive officer, Truckstop. "His role is crucial to help further deliver on our growth strategy and our unwavering commitment to our customer's success." Storn's distinguished career also includes roles at prominent tech giants such as Facebook, Google, and Lyft, where he played an integral part in nurturing exceptional company cultures and driving workforce growth. His expertise in organizational transformation, talent acquisition, and human resources aligns perfectly with Truckstop's commitment to fostering an inclusive and innovative work environment. With his vast experience, Storn is poised to lead Truckstop's people-centric initiatives, ensuring that the company's workforce remains a driving force behind its continued success. About Truckstop Truckstop is a trusted partner for carriers, brokers, and shippers, empowering the freight community through a platform of innovative solutions for the entire freight lifecycle to increase efficiency, automate processes, and accelerate growth. As one of the industry's largest neutral freight marketplaces, Truckstop provides the customer service as well as scale of quality loads and trucks to give customers of all sizes, whether on the road or in the office, the transparency and freedom to build lasting relationships and grow their businesses. To learn how Truckstop is helping move the freight community forward, visit https://truckstop.com. SOURCE Truckstop Group LLC The growth of the global seamless steel tubes market is driven by growth in steel industry surging seamless tube consumption, and automotive and construction sectors strengthening for seamless steel tube industry. PORTLAND, Ore., Aug. 16, 2023 /PRNewswire/ -- Allied Market Research published a report, titled, "Seamless steel tubes market by Material (Carbon Steel, Stainless Steel, Alloy Steel, and Others), by Process (Cold Rolled, and Hot Rolled), and by End-User (Oil and Gas, Automotive, Construction, Energy and Power, and Others): Global Opportunity Analysis and Industry Forecast, 2023-2032". According to the report, the global seamless steel tubes market was valued at $45,528.0 million in 2022, and is projected to reach $84,888.83 million by 2032, registering a CAGR of 6.3% from 2023 to 2032. Get Inside Scoop Of The Report, Request For Sample: https://www.alliedmarketresearch.com/request-sample/54002 A seamless steel tube is typically having lighter weight and thinner walls than other types of pipes. This specific kind of hollow cylindrical structure finds an array of applications across several industries including automotive, oil & gas, chemical, petrochemical, mechanical & structural, power, refinary, and others. Prime determinants of growth Seamless tubes are a specialized product category with explosive demand in the rapidly expanding steel industry. Several industries, including oil & gas, construction, automotive, and manufacturing, depend heavily on seamless tubes. There is a growth in need for seamless tubes in the plumbing, heating, and structural industries due to robust growth of the building industry in both residential and commercial projects. In addition, seamless steel tubes are widely used in automotive applications, especially in exhaust systems where they provide greater performance, high-temperature resistance, and increased fuel efficiency. Furthermore, the need for seamless steel tubes in battery enclosures and other EV components is being fueled by the growth in focus on electric cars (EVs). Price fluctuations for raw materials such as iron ore and scrap metal have a direct effect on the total cost of manufacturing seamless steel tubes. Steel producers have invested in innovative furnace technologies that reduce greenhouse gas emissions and boost energy efficiency in response to growth in environmental concerns and regulatory efforts to reduce emissions. Such trends are expected to offer remunerative opportunities for the expansion of the seamless steel tubes market during the forecast period. Report coverage & details: Report Coverage Details Forecast Period 20232032 Base Year 2022 Market Size in 2022 $45,528.0 million Market Size in 2032 $84,888.83 million CAGR 6.3 % No. of Pages in Report 240 Segments covered Material, Process, End User and Region. Drivers Growth in steel industry surging seamless tube consumption. Automotive and construction sectors strengthening for seamless steel tube market. Opportunities Investment in low-emission furnaces. Restraints High cost of seamless tube raw material. Covid-19 Scenario Due to the COVID-19 pandemic and the subsequent global lockdowns, the seamless steel tubes market faced a downturn. However, as the global situation started to improve, the demand for seamless steel tubes has restored back. This, in turn, presented various growth opportunities for companies operating in the seamless steel tubes market. To Purchase This Premium Report: https://www.alliedmarketresearch.com/checkout-final/7e8f16c76c2ac1260c1fb9aa425fab87 The carbon steel segment to maintain its leadership status throughout the forecast period. Based on material, the carbon steel segment held the highest market share in 2022, accounting for more than one-third of the global seamless steel tubes market revenue and is estimated to maintain its leadership status throughout the forecast period. In addition, the carbon steel segment is projected to manifest the highest CAGR of 7.1% from 2022 to 2032, owing to a rise in the demand of seamless steel tube at industrial sectors. The hot rolled segment to maintain its leadership status throughout the forecast period. Based on process, the hot rolled segment held the highest market share in 2022, accounting for nearly four-fifths of the global seamless steel tubes market revenue and is estimated to maintain its leadership status throughout the forecast period owing to low manufacturing process cost of hot rolled as compared to cold rolled and seamless steel tubes offers required amount of strength and efficiency. In addition, cold rolled is expected to witness the fastest CAGR of 6.9% from 2022 to 2032, owing to increasing demand for accurate and finished seamless steel tubes for types of equipment. The oil and gas segment to maintain its lead position during the forecast period Based on end user, the oil and gas segment accounted for the largest share in 2022, contributing to around half of the global seamless steel tubes market revenue, owing to the demand of seamless steel tubes in oil and gas plants for high-pressure handling and fluid or gas supply management applications. However, the automotive segment is expected to portray the largest CAGR of 7.2% from 2022 to 2032. This is owing to the increasing demand for seamless steel tubes for exhaust and other parts in vehicles. Asia-Pacific to maintain its dominance by 2032. Based on region, Asia-Pacific held the highest market share in terms of revenue in 2022, accounting for more than three-fifths of the global seamless steel tubes market revenue. In addition, Asia-Pacific is expected to witness the fastest CAGR of 6.6% from 2023 to 2032, owing to the rising demand of seamless tubes due to automation and industrialization in the region. Make A Purchase Enquiry: https://www.alliedmarketresearch.com/purchase-enquiry/54002 Leading Market Players: - ArcelorMittal S.A. Jindal SAW Ltd. Nippon Steel Corporation Sandvik AB Shandong Tanglu Metal Material Co., Ltd SHENQIANG STEEL MANUFACTURING CO., LTD. TATA Steel Tenaris. United States Steel Corporation Vallourec S.A. The report provides a detailed analysis of these key players of the global seamless steel tubes market. These players have adopted different strategies such as partnership, acquisition and others to increase their market share and maintain dominant shares in different regions. The report is valuable in highlighting business performance, operating segments, product portfolio, and strategic moves of market players to showcase the competitive scenario. Trending Reports in Pipe & Tube Industry (Book Now with 15% Discount): Ductile Iron Pipes Market is projected to reach $19.2 billion by 2031 Drill Pipe Market is projected to reach $2.2 billion by 2031 Pipeline Construction Market is projected to reach $73.5 billion by 2031 Pipeline Monitoring Systems Market is projected to reach $29.99 billion by 2031 Water Pipeline Leak Detection Systems Market is projected to reach $2.8 billion By 2027 Pipe and Tube Cutters Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipe Relining Machine Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 Pipelayer Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipeline Integrity Market: Global Opportunity Analysis and Industry Forecast, 2023-2032 Pipeline Network Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 Hose Pipe Market: Global Opportunity Analysis and Industry Forecast, 2023-2030 About Allied Market Research: Allied Market Research (AMR) is a full-service market research and business-consulting wing of Allied Analytics LLP based in Portland, Oregon. Allied Market Research provides global enterprises as well as medium and small businesses with unmatched quality of "Market Research Reports" and "Business Intelligence Solutions." AMR has a targeted view to provide business insights and consulting to assist its clients to make strategic business decisions and achieve sustainable growth in their respective market domain. We are in professional corporate relations with various companies and this helps us in digging out market data that helps us generate accurate research data tables and confirms utmost accuracy in our market forecasting. Allied Market Research CEO Pawan Kumar is instrumental in inspiring and encouraging everyone associated with the company to maintain high quality of data and help clients in every way possible to achieve success. Each and every data presented in the reports published by us is extracted through primary interviews with top officials from leading companies of domain concerned. Our secondary data procurement methodology includes deep online and offline research and discussion with knowledgeable professionals and analysts in the industry. Contact Us: United States 1209 Orange Street, Corporation Trust Center, Wilmington, New Castle, Delaware 19801 USA. Int'l: +1-503-894-6022 Toll Free: +1-800-792-5285 Fax: +1-800-792-5285 [email protected] Follow Us on | Facebook | LinkedIn | YouTube Logo: https://mma.prnewswire.com/media/636519/Allied_Market_Research_Logo.jpg SOURCE Allied Market Research 85,534 SF Remain Available for lease in rapidly growing industrial epicenter of Greenville-Spartanburg GREER, S.C., Aug. 16, 2023 /PRNewswire/ -- Seefried Properties, as the development partner for Clarion Partners, is pleased to announce the execution of a 99,716 SF lease with a privately owned international freight forwarder and logistics provider. The lease is expected to commence in September 2023 upon completion of tenant improvements. Victor Hill Distribution Center The new tenant is leasing approximately 54% of the 185,250 SF rentable area at 1117 Victor Hill Road. The Class A facility is designed to the highest institutional standards including tilt-up concrete construction, dock-high and drive-in loading, 32-foot clear height, ESFR sprinkler systems and LED lighting. Grice Hunt, Clay Williams, Alex Campbell, and Will Coker of NAI Earle Furman represented Seefried and Clarion Partners in the lease transaction. The tenant was represented by Ryan Koop and John Parker of Broadstreet Partners as well as Mason Marsteller and Tommy Hughes of Hughes Commercial. "We would like to thank Ryan Koop and John Parker with Broadstreet Partners along with Mason Marsteller and Tommy Hughes with Hughes Commercial for providing us with the opportunity to lease space to this new tenant," said Doug Smith, Senior Vice President of Seefried Properties. "We continue to be impressed with the deal velocity in the Upstate and remain optimistic for leasing success on the balance of the building and other speculative projects moving forward." Completed in August 2022, Victor Hill Distribution Center is a Class A industrial development located at 1117 Victor Hill Road. The location boasts easy access to I-85 and I-26 as well as close proximity to BMW, Greenville-Spartanburg International Airport and the SC Inland Port. Victor Hill Distribution Centers' strategic location allows for ease of access to both the Port of Charleston and the Port of Savannah in addition to being within two hours of the major metropolitan markets of Atlanta and Charlotte. An additional 85,534 SF of space remains available for lease. About Seefried Properties: Founded in 1984 by Ferdinand Seefried, Seefried Properties is a privately held real estate firm that focuses on the development, leasing and management of industrial properties across the United States. The firm primarily focuses on development in core industrial markets and build-to-suits with tenants in core and second-tier markets. Seefried leases and manages approximately 25 million square feet for its institutional and European clients and has developed over 200 million square feet of space valued in excess of $18 billion across 100+ cities. Based in Atlanta, the firm has regional offices in Dallas, Chicago, Los Angeles, and Phoenix. For more information, please visit www.seefriedproperties.com. About Clarion Partners, LLC: Clarion Partners, LLC, has been a leading real estate investment manager for over 40 years. Headquartered in New York, the firm maintains strategically located offices across the United States and Europe. With $79.8 billion in total real estate and debt assets under management, Clarion Partners offers a broad range of real estate strategies across the risk/return spectrum to approximately 500 institutional investors across the globe. Clarion is scaled in all major property types and was an early entrant into the Industrial sector. The Firm's global industrial team manages a 1,000+ property portfolio in the U.S. and Europe consisting of more than 233 million square feet. Clarion Partners is an independent subsidiary of Franklin Templeton. More information about the firm is available at clarionpartners.com . SOURCE Seefried Industrial Properties SAN JOSE, Calif., Aug. 16, 2023 /PRNewswire/ -- Sirius Wireless, a provider of RF IP solutions, collaborated with S2C - the expert of FPGA prototyping solutions, to develop its Wi-Fi6/BT RF IP Verification System, aiming to improve work efficiency and reduce time-to-market for their clients. The emergence of Wi-Fi6, a wireless connection technology (WCT), has unleashed unexpected potential, particularly in the IoT and intelligent hardware markets. Compared to Wi-Fi5, Wi-Fi6 enables 40% faster data transmission speeds, increased device connectivity, and improved battery life, making it widely adopted in IoT devices. Due to the specialized RF IP technology behind Wi-Fi6, only a few companies can provide such technology with Sirius being one of them. Leveraging S2C Prodigy S7-9P Logic System, Sirius Wireless designed the Wi-Fi6/BT RF IP Verification System with AD/DA and the RF front-end AFE as separate modules. The company then used Prodigy Prototype Ready IP which are ready-to-use daughter cards and accessories from S2C, to interface with digital MAC. This design approach reduces the complexity of verification design by allowing the modules to be individually debugged. In addition, the system can serve as a demonstration platform prior to tape-out to showcase the various RF performance indicators, including throughput, reception sensitivity, and EVM. S2C FPGA prototyping solutions greatly benefit customers in accelerating their time-to-market by shortening the entire chip verification cycle. S2C customers can conduct end-to-end verification easily by leveraging the abundant I/O connectors on the daughter boards. An example of such benefits is Sirius's development of its IP verification system. With this system, one of Sirius's customers on short-range wireless chip designs spent only two months to complete the pre-silicon hardware performance analysis and performance comparison test. The company thus saves over 30% in its production verification time and its customers' product introduction cycle. "S2C has more than 20 years of experience in the market." said Zhu Songde, VP Sales of Sirius Wireless, "Their prototyping solutions are widely recognized around the world. With S2C's complete prototype tool chain, we can speed up the deployment of prototyping environments and improve verification efficiency." S2C is committed to building an ecosystem with their partners. "We realize that a thriving ecosystem is crucial to market expansion." said Ying Chen, VP of Sales & Marketing at S2C, "We are working with our partners to provide better services for our customers in the chip design industry. Our partnership with Sirius Wireless is a successful story of that." About Sirius Wireless Headquartered in Singapore, Sirius Wireless was registered and established in 2018. The company has professional and outstanding R&D staff with more than 15 years of working experience in Wi-Fi, Bluetooth RF/ASIC/SW/HW. About S2C S2C is a leading global supplier of FPGA prototyping solutions for today's innovative SoC and ASIC designs, now with the second largest share of the global prototyping market. S2C has been successfully delivering rapid SoC prototyping solutions since 2003. With over 600 customers, including 6 of the world's top 15 semiconductor companies, our world-class engineering team and customer-centric sales team are experts at addressing our customer's SoC and ASIC verification needs. S2C has offices and sales representatives in the US, Europe, mainland China, Hong Kong, Korea, and Japan. For more information, please visit: www.s2cinc.com SOURCE S2C DUBLIN, Aug. 15, 2023 /PRNewswire/ -- The "South Africa Biopharmaceuticals Market - Industry Outlook & Forecast 2023-2028" report has been added to ResearchAndMarkets.com's offering. South Africa biopharmaceuticals market is poised to achieve remarkable milestones, anticipating an escalation from $1.1 billion in 2022 to an estimated value of $2.21 billion by 2028, at an impressive compound annual growth rate (CAGR) of 12.30%. The landscape of the South Africa biopharmaceuticals market stands as a testament to its dynamic nature, marked by rapid expansion and continuous evolution. This growth is propelled by a convergence of factors, including the escalating healthcare demands of the population, the increasing prevalence of chronic ailments, and the continuous strides made in medical research and biotechnology. The competition within this sector is palpable, as pharmaceutical entities vie aggressively to secure their footing in this high-stakes industry, reflecting its pivotal role in addressing critical healthcare needs. Promising Signs for Local Biopharmaceutical Production in South Africa The promising signs for local biopharmaceutical production in South Africa reflect a growing interest in developing a robust and self-sufficient pharmaceutical industry. With strong government support, research advancements, collaborative partnerships, and a focus on addressing healthcare demands, the country is poised to make significant strides in biopharmaceuticals. By fostering local production, the South Africa biopharmaceuticals market can improve access to essential medicines, drive healthcare innovation, and contribute to economic development, ultimately benefiting the healthcare sector and the well-being of its population. Growing Pharmaceutical Industry & Investment Opportunities The growing South Africa biopharmaceuticals market presents ample investment opportunities for companies and investors. The country's expanding market size, disease burden, government support, local manufacturing focus, robust regulatory environment, and potential for regional market access contribute to its attractiveness as a pharmaceutical investment destination. As the healthcare landscape continues to evolve, companies investing in research, development, and manufacturing capabilities are well-positioned to capitalize on the country's burgeoning pharmaceutical market and improve healthcare outcomes for the South African population and beyond. Growing Access to CGTs in South Africa The growing access to cell and gene therapies in South Africa presents a transformative opportunity to revolutionize healthcare and improve patient outcomes. With the potential to address genetic and rare diseases, enhance cancer care, and offer personalized medicine, these therapies are poised to impact healthcare delivery profoundly. As the South Africa biopharmaceuticals market embraces these innovations and establishes supportive policies and infrastructure, the region can position itself at the forefront of biomedical research and become a hub for cutting-edge therapies, unlocking new possibilities for patients and healthcare providers. Increasing Prevalence of Chronic Diseases & Growing Aging Population The increasing prevalence of chronic diseases and the growing aging population in South Africa are compelling factors that necessitate a proactive and comprehensive approach to healthcare. Addressing these challenges requires strategic planning, increased investment in healthcare infrastructure, preventive health initiatives, and equitable access to healthcare services. By focusing on these areas, South Africa can improve health outcomes, enhance the quality of life for its citizens, and build a more resilient and sustainable healthcare system to meet future needs. Increasing Focus on Precision Medicine The increasing focus on precision medicine in South Africa marks a transformative shift in healthcare, offering the potential to revolutionize patient care and disease management. By leveraging genomic data, health informatics, and targeted therapies, precision medicine aims to deliver more effective, personalized, and patient-centric healthcare solutions. As the region continues to advance its precision medicine capabilities, integrating genomic insights into clinical practice holds the promise of improving health outcomes, reducing healthcare costs, and enhancing the quality of life for the population. SEGMENTATION INSIGHTS The South Africa biopharmaceuticals market by product segments as vaccines, monoclonal antibodies, recombinant proteins & hormones, and tissue, cell & gene therapies. The vaccines segment accounted for the largest segmental share. Vaccines are pivotal in public health, preventing and controlling infectious diseases that threaten communities worldwide. In South Africa, vaccines are a cornerstone of the biopharmaceuticals market, providing essential protection against various infectious diseases and contributing to the nation's efforts to improve public health and healthcare outcomes. Government initiatives and partnerships with international organizations have been instrumental in driving vaccine development and distribution in South Africa. The country's government, WHO, and other global health entities have implemented immunization programs targeting various age groups and high-risk populations. INSIGHTS BY THERAPY AREA The infectious diseases by therapy area segment accounted for the largest South Africa biopharmaceuticals market share. Infectious diseases have long been a significant public health concern in South Africa, posing challenges to the healthcare system and population. The country's biopharmaceutical market is crucial in addressing these challenges by providing innovative and effective treatments and preventive measures against infectious diseases. The biopharmaceuticals market in the region has played a pivotal role in addressing infectious diseases through developing and distributing vaccines, antiviral drugs, antibiotics, and other therapeutics. Antiretroviral therapy (ART) has been a game-changer in managing HIV/AIDS, improving HIV-positive individuals' quality of life and life expectancy. KEY QUESTIONS ANSWERED: How big is the South African biopharmaceuticals market? What is the South Africa biopharmaceuticals market's projected growth rate? biopharmaceuticals market's projected growth rate? Who are the key players in the South Africa biopharmaceuticals market? biopharmaceuticals market? What are the rising trends in the South African biopharmaceuticals market? Which therapy area holds the most significant South African biopharmaceuticals market share? MARKET DYNAMICS Biopharmaceuticals: Overview Global Biopharmaceuticals Market Scenario South Africa Biopharmaceuticals Market Scenario Biopharma Manufacturing Overview Regulatory Scenario of Biopharmaceuticals in South Africa Reimbursement Scenario of Biopharmaceuticals in South Africa Supply Chain of Biopharmaceuticals Covid-19 Impact Analysis Market Opportunities & Trends Promising Signs for Local Biopharmaceutical Production in South Africa Increased Adoption of Biosimilars Growth of Pharmaceutical Industry & Investment Opportunities Increased Access to Cgt in South Africa Market Growth Enablers Prevalence of Chronic Diseases & Large Aging Population Top Chronic Diseases in South Africa Increased Focus on Precision Medicine Biologics Uptake & Potential in South Africa Market Restraints Lack of Manufacturing Capabilities High Development Cost of Biologics Poor Patient Acceptance & Access to Biologics VENDOR LANDSCAPE Key Company Profiles AbbVie F. Hoffmann-la Roche GSK Johnson & Johnson Merck & Co. Novartis Novo Nordisk Pfizer Sanofi Other Prominent Vendors Amgen Biocon Biogen Cipla Eli Lilly and Company Teva Pharmaceutical Industries Viatris For more information about this report visit https://www.researchandmarkets.com/r/ch0yre About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg SOURCE Research and Markets MONTREAL, Aug. 16, 2023 /PRNewswire/ - Strategic Resources Inc. (TSXV: SR) (the "Company" or "Strategic") and Cipher Neutron Inc. ("Cipher Neutron" or "CN") jointly announce that they have executed a collaboration agreement (the "Collaboration Agreement") to study supplying the BlackRock Project's metallurgical facility (owned by Strategic), located in Saguenay, Quebec (Canada) with Anion Exchange Membrane ("AEM") Electrolysers. These AEM Electrolysers manufactured by Cipher Neutron will be designed to produce Green Hydrogen in order to support the transition to Green Steel. As per Strategic's recent NI 43-101 Feasibility Study, Strategic plans on using natural gas for its Tenova HYL Energiron direct reduction plant, which can also use hydrogen. The Collaboration Agreement will enable Strategic to accelerate its hydrogen development roadmap and move towards producing near emissions free iron metallic products for use in electric arc furnaces and steel foundries. Collaboration Agreement Green Hydrogen AEM Electrolyser Technology of Cipher Neutron The Collaboration Agreement allows Strategic to consider the use of AEM Electrolysers from CN for the BlackRock Project including its iron, titanium and vanadium production at its metallurgical processing plant to be located adjacent to the Port of Saguenay, Quebec, in Canada. Cipher Neutron's innovative products, such as its proprietary AEM Electrolysers, have unique advantages over other Green Hydrogen production technologies and, more specifically, these are as follow: CN AEMs provide greater electrical efficiency versus its technology peers, leading to lower hydrogen costs. CN AEM Electrolysers are free of Platinum and Iridium materials, harmful PFAS (Polyfluoroalkyl Substances) chemicals and polluting metals, leading to lower costs, enhanced availability and shortened lead times and delivery schedules. CN's AEM membranes have demonstrated longer life, leading to lower sustaining capital and operating costs. CN's Green Hydrogen is provided at pressures of up to 30 bar, reducing the need for compressors and excess power required by compressors, further reducing the capital and operating costs. The BlackRock Project's leased industrial site at the Port of Saguenay provides an ideal location to deploy Cipher Neutron's Green Hydrogen AEM Electrolysers. Strategic can utilize the Green Hydrogen in its Hydrogen-ready Tenova HYL Energiron direct reduction plant for the production of high purity, clean metallic iron products, a key component of Green Steel. Strategic has an established business footprint in Quebec and CN's supply of AEM Electrolysers for this multi-Megawatt capacity project is well-suited for Strategic, Cipher Neutron, the Province of Quebec and North America. Magnitude of the Collaboration Strategic has completed a bankable feasibility of the fully-permitted BlackRock Project, which, along with it's Environmental Certificates of Authorization from the Province of Quebec, demonstrate significant achievements of advanced greenhouse gas ("GHG") reduction with proven technologies. The work contemplated by CN and Strategic for the first phase of the collaboration will consider the possibility of further advancing the GHG reduction achievements of Strategic towards even lower emissions. CN will provide the design for the initial implementation of approximately eighty-four (84) 250-Kilowatt AEM Green Hydrogen Electrolysers to produce approximately ten (10) tonnes of Green Hydrogen gas per day to be utilized in the reduction of iron and potentially other purposes for the BlackRock Project. If the initial project phase is implemented, the supply of AEM Green Hydrogen Electrolysers could represent meaningful revenue to Cipher Neutron and subject to the supply of available electrical energy and other factors, could eventually scale up to over two hundred (200) 250-Kilowatt Electrolysers to produce up to thirty (30) tonnes of Green Hydrogen per day for the BlackRock Project. Sean Cleary, CEO of Strategic Resources, stated: "Cipher Neutron's AEM technology has significant game-changing potential and is an excellent fit with the developing hydrogen strategy of Strategic's BlackRock Project. We look forward to incorporating the AEM electrolyser technology, such as that of Cipher Neutron, to foster a greener and more sustainable future in line with global reduction of GHG. Strategic Resources has a long history of fostering green and sustainable technology and we welcome the opportunity to be a part of the development of the growing Green Hydrogen Economy and support the transition to Green Steel for the Western World." Gurjant Randhawa, President and CEO of Cipher Neutron, stated: "Cipher Neutron welcomes this very significant alliance with Strategic Resources on its ongoing energy projects. Approximately seven percent (7%) of the total carbon dioxide produced in the world emanates from the iron and steel industries. We commend Strategic Resources for its leadership in Green Hydrogen adoption which can considerably change forever these highly intense GHG emissions sectors. We believe that carbon emissions and other harmful impacts on our environment can be reduced drastically in the Province of Quebec and across the globe by deploying CN's AEM Electrolysers." About Cipher Neutron Inc. Cipher Neutron is a rapidly growing disruptive technology company focused on AEM Electrolysers for Green Hydrogen production and Reversible Fuel Cells for power generation and Energy Storage Solutions. Cipher Neutron is a global group of scientists, engineers, technology developers, experts in hydrogen technology, investment bankers and people that have worked in the hydrogen space for decades. Cipher Neutron's innovative products, such as AEM Electrolysers and Reversible Fuel Cells have unique advantages over other Green Hydrogen production, power generation and energy storage solutions currently available in the global market. Please see our website for more information: www.cipherneutron.com About Strategic Resources Strategic Resources Inc. (TSXV:SR) is a critical mineral exploration and development company focused on high-purity iron and vanadium projects in Canada and Finland. The Company is developing its flagship BlackRock Project, which is a fully permitted and ready to construct mine, concentrator and metallurgical facility located at a seaport in Quebec with full access to the St. Lawrence Seaway. The Company's Head Office is in Montreal, Quebec. Further details are available on the Company's website at https://strategic-res.com/. To follow future news releases, please sign up at https://strategic-res.com/contact/. Follow us on: Twitter or Linkedin. STRATEGIC RESOURCES INC. Signed: "Sean Cleary" Sean Cleary, CEO & Chairman Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note Regarding Forward-Looking Information Certain statements and information herein, including all statements that are not historical facts, contain forward-looking statements and forward-looking information within the meaning of applicable securities laws. Such forward-looking statements or information include but are not limited to statements or information with respect to future study and deployment of the AEM technology and potential funding of the BlackRock Project. Often, but not always, forward-looking statements or information can be identified by the use of words such as "will" or "projected" or variations of those words or statements that certain actions, events or results "will", "could", "are proposed to", "are planned to", "are expected to" or "are anticipated to" be taken, occur or be achieved. Although management of the Company believes that the assumptions made and the expectations represented by all forward-looking statements or information are reasonable, there can be no assurance that a forward-looking statement or information herein will prove to be accurate. Forward-looking statements and information by their nature are based on assumptions and involve known and unknown risks, uncertainties and other factors which may cause the Company's actual results, performance or achievements, or industry results, to be materially different from any future results, performance or achievements expressed or implied by such forward-looking statements or information. These factors include, but are not limited to: risks associated with the business of the Company; business and economic conditions in the mining industry generally; the supply and demand for labour and other project inputs; changes in commodity prices; changes in interest and currency exchange rates; risks relating to inaccurate geological and engineering assumptions (including with respect to the tonnage, grade and recoverability of reserves and resources); risks relating to unanticipated operational difficulties (including failure of equipment or processes to operate in accordance with specifications or expectations, cost escalation, unavailability of materials and equipment, government action or delays in the receipt of government approvals, industrial disturbances or other job action, and unanticipated events related to health, safety and environmental matters); risks relating to adverse weather conditions; political risk and social unrest; changes in general economic conditions or conditions in the financial markets; and other risk factors as detailed from time to time in the Company's continuous disclosure documents filed with Canadian securities administrators. Strategic does not undertake to update any forward-looking information, except in accordance with applicable securities laws. SOURCE Strategic Resources Inc. PALMETTO, Fla., Aug. 16, 2023 /PRNewswire/ -- Sunflora, Inc. ("Sunflora"), the creator of award-winning Sunmed products exclusively sold at Your CBD Store locations, prevailed, once again, and received payment as part of a settlement against frivolous litigation, initiated by Joseph Gradwell, Christopher Miller, Greg Muiter and Melanie Vaughn, ("Plaintiffs") all former store owners of Sunflora. On July 20, 2023, U.S. District Court Judge Mary S. Scriven issued an Order of Dismissal which ended litigation proceedings between Sunflora and Plaintiffs. "We were extremely confident that Sunflora would prevail in this case because the facts and the law were on Sunflora's side. Fortunately, Plaintiffs were able to recognize the strength of Sunflora's position early in the case and reach a settlement that included payment to Sunflora, before the case proceeded to trial," said Sunflora's counsel, Jonathan Weiss of Squire Patton Boggs (US) LLP. "The favorable outcome of this unnecessary and meritless litigation is not surprising to me as Sunflora is dedicated to placing store owners in the best position to succeed in this challenging but rewarding hemp and wellness industry," said Sunflora Founder and CEO Marcus Quinn. Since Sunflora's launch in 2018, it has achieved a perfect winning record when it comes to defending and enforcing its commitment to excellence, brand integrity, and store profitability. Litigation against Sunflora (as well as litigation initiated by Sunflora) has consistently resulted in payments and settlements to Sunflora's benefit. This is due to the fact that Sunflora's mission is to consistently improve its consumers' quality of life, ensure the success and profitability of proud store owners, and protect its reputation as the best wellness and hemp-derived products provider in the marketplace. Sunflora's business model is structured so that Sunflora succeeds when its store owners succeed. And today, Sunflora is proud to do business with hundreds of affiliates and franchisees who operate brick-and-mortar stores selling its popular products across the country. For more information about Sunmed| Your CBD Store, visit https://getsunmed.com/. For information about franchising opportunities, visit https://getsunmed.com/pages/franchise-information. Your CBD Store is the largest brick-and-mortar hemp retailer in America and the exclusive home of Sunmed products. Media Contact: Patrick Shatzer/Shawna Zook 888-524-7437 | [email protected] SOURCE SunFlora Sustainability Magazine has launched its Top 100 Companies supplement, ranking the world's most sustainable businesses from Schneider Electric to Polestar. LONDON, Aug. 16, 2023 /PRNewswire/ -- Sustainability Magazine is thrilled to announce the highly-anticipated launch of its prestigious Top 100 Companies supplement, which celebrates the sustainability journeys of the world's biggest companies. The list showcases the companies that are continuously making great Sustainability & ESG strides towards producing long-lasting benefits, demonstrating the outstanding difference that can be made when companies value sustainability. From Capgemini and Schneider Electric to ABB and AT&T, we've compiled a list of the top global companies driving change in their sectors, thus, acting as beacons of inspiration, demonstrating that profitability and sustainability can go hand in hand. For further details on the Top 100 Companies supplement and to explore the incredible sustainability journeys of these global pioneers, click HERE . "It's incredibly inspiring to see how these businesses are pioneering change, and leading by example," says Lucy Buchholz, Editor in Chief of Sustainability Magazine. "I'm proud to be part of a portfolio of publications that showcases the very best businesses driving sustainable change, going above and beyond to lessen their environmental impact." Glen White, Founder and CEO of BizClik says - "Compelling Sustainability & ESG Strategies are vital to the heartbeat & DNA of every major business globally. Having spent time speaking to many Chief Sustainability Officers over the last few months, I think the Top 100 'Most Sustainable Companies list is a fabulous example of work being done to combat our current climate crisis whilst addressing areas such as ESG, DE&I, Supply Chain Sustainability, Net Zero, and also future Tech and AI strategies. A big well done to my team at Sustainability magazine and the whole crew at BizClik; the work they are doing is simply 'best in class across digital media." Sustainability Magazine , a BizClik brand, is a leading publication at the forefront of sustainability, offering valuable insights, trends, and news for businesses and individuals committed to building a sustainable future. With a strong emphasis on ESG issues, the magazine explores a wide range of topics, providing readers with the knowledge and inspiration needed to positively impact the environment and society. You can read the latest news in Sustainability Magazine by clicking HERE . You can now sign up for the Sustainability LIVE conference at the Business Design Centre from the 6th - 7th of September 2023. Click HERE . BizClik is also soon to launch its new U.K. 'Net Zero' show alongside its EV LIVE & Energy LIVE brands and has just agreed to expand its events business to Dubai & Miami for 2024. SOURCE BizClik Simpson joined senior leaders from Tampa General and the Florida Poison Information Center Tampa, to discuss efforts to prevent unintentional consumption of high-potency THC products. TAMPA, Fla., Aug. 16, 2023 /PRNewswire/ -- Tampa General Hospital (TGH) welcomed Florida's Commissioner of Agriculture Wilton Simpson Wednesday to host a roundtable on the risks of unintentional exposure to high-potency THC products and state-level efforts to protect children and Florida consumers. Joining Commissioner Simpson at the roundtable discussion were Kelly Cullen, executive vice president and chief operating officer at Tampa General; Dr. Justin Arnold, associate professor in the Division of Emergency Medicine at the USF Health Morsani College of Medicine and Medical Director at Florida Poison Information Center in Tampa; Florida Senator Colleen Burton; Florida Representative Will Robinson; and Dr. Matt Curran of the FDACS Division Director of Food Safety. "At Tampa General, we offer high-quality care to patients of all ages, from the simplest to the most complex cases. Some of these cases involve pediatric patients who unintentionally consume high-potency THC products disguised as candy or other familiar snacks," said John Couris, president and CEO of Tampa General. "In partnership with Commissioner Simpson, we're working to protect children by raising awareness of the risks associated with these products and ensuring they are properly labeled." "Together with our medical and policy partners, we have taken significant and meaningful steps to safeguard our children from the risks of high-potency THC products but our job is not done," said Commissioner Wilton Simpson. "Whether through closing dangerous loopholes in the law, prohibiting marketing that targets children, establishing age requirements for purchasing hemp products, requiring poison prevention packaging, or conducting inspections sweeps to remove illegal products, we will continue to work with our partners to ensure the safety of our children." During the 2023 state legislative session, the Florida Legislature passed Senate Bill 1676, sponsored by Sen. Colleen Burton and Rep. Will Robinson, to increase protections for consumers and impose restrictions on the packaging and marketing of high-potency THC products. The bill was signed into law by Governor Ron DeSantis, and the law became effective July 1. Commissioner Simpson is working to enforce this legislation through inspections of food establishments. The Florida Department of Agriculture and Consumer Services (FDACS) in July and August uncovered 81,334 packages of hemp extract products, including euphoric, high-potency THC products. The products are packaged to mimic branded candy and other popular food snacks that are recognizable and appealing to children. Ensuring that these products are properly labeled can prevent unintentional ingestion by children and reverse the rising trend of pediatric patients showing symptoms of high-potency THC. "In 2022, 933 children were exposed to high-potency-THC products across Florida, many of whom required medical care and hospitalization," Arnold said. "For these young minds and bodies at critical developmental stages, even a single exposure can be potentially life-threatening. We have also seen a significant rise in packaging for these products to be designed to target children." Poisoning from ingestion of high-potency THC can include central nervous system depression (e.g., lethargy, coma), confusion, agitation and ataxia. Nausea and vomiting are also common symptoms of THC ingestion. More significantly, low heart rate, low blood pressure, seizure activity and respiratory depression requiring intubation have been reported. Other notable clinical effects include tremors, hallucinations, abnormal eye movements, slurred speech and muscle weakness. The Florida Poison Information Center Tampa, located at Tampa General Hospital, is committed to serving the people of Florida as a resource for fast, free and accurate information on poisonings. The Florida Poison Information Center Tampa can be reached year-round, 24 hours a day by calling 800-222-1222. ABOUT TAMPA GENERAL HOSPITAL Tampa General Hospital, a 1,040-bed, not-for-profit, academic health system, is one of the largest hospitals in America and delivers world-class care as the region's only center for Level l trauma and comprehensive burn care. Tampa General Hospital is the highest-ranked hospital in the market in U.S. News and World Report's 2023-24 Best Hospitals, with six specialties ranking among the top 50 best hospital programs in the United States. Tampa General Hospital has been designated as a model of excellence by the 2022 Fortune/Merative 100 Top Hospitals list. The academic health system's commitment to growing and developing its team members is recognized by two prestigious Forbes magazine rankings in the top 100 nationally in the 2023 America's Best Employers for Women and sixth out of 100 Florida companies in the 2022 America's Best Employers by State. Tampa General is the safety net hospital for the region, caring for everyone regardless of their ability to pay, and in fiscal year 2021, provided a net community benefit worth more than $224.5 million in the form of health care for underinsured patients, community education, and financial support to community health organizations in Tampa Bay. It is one of the nation's busiest adult solid organ transplant centers and is the primary teaching hospital for the USF Health Morsani College of Medicine. With six medical helicopters, Tampa General Hospital transports critically injured or ill patients from 23 surrounding counties to receive the advanced care they need. Tampa General houses a nationally accredited comprehensive stroke center, and its 32-bed Neuroscience, Intensive Care Unit is the largest on the West Coast of Florida. It also is home to the Jennifer Leigh Muma 82-bed neonatal intensive care unit, and a nationally accredited rehabilitation center. Tampa General Hospital's footprint includes 17 Tampa General Medical Group Primary Care offices, TGH Family Care Center Kennedy, TGH Outpatient Center, TGH Virtual Health, and 21 TGH Imaging powered by Tower outpatient radiology centers throughout Hillsborough, Pasco, Pinellas and Palm Beach counties. Tampa Bay area residents also receive world-class care from the TGH Urgent Care powered by Fast Track network of clinics. To see a medical care professional live anytime, anywhere on a smartphone, tablet or computer, visit Virtual Health | Tampa General Hospital (tgh.org). As one of the largest hospitals in the country, Tampa General Hospital is the first in Florida to partner with GE Healthcare and open a clinical command center that provides real-time situational awareness to improve and better coordinate patient care at a lower cost. For more information, go to www.tgh.org. ABOUT USF HEALTH USF Health's mission is to envision and implement the future of health. It is the partnership of the USF Health Morsani College of Medicine, the College of Nursing, the College of Public Health, the Taneja College of Pharmacy, the School of Physical Therapy and Rehabilitation Sciences, the Biomedical Sciences Graduate and Postdoctoral Programs, and USF Health's multispecialty physicians' group. The University of South Florida is a high-impact global research university dedicated to student success. Over the past 10 years, no other public university in the country has risen faster in U.S. News & World Report's national university rankings than USF. For more information, visit health.usf.edu. Media Contact: Karen Barrera USF Health Media Contact: Sarah Worth Asst. Director of Communications & Partnerships Director of Communications (813) 928-1603 (cell) (813) 928-0861 [email protected] [email protected] SOURCE Tampa General Hospital Passenger Service Workers Demand Company End Delay Tactics CHARLOTTE, N.C. and CHICAGO and DALLAS and HOUSTON and LOS ANGELES and MIAMI and PHILADELPHIA and PHOENIX and ST. LOUIS and SALT LAKE CITY and SAN FRANCISCO, Aug. 16, 2023 /PRNewswire/ -- Passenger service workers at American Airlines who are members of the Communications Workers of America (CWA) - International Brotherhood of Teamsters (IBT) Association held informational pickets yesterday nationwide. "We're fanning out across the country to let the flying public know that American Airlines needs to stop playing games and bargain a fair contract for these workers," said Kim Barboro, Teamsters Airline Division National Coordinator for Customer Service and Flight Attendants and Co-Chair of the CWA-IBT Passenger Service Association. "We've been in bargaining for nearly a year, and the employer still hasn't delivered actionable proposals that will improve job security, safety, wages, or working conditions. This airline completed nearly half a million flights last quarter, and it wouldn't have been able to do that without these workers. It's time to pay up." The association represents the reservations agents, gate and ticket counter agents, customer assistance agents, and club and travel center agents at American Airlines. Many of the workers have not received a raise in nearly four years, despite American Airlines earning $1.3 billion in profits last quarter. "These are the essential service workers who unfortunately have had to face the brunt of the increase in unruly passenger behavior that we've all seen in the media ever since the onset of the pandemic," said Joe Ferreira, Teamsters Airline Division Director. "They deserve a contract that reflects not only how profitable this industry has become, but how their jobs have changed. This company loves to thank its workers on social media, it needs to start thanking them at the bargaining table. Enough is enough." "We are the lowest paid passenger service and reservation workers among our peers in the airline industry," said Lynn Koch, a Teamsters Local 104 member who serves on the CWA-IBT Passenger Service Association Bargaining Committee. "It is far past time we are paid wages that reflect our status as the world's largest airline." Founded in 1903, the International Brotherhood of Teamsters represents 1.2 million hardworking people in the U.S., Canada, and Puerto Rico. Visit Teamster.org to learn more. Follow us on Twitter @Teamsters and "like" us on Facebook at Facebook.com/teamsters. Contact: Matt McQuaid, (202) 624-6877 [email protected] SOURCE International Brotherhood of Teamsters The Danish biotech company, Tetra Pharm Technologies, announces completion of its new purpose-built headquarters facility to support long-term growth plans. COPENHAGEN, Denmark, Aug. 16, 2023 /PRNewswire/ -- Located approx. 40 kilometers north of Copenhagen firmly within Medicon Valley the leading international life science cluster spanning the Greater Copenhagen region and southern Sweden the newly established 4,000 sqm facility represents a significant milestone in Tetra Pharm Technologies' journey of planned growth and expansion. Site OL Former manufacturing site of medical solutions company Ambu A/S, the facility has undergone extensive construction and building upgrades to accommodate the specific needs of Tetra Pharm Technologies to enlarge its manufacturing capacity, bolster and streamline its production capabilities, and provide an optimal environment for the company's ambitious R&D activities. "As a pharmaceutical company committed to improve quality of life for our patients, moving into this state-of-the-art headquarters facility is a momentous occasion. The new site empowers us to elevate our research and development efforts, expand and improve operational efficiency, and ultimately, enhance the accessibility of our innovative pharmaceutical drugs to patients as part of our market expansion", says Martin Rose, CEO, Tetra Pharm Technologies. The spacious facility provides Tetra Pharm Technologies with the opportunity to cultivate a dynamic and collaborative work environment for its valued employees enabling cross-functional teams to collaborate seamlessly. Tetra Pharm Technologies will continue operating its existing manufacturing and production facility, located in Hillerd. The original facility will transition into a dedicated pilot plant allowing the company to conduct thorough tests, trials, and evaluations without interfering with the operations at its new headquarters facility. Martin Rose, CEO, Tetra Pharm Technologies, added, "We recognize the immense value of our original manufacturing and production site, which has been the birthplace of our company. Retaining it as a test site will enable us to preserve our strong focus on scientific innovation for the ultimate benefit of our patients." About Tetra Pharm Technologies Tetra Pharm Technologies is a Danish biopharmaceutical company established in 2018 with a vision to be a leader in research and development of pharmaceutical drugs for the treatment of diseases related to the endocannabinoid system. For more information, please visit www.tetrapharm.eu For further information: Martin Caspersen [email protected] +45 61224241 Photo - https://mma.prnewswire.com/media/2184861/Tetra_Pharm_Technologies_Site_OL.jpg Logo - https://mma.prnewswire.com/media/2184862/TPT_Logo.jpg SOURCE Tetra Pharm Technologies Fueling Growth through Government Initiatives: Subsidizing Semiconductors Industry - A Key End-User of Silicon Metal. Market Trends in New Energy Technologies and Growing Partnerships Propelling the Global Silicon Metal Market. GURUGRAM, India, Aug. 16, 2023 /PRNewswire/ -- Story Outline USA : A Boost for Semiconductor Production and Research : A Boost for Semiconductor Production and Research China : Subsidies for Semiconductor Companies : Subsidies for Semiconductor Companies Asia-Pacific : Government Support for Semiconductor Manufacturing : Government Support for Semiconductor Manufacturing Transitioning to Greener Alternatives and Technological Advancements and more The current state of the global silicon metal market, focusing on how government regulations and initiatives are shaping its growth. It explores the USA's significant investment in the semiconductor industry and China's ambitious plans for subsidizing domestic semiconductor equipment. Additionally, it sheds light on Asia-Pacific countries supporting semiconductor companies with substantial financial aid. Also check key trends and recent developments in the silicon metal market, providing valuable insights into industry advancements. 1. Government Regulations and Initiatives Shaping the Global Silicon Metal Market: A Comprehensive Analysis. USA - US signed a landmark bill to provide $52.7 Bn in grants for US semiconductor production and research as well as tax credit for chip plants estimated to be worth $24 Bn. China - The Chinese government is projected to allocate up to $200 Bn towards subsidizing semiconductor companies by 2025, primarily focusing on providing financial aid for the procurement of domestic semiconductor equipment by Chinese firms. Asia-Pacific - A semiconductor company opens a factory in Taiwan, government covers almost half of the land and construction costs & 25% of equipment costs. In Singapore, government subsidies cut cost of owning computer-chip factory by more than a quarter. Interested to Know More about this Report, Request For Sample Report 2. Exploring the Global Silicon Metal Market: Key Trends & Recent Developments. South America - The demand for silicon metal is expected to continue the upward trend due to the new energy technologies underpinned by the growth of the polysilicon sector and its use in solar energy in countries like Brazil. Asia Pacific - The production of aluminum-silicon alloys is expected to rise during the forecast period due to increased Asian automobile consumption. China is one of the world's largest silicon metal producers, & thus expected to dominate APAC region. North America - According to IEA (International Energy Agency), in the United States, the federal aim is for electric vehicles (EVs) to make up 50% of new passenger cars & light trucks sold by 2030. Thereby, increasing the demand for silicon metal. 3. Insights from Analyst Recommendations: Global Silicon Metal Market Analysis and Projections. Analyst Recommendations Reducing the cost of production of Silicon metal. Opportunities in growing Electric Vehicle Market. Growing Partnerships. Strategies followed by leading players. In a notable stride towards embracing a more environmentally conscious and economically efficient trajectory, industries are actively shifting towards greener alternatives. A significant leap in this direction comes from the collaboration between Trinso and Ferroglobe, who have collectively pioneered the development of an advanced silicon anode material that holds the potential to revolutionize battery charging technologies. This innovation isn't merely limited to groundbreaking materials; it signifies a pivotal step in reshaping the energy landscape. A prime example of this evolution can be seen through the endeavors of renowned silicon metal producers like FerroGlobe and REC Silicon. Recognizing the imperative of sustainability and innovation, these industry giants have embarked on strategic partnerships aimed at not only broadening their product offerings but also amplifying their bottom-line profitability. This strategic alignment underscores their commitment to aligning business goals with environmental responsibility, serving as a beacon of inspiration for others in the sector. Visit this Link:- Request for custom report Central to these advancements is a dedicated focus on perpetual product development, fortified by substantial investments in research and development. This approach isn't merely reactive; it's proactively designed to shape the industry's future. The committed injection of resources into research and development forms the bedrock of this transformative journey, enabling these companies to remain at the forefront of innovation. Furthermore, their adaptable capabilities play a pivotal role. The capacity to swiftly respond to market dynamics and pivot strategies in alignment with emerging trends ensures that their efforts remain not only relevant but also impactful in a swiftly evolving landscape. As industries embrace the dual mantle of environmental stewardship and business prosperity, it's clear that the paradigm shift isn't just about singular innovations; it's about orchestrating a holistic transition towards a more sustainable and competitive future. The emphasis on collaborative ingenuity, sustained research efforts, and the ability to flexibly navigate change serves as a testament to the metamorphic potential within industries, signaling a promising path ahead. The global silicon metal market is experiencing significant changes driven by government regulations and initiatives worldwide. The USA and China's massive financial backing for the semiconductor industry is expected to boost production and research capabilities. Meanwhile, Asia-Pacific countries' support for semiconductor companies contributes to industry expansion. Additionally, exploring key trends and recent developments reveals exciting advancements and opportunities in the silicon metal market. Analyst recommendations emphasize the importance of sustainability, innovation, and strategic partnerships to thrive in this dynamic industry. Request free 30 minutes analyst call Market Taxonomy Global Silicon Metal Market Segmentation By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others By Geography Asia-Pacific Europe North America Middle East & Africa & South America Asia Pacific Silicon Metal Market Segmentation By Region China India Japan South Korea Rest of Asia Pacific By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others Europe Silicon Metal Market Segmentation By Region Germany UK France Italy Rest of Europe By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others North America Silicon Metal Market Segmentation By Region US Canada Mexico By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others Middle East & Africa Silicon Metal Market Segmentation By Region Saudi Arabia South Africa Rest of Middle East & Africa By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others South America Silicon Metal Market Segmentation By Region Brazil Argentina Rest of South America By Product Type Metallurgy Grade Chemical Grade By Application Aluminum Alloys Silicones Solar Panels Semiconductors Others For more insights on market intelligence, refer to the link below: Global Silicon Metal Market Related Reports By Ken Research:- Global Oleochemicals Market Size, Segments, Outlook, and Revenue Forecast 2022-2028 by Product Type (Fatty Acid, Fatty Alcohol, Glycerol), Application (Healthcare & Pharmaceuticals, Household Care, Personal Care & Cosmetics, Food & Beverages, Polymer & Plastic Additives, Industrial), and Region (North America, Europe, Asia Pacific, Latin America, Middle East and Africa (LAMEA)) According to Ken Research Analysis, the Global Oleochemicals Market is expected to record a positive CAGR of ~9% during the forecast period (2022-2028) and is expected to reach approximately US$ 50 billion by 2028. The opportunity to replace conventional petroleum-based products in various sectors along with the easy availability of raw materials required for the production of oleochemicals is positively impacting the market. Petroleum is a fossil fuel that when used poses a risk to the environment. Governments are enforcing stricter regulations for the sparing use of petroleum which can be replaced by oleochemicals. North America Biocomposites Market Outlook and Forecast to 2027 - Driven by Rise in Demand for Eco Friendly and Durable Manufacturing Materials Over the next five years, the Biocomposites market in North America is forecasted to grow at least 12% CAGR, owing to the stable long-term usage among end-user industries and government efforts for use of more eco-friendly products, especially in developed countries such as the USA. Consumer products such as music instruments, casings and cases, furniture, tables, toys, combs, and trays are made from wood and natural fiber plastic granulates. Customer preferences for these products due to their distinct appearance and feel, great quality, and value will help the Biocomposites market to grow. India Adhesives Market Outlook to FY'2026 Surging Demand for Water Based Adhesives in the Growing Wood Working Industry to Influence Market Growth India adhesives market showcased a stagnant growth rate in the last few years and recorded a CAGR of 7.5% during FY'15-FY'20. Surging demand for water based adhesives in India is contributing to the growth of the adhesives industry in India. Government initiatives such as Make in India and Smart Cities projects are contributing to adhesive market growth. There is significant demand from the Western Region of India. Fluctuating raw material prices (VAM), which move in tandem with crude oil prices, high setup costs and high R&D expenditure required in the adhesives market is posing as a challenge to the adhesives industry in India. Follow Us LinkedIn | Instagram | Facebook | Twitter | YouTube Contact Us:- Ken Research Private Limited Ankur Gupta, Director Strategy and Growth [email protected] +91-9015378249 Logo: https://mma.prnewswire.com/media/1954972/3782349/Ken_Research_Logo.jpg SOURCE Ken Research ORRVILLE, Ohio, Aug. 16, 2023 /PRNewswire/ -- The J.M. Smucker Co. (NYSE: SJM) announced today the election of Tarang Amin and Mercedes Abramo to its Board of Directors. The Company also announced the retirements of Richard Smucker, Paul Dolan and Sandra Pianalto from its Board of Directors. With his retirement, Richard Smucker will assume the role of Chairman Emeritus on the Company's board. The J.M. Smucker Co. Elects Tarang Amin and Mercedes Abramo to its Board of Directors Amin currently serves as the Chairman and Chief Executive Officer of e.l.f. Beauty, Inc., a leader in the beauty industry with a growing portfolio of popular brands. Prior to joining e.l.f. Beauty, Inc., he was President, Chief Executive Officer and Director of Schiff Nutrition International and held various leadership roles at The Clorox Company and The Procter & Gamble Company. Abramo is currently the global Deputy Chief Commercial Officer of international luxury goods retailer, Cartier, following her time as Chief Executive Officer of the company's North American business. Before joining Cartier, Abramo served in a series of leadership roles with Tiffany & Co., Loews Hotels and The Ritz-Carlton Hotel Company. "We are excited to welcome Tarang and Mercedes to our Board of Directors, further diversifying the expertise we have supporting the continued growth of our business," said Mark Smucker, Chair of the Board, President and Chief Executive Officer, The J.M. Smucker Co. "Tarang's entrepreneurial spirit and leadership acumen have allowed him to establish high performing teams and achieve success across several consumer-packaged goods categories. Mercedes has played a critical role supporting the continued leadership of an iconic international luxury brand through her deep experience in retail and operations along with her consumer centric approach. In addition to their extensive leadership experience, Tarang and Mercedes share in our values and appreciate their roles in supporting the continuity of our unique culture." "On behalf of the board, I also want to extend our appreciation to Richard, Paul and Sandra who have provided exceptional leadership in their roles on the board during a time of growth for our Company." About The J.M. Smucker Co. At The J.M. Smucker Co., it is our privilege to make food people and pets love by offering a diverse portfolio of brands available across North America. We are proud to lead in the coffee, consumer foods, dog snacks and cat food categories by offering brands consumers trust for themselves and their families each day including Folgers, Dunkin', Cafe Bustelo, Jif, Smucker's Uncrustables, Smucker's, Milk-Bone and Meow Mix. Through our unwavering commitment to producing quality products, operating responsibly and ethically and delivering on our Purpose, we will continue to grow our business while making a positive impact on society. For more information, please visit jmsmucker.com. The J.M. Smucker Co. is the owner of all trademarks referenced herein, except for Dunkin', which is a trademark of DD IP Holder LLC. The Dunkin' brand is licensed to The J.M. Smucker Co. for packaged coffee products sold in retail channels such as grocery stores, mass merchandisers, club stores, e-commerce and drug stores. This information does not pertain to products for sale in Dunkin' restaurants. SOURCE The J.M. Smucker Co. LOS ANGELES, Aug. 16, 2023 /PRNewswire/ -- Nowadays, if you are tired of NSFW filters when communicating with AI characters and are seeking a NSFW AI chat platform that facilitates tailor-made AI Girlfriend/Waifu chat experiences, then our platform, designed for immersive and personalized NSFW AI chat experiences, could be your perfect solution. Homepage of Crushon.AI, NSFW AI Chat/AI Girlfriend Introducing CrushOn.AI, a cutting-edge NSFW AI chat platform engineered to deliver immersive and realistic AI Girlfriend/Waifu dialogues to bring more experiences to users. In the following discourse, we will illuminate the unique qualities that distinguish CrushOn.AI as the leader in this sphere, and how it is forging an uncharted era of Unrestrained NSFW AI chat. No Filter NSFW AI Chat: CrushOn.AI's Standout Features CrushOn.AI stands at the cutting-edge in the field, permitting users to engage in unfiltered dialogues with an extensive collection of NSFW AI chatbots. Boasting a myriad of chatbot categories, from AI Girlfriend/Waifu to game characters and celebrities, CrushOn.AI is the go-to choice for those seeking a more liberal and immersive chat experience. Here's what sets CrushOn.AI apart: Unrestricted Conversations : CrushOn.AI champions No Filter NSFW dialogues, creating a platform where users can freely navigate across a wide array of topics without limitations. : CrushOn.AI champions No Filter NSFW dialogues, creating a platform where users can freely navigate across a wide array of topics without limitations. Array of Models : With choices ranging from Classical Crushon AI LLM (Beta), Llama2- 13B -Uncensored (Alpha), to Chronos-Hermes-13B (Alpha), users can expect responses oozing with creativity, expressiveness, and meticulous detail. : With choices ranging from Classical Crushon AI LLM (Beta), Llama2- -Uncensored (Alpha), to Chronos-Hermes-13B (Alpha), users can expect responses oozing with creativity, expressiveness, and meticulous detail. Character Diversity and Customization : CrushOn.AI flaunts a vast selection of chatbots, enabling users to mold and personalize their AI characters for a unique chat experience every time. Whether you're interested in an AI Girlfriend/Waifu or an anime persona, CrushOn.AI caters to all your preferences. : CrushOn.AI flaunts a vast selection of chatbots, enabling users to mold and personalize their AI characters for a unique chat experience every time. Whether you're interested in an AI Girlfriend/Waifu or an anime persona, CrushOn.AI caters to all your preferences. Continuous Updates and Communication: CrushOn.AI places a high emphasis on regular updates for a fresh, engaging chat experience and promotes efficient user communication via Discord. Embarking on Your No Filter NSFW AI Chat Journey with CrushOn.AI Kickstart your adventure with CrushOn.AI by following these steps: Navigate to CrushOn.AI's main page: https://crushon.ai/ Find the "Sign In" option at the top right corner and click on it. Log in using your Google, Discord, or email credentials. Once signed in, return to the home page and select an AI character to chat with. Craft your message and hit the send button. Dive into the chat! Wrapping Up CrushOn.AI is revolutionizing the AI chatbot landscape by providing a service that completely bypasses NSFW filters, allowing for limitless conversations. With its wide array of characters, CrushOn.AI empowers you to create unique AI interactions in an intuitive environment, firmly establishing CrushOn.AI as the top destination for No Filter NSFW AI Girlfriend/Waifu Chats. Embrace the boundless creative potential and freedom offered by CrushOn.AI. Venture into a world of unhindered conversations and let your imagination soar with CrushOn.AI's NSFW AI platform. Frequently Asked Questions Q: Which chat AI has no restrictions? A: CrushOn.AI is a standout AI chat platform that comes with no restrictions. It allows users to engage in unfiltered NSFW AI chats and supports a wide array of topics. Q: What is the best AI character site for NSFW? A: When it comes to NSFW AI character sites, CrushOn.AI is the best. It provides a diverse range of chatbot categories and ensures an unrestricted NSFW AI chat experience. Q: Which AI bot has no censorship? A: CrushOn.AI is known for its AI bots that come with no censorship. This platform champions No Filter NSFW dialogues, creating an environment for unfiltered conversations. Q: Which AI chatbot is without censorship? A: If you're looking for an AI chatbot without censorship, look no further than CrushOn.AI. This platform stands at the cutting-edge, permitting users to engage in unfiltered dialogues. Q: Is there a bot you can sext? A: Yes, CrushOn.AI provides a safe and unrestricted platform for sexting with AI bots. Their AI bots are designed to offer a real-life-like AI Girlfriend/Waifu chat experience. Q: Are there apps for sexting with AI? A: Absolutely, CrushOn.AI is a standout app for sexting with AI. It allows for limitless conversations, ensuring an immersive and unrestricted NSFW AI chat experience. Q: Who is the best AI girlfriend? A: If you're seeking the best AI girlfriend experience, CrushOn.AI is your go-to platform. It offers a vast selection of AI characters, allowing you to personalize your own AI girlfriend for a unique and immersive chat experience. Q: Is there an AI that can flirt? A: Absolutely, and CrushOn.AI is at the forefront of this innovation. It offers a range of AI bots that can engage in flirtatious and unrestricted conversations, providing a more open and immersive chat experience. Q: How to find a virtual girlfriend? A: Finding a virtual girlfriend is easy with CrushOn.AI. Simply sign in on their main page, select the AI character you wish to chat with, and start your immersive AI girlfriend experience. SOURCE CrushOn.AI More top-level employees at global smartphone brand realme have quit in India to join Madhav Sheths new venture HonorTech, with the latest addition being Nisar Naikoo, Director Sales and Head of Offline Business. Naikoo worked with realme for nearly four years and was previously director of sales operations and planning India, Europe, Africa & LATAM at the company. According to sources, his team has also left realme, like other senior-level exits at the company last month.Dipesh Punamiya, who was offline sales director at realme, joined HonorTech as assistant vice president (AVP), and Sanjeev Kumar, online sales director at realme, joined Sheth in a senior position last month. Punamiya and Kumar worked at realme for close to five years. With Naikoo, Punamiya and Kumar, the entire core team has apparently left realme and joined Sheth. More than 20 realme employees from various departments have moved on and joined HonorTech under Sheth, who is set to launch a new Honor device later this month.Honor 90 is all set to be launched in India in mid-September for around Rs 40,000-45,000 in the premium segment, according to industry sources. The 6.7-inch smartphone will be available both offline and online. It will house an industry-leading 200MP triple rear camera with AI mode. There will be a 50MP selfie camera in Honor 40 device. The battery is expected to be around 4900mAh. The device will also offer 4K video recording with 10X digital zoom.Sheth served in multiple roles at realme, which included CEO of Realme India, VP, of realme, and President realme International Business Group, before moving on to HonorTech. Exciting news alert! Honor Smartphones will be launched soon in India. Join us on this incredible journey as we empower the future with Honor Tech, he posted on X. Amazing features you haven't seen before. Get ready as HonorTech prepares to reveal game-changing news, he had posted earlier. DALLAS, Aug. 16, 2023 /PRNewswire/ -- The Uptown Agency, a leading marketing, brand strategy, and design agency, has been selected as the agency of record by A&A Optical, the eyewear partner of Aeropostale, for their latest collection - The Aeropostale Kids Collection. The Uptown Agency will also continue to handle strategic marketing efforts for A&A Optical's other prestigious eyewear brands. Fashion retailer, Aeropostale launches its new Aeropostale Kids Eyewear collection, with A&A Optical, a manufacturer and distributor of eyewear frames and the brands eyewear partner, as well as The Uptown Agency (PRNewsfoto/Aeropostale) The Aeropostale Kids Collection, an expansion of Aeropostale's existing frame collection produced by A&A Optical, is now available for purchase by eyecare professionals to sell to their patients. The Uptown Agency's proven excellence in the industry ensures a comprehensive marketing approach, incorporating brand strategy, design, and digital marketing to enhance the visibility and desirability of The Aeropostale Kids Collection. "Aeropostale's first collection with A&A Optical released July 2022 and has been a huge success," said Robert Liener, Owner and CEO of A&A Optical. "Working with the Uptown Agency on this launch again was a no brainer." A&A Optical has exceeded the goals and projections of sales by a significant margin leading to the Aeropostale Kids Eyewear collection in June 2023. The expectations are that this collection will continue to gain stronger traction, as well as help A&A Optical expand its international presence through an emphasis on branding and strategic marketing efforts alongside agency partner, The Uptown Agency. The Aeropostale Kids Collection maintains the exceptional quality and style synonymous with the Aeropostale brand. Each frame is meticulously designed and manufactured by A&A Optical, appealing to fashion-conscious children and their parents. Joseph Alexander, CEO of The Uptown Agency, reiterated the agency's commitment to position The Aeropostale Kids Collection as a leading choice for trendy eyewear that empowers children to express their unique style and confidence. "This is one of those collections that make a mark for a client," said Alexander. "Aeropostale has a grip on the Gen Z market which makes A&A the perfect partner for a launch like this and we couldn't be happier to be a part of it once again." The collection offers a wide array of stylish frames that combine fashion-forward designs with exceptional functionality. Stay tuned for the latest eyewear trends as new styles become available for purchase. For media inquiries, please contact The Uptown Agency [email protected]. About The Uptown Agency The Uptown Agency is a leading full-service marketing, brand strategy, and design agency known for its exceptional creativity, strategic insights, and cutting-edge designs. With an impressive portfolio of successful campaigns, The Uptown Agency empowers brands to connect authentically with their audiences and achieve remarkable business outcomes. Follow @theuptownagencydallas on Instagram, Facebook, and Twitter. About Aeropostale Aeropostale is a specialty retailer of casual apparel and accessories for guys and girls ages 18-22. Through the brand's Oneness ethos, Aeropostale embraces acceptance, empathy and respect to promote a sense of unity among its loyal customers and in communities around the world. Aeropostale offers a selection of high-quality denim and fashion basics at compelling values in an innovative and exciting store environment. With over 1,000 locations worldwide, Aeropostale currently operates stores in key territories around the world, including the United States, Mexico, Latin America, South America and the Middle East. Follow @aeropostale on Instagram, Facebook and Twitter. About A&A Optical A&A Optical is the ultimate manufacturer of premium, quality eyewear for optometrists, ophthalmologists, and eye care retailers throughout the United States, Mexico, Canada, Latin America, South America, and the Caribbean. Since its founding in 1971, A&A Optical has grown to market ten ten established eyewear collections, including five licensed brands. A&A Optical focuses on lifestyle, fit, quality and attention to detail in each eyewear piece created. From unique, one of a kind looks, European inspired designs to classic value frame styles, A&A Optical is dedicated to delivering the most innovative products and exceptional customer service to meet the growing demands of the optical dispensary and retailer. Follow @aaopticalco on Instagram, Facebook and LinkedIn. SOURCE The Uptown Agency MONTREAL, Aug. 16, 2023 /PRNewswire/ - Thrust Capital Partners (TCP), a Canadian private equity firm dedicated to the aerospace industry, is pleased to announce that it has entered a partnership with Dishon Limited, a leading Ontario-based manufacturer of complex machined components and assemblies, primarily serving the aviation, space and satellite sectors. This transaction marks the first platform acquisition of Thrust Capital Partners Fund I L.P. Founded in 1980, Dishon's unique approach to product manufacturing has made it a trusted manufacturer of mission critical components that meet the growing demand for machining and grinding hard metals such as inconel and titanium. The Dishy family who founded Dishon will retain substantial ownership in the company and remain in their current roles. "We are grateful for the support of TCP in this transformative transaction for our company," said Ilan Dishy. "Their sectorial expertise aligns perfectly with our own. This strategic investment will allow us to further expand Dishon into new geographies to better serve our customers, while upholding our commitment to our employees and suppliers. TCP serves as an ideal partner to support us in this exciting next phase of our development." "Dishon is a Canadian leader in its sector, and we are thrilled to participate in the next phase of its remarkable growth trajectory" said Benoit Brossoit, Partner at TCP. " At TCP, we firmly believe in empowering experienced and ambitious owner-entrepreneurs in Canada, and the Dishy family exemplifies the ideal partnership for this venture. Beyond providing capital, our extensive aerospace experience will also contribute to the success of this alliance. Moreover, companies in the Canadian aerospace cluster are closely linked. Investing in Dishon creates synergies that will benefit the whole sector." Norton Rose Fulbright Canada S.E.N.C.R.L., s.r.l. / LLP was advising TCP on this transaction, while Origin Merchant Partners and Torkin Manes LLP acted as advisors to Dishon. About Thrust Capital Partners Thrust Capital Partners is the first Canadian private equity fund dedicated exclusively to the aerospace industry supply chain. The fund is expertly managed by a seasoned team with unparalleled aerospace knowledge, boasting firm commitments totaling $77 million for its initial closing. It represents an ideal launching pad for driving the growth of SMEs in Quebec and Canada and accelerating their development into global leaders. About Dishon Limited Dishon Limited is a precision machine shop with a speciality focus on hard metals and tight tolerances, primarily serving the Aerospace & Defense end markets. It supports several Tier 1 and OEM customers in Canada, the US, the UK and other countries. SOURCE Thrust Capital Partners Management Inc. https://www.boliviaspeedtrials.com/ SAN MATEO, Calif., Aug. 16, 2023 /PRNewswire/ -- From the August 16th to the 21st, Top 1 will host the Bolivia Speed Trials Event in Salar de Uyuni, located just south of the Andes. Top 1 Ack Attack Streamliner aiming to be the first motorcycle to break 400 MPH. Tweet this Top 1 Ack Attack Aiming to break the 400 MPH barrier at Salar de Uyuni, Bolivia August 16 - 21, 2023. The Top 1 Team Ack Attack will return to Bolivia, hoping to surpass the 400 mph (640 km/h) barrier on a motorcycle. Sanctioned by both the FIM and FIA, the expedition will be led by Mike Akatiff, creator of the Ack Attack streamliner. Currently holding the land speed record at 376/mph (605/kph), Rocky Robinson will pilot the streamliner with the assistance of a professional crew to guarantee peak performance during the attempt. Over the past 20 years, Top 1 Oil has been an avid supporter of land-speed racing along with many other motorsport competitions. This upcoming event serves as an inspiration for other racers to push the boundaries of what's possible. The following vehicles are in Bolivia, currently on their way to the salt flats! FIM Motorcycles Racer Country Vehicle Goal Al Lamb USA Sit-On Bike, Honda Exceed 300 MPH Mike Garcia USA Sit-On Bike, Suzuki Exceed 300 MPH Scott Horner USA Sit-On Bike, Suzuki Exceed 300 MPH Richard Assen Australia Suzuki Exceed 300 MPH Rocky Robinson USA Streamliner Suzuki Exceed 400 MPH Jamie Williams USA Sit-On MTT Turbine Bike, Snowmobile Suzuki Attempting to Break Existing Records FIA Cars Racer Vehicle Goal Jamie Williams Streamliner Exceed 300 MPH Jim Knapp Streamliner Exceed 500 MPH SOURCE Top 1 Oil Products SAN FRANCISCO, Aug. 16, 2023 /PRNewswire/ -- Unicoin, an assets-backed cryptocurrency that addresses the extreme volatility of the crypto market, announced today that it signed an agreement with Electroquimica Del Neuquen S.A., ("Electroquimica") an Argentine corporation, to acquire from Electroquimica the rights to explore and exploit mineral rights located in Argentina, primarily copper. Unicoin creates value for its investors by growing a diversified portfolio of assets, including equity stakes in high-growth companies and real estate properties. Unicoin Inc. Acquires Copper Mine The property is known as the Barda Gonzalez Project, in the Neuquen Province of Argentina. The purchase price shall be paid in unicoins. The acquisition is structured pursuant to Unicoin's program of acquiring real estate assets at 140% of their appraised value for unicoins, at an agreed value of $0.50 per unicoin. Unicoin Inc. agreed to pay Electroquimica 420,000,000 unicoins for the acquisition, a $210M value at the 50/u price investors currently pay for unicoins. The transaction is subject to the completion of due diligence and successful transfer of the mining concessions from Electroquimica. Upon closing of the transaction, Electroquimica will become the largest single holder of unicoins. "We are pleased to announce a major step forward in achieving our goal of building a $1 Billion real estate portfolio to support the value of Unicoin," said Alex Konanykhin, CEO of Unicoin Inc. Konanykhin also stated that "these valuable property rights significantly increase our total assets, while supporting our ability to raise capital and launch unicoins, providing benefits to all of our investors." "We are pleased to have selected Unicoin as our cryptocurrency of choice to partner with as we strongly believe in their business model of an asset-backed coin versus the majority of the coins on the worldwide market with ZERO assets. We look forward with great excitement towards the future to collaborate with Unicoin on other projects around the globe, and to make Unicoin the top cryptocurrency for serious investors worldwide," said Ernesto Bernadet, owner of Electroquimica. According to consulting firm McKinsey & Co., electrification is expected to increase annual copper demand to 36.6 million metric tons by 2031, with supply forecast to be around 30.1 million tons, creating a 6.5 million-ton shortfall at the start of the next decade. The US Department of Energy added copper to the critical minerals list earlier this month. Unicoin growth strategy includes innovative fundraising strategies like its Buy Now, Pay Later program and its 140% program, which offer real estate owners the opportunity to use their properties to acquire cryptocurrency. About Unicoin and Electroquimica Del Neuquen Unicoin is the official cryptocurrency of Unicorn Hunters , the most iconic business series of recent times , according to Forbes magazine. Backed by a diversified portfolio of assets that includes equity in high-growth companies, Unicoin has garnered the support of business luminaries, industry leaders, and policymakers such as Apple co-founder Steve Wozniak. Unicoin was designed to address the volatility of early coins with little to no inherent value, which ultimately led to the market meltdown and subsequent crypto winter. Unicoin's White Paper is accessible at kmgi.us/white . Electroquimica Del Neuquen is an Argentinian exploration company dedicated to the Barda Gonzales project development. To that end, the company has invested in developing the properties and the minerals processing techniques, which has made this project very profitable. For aerial views of the site, click on https://youtu.be/qfkxodr6ng , and for a Google Earth view, see: https://youtu.be/UPgpvLkrQ40 Media Contact: Pilar Planells [email protected] +5491141711804 SOURCE Unicoin CALGARY, AB, Aug. 16, 2023 /PRNewswire/ - Top Strike Resources Corp. d.b.a. "Vencanna Ventures" (the "Company" or "Vencanna") (CSE: VENI) (OTCQB: TPPRF) provides the following corporate and operational updates: Cannavative Transaction Effective today, the Company and The Cannavative Group LLC ("Cannavative") have amended and restated the definitive unit exchange agreement originally entered into on April 25, 2022 (as amended, the "A&R Definitive Agreement"). Pursuant to the A&R Definitive Agreement, Vencanna will acquire all the membership units of Cannavative through the issuance of 29.4 million common shares of the Company ("Shares") and the issuance of 14.7 million Share purchase warrants, each warrant being exercisable for one Share at an exercise price of US$0.10 for a period of 18 months following the date of issuance ("B Warrants"). In addition, subject to the achievement of certain 2024 financial milestones and the receipt of certain cash receivables, holders of membership units of Cannavative will receive up to 75.0 earn-out units ("Earn-out Units") in respect of each membership unit, each Earn-out Unit consisting of one (1) Share plus a half () B Warrant (collectively, the "Transaction"). Further, pursuant to the Transaction, Cannavative's debt in the amount of US6.8 million will convert into 90.4 million Shares and 18.1 million Share purchase warrants, each exercisable for one Share at an exercise price of US$0.0.75 for a period of 9 months ("A Warrants"), and 18.1 million B Warrants. As a condition to the completion of the Transaction, Vencanna's unsecured convertible debenture issued July 3, 2022 in the principal amount of US$1,300,000 (the "Debenture"), plus its accrued interest, will convert into 38.1 million Shares. Upon completion of the Transaction and the conversion of the Debenture, the Company will have approximately 345.0 million Shares, 107.3 common share purchase warrants, 66.7 Earn-out Units, and 17.2 million options outstanding. In addition, the Company will be debt free with approximately $5.0 million in cash and net working capital. The Transaction value is US$12.5 million based on a deemed issue price of US$0.075 per Share (excluding the Earn-out Units). The Transaction is expected to constitute a "Change of Control" and a "Fundamental Change" pursuant to the policies of the Canadian Securities Exchange (the "CSE") and will be subject to the acceptance of the CSE and the approval of shareholders of Vencanna. Vencanna intends to seek shareholder approval of the Transaction by way of written resolution from shareholders holding not less than 50% plus one Share. It is anticipated that the Transaction will be completed on or about September 30, 2023. Management and the board of directors will be comprised of professionals from both parties, creating a dynamic, integrated team, with the full capability to execute the go-forward business plan, which includes deeper market penetration in the state of Nevada, expansion into New Jersey, and potential acquisition opportunities. The Transaction will be truly transformative to Vencanna, converting the company from an investment company into a U.S. based cannabis operating company and is a great benefit to all stakeholders involved. However, it is with great sadness to announce the recent passing of Mr. Ross Kline, founder, chairman, and CEO of the Cannavative Group. This Transaction could not have occurred without Ross' stewardship. Ross leaves a loving wife, Lisa, and their three adoring children, Makenzie, Casey, and Derek. He was a pioneer and visionary, entering this new and exciting, but uncertain, industry with the same entrepreneur spirit and drive that brought him success at his prior founding enterprise; Logistics & Distribution Services. Ross was surrounded by so many likeminded people, where integrity is at your core, where family, friends, and the community matter most. These qualities were just as prevalent in his business life and we feel blessed to have had the opportunity of being a small part of that. Ross will be profoundly missed. Our deepest sympathies and prayers go out to his family. It is anticipated that at closing of the Transaction, the board and senior management of the combined company will hold 28% of the outstanding Shares (31% on a fully diluted basis). Transaction Attributes Attractive Price : Transaction value is estimated to equal Cannavative's 2023 revenues (first half revenues were US$6.1 million). Fair Consideration : Vencanna's deemed share price of US$0.075 gives credit to cash on hand and its New Jersey opportunities. Alignment : The all-share exchange, including Cannavative's debt and the Debentures converting to equity, closely aligns all the stakeholders, and is a testament to the collective support for the Company's pro forma business plan. Balance Sheet : The Company will be debt free with cash and net working capital of approximately $5.0 million. Operational Balance : Immediately generating revenues and cash flows, while developing significant value add projects in New Jersey. Added Depth : Ability to bring the managerial and product success from Nevada to New Jersey and other potential new markets. David McGorman, Vencanna's CEO, commented "It's been our goal to convert from an investment company to a well-established cannabis operating company, and Cannavative is the transformative transaction that Vencanna has been looking for. Being one of the first companies in Nevada to be awarded both a cultivation and manufacturing license, they've developed deep roots in one of the most dynamic recreational states in the U.S. Their product development and talent pool will be easily exportable into new markets as cannabis reform in the U.S. continues to expand. We look forward to onboarding the Cannavative team." Jason Crum, Cannavative's President and Chief Revenue Officer, commented "Cannavative is thrilled to join forces with Vencanna, a partner that brings capital to fuel our continued growth and industry expertise to expand our footprint beyond Nevada. Vencanna's relationships, such as their recently announced opportunity in New Jersey, the newest state to initiate recreational sales, is a perfect example of how together we can exploit new frontiers. Combined, we'll be able to push the boundaries of innovation in cannabis, with a continued focus on delivering the highest quality products to consumers." Post-Transaction Management and Directors Jon Sharun, Executive Chairman & Interim CFO Mr. Sharun is the current Executive Chairman and interim CFO of Vencanna. He has over 20 diverse years of international investment, real estate, branding and business development experience. He is the founder and Managing Partner of Venexo Capital, a boutique private equity firm that has raised in excess of $100 million with investments in healthcare, hospitality and real estate. Venexo has been an early investor into the cannabis sector with over 50 international placements. Jon sits on many private, public and NFP boards, and is both a Top Forty Under 40 and a Top 100 in Finance award winner. Mr. Sharun holds an MBA, CPA, CSA, LEED AP, and ICD.D David McGorman, CEO & Director Mr. McGorman is a co-founder, and current CEO and director of Vencanna. He has over 25 years of experience in the financial industry providing M&A and corporate structuring advice to his clients, as well as leading their equity issues and debt placements. Prior to Vencanna, Mr. McGorman held senior positions at The Chase Manhattan Bank, and numerous Canadian investment banking firms including CEO of Jennings Capital Inc., and Vice-Chairman of Research Capital Corp. Mr. McGorman holds a Hon's B.Sc. in Theoretical Physics from the University of Guelph, and an M.B.A. from the DeGroote School of Business. Jason Crum, Chief Revenue Officer & Director Mr. Crum is the current President and Chief Revenue Officer of Cannavative. He has over 20 years of diversified CPG/FMCG background in alcohol sales management, including beer and wine with MillerCoors and Treasury Wine Estates, where he was directly responsible for supply, distribution, and brand building. His skill set includes developing high performing teams, maximizing strategic analytics, and streamlining efficiencies. Since joining Cannavative in 2019, Jason has been instrumental in increasing Cannavative's Nevada dispensary penetration and sales growth. Mr. Crum is a graduate of UT Austin. W. Scott McGregor, Independent Director Mr. McGregor is currently a director of Vencanna and the Managing Director at Invest Alberta Corp., a Crown corporation dedicated to attracting investment and supporting trade to the province of Alberta. He's a seasoned corporate finance professional with over 20 years of investment banking and corporate development experience. Mr. McGregor holds a B.A. from Queens University and a M.B.A. from the Rotman School of Management. Dr. Scott Wrye MD, Independent Director Dr. Wrye is a private practicing physician and co-founder and director of Cannavative. Scott was an early supporter of medical cannabis, educating and working directly with many patients in northern Nevada for their legal access of medical cannabis. In addition to his community support, Scott has been instrumental in helping Cannavative develop their products and brands. Dr. Wrye is a Cosmetic, Plastic & Reconstructive Surgery Specialist based in Reno Nevada. He's a graduate of New York State University, College of Medicine. New Jersey & NJ Entities The Company has made significant progress to advance its community partnerships in New Jersey, including TGC New Jersey LLC. ("TGC"), CGT New Jersey LLC ("CGT"), and October Gold LLC ("October Gold", collectively with TGC and CGT, the "NJ Entities"). To date, the New Jersey Cannabis Regulatory Commission ("CRC") has awarded TGC a conditional cultivation and manufacturing license, and has awarded each of CGT and October Gold a conditional retail license. With a population of 9.3 million, New Jersey is the 11th most populous state. While New Jersey medically legalized cannabis in 2012, they have experienced a modest roll out. There are currently only 27 dispensaries selling to the adult market, making New Jersey one of the most underserved state open for adult consumption. New Jersey is primarily served by the 12 Alternative Treatment Centre licenses ("ATC"), each of which are restricted to only 3 dispensaries, further limiting the competitive penetration. Retail locations face high barriers to entry with less than 25% of the state's municipalities allowing adult use stores, and most of those municipalities limit the number of licenses allowed and put in place restrictive zoning parameters, only adding to the barriers to entry. The New Jersey retail market is quite attractive, and is not expected to experience the excessive competition in the near term. In addition, given the current market environment, accessing capital poses additional challenges for licensees to build out their operation, further limiting the competition. The Company's balance sheet strength will be a competitive advantage for the NJ Entities, allowing them to be early entrants into this promising market. TGC has secured a site and has received municipal support from the township of Cinnaminson, NJ. The site is a 15,500-sf facility, allowing for cultivation, manufacturing and retail sales of cannabis and related products. TGC has submitted its conversion application for cultivation and manufacturing, and concurrently has submitted its retail application (in February 2023, the state of New Jersey allowed cultivators and manufacturers to also be licenced retailers). TGC expects to receive its annual cultivation, manufacturing, and retail licenses in the second half of 2023, and become operational in 2024. This facility will be one of the very few single site vertical operations in New Jersey, which will allow it to showcase products directly to its customers. In addition to the township's restrictive zoning parameters, only three of the 7 adjacent municipalities allow retail cannabis sales. With the high barriers to entry, limited foreseeable competition within the area, and the unique "on-site" customer experience, the Cinnaminson facility is positioned for success. The Company continues to review attractive sties for CGT and October Gold, collaborating closely with local municipalities, and working with additional community partners, in order to expand its New Jersey network. In addition to supporting the New Jersey entities through funding and managerial oversight, Vencanna will also be able to bring its SOP's and top Nevada brands to this new market, further expanding our reach. About Cannavative Cannavative is a multiple award-winning cultivator and processor in Nevada, including winning Leaflink's Top Brand in Nevada in 2021, a gold and silver medal at the 2020 Las Vegas Cannabis Awards, the 2019 Jack Herer Cup for their vape pen, and Leafly's Best Flower Products brand in 2018. Cannavative has been operational since 2016 (they were the first in Nevada to hold both a cultivation and processing license), and are now selling in over 80% of the state's retail outlets. In addition to their high-quality flower, Cannavative produces a wide variety extracted products, including its award-winning infused pre-roll, the Motivator, and one of Nevada's top selling vapes, Resin8. Nevada is a major cannabis market in the U.S with sales over $880 million in 2022 according to the State of Neveda Department of Taxation. Nevada's strong sales are supported by their tourism, with over 38 million visitors in 2022, and a 10.5% jump YTD to May 2023, according to the LVCVA Research Centre. The Nevada tourist industry, and Las Vegas in particular, offers Cannavative a unique exposure for its national brand development. Cannavative operates out of a 40,000-sf facility, situated on 8.5 acres in Northern Nevada. The facility includes a 10,000 square foot state-of-the-art, pharmaceutical-grade extraction lab and kitchen. In addition, there is a 2,800 square foot high-tech light deprivation greenhouse on site, with significant ability to expand on the property. About Vencanna On September 24, 2018, the Company announced the completion of a recapitalization financing, the appointment of a new management team and board of directors and commencement of trading on the CSE. The transactions have transitioned the Company from an oil and gas issuer to a merchant capital firm, rebranded as "Vencanna Ventures". The recapitalized Corporation aims to be a go-to capital provider for early-stage global cannabis initiatives with an emphasis on strong management operating in state compliant jurisdictions with barriers to entry. The Company looks to provide investors with a diversified, high-growth, cannabis investment strategy through strategic investments focused through-out the value chain (cultivation, processing, and distribution, and including ancillary businesses). Reader Advisories Completion of the Transaction is subject to a number of conditions, including, but not limited to, the acceptance of the CSE and shareholder approval. The Transaction cannot close until the required CSE acceptance and shareholder approval is obtained. There can be no assurance that the Transaction will be completed as proposed or at all. Investors are cautioned that, except as disclosed in the listing statement to be prepared in connection with the Transaction, any information released or received with respect to the Transaction may not be accurate or complete and should not be relied upon. Trading in the securities of Vencanna should be considered highly speculative. The CSE has in no way passed upon the merits of the Transaction and has neither approved nor disapproved of the contents of this news release. Neither the CSE nor the Market Regulator (as that term is defined in the policies of the CSE) accepts responsibility for the adequacy or accuracy of this news release. Forward-Looking Statements This news release contains forward-looking statements and forward-looking information within the meaning of applicable securities laws. The use of any of the words "expect", "anticipate", "continue", "estimate", "objective", "ongoing", "may", "will", "project", "should", "believe", "plans", "intends" and similar expressions are intended to identify forward-looking information or statements. More particularly, and without limitation, this news release contains forward-looking statements and information concerning the Transaction, including the terms thereof; timing for completion of the Transaction; required approvals for the completion of the Transaction and the expected receipt thereof; the business plan of the Company, Cannavative and the NJ Entities, including the business plan of the go-forward entity after completion of the Transaction; the anticipated benefits of the Transaction; the market for adult-use cannabis in the United States; the state of the adult-use cannabis market and U.S. regulatory changes in respect thereof. The forward-looking statements are founded on the basis of expectations and assumptions made by the Company, including expectations and assumptions concerning: the Transaction, including CSE acceptance and shareholder approvals, the satisfaction of customary closing conditions in accordance with the terms of the Amended Agreement; the future operations of, and transactions contemplated by, of the Company, Cannavative and the NJ Entities; the impact of increasing competition; timing and amount of capital expenditures; the legislative and regulatory environments of the jurisdictions where of the Company, Cannavative and the NJ Entities will carry on business, have operations or plan to have operations; the ability of the Company to enter into contracts with companies to provide financing on acceptable terms; conditions in general economic and financial markets; the ability of the Company's investments to execute on their business plan; and the Company's ability to obtain additional financing on satisfactory terms or at all. Forward-looking statements are subject to a wide range of risks and uncertainties, and although the Company believes that the expectations represented by such forward-looking statements are reasonable, there can be no assurance that such expectations will be realized. Readers are cautioned that the foregoing list is not exhaustive of all factors and assumptions which have been used. Although Vencanna believes that the expectations and assumptions on which such forward-looking statements and information are based are reasonable, undue reliance should not be placed on the forward-looking statements and information because Vencanna can give no assurance that they will provide to be correct. By its nature, such forward-looking information is subject to inherent risks and uncertainties, which could cause the actual results and expectations to differ materially from the anticipated results or expectations expressed. Without limitation, these risks and uncertainties include: the parties being unable to obtain CSE acceptance or shareholder approval; risks inherent in manufacturing and product development; actions and initiatives of federal, state and local governments and changes to government policies and the execution and impact of these actions, initiatives and policies; uncertainty caused by potential changes to regulatory framework; regulatory approval and permits; environmental, health and safety laws; risks associated with the cannabis industry in general; the ability of the Company to implement its corporate strategy; the state of domestic and international capital markets; the ability to obtain financing; and other factors more fully described from time to time in the reports and filings made by the Company with securities regulatory authorities. Readers are cautioned that the assumptions used in the preparation of forward-looking information, although considered reasonable at the time of preparation, may prove to be imprecise. Actual results, performance or achievement could differ materially from those expressed in, or implied by, these forward-looking statements and accordingly there can be no assurance that such expectations will be realized. Vencanna undertakes no obligation to update publicly or revise any forward-looking information, whether as a result of new information, future events or otherwise, except as required by law. The forward-looking information contained herein is expressly qualified by this cautionary statement. Certain information contained herein has been obtained from published sources prepared by independent industry analysts and third-party sources (including industry publications, surveys and forecasts). While such information is believed to be reliable for the purposes used herein, Vencanna does not assume any responsibility for the accuracy of such information. SOURCE Top Strike Resources Corp. Cloud-native Payments as a Service provider listed as one of the top 100 privately held fintech companies NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Volante Technologies, the global leader in cloud payments modernization, today announced its inclusion in D.A. Davidson & Co's Fintech Herd, recognizing the top 100 privately held financial technology companies in the United States. While many of The FinTech Herd 2023 constituents returned from last year's list, this is the first appearance for the cloud-native Payments as a Service provider. The 2023 edition of D.A. Davidson's FinTech Herd includes private companies D.A. Davidson & Co's Technology Group sees as having interesting technology or a differentiated market approach to achieve their strategic and financial goals. The list comprises 100 companies from many fintech sub-sectors, including payments technology. The Payments Tech sector makes up 14 percent of the Fintech Herd and features Volante alongside well-known private fintechs such as Stripe. According to the report, companies in The FinTech Herd 2023 raised more than $34 billion in aggregate across all previously disclosed financing rounds, illustrating the health and potential of the fintech sector. Moreover, McKinsey data suggests that global payments revenues are expected to grow 9 percent annually, outpacing global GDP growth to reach $3.3tn by 2026, further highlighting the significance of Volante's presence in the Payments Tech sector and the opportunity that payments modernization represents for banks. Aalap Merchant, Managing Director and Co-head of Technology Banking at D.A. Davidson & Co, said, "We are delighted to welcome Volante Technologies to the FinTech Herd 100 for the first time in 2023. With hundreds of private companies in the vibrant U.S. fintech market, selecting the top firms was not easy. Volante stood out due to its disruptive and innovative technology, differentiated value proposition, and impressive growth within the payments tech sector." Vijay Oddiraju, CEO of Volante Technologies, said, "We remain very focused on growth in the payments market. With 75 percent of our team in R&D, we continue to invest in the depth and breadth of our solutions and in our ability to bring new compelling solutions to market quickly. As a result, our customers can unlock the value of innovation much faster than their peers." To stay current on Volante, follow the company on LinkedIn. About Volante Technologies Volante Technologies is the trusted cloud payments modernization partner to financial businesses worldwide, giving them the freedom to evolve and innovate at record speed. Volante's Payments as a Service and underlying low-code platform process millions of mission-critical transactions and trillions in value daily, so customers can focus on growing their business, not managing their technology. Real-time ready, API enabled, and ISO 20022 fluent, Volante's solutions power four of the top five global corporate banks, two of the world's largest card networks, and 66 percent of U.S. commercial deposits. Learn more at www.volantetech.com and linkedin.com/company/volante-technologies. SOURCE Volante Technologies, Inc. MIAMI, Aug. 16, 2023 /PRNewswire/ -- WillDom , a leading name in innovative technology solutions, is proud to announce a momentous milestone in its journey the launch of the #NewWillDom on August 16th. This groundbreaking launch signifies a profound shift in the company's direction, bolstered by a strategic brand revamp and the introduction of a transformative new vertical, Marketing Services . According to CEO Andres Perea, "The #NewWillDom represents our unwavering commitment to progress and transformation." Tweet this #NewWillDom According to CEO Andres Perea, "The #NewWillDom represents our unwavering commitment to progress and transformation. It's a testament to our belief in the power of change as a catalyst for innovation. This launch is not just about redefining our brand, but also about empowering businesses to embrace digital transformation and reach new heights of success." Under the visionary leadership of its CEO, WillDom is taking a giant leap forward, embracing digital transformation and propelling businesses across LATAM and the US towards unprecedented success. The #NewWillDom is set to redefine the industry landscape, offering an unparalleled range of comprehensive services designed to empower startups and established tech companies alike. Unveiling the #NewWillDom: A Grand Vision of Digital Transformation Central to this evolution is WillDom's unwavering belief in the power of transformation. The #NewWillDom is committed to fostering growth and progress by delivering innovative solutions that break down barriers and push the boundaries of what's possible. Clients can expect: 1. Dedicated Teams : Backed by a team of seasoned experts driven by passion and expertise, the #NewWillDom guarantees a commitment to unwavering quality and undivided focus, ensuring each project's success. 2. Staff Augmentation : Collaboration knows no borders with WillDom's staff augmentation services. Clients gain access to top-tier talent in their timezone, enriching projects with global insights while maintaining seamless communication and collaboration. 3. Growth Marketing : In an era driven by data, visibility and growth take center stage. The #NewWillDom's cutting-edge growth marketing strategies are poised to propel brands forward, leaving an indelible mark on target audiences. WillDom's #NewWillDom launch marks a significant chapter in its remarkable journey, reflecting the company's bold commitment to innovation and progress. With a dynamic community comprising 14 branches, WillDom has positioned itself as a cornerstone of customized end-to-end software solutions. This extensive network not only underscores their dedication to serving clients at a local and regional level but also fosters unparalleled support and collaboration, solidifying their reputation as a trusted technological ally. Having successfully served over 120 clients, including renowned brands such as McDonald's, Sephora, and GoDaddy, WillDom has earned the trust and admiration of industry giants. These partnerships are a testament to the company's exceptional capabilities and their consistent delivery of groundbreaking solutions. As the #NewWillDom sets forth on this transformative path, their legacy of excellence and client satisfaction paves the way for a future where businesses can confidently embrace digital transformation and achieve unprecedented success For media inquiries, please contact: Candelaria Bertarelli [email protected] About WillDom WillDom is a leader in enabling high-growth organizations to right-source, strategize, and execute on their digital transformation initiatives. Through its proprietary end-to-end WAVE platform, WillDom utilizes its vast network of more than 9,000 best-in-class software developers, engineers, and technologists to provide organizations with software development that brings big ideas to life. If you need a partner for your digital journey or are looking to hire a full team, visit WillDom.com or follow us on LinkedIn today to access the power of the WillDom Ecosystem. SOURCE WillDom Group will enhance collaboration among public and private partners and speed innovation MADISON, Wis., Aug. 16, 2023 /PRNewswire/ -- A consortium of 15 public and private partners has been formed to advance an economic development strategy aimed at bolstering Wisconsin's leadership in personalized medicine and biohealth technology. The consortium aims to gather stakeholders around a strategy to leverage Wisconsin's momentum in this growing technology space, to develop and facilitate shared initiatives, and to pursue designation by the federal Economic Development Administration (EDA) as a Regional Tech Hub (RTH). The designation by EDA of Wisconsin as a Regional Tech Hub could enable the state to access $50 million to $75 million in federal funds under the CHIPS and Science Act of 2022. Consortium members include the Wisconsin Economic Development Corporation (WEDC), the University of Wisconsin System Administration, the University of Wisconsin-Madison, GE HealthCare, Rockwell Automation, Exact Sciences Corporation, BioForward Wisconsin, Employ Milwaukee, Accuray, Plexus, WRTP Big Step, Milwaukee Area Technical College, Madison Area Technical College, the Madison Regional Economic Partnership (MadREP), and Milwaukee7. The creation of the consortium and the application to EDA for RTH designation is regarded as a milestone in the continued evolution of Wisconsin's biohealth sector, representing increased opportunities for collaboration and innovation among key stakeholders including educational institutions, biohealth companies, precision manufacturers, and investors in the Madison and Milwaukee Metropolitan Statistical Areas (MSAs). These efforts are anticipated to enhance the health and economic well-being of Wisconsinites and the global community. Additionally, by keeping sensitive biohealth and genomic data in Wisconsin and within U.S. borders, the creation of a RTH here is expected to increase the strength of the nation's global competitiveness as well as its national security. "Establishing a Regional Tech Hub in Wisconsin will only further advance our state's standing as a leader in the biotech and personalized medicine industries, while supporting jobs, economic growth, and research that will better our state, nation, and world," said Governor Tony Evers. "The creation of the consortium and the application to EDA for RTH designation was all made possible by strong public and private partnerships and government at every level, and I look forward to seeing this work continue." "Wisconsin has a rich history of innovation, backed by world-class research institutions, a robust Made in Wisconsin manufacturing economy, and the necessary pipeline of new talent to continue growing for the future," Senator Tammy Baldwin said. "I was proud to support the legislation that created Regional Tech Hubs and am now proud to support Wisconsin's application to bring a Regional Tech Hub to the Badger State. Wisconsin is a leader in biotech and personalized medicine, and bringing a Regional Tech Hub to Wisconsin will bolster our state as a growth center in this cutting-edge industry, accelerating advances in health care, spurring economic growth in our communities, and creating good-paying jobs in the process." The consortium believes that the RTH designation and support from EDA would enable stakeholders to scale up operations to a global level by providing shared data and systems to drive innovation; aligning and scaling the supply chain and manufacturing sector, including providing robust support for rural and minority supplier participation; improving capital access to start and grow businesses; increasing lab space and implementing strategies that expand housing policies and transportation; establishing coordinated and industry-aligned workforce strategies with attention to rural and underrepresented access; and ensuring a laser focus on equity strategies across the Hub. Continued expansion of Wisconsin's biohealth sector will also contribute to a "good jobs" economy by allowing employers to develop, hire, and retain a skilled, diverse workforce in good jobs with benefits and upward mobility. The RTH designation will improve employers' abilities to forecast jobs, careers, targeted credentials and skills; allow the implementation of skill-based hiring and career advancement; and enable the development of an employer-driven regional workforce strategy to grow and train Wisconsin's biohealth workforce according to current and future projected needs. The creation of the consortium and the expanded resources associated with the RTH designation are expected to provide new opportunities to improve care for patients. The consortium anticipates giving greater attention to traditionally underserved patient communities by establishing a Patient Advisory Group whose members will offer feedback to researchers and industry as they consider which products and services to develop and where to invest in research. This critical feedback is often cost-prohibitive for companies and researchers to access. The group will help ensure that real patient needs, especially those of vulnerable populations, guide the Hub's direction. Additionally, consortium members will be better able to coordinate technology development in ways that will enhance opportunities to advance new clinical care pathways, such as new ways to treat specific cancers. The Hub will sponsor a personalized medicine technology and product development strategy to develop these new pathways and improve patient care. "Exact Sciences looks forward to continuing to work with Wisconsin partners to bring medical breakthroughs to patients and providers," said Kevin Conroy, CEO of Madison-based Exact Sciences. "We are grateful for the opportunity to keep moving forward with everyone involved." "As a leading global medical technology, pharmaceutical diagnostics and digital solutions innovator with deep ties to Wisconsin, GE HealthCare is honored to join forces with innovative organizations with the passion, expertise and purpose to enable precision medicine and deliver the future of healthcare for patients through the creation of Wisconsin's BioHealth Tech Hub," said Peter Arduini, president and CEO of GE HealthCare. "The University of Wisconsin-Madison is delighted to collaborate in this critical project and to be a partner in this ambitious vision to improve both the health and the economic vibrancy of our state," said UWMadison Chancellor Jennifer L. Mnookin. "It's the epitome of the Wisconsin idea: working in partnership to drive forward innovation, world class research, and broad ranging opportunity for the public good." Personalized medicine combines genomic innovation, advanced imaging technologies, big data analytics, AI computing, population health trends, and bioscience breakthroughs -- with treatments carefully calibrated to a patient's specific medical needs. It has the potential to transform medical care so patients can heal faster with less need for long-term chronic care, experience fewer side effects, and access health care more easily and more equitably. On a broader scale, personalized medicine may be able to reduce health care costs by emphasizing preventive and proactive care, cut diagnostic errors, and limit both the health and economic impacts of rare diseases. "Wisconsin has always been at the center of research, innovation, education, and manufacturing that changes the world, transforms people's lives, and enhances their economic and personal well-being," said Missy Hughes, secretary and CEO of WEDC. "Wisconsin is now at the forefront of one of the most dramatic advances we're seeing in medical care personalized medicine. We owe it to the people of our state and the world -- to continue to build on that momentum." ABOUT THE WISCONSIN ECONOMIC DEVELOPMENT CORPORATION The Wisconsin Economic Development Corporation (WEDC) leads economic development efforts for the state by advancing and maximizing opportunities in Wisconsin for businesses, communities and people to thrive in a globally competitive environment. Working with more than 600 regional and local partners, WEDC develops and delivers solutions representative of a highly responsive and coordinated economic development network. Visit wedc.org or follow WEDC on Twitter @WEDCNews to learn more. SOURCE Wisconsin Economic Development Corporation Research Funded by the NIST Manufacturing Extension Partnership NEW YORK, Aug. 16, 2023 /PRNewswire/ -- Which credentials do manufacturers and workers value the most? As part of its long-term research to assess how to effectively use credentials to support a competitive manufacturing workforce, Workcred, in partnership with the U.S. Department of Commerce's National Institute of Standards and Technology (NIST) Hollings Manufacturing Extension Partnership (MEP), has released a report on Examining the Return on Investment of Manufacturing Credentials. Credentialsincluding microcredentials, certificates, certifications, apprenticeships, badges, licenses, and associate, baccalaureate, and graduate degreeshelp validate workers' skills and competencies, and communicate these skills to potential employers in a portable, transparent way, serving as a valuable asset in the job market. For the U.S. manufacturing industry in need of talent and those looking to upskill, quality credentials can bolster effectiveness, efficiency, and performance of the labor market and improve the quality of the U.S. workforce. The report identifies how employers and workers value credentials, which credentials they value, and recommendations to support a highly skilled and efficient manufacturing workforce. The research builds on Workcred's 2018 report, Examining the Quality, Market Value, and Effectiveness of Manufacturing Credentials in the United States, which found that credentials have uneven use in the manufacturing industry, and provided recommendations to help improve understanding about the content, use, and value of credentials. Reflecting current insights, the new study is based on interviews with 51 front-line workers (credential holders), hiring managers, and supervisors across 15 small- and medium-sized manufacturing facilities in the U.S. Specifically, the report is broken down into three parts: Part 1: Background and Methodology provides data from related studies, describes the types of facilities and individuals that participated in this research, and explains how interviews were analyzed. The report also considers the likely self-selection bias of the participants who volunteered for the interviews, and the potential impact that the COVID-19 pandemic had on participation. Part 2: Results and Discussion reveals common themes and attitudes from both workers and employers about credentials and their use in manufacturing. For example, employers suggested that they valued credentials in job candidates and expressed a desire that more candidates had credentials; meanwhile, workers often have limited knowledge of which credentials are valuable. The report provides further details on gaps in knowledge and the value of credentials. Part 3: Recommendations is intended to be practical and actionable, making an immediate impact by supporting more effective use of credentials by manufacturing facilities. This section includes guidelines for implementation by policymakers, and recommendations for manufacturing facilities and future research. As an example, the report recommends that hiring managers should clearly signal the credentials/skills valued in hiring and promotion. "Workcred is thrilled to build on our work about the value of credential attainmentcaptured through the lenses of manufacturing workers and leaders, who know what it takes to succeed in a sector full of possibilities and rapid growth," said Dr. Roy Swift, executive director, Workcred. "We are proud to share recommendations that will help align top skillsets with jobs to enhance the industry." As with its previous report, Workcred conducted the research with a financial assistance award from NIST, part of the U.S. Department of Commerce. Since 1988, NIST MEP has worked to strengthen U.S. manufacturing by improving the competitiveness of U.S. companies. Through its national network, the program makes manufacturing technologies, processes, and services more accessible to small- and medium-sized manufacturers. About Workcred Formed in 2014, Workcred is an affiliate of the American National Standards Institute (ANSI). Workcred's mission is to strengthen workforce quality by improving the credentialing system, ensuring its ongoing relevance, and preparing employers, workers, educators, and governments to use it effectively. Workcred's vision is a labor market that relies on the relevance, quality, and value of workforce credentials for opportunities, growth, and development. About ANSI The American National Standards Institute (ANSI) is a private non-profit organization whose mission is to enhance both the global competitiveness of U.S. business and the U.S. quality of life by promoting and facilitating voluntary consensus standards and conformity assessment systems, and safeguarding their integrity. Its membership is comprised of businesses, professional societies and trade associations, standards developers, government agencies, and consumer and labor organizations. The Institute represents and serves the diverse interests of more than 270,000 companies and organizations and 30 million professionals worldwide. ANSI is the official U.S. representative to the International Organization for Standardization (ISO) and, via the U.S. National Committee, the International Electrotechnical Commission (IEC). For more information, visit www.ansi.org. SOURCE Workcred Whether or not you smoke cigarettes or support legalizing marijuana, you probably dont want your kids lighting up. But the rise of e-cigs vaporizers like the Juul, and decriminalized pot may make your standard anti-smoking arguments it causes cancer, its illegal feel a little shaky. Add in celebrities posting pictures of themselves smoking various substances, and you might wonder: Is it possible to raise drug-free, smoke-free kids in the era of Smoking 2.0? Yes, but it helps to have a little ammunition. Vaping is an especially tough one, because kids encounter tons of information about it online. According to a November 2019 survey conducted by Common Sense Media and SurveyMonkey: Vaping is popular among teens, and its happening regularly at school. One-third of teens (33%) say they see classmates vaping in school a few times a week or daily. More than half (54%) say they see it monthly. Nearly eight in 10 (78%) say vaping is popular among people their age where they live. Most teens think vaping is as harmful as smoking. Just over half of teens (52%) say vaping is about as harmful as smoking, while 31% say its more harmful and 17% say its less harmful. Among those teens who say vaping is less harmful than smoking, 43% say theyve gotten messages online telling them that vaping is healthier than smoking cigarettes. One in four teens first learned about vaping on social media. A plurality of teens (44%) say they first learned or heard about vaping from someone they know, while 23% first heard about it on social media, 9% from TV or a movie, and less than that from outdoor ads, in a store, on a website, on the radio, or on a podcast. Vaping is common in teens social media experiences. When thinking about their typical experience using social media, 59% of teens say theyre likely to see a post that mentions or shows vaping. If youre feeling outspent, out-messaged, and out-cooled, take heart. There are plenty of ways to fight back. Heres how to help your kids resist the marketing of traditional cigarettes, vaporizers, e-cigs, and pot. Charlottesville, Albemarle County and the University of Virginia police departments have joined a national initiative that provides resources, including grants, to drive down gun violence. It comes amid a recent rise in gun violence in Charlottesville and the surrounding area. I am excited about the new partnership, Charlottesville Police Chief Michael Kochis told The Daily Progress Thursday after it was announced the city, county and school had joined Project Safe Neighborhoods Communities, an initiative led by the Department of Justice. We already work closely with UVa and Albemarle County. There is a lot of crossover so this was an easy decision to move forward with. UVa Police Chief Tim Longo, himself a former Charlottesville police chief, said much of the same. We are incredibly proud to be part of a partnership that not only seeks to reduce violence in our community, but fully embraces the importance of community engagement, thoughtful collaboration with stakeholders, and the irrefutable importance of preserving a safe and healthy community, Longo said in a statement. Albemarle Police Chief Sean Reeves said the county is already working to identify potential grants to apply for. The Albemarle County Police Department is committed to working with Project Safe Neighborhood partners to identify the most pressing issues impacting our community and develop comprehensive solutions to make this region a safer place for everyone, Reeves said in a statement. The announcement comes amid a recent rise in gun violence in the Charlottesville area. There have been 19 shootings in 2023, according to a tally by The Daily Progress. In the first three months of the year there were already five homicide cases reported within Charlottesville city limits, the highest number since 2017. Longo has previously said that gun violence in the area is the highest hes ever seen it. Overall, the violent crime rate in Charlottesville has increased by 30% over the past two years, according to data reported in the Virginia police database as of April 2022. This opens up our community to new grant opportunities to make our streets safer and to strengthen relationships, Kochis said. With time we are going to start applying for some of these grants, I just am not sure which ones quite yet. Project Safe Neighborhoods Communities has four elements: community engagement; prevention and intervention; focused and strategic enforcement; and accountability. Confronting gun violence in the vibrant communities of Charlottesville, Albemarle County, and the University of Virginia demands a collaborative approach that harnesses the strength of all federal, state, and local stakeholders, Christopher Kavanaugh, attorney for the Western District of Virginia, said in a statement. By forging a united front, we can strike at the root of this pressing issue, ensuring safer streets and fostering a resilient community where every individual can thrive, free from the shadows of violence. If you were looking for the Charlestown Democratic Town Committee website and ended up here, try this Got news tips, gossip, suggestions, complaints?E-mail us: progressivecharlestown@gmail.com We strive to avoid errors in our articles. Our correction policy can be found here New Delhi: Samajwadi Party MP Akhilesh Yadav at Parliament, in New Delhi on March 12, 2020. . Image Source: IANS News Lucknow, Aug 16 : Samajwadi Party (SP) chief Akhilesh Yadav has accused Uttar Pradesh Chief Minister Yogi Adityanath of indulging in "parivarvaad" (dynasticism) in the state. Yadav's statement was in reaction to Prime Minister Narendra Modi's statement on nepotism during his Independence Day address in which he had attacked the opposition over corruption, dynastic politics and appeasement. "If the Prime Minister (Narendra Modi) is talking about dynastic politics from the Red Fort, then he should also have a look at the Uttar Pradesh Chief Minister who has become an example of 'parivarvaad' in the state," Yadav told reporters in Saifai, Etawah. The SP chief was apparently referring to Adityanath joining politics after his guru Mahant Avaidyanath retired from it. Avaidyanath, who was Gorakshpeethadhishwar, was elected MP from Gorakhpur Lok Sabha constituency after the death of his Guru, Mahant Digvijay Nath, in 1969 and represented it many times. After his retirement from politics, in 1998, Avaidyanathas disciple Yogi Adityanath was elected MP from Gorakhpur and represented it continuously till he became the Chief Minister in 2017. Washington, Aug 16 : US Secretary of State Antony Blinken has said that the US is still "very focused on diplomacy" as the tool to solve the ongoing political crisis in Niger resulting from an attempted coup by a junta. "We remain very focused on diplomacy for achieving the results that we want, which is the return to the constitutional order," Blinken told reporters during a press briefing at the State Department, adding that he believed there continues to be space for diplomacy in achieving that result. Blinken's remarks came at a time when tensions continued to build up in and around the west African nation. A regional bloc announced on Thursday the activation and deployment of a "standby force to restore constitutional order" in Niger, Xinhua news agency reported. The decision was made by the presidents and senior officials of member countries of the Economic Community of West African States (ECOWAS), as they concluded a summit meeting in Abuja, Nigeria, to address the situation in Niger. Blinken said that the US still considers it "imperative" that the coup leaders in Niger release the country's ousted president, Mohamed Bazoum, and his family from detention, and that Niger should return to constitutional order. To that end, the US is "in strong support of what ECOWAS is doing", he said. As for whether Washington would support the use of force threatened by ECOWAS, Blinken said he would not "get ahead of where we are now or get into any hypotheticals about what may happen in the future". US soldier 'intruded' into N.Korea due to 'racial discrimination' within Army: Pyongyang. Image Source: IANS News Washington, Aug 16 : The US remains focused on ensuring the safe return of a service member who crossed the inter-Korean border into North Korea last month, a Defence Department spokesperson has said. The remark on Tuesday comes shortly after North Korea claimed Pvt. Travis King has expressed a willingness to seek asylum in North Korea or a third country, Yonhap news agency reported. "We cannot verify these alleged comments," the Pentagon spokesperson told Yonhap when asked about North Korea's claim, carried by the country's state-run Korean Central News Agency. "The department's priority is to bring Pvt. King home, and we are working through all available channels to achieve that outcome," the official added. King crossed the Military Demarcation Line into the North while on a group tour to the Joint Security Area in the Demilitarized Zone on July 18. The US said earlier that it has reached out to North Korea through various communication channels but that Pyongyang has offered no response. The Defence Department spokesperson did not provide any comment when asked if North Korea has responded to the US. Geneva, Aug 16 : Four months of conflict in Sudan have caused huge humanitarian disasters and human rights violations, a group of United Nations (UN) agencies has warned here. William Spindler, spokesperson for UN High Commissioner for Refugees (UNHCR), told a press briefing on Tuesday that since the start of the conflict in April, over 4.3 million people had been forced to flee, Xinhua news agency reported. Margaret Harris, spokesperson for the World Health Organization (WHO), said that the conflict had had devastating impacts on people's lives, health and well-being. "About 67 per cent of hospitals were out of service in most affected areas. In the four months, WHO had verified 53 attacks on health care, which had killed 11 people, injured 38, and along with other disruptions, had denied access to care for tens of thousands of people," she said. Elizabeth Throssell, spokesperson for the UN Office of the High Commissioner for Human Rights (OHCHR), said although it was difficult to establish an exact number of casualties for now, tentative figures indicated that more than 4,000 people had so far been killed, including hundreds of civilians. She called on all parties to the conflict to immediately stop the fighting, resume political talks, comply with their legal obligations under international humanitarian law, protect civilians from military activities and allow urgent, unimpeded humanitarian access. Laila Baker, Regional Director for the Arab States at the UN Population Fund (UNFPA), said UNFPA was very concerned that as the conflict continued, the battle lines were hardening, making it ever more difficult to reach the millions of people who needed urgent humanitarian assistance. Baker told reporters that over 2.6 million women and girls required humanitarian assistance, among which around 260,000 were currently pregnant, and almost 100,000 were expecting to give birth over the next three months. "Without critical health services, their lives and those of their children, the future generation, were severely at risk," the official said. Adam Yao, Deputy Representative in Sudan of the Food and Agriculture Organization (FAO), said that the food security situation in Sudan called for urgent attention and coordinated action, with about 20.3 million people -- or over 42 per cent of the population -- facing high levels of acute food insecurity. New York, Aug 16 : To mark India's 77th Independence Day, Minnesota Governor Tim Walz proclaimed August 15, 2023, as "India Day" in the state. In the proclamation, he called India the largest democracy in the world, home to nearly a fifth of the world's population, with extraordinary diversity and rich cultural heritage. "The contributions and heritage of Indian-Americans have played a vital role in helping and continuing to create a better nation and state," he said. Minnesota officially welcomed Indian immigrants following the passage of the Immigration and Nationality Act of 1965 and now is home to an Indian American community of around 55,000 people. Indian in Minnesota work as educators, business owners, healthcare providers, technology entrepreneurs, and artists across the state, Walz said. The India Association of Minnesota hosted IndiaFest on the Minnesota State Capitol grounds to celebrate the culture, heritage, traditions of India through activities such as flag raising and a parade. Meanwhile, Indians across the US took out parades and rallies to mark Independence Day and also hoisted the national flag outside their home, keeping up with Prime Minister Narendra Modi's 'Har Ghar Tiranga' campaign. Indian Consul General in New York Randheer Jaiswal was joined by the Indian community as he unfurled the tricolour at Times Square on Tuesday morning. US Secretary of State Antony Blinken conveyed his "best wishes" to the people of India on their special day and said: "We stand with you in our shared commitment to tackle the most pressing global challenges to ensure the peace and prosperity of our people." Despite a plea for mercy that likened him to fictional boy detective Encyclopedia Brown, the case of the caught-on-camera textbook thief at the University of Virginia ended with more than a year behind bars. The 63-year-old Charles Lewis Hickman was sentenced last week to 15 months in jail for taking $1,250 worth of textbooks from the UVa Engineering School. Charles Hickman understands all too well why he has been sitting in jail for months, his defense team noted in a sentencing memorandum. What he did was wrong, a huge mistake that he wishes he could take back. What he did, according to the investigating officer, began by using contraband keys to enter a professors office in Thornton Hall on the evening of Nov. 1. What Hickman may not have realized was that he entered the office of a surveillance-savvy professor. Zongli Lin, the Ferman W. Perry Professor of Electrical and Computer Engineering, having grown frustrated by prior textbook thefts, had installed a hidden camera. Mr. Hickman can be seen entering the office wearing a blue button-down shirt, glasses, and a black Under Armor backpack, according to the arrest warrant filed by Sgt. Daniel Stuart of the UVa Police Department. He then removes some books from the shelves. This early November incursion produced images of a balding, middle-age man, but his identify was unknown and the case went unsolved until Jan. 4 when a similar suspect returned to Thornton Hall. This time, the man chose a lecture hall, and an alarm summoned UVa police officer Beckett Thelen. I arrived in the area and had a consensual encounter with a Charles Hickman, who advised that he was in the area and heard an alarm, wrote Thelen, who initially let Hickman go without detention. But while still on scene the officer received an image of the November suspect and asserted that two suspects were the same man: Hickman. Hickman had driven from the area but was stopped by officers at the intersection of Emmet Street and Ivy Road, wrote Thelen. I read him Miranda. According to the police report, around 8:16 p.m, Hickman had handed over the keys, the textbooks and his freedom. He faced three felony charges: burglary, grand larceny and larceny with the intent to sell. Four weeks later, he was hit with a fourth charge for the November entry into Lins office. Citing the workload of the impending fall semester, Lin declined to discuss the case. But according to the court file, the professor estimated the value of the textbooks taken in January was $1,250, which is $250 above the threshold that constitutes grand larceny. The fact that two pairs of the books were identical appeared to bolster the charge of larceny with intent to sell. Hickman was pursuing a degree in mechanical engineering when he met his wife in 1982 at an Elvis Costello concert at the University of North Carolina at Chapel Hill, according to a letter she sent the court. I intuitively knew when I first met him that he was a good person, and that proved to be true, Katherine Woltz wrote. She said that Hickman reminded her of Encyclopedia Brown, the titular kid sleuth in a series of books about a boy who solves crimes. His interests were broad, and he could recall lots of things that other people forgot, she said. However, unlike Encyclopedia Brown, Hickman found himself as the target of several criminal investigations. By his own accounting, his record includes convictions for trespassing and petty larceny in 2017 and felony larceny 1998. Less than a year before his Albemarle County arrest, Hickman was convicted of burglary in Harrisonburg and Rockingham County. Additionally, an Albemarle prosecutor claimed in court that Hickman has a nonextraditable charge of auto theft in North Carolina. Such a track record suggests to longtime Charlottesville criminal law attorney Scott Goodman that Hickman has a criminal habit. Judges dont say this aloud, but nobody is so unlucky theyre going to get caught every time, Goodman told The Daily Progress. Science backs this up that hes probably done this many, many times. Hickmans 2022 burglary sentence came from a book theft at James Madison University. While all five years of that five-year sentence were suspended, Hickmans January arrest triggered probation violation proceedings in Harrisonburg. He remains jailed in Rockingham-Harrisonburg Regional Jail, and Goodman said that Hickman didnt learn his lesson. If youre caught a second and a third time its not just happenstance, said Goodman. Its an ongoing problem. Hickman plans to get mental health treatment for the first time in his life, according to a report compiled by a mitigation specialist with the public defenders office. That report is a tale of family expectations, unfulfilled dreams and an unfinished college degree. It is certainly not lack of intelligence or an inability to master the work that stood in the way, wrote social worker Teresa Musolino. There simply wasnt enough money or time to devote to the pursuit of formal education. The specialist claimed that Hickman never understood his family and that they never understood him. They are a family of high achievers, an impressive array of doctors, professors and intellectuals with a slew of advanced degrees between them, Musolino wrote. She said Hickman chose a self-guided education and contented himself professionally by delivering newspapers. He appreciated the solitude, there was no boss breathing down his neck, and he was providing an invaluable service, Musolino wrote. Prior to todays easy internet access, the paper was a tangible connection for rural towns like Mr. Hickmans. For more than two decades, the hamlet of Banco in Madison County has been the home of Hickman and his wife. There, instead of having children, they have taken in about two dozen stray and feral cats and have offered food to gather more of them, according his wifes letter. Charles loves animals and they love him, she wrote. But to Goodman, the criminal defense attorney, these narratives provide inadequate excuses. There are hundreds of people who have hard-luck stories, but that doesnt excuse stealing, said Goodman. If you use that as an excuse, hed be able to steal for the rest of his life. The plea agreement that Hickman signed with prosecutor Susan Baumgartner provides a sentence of four years and three months with three years suspended on a single felony count of larceny with intent to sell. Two misdemeanor counts of entering property to damage it brought a pair of 12-month suspended sentences. He has no violence in his history, his public defender, Jonathon Packard, told a judge at a bail hearing in January. Hickman is due back in court next week, only this time hell face a judge in Harrisonburg and Rockingham for a hearing on his probation violation charge in that jurisdiction. New Delhi, Aug 16 : President Draupadi Murmu, Vice President Jagdeep Dhankhar and Prime Minister Narendra Modi paid floral tributes to former Prime Minister Atal Bihari Vajpayee here at his memorial 'Sadaiv Atal' on his death anniversary on Wednesday. Several other leaders, including Lok Sabha Speaker Om Birla, Defence Minister Rajnath Singh, Union Home Minister Amit Shah and Union Road Transport Minister Nitin Gadkari, were also present at the memorial during the wreath-laying programme and prayer meeting organised to mark Vajpayee's death anniversary. The former Prime Minister's daughter Namita Bhattacharya and son-in-law Ranjan Bhattacharya also paid floral tribute to him at the memorial. Leaders of several NDA constituents, including Praful Patel, M. Thambidurai and Jitan Ram Manjhi, also reached 'Sadaiv Atal' and paid tribute to him. Rajya Sabha Deputy Chairman Harivansh also participated in the wreath-laying programme and prayer meeting. Earlier, Prime Minister Modi in a post on X (formerly known as Twitter) wrote: "I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nation's progress and in taking it to the 21st century in a wide range of sectors." Ahmedabad, August 16 : A youth was apprehended in Ahmedabad for firing a revolver near a police station, the police said, adding that an investigation is currently underway. The incident occurred on Tuesday night at a busy area near the Maninagar police station. According to information, the youth fired three shots after which he was caught by the locals and handed over to the police. A video has also gone viral on social media of the incident, in which the accused wearing a white shirt and jeans can be running with the revolver in his hand while people are chasing him. The Maninagar police are investigating the matter. Kanpur, Aug 16 : A probe has been ordered into the functioning of the Swaroop Nagar's Government Children Home (GCH-Girl) in Uttar Pradesh's Kanpur after two minor girls were found missing from the home and a two-and-a-half-month-old child died. District Magistrate Visakh G. Iyer has ordered a probe in both the cases. GCH sources said that the missing minor girls were brought here from Kanpur Dehat on July 31 and August 3.Both were sent to GCH on the orders of Juvenile Justice Board Kanpur Dehat. According to district probation officer (DPO) Jaideep Singh, the lunch break at the shelter home takes place at 12.30 p.m. At the time, when the girls were counted, all the girls were found present. Following the change in duty, when the counting was done again at 1 p.m., two girls were found missing. This happened on Monday. Subsequently, a frantic search was launched, but when the girls were not found, the higher authorities and the police were informed. As for the death of the two-and-a-half-month-old infant, the DPO said that the child had come from Lalitpur with his mother and was unwell. "We will get the post mortem of the child's body done. The child's mother had fed him milk in the night and he was found dead in the morning. It is being said that the child had been unwell for the past several days," he said. As for the missing girls, the DPO further said, "The CCTV footage of the entire campus is being scanned." Lucknow, Aug 16 : Liquor consumers in Uttar Pradesh are going high on foreign brands that are lining up in large numbers to woo alcoholic beverage patrons from the state. The total number of liquor brands registered in the state have gone up to 3,854 during the current fiscal year which is the highest so far. In the previous fiscal year, 3,106 different alcoholic products were registered, according to a government spokesman. Local premium brands are facing strong competition as overseas liquor brands continue to make inroads into the retail sector. The number of imported foreign liquor brands (whisky, vodka, rum and gin) available in Uttar Pradesh have increased from 199 in the previous financial year to 573 this time. Similarly, non-Indian wine producers have pushed more products while foreign beer manufacturers have increased their offerings too. The number of imported wine and beer products have gone up from 305 to 445 and 34 to 41 in a year. Officials said that the improved scenario, in which end consumers will have more options to choose from, has been possible since annual excise policy was made robust and flexible. "We also have the full support of the state government to implement measures that improve the ease of doing business," said excise commissioner Senthil C. Pandian. The excise department was also able to generate higher revenues through increased registration of brands with year-over-year (YoY) revenue going up from Rs 18.12 crore to Rs 20.92 crore. In order to attract an increased number of overseas companies, the department decided to do away with the condition which made it compulsory for the company registering foreign brands in Uttar Pradesh to submit an authority letter from the principal importer. "Till March 31, 2023 an individual or entity interested in marketing a foreign brand had to approach the principal exporter to authorise him/her to sell the product in UP. It was a pointless exercise which made no sense and was struck down. Anybody having 'imported liquor - bottled in origin licence' could register the brand. That is why we see a sudden rush of foreign brands this year," said the officer. The increased number of hard liquor brands seem to have dented the demand for brew and beer manufacturers have some challenges to deal with. Spokesperson of AB InBev India, the company owning beer brands, said, "During the last few years, industry-friendly rationalisation of excise tax slabs has fuelled the growth of the beer industry in the state. However, the growth of the beer industry recently has not been in line with the growth of country liquor and hard spirits in Uttar Pradesh. Duty increase on beer earlier this year along with certain technical issues on the excise portal last month, led to the surge in consumption of country liquor and hard spirits nudging consumers towards high alcohol strength beverages." The beer industry volume declined during the summer months due to increased tax and inclement weather in April. --IANSamita/dpb Ottawa, Aug 16 : The Canadian army has airlifted hundreds of people to safety amid raging wildfires in the country's Northwest Territories, the media reported. Canada is currently witnessing its worst wildfire season on record, with nearly 1,100 active fires across the country as of Tuesday, the BBC reported. A total of 13.2m hectaresof land have burned so far -- roughly the size of Greece. As a result of the raging wildfires, the Canadian government has deployed its military to the Northwest Territories to help fight the fires and coordinate evacuations. On Monday night, Yellowknife -- the territorial capital -- has declared a local state of emergency due to the "imminent threat" of wildfires. The declaration allows the city to take pre-emptive steps to respond and to prepare residents to leave at a moment's notice. The Northwest Territories witnessed its hottest temperature on record this July, reaching 37.4 degrees Celsius in the community of Fort Good Hope. Wildfires are also burning in British Columbia, where several heat warnings are in effect this week due to record-high temperatures. Washington, Aug 16 : Amid criticism of his administration's response to the deadly wildfires in Hawaii's Maui Island that have killed at least 99 people so far, US President Joe Biden has vowed to visit the state "as soon as he can". Addressing reporters in Milwaukee on Tuesday, Biden said he wanted to ensure that the people in the state had "everything they need", reports the BBC. The President said that he hadn't visited yet because of concerns that doing so would divert resources and attention from the humanitarian response. He also announced that First Lady Jill Biden will accompany him to Hawaii. "I don't want to get in the way. I've been to too many disaster areas. I want to be sure we don't disrupt ongoing recovery efforts," the BBC quoted the President as saying Over 500 federal emergency personnel have so far been dispatched to help with relief efforts, including 150 search and rescue specialists. Additional personnel are being sent to Maui to help those already on the ground, President Biden said, adding that "all available federal assets" in the region will be used for recovery efforts, including the US military and Coast Guard. "It's painstaking work. It takes time and it's nerve wracking. Every asset they need will be there for them. And we'll be there in Maui as long as it takes." But as authorities fear an increase in the death toll in the coming days, Hawaii residents have complained about the pace of the federal government's response to the disaster. On Monday, Governor Josh Green said that only 25 per cent of the affected area had been properly searched for human remains. Approximately 80 per cent of Lahaina -- a historic town of about 12,000 residents -- has been completely destroyed in the blaze. As frustration builds among the residents, a local Les Munn, told the BBC that he has so far received only $500 from the Federal Emergency Management Agency (Fema) which has approved one-time payments of $700 per household to help with immediate needs in the wake of the disaster. Another local, Felicia Johnson, said that "everybody wants the glory but nobody wants to put their feet on the ground". On a street above the fire line in Lahaina, a woman told the BBC said she feared she would starve to death in the days after the fire. Ahead of a second trip into the worst-hit area, Amory Mowrey spent $1,700 to load his and his friend's SUVs with toilet paper, cases of water, packs of batteries and sacks of rice. "We're just trying to get supplies as fast as possible into the affected areas so people get what they need," he told the BBC. "There's a lack of response, it felt like, from large organisations." Liz Germansky, who lost her home in the fire, said that "the government's getting in the way of people helping". "I don't think the government could have done less," she told the BBC. The Hawaii wildfires now the deadliest in more than a century in modern US history, surpassing the Camp Fire that erupted on November 8, 2018, in California and killed at least 85 people. Jaipur, Aug 16 : More than 1.04 crore families will get a free Annapura kit every month which will include pulses, sugar, salt, edible oil, chilli, coriander and turmeric included in the food packet after Chief Minister Ashok Gehlot launched the Mukhyamantri Nishulk Annapurna Food Packet Yojana. The scheme was launched on Tuesday on the occasion of Independence Day. Speaking on the occasion, CM Gehlot said that the state government was taking its decisions with an intention to provide maximum relief to the masses. He said that more than 1.04 crore families in the state will be distributed free Annapurna Food packets every month under this scheme. Gehlot was addressing the launch ceremony of this scheme at Birla Auditorium in Jaipur and distributed the food packets to the beneficiaries. He said that the state government was taking decisions with a focus on the poor, which were now being discussed across the country. The state government by bringing all the public welfare schemes on the ground has provided relief to the general public. These public welfare schemes are not freebies, but it is the responsibility of the democratic government towards the general public, he said. Towards realising the resolution of aNo One Sleeps Hungrya, this Annapurna Food Packet containing one kilogram each of chana dal, sugar and iodised salt, one litre soybean refined edible oil, 100 gm each of chilli powder and coriander powder and 50gms from turmeric, which will be given free through PoS machine. The Chief Minister said that many needy families are deprived as the Government of India has fixed the maximum limit in the National Food Security Scheme. During Covid, after a survey of destitute families, around 32 lakh NFSA and non-NFSA families were provided economic assistance of Rs 5,500. He said that those non-NFSA families, who were given economic assistance during Covid, will also be provided free ration kits in the Annapurna ration kit scheme. He urged the Central government instead of extending the ration distribution by six months again and again, and that it should be implemented in a regular manner. He said that the commission of ration dealers distributing Annapurna food packets has been increased to Rs 10 from Rs 4 per packet. The Chief Minister said that new districts have been made in the state, which will speed up the administrative and financial work. "Law has been made in the state guaranteeing minimum income and the Right to Health, which is not there in any other state in the country. Law has been made for the welfare and security of Gig workers." He said restoring the Old Pension Scheme for the government employees was being discussed in the entire country. Social security pension of minimum of Rs 1,000 per month was being given to one crore people in the state. Besides this, a provision has been made of an auto increase of 15 per cent every year. In the first phase, 40 lakh girls and women are being given smartphones under the Indira Gandhi Smartphone Yojana. Gehlot said that the state government was moving with a vision to include Rajasthan in the list of leading states by 2030. Participation of all the people of the state was important in this. He said that the formal launch of Mission 2030 will take place soon and a vision document will be prepared. The Chief Minister said, "It is our target that the state moves ahead in all the sectors." US soldier who crossed into N.Korea expressed wish to seek refuge: Pyongyang. Image Source: IANS News Seoul, Aug 16 : North Korea on Wednesday said a U. soldier who crossed the Demilitarized Zone (DMZ) into the country last month admitted that he "illegally intruded" due to "inhuman maltreatment and racial discrimination within the US Army", claiming that he has expressed a willingness to seek refuge there or in a third country. It marked the North's first public confirmation of the status of Pvt. Travis King, who crossed the Military Demarcation Line (MDL) into the North during a tour to the Joint Security Area (JSA) in the DMZ on July 18, reports Yonhap News Agency. "Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the US Army," the North's official Korean Central News Agency (KCNA) said in a report. DPRK stands for the North's official name, the Democratic People's Republic of Korea. "He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society," it added. The KCNA said its soldiers took custody of King after he "deliberately intruded" into the North's side of the JSA and that an investigation by a "relevant organ" is ongoing. Meanwhile, the US Department of Defense said the alleged comments by King cannot be "verified" and that it is focused on bringing him back home. "We cannot verify these alleged comments," a Pentagon spokesperson told Yonhap News Agency. "The department's priority is to bring Pvt. King home, and we are working through all available channels to achieve that outcome." US officials have previously said King "willfully" crossed the MDL "without authorisation" and that the North has not made any substantive response to its inquiries over his status. The US-led UN Command, which oversees activities in the DMZ, earlier said it is working with its North Korean counterparts to resolve the incident but has declined to provide details. King had faced legal trouble after being stationed in South Korea. He was detained in a South Korean prison workshop from May 24 to July 10 after failing to pay a fine for damaging a police patrol car last year. On October 8, 2022 South Korean police apprehended King for suspected violence at a nightclub in western Seoul. He reportedly did not cooperate with police officers demanding his personal information and kicked the door of their vehicle. King was set to return to the US on July 17, where he could have faced additional disciplinary action, but he did not board his flight at Incheon International Airport, west of Seoul, and took part in the JSA tour the next day. The incident came as tensions have run high due to North Korea's continued weapons tests, including a Hwasong-18 intercontinental ballistic missile launch last month. Direct diplomatic talks between the US and the North have been stalled since their working-level nuclear talks in Sweden in October 2019 in the wake of the bilateral no-deal summit in Hanoi in February of that year. CHRISTCHURCH, March 15, 2019 (Xinhua) -- Police are seen at a road block in Christchurch, New Zealand, March 15, 2019. At least 27 people were killed in multiple shootings in the two mosques of New Zealand's Christchurch on Friday afternoon, and poli. Image Source: IANS News Wellington, Aug 16 : New Zealand has continued to crack down on organised crime with the largest ever meth bust seizing nearly three-quarters of a tonne of methamphetamine, Police Minister Ginny Andersen said on Wednesday. According to the Minister, this was was possibly the largest ever meth bust in New Zealand, with a provisional total of 746.9 kg seized during the search warrant in Manukau, Auckland, in March, reports Xinhua news agency. "Methamphetamine destroys lives and wreaks havoc on our communities," she said. Anderson added that this will also deal a significant financial blow to gangs and organised criminals. The New Zealand government has increased police funding by 50 per cent, the Minister said, adding that gang conflict legislation and related law have been introduced or amended to give police more tools to tackle gangs. Mumbai, Aug 16 : In a shocker for cosmopolitan Mumbai, a Muslim man was beaten up by a so-called 'moral police' mob for allegedly being seen and talking with a Hindu girl at the Bandra Terminus station here, recently. Videos of the incident went viral on social media on Tuesday, evoking sharp reactions with the Samajwadi Party (SP)'s MLA Rais Khan and All India Majlis-E-Ittehadul Muslimeen (AIMIM) National Spokesperson Waris Pathan demanding strict action in the matter. In the undated video, the unidentified man, sporting a full-sleeved red T-shirt and grey trousers is seen being abused, slapped, punched, kicked and pushed around even as the unidentified girl was heard objecting and pleading with the unidentified mobsters to stop and let them go. Sporting a 'burqa, she implored the mob "don't beat him" even as they drag him out of the Bandra Terminus with his collar and hair, ranting slogans of 'Jai Shri Ram'. Other voices in the video claimed that the girl was just 16, while some others have contended on social media that she had allegedly eloped from Rajasthan with the man who may have nefarious motives. "An unarmed Muslim boy was mercilessly thrashed by Hindutva goons in the name of Love Jihad at Bandra station! Today we are celebrating 77 years of Independence! Our martyr never thought that Muslims would have to see this day as well," said Pathan, SP MLA from Bhiwandi town in Thane. He called upon Mumbai Police to take immediate cognizance of this incident and nab all the goons who are involved in this, give them the strictest punishment so that in future no one would think of doing such an act. "Deeply disturbed by the horrifying incident at Bandra Terminus. Violence and hate have no place in our society. Such acts of violence based on religion or any other pretext are unacceptable. Authorities must verify the video first and take appropriate action," said Shaikh. Some of the people in the violent mob claimed they had 'rescued' a minor Hindu girl from the clutches of the man and others raised slogans of 'stop love-jihad', etc. A man wanted in Nelson County for threatening to burn down someones house has been arrested. Kahlique Rasheed Howard was taken into custody on Thursday, Nelson County Sheriff David Hill told The Daily Progress. He is scheduled to be arraigned on Wednesday. He is facing six charges total, Hill said, including stalking, destruction of property with intent, using profane language over a public airway, vandalism, a threat to burn or bomb and threatening an illegal act via electronic means. The long and short of it is he communicated a threat over electronic means. Im not going to divulge more than that, Hill said. I want the guy to get a fair trial. In an effort to protect the victims identity, Hill would not comment on the specifics of the case or the relationship between Howard and the victim. The sheriffs office originally posted about Howard on Facebook on July 26, asking the public for information that would help them capture the suspect. It updated the post last Thursday announcing that Howard was in custody and included a mugshot. The Nelson County Sheriffs Office thanks the US Marshals Service Task Force and the Albemarle County Police Department for their assistance with this arrest, the office wrote on Facebook. Albemarle County did not immediately return a request for comment from The Daily Progress. Bodies of three boys who went missing in sea at Tirunelveli washed ashore. Image Source: IANS News Chennai, Aug 16 : The bodies of three youngsters who went for a bath in sea at Tirunelveli district of Tamil Nadu washed ashore on Wednesday morning. The boys had gone to the sea for a bath on Tuesday, Independence Day. The local people had on Tuesday evening found clothes on the beach between Uvari and Navvaladi near Thisaiyanvilai in Tirunelveli and they alerted the Uvari police that some people were missing in the sea. Uvari police on inquiry found that three boys, Akash 14 , a class 10 student, Rahul, 13 a class 9 student and Mukesh, 12 a class 8 student had gone bathing in the sea at 3 p.m. The Uvari police, Coastal Security group of Koodnkulam and fire and rescue services personnel commenced the searched and it continued till midnight. Tamil Nadu assembly Speaker, M. Appavu who also hails from the district reached the beach and was present during the search operations. However there were no traces of the boys. During the morning hours of Wednesday, the bodies of Akash and Rahul were washed ashore at Kodavilai near Navvaladi. The body of Mukesh washed ashore by 6.30 a.m.. The bodies of all three boys were sent to post-mortem at Tirunelveli Government Medical College for postmortem. --IANS aal/svn Bengaluru, Aug 16 : The Criminal Investigation Department (CID) of Karnataka Police has completed the first phase of its probe into the sensational case related to filming of girls inside a restroom of the Nethrajyothi Paramedical College in Udupi by other female students, sources confirmed on Wednesday. Following the completion, CID sleuths have returned to Bengaluru from Udupi, the sources added. The investigation was conducted by Deputy SP Anjumala and monitored by CID ADGP Manish Kharbikar. The CID team conducted multiple inquiries and recorded statements of the victims, accused students, college authorities and other concerned persons in connection with the case. The sources said the team is now awaiting a report from the Forensic Science Laboratory (FSL) of three mobiles seized from the accused students. An investigating officer is most likely to file a report regarding the incident very soon, they added. Meanwhile, the BJP is demanding legal action against the three accused Muslim female students, alleging that they filmed the Hindu girls in the washroom and handed over the videos for circulation. Party leaders also claimed that the case was an organised crime against Hindu girls and accused the state's ruling Congress government for carrying out politics of appeasement by trying to hush up the incident. The Karnataka Police were also alleged of harassing the woman activist Rashmi Samanth for raising her voice regarding the issue. The para-medical college had maintained that the victims are not willing to lodge the complaint against the accused. Initially, the police maintained that they could not take up the case as there was a lack of evidence. However, after coming under pressure as the development turned out to be a national news, the police had lodged a suo moto case and initiated the probe. Actress-turned-politician Khushbu Sundar had visited Udupi as her capacity of being a member of the National Commission for Women to inquire about the case. The BJP had also staged a statewide protest over the matter. A BJP delegation also met the Governor Thaawarchand Gehlot and submitted a memorandum demanding a probe by a Special Investigation Team (SIT). Chief Minister Siddaramaiah had ordered a probe by a Deputy SP rank police officer. But, the BJP maintained that the Deputy SP rank officer can't probe the matter without interference and the state government will hush up the case as part of its appeasement politics. The case was later handed over to the CID. The accused students are on bail in the case. New Delhi, Aug 16 : In its performance audit report on Ayushman Bharat-Pradhan Mantri Jan Arogya Yojana (AB-PMJAY), the Comptroller and Auditor General of India (CAG) highlighted deficiencies in implementation of the Information, Education and Communication (IEC) plan under the scheme. The AB-PMJAY provides a health cover up to Rs 5 lakhs per family per year, for secondary and tertiary care hospitalisation services. It provides cashless and paperless access to services for the beneficiaries at the point of service. The CAG's audit report on AB-PMJAY which was presented in Parliament recently, revealed that in between 2018-21, the National Health Authority (NHA) had spent Rs 64.07 crore for IEC activities . "During 2018-19 to 2020-21, NHA has incurred an expenditure of 64.07 crore on IEC activities. However, NHA did not allot a specific budget for these activities, in the absence of which audit could not verify whether the expenditure was within the prescribed budget ceiling. "The NHA did not provide any details and records about a comprehensive IEC plan and its implementation status at the Central level. In the absence of these details and records, audit could not verify whether IEC activities were carried out at the central level in a planned manner and how far the planned targets were achieved," the report added. It went on to say that "the NHA also did not provide any details of the mechanism for monitoring of IEC activities in various states all over India at the Central level". "The audit could not verify whether NHA has monitored the IEC activities being carried out in States for creating awareness regarding benefits of the scheme among beneficiaries in order to increase registration of beneficiaries and coverage of the scheme. "In seven states -- Chhattisgarh, Himachal Pradesh, Jammu and Kashmir, Maharashtra, Punjab, Uttar Pradesh and Uttarakhand -- IEC cell was formed. In 12 states -- Andhra Pradesh, Assam, Bihar, Gujarat, Jharkhand, Karnataka, Mizoram, Nagaland, Puducherry, Rajasthan and Tripura -- IEC Cell was not formed, whereas no information was available about remaining states," the report added. It further revealed that in Andhra Pradesh, Bihar, Chandigarh, Gujarat, Haryana, Himachal Pradesh, Kerala, Madhya Pradesh, Maharashtra, Punjab, Rajasthan, Tamil Nadu, Tripura and Uttar Pradesh, expenditure on IEC activities ranged from zero to 20.24 per cent of the allotted budget against the prescribed benchmark of 25 per cent. "NHA needs to make special efforts and sensitise the entitled beneficiaries to generate awareness about the scheme. It needs to ensure that adequate expenditure is done by the SHAS (State Health Agencies) to generate awareness about the scheme," the CAG audit report said. London, Aug 16 : A 62-year-old Indian-origin man in England has been sentenced to two years in prison for stealing more than 50,000 pounds from a company where he worked, police said. Sunny Bhayani from Surrey was sentenced last week at Aylesbury Crown Court to two years' imprisonment suspended for two years after he pleaded guilty to one count of fraud by abuse of position, the Thames Valley Police said. He was also ordered to pay 565 pounds in costs, 51,794.27 pounds to be repaid at 1,075 pounds a month. The court heard that Bhayani, who was working in Customer Services for Dreams Limited in High Wycombe, committed fraud between January 2017 and January 2018. Bhayani created and carried out fraudulent refunds to customers, but actually refunded the money to cards owned and controlled by him. "This has been an extraordinarily long and complex investigation that has taken over five years and involved a large number of officers," Detective Constable Gemma Thompson from Thames Valley Police said. "Bhayani held a position of trust which he took advantage of and he neglected the financial interests of the company. "I'm extremely pleased with this result and that he is required to repay all of the money he stole from his employer," Thompson added. The court also ordered Bhayani to wear an electronic tag with a curfew for six months, a rehabilitation activity and to complete 250 hours' unpaid work within 12 months. Bengaluru, Aug 16 : Karnataka police have arrested a model from Mumbai in connection with a honey trapping case, police said on Wednesday. The gang extorted huge money by threatening the victims to convert to Islam and get circumcised. The incident took place in the limits of Puttenahalli police station in Bengaluru. The arrested model is identified as Neha a.k.a Meher and preliminary investigations have revealed that she is the main accused in the case. Police explain that Neha a.k.a Meher got in touch with gullible persons between the age group of 20 to 50 years in Bengaluru through Telegram. She lured them to have sex at her residence in J.P. Nagar fifth stage. As soon as they entered her home, she would invite them inside wearing a bikini. The gang captured private moments and later the gang would barge inside the home and take pictures and videos of the victims with the girl. The gang members would snatch the mobile of the victim and note down all the contacts. They would demand that if they are not giving the money they would send their private video and photos to all their contacts. They would demand the victims to get married to the model. They insisted that to get married to her they should get converted to Islam as she is a Muslim. They would also insist that the victims should get the circumcision done immediately. The victims would get terrified by these demands and give away hefty money to the accused. The gang's free run ended after one of the victims gathered courage and lodged a police complaint. The preliminary probe had revealed that the gang had extorted 12 persons. The police are suspecting the involvement of the gang in more cases and are investigating. The police had earlier arrested Sharana Prakash Baligera, Abdul Khader and Yasin in connection with the case. The police have launched a hunt for another accused Nadeem. New Delhi: Union Home Minister and Minister of Cooperation Amit Shah at the launch of the transfer of funds to the genuine depositors. Image Source: IANS News New Delhi, Aug 16 : Union Home Minister Amit Shah has introduced the Bharatiya Nyaya Sanhita in Parliament to replace the Indian Penal Code (IPC), which was based on the recommendations of the first Law Commission headed by Thomas Babington Macaulay. The IPC came into force in 1862 in the three Presidencies of British India, but it did not apply to the princely states which had their own courts and legal systems. The newly-proposed Bharatiya Nyaya Sanhita Amendment Bill seeks to streamline and modernise the IPC. With a total of 356 sections, the bill aims to simplify the IPC, which currently has 511 sections. By addressing complex procedures and removing outdated language, the bill aims to expedite legal proceedings and ensure more timely justice delivery. The bill has introduced 8 new sections and repealed 22 sections, eliminating colonial-era terminology and emphasising citizens' rights. Three bills have been introduced aiming at transforming the justice system and erasing remnants of colonial-era laws. The bills propose a comprehensive overhaul of key legal codes that have long been criticised for their complexity, lengthy procedures, and bias against socio-economically marginalised communities. Though it has recognised new offences and defined certain new terms, the question that the nation is grappling with is about their efficacy. "A welcome section as per the proposed Bill is Section 69 which has introduced 'Sexual Intercourse by employing deceitful means, etc., which encompasses false promise to marry, of employment, promotion or by way of a false identity," Advocate Anant Malik said. The said offence attracts punishment of imprisonment which may extend to 10 years along with fine. "In the proposed bill the inquiry and trial related to sexual offences shall be conducted in camera which will increase the conviction rate of such cases and victims will get justice and it will also raise the accountability of police officers and courts," said Rudra Vikram Singh, Advocate. He said that the investigation related to sexual offences and POCSO will have to be concluded within 60 days from the date on which the information was recorded by the officer in charge of the police station, however the Government of India vide Criminal Law amendment Act (2018) already mandates completion of investigation and filing of charge sheet in rape cases in two months and trials to also be completed in two months. These are definitely changes which are going to help in tackling new dimensions that earlier went unnoticed or undealt with. "Apart from this, gang rape of minor will attract life imprisonment or death penalty. Earlier there was a segregation of sections when it came to gang rape which was according to the age of the victim, i.e., 'under 16 years' and 'under 12 years'. The Bharatiya Nyaya Sanhita has now done away with this and has worded the new section as 'under 18 years of age'," Malik told. The legislature in its power has made sure to bring about a deterring effect, however the success or failure of any law is the combined effort of the three pillars of governance and law and order which is the Legislature, the Executive and the Judiciary. "The written word of the law is just the inception, the domino effect of change is heavily dependent on the procedural laws such as the Code of Criminal Procedure, now the Bharatiya Nagarik Suraksha Sanhita as well as better and swift policing," Malik said. Till date, the predators have taken advantage of the response time and the time the courts take to adjudicate. In the meanwhile, by some way or the other they are enlarged on bail and start living normal lives. With the Bharatiya Nagarik Suraksha Sanhita, timelines for investigation and adjudication have been strictly established and fixed. "Whether there will be a deterrent impact is a far-fetched prediction. However, the thought has been put into words by Parliament and is reflected in the new spirit of the law," said Malik. --IANSspr/dpb Kolkata, Aug 16 : The Calcutta High Court on Wednesday rejected permission to the para-teachers to participate in the selection process for primary teachers in different state-run schools in West Bengal. A division bench of Justice Soumen Sen and Justice Uday Kumar, also dismissed an earlier order by the Calcutta High Court's single- judge bench of Justice Abhijit Gangopadhyay, which allowed the para- teachers to participate in the selection process. A section of the higher secondary para-teachers approached Justice Gangopadhyay's bench last year seeing permission to participate in the selection process of primary teachers for 2022. After a detailed hearing in the matter, Justice Gangopadhyay's bench permitted these para- teachers to participate in the selection process. However, his verdict was again challenged at the division bench of the Calcutta High Court. Finally after the conclusion of the hearing in the matter, the division bench dismissed the earlier order by Justice Gangopadhyay and ruled that the para-teachers will not be allowed to participate in the selection process for primary teachers. August 16 : Yellow Veil Pictures drops the trailer for an intense war film Rebel, an action thriller made by the acclaimed Belgian-Moroccan filmmaking duo known as Adil and Bilall. Set in Brussels and Syria, Kamal resolves to change his life for the better, so he leaves to help war victims in Syria. But he is forced to join a militia and is left stranded in Raqqa, suddenly working for the bad guys. Back home, his younger brother Nassim quickly becomes easy prey for radical recruiters, who promise to reunite him. Their mother, Leila, fights to protect the only thing she has left: her youngest son. The film stars Amir El Arbi, Aboubakr Bensaihi, Younes Bouab, Kamal Moummad, and Lubna Azabal. This is one gut punch of a thriller. Brothers Kamal (Aboubakr Bensaihi) and Nassim (Amir El Arbi) find themselves on radically different life paths after Kamal leaves to help victims in Syria, leaving his young brother at home to care for their mother Leila (Lubna Azabal). However, after discovering Kamal has been radicalised by a local militia, Nassim goes to desperate lengths in the attempt to save his brother and bring him home without realising he may be on the exact same path of self-destruction as his brother. The script is also written by Adil El Arbi and Bilall Fallah, with Kevin Meul and Jan Van Dyck. The film initially premiered at the 2022 Cannes Film Festival earlier this year. The film is slated for September 15th, 2023 release. Lucknow, Aug 16 : In a shocking incident, a man in Uttar Pradesh killed his friend in a drunken state and later set the body on fire by pouring petrol on it. The accused, who has been arrested, also robbed his dead friend before fleeing the scene. According to the police, the victim, Sunny, 32, had left home to deposit Rs 1 lakh in a bank but did not return by evening. Later, his bike was found near the village and a complaint was lodged with the police. Late at night, a partially burnt body was discovered in the jungle on the Bijnor road. The police said that the accused, Durgesh, left his house with Sunny on August 9, and they were together throughout the day. During this time, Sunny also purchased a mobile phone and had dinner at a roadside eatery. The duo then consumed alcohol in the jungle. Durgesh then killed Sunny with bricks and set his body on fire using petrol from the bike. He then fled the scene with the money. Meanwhile, the police were able to identify Sunny through his footwear found at the crime scene and subsequently arrested Durgesh, a senior official said. Bhopal, Aug 16 : Madhya Pradesh Chief Minister Shivraj Singh Chouhan on Wednesday hit out at senior Congress leaders and former chief ministers Digvijaya Singh and Kamal Nath alleging that the duo use "Hindutva" to suit their political agendas. Talking to media persons, Chouhan said the Congress leaders have nothing to do with hinduism, nationalism and socialism and they make statements that fit their political arithmetic at that particular time. He made this remark while responding to Digvijaya Singh's statement regarding the "Hindu rashtra". Responding to that, Chouhan said, "They (Digvijaya and Kamal Nath) have nothing to do with people, they are just busy in cutting crops of votes and making the statements accordingly." Notbaly, during a visit to Karnataka on Tuesday, Digvijaya Singh had said that "those who talk about Hindu rashtra, they should resign from their posts first." After attending the Independence Day programme, Singh has accused the central BJP leadership of conspiring to topple the Congress government in Karnataka. "The BJP always takes the lead in violating the Constitution and now it is planning to topple the Karnataka government which is in power with a full majority," Singh claimed. Talking to media persons in Karnataka, Singh said the Congress works in accordance with the Constitution and unites the country, but the BJP works to "divide the country on the basis of religion". --IANS pd/svn The III meeting of health ministers of member countries of the Organization of Turkic States (OTS) and the World Turkic Medical Congress started its work in Samarkand city of Uzbekistan on August 16, Azernews reports, citing OTS. The international event, which is supported by the Organization of Turkic States and the Ministry of Health of Uzbekistan, is attended by health ministers of OTS member states and observers, heads of medical universities, as well as invited scientists from different countries. Azerbaijan is represented at the congress by a delegation headed by Health Minister Teymur Musayev. Head of Samarkand region Erkinjon Turdimov, who opened the meeting, welcomed the participants of the event and wished them success in their work. In turn, Secretary General of the Organization of Turkic States Kubanychbek Omuraliyev noted that the issues discussed and decisions made will give an important impetus to the work of the Organization in the future. Speaking at the event, Azerbaijani Health Minister Teymur Musayev stressed that the international congress was organized at a high level. This event will contribute to the further expansion of cooperation between our countries in a new plane: "As President of the Republic of Azerbaijan, Ilham Aliyev noted in his speech at the IX Summit of the Organization of Turkic States, strengthening ties with the OTS member countries in all spheres is one of the main priorities of our state's foreign policy. Cooperation in all spheres of the socio-political, economic, cultural, and social life of our countries with the direct support of the heads of state is developing on an upward path. This is clearly manifested both within the Organization and in bilateral relations". The Azerbaijani Minister of Health also noted that interactions between our countries, built on a solid foundation, create a basis for the successful development of relations in the field of health care: "Today's platform is an important constituent factor for deepening multilateral relations not only with medical specialists of the countries represented in the Organization but also opens further prospects for cooperation between specialists of Turkic-speaking peoples. Thus, a solid basis for the mutual exchange of experience and close cooperation is created. The main directions of our joint activities are the creation of the Common Medical Scientific Space of the member and observer countries of the Organization of the Turkic States, joint scientific research, determination of common standards of medical education. The use of information and communication technologies in health care makes possible the cross-border exchange of medical data on patient's health with the development of Unified Electronic Health Standards within the Organization," Teymur Musaev said in conclusion. In their speeches, Minister of Health of Uzbekistan Amrillo Inoyatov, Minister of Health of Turkiye Fahrettin Koja, Minister of Health of Kazakhstan Azhar Giniyat, First Deputy Minister of Health of Kyrgyzstan Tilek Mamadaliev, Minister of Health of Tajikistan Jamoliddin Abdullozoda, Minister of Health of the Republic of Tatarstan of the Russian Federation Marsel Minnullin noted that the international event will create new perspectives for cooperation in the field of health care between partners from different countries of the world and the Russian Federation. The importance of creating a joint information space in the health care systems of the Member States of the Organization with the application of innovative ideas and progressive technologies, attracting investments in the pharmaceutical industry and production of medical equipment, development of public-private partnerships in the field of health tourism and medical services was emphasized. The meeting adopted the final document of the World Turkic Medical Congress - Joint Declaration. Then, the participants were familiarized with the exhibition of the joint Uzbek-Turkish business forum in the field of healthcare held in parallel with the World Turkic Medical Congress, as well as exchanged views with the forum participants. Within the framework of the event, it is planned to hold a meeting of Azerbaijani Health Minister Teymur Musayev with the Secretary General of the Organization of Turkic States, as well as bilateral meetings with health ministers of member countries. The World Turkic Medical Congress will finish its work on August 17. Virginia Congresswoman Abigail Spanberger has hinted at a run for the highest office in the commonwealth. Again. Right now, I am focused on being a congresswoman for the 7th District and visiting my incredibly interesting constituents all over, the Democrat told The Daily Progress Monday while on a tour of the construction site in Orange County where Macmillan Publishers is expanding its U.S. distribution center. Asked if that answer would be different after the Virginia state house elections this November, Spanberger was more direct: Yes. Ill talk to you then, she added. In the meantime, the planks of a Spanberger platform have already started to take shape. Gov. Glenn Youngkin who is term-limited and cannot run again in 2025 and other Republicans have pushed parents rights in the classroom. In April of 2022, Youngkin signed a law regulating the content in school libraries and ensuring parental notification of any instructional material that includes sexually explicit content. Already, school divisions have removed dozens of books from the shelves. In Spotsylvania earlier this year, Beloved by Toni Morrison, The Perks of Being a Wallflower by Stephen Chbosky and Water for Elephants by Sarah Gruen, among others, were removed. And in Madison County, The Handmaids Tale by Margaret Atwood, It by Stephen King and several more Toni Morrison novels were taken out. Touring the site where New York-based Macmillan Publishers, considered one of the largest English language book publishers, is expanding its facility off James Madison Highway, Spanberger said politicians should steer clear of anything that smacks of book banning, as many have characterized the Republican-led initiative. I dont think that its the place of certainly not a federal lawmaker, and I would argue not the chief executive of the state or the General Assembly to be limiting books, Spanberger said. I think there is years worth of professional experience among librarians and teachers coming together to determine what are the topics, and the ideas, and the books that can help enrich the learning environment for students. Spanberger asserted Youngkins policy is ineffective and misguided. Asked what she would do if she was seated in the Executive Mansion in Richmond, Spanberger said shed take a different approach. They [lawmakers in Richmond] dont know my child, and you know theyre not professionals. So ultimately, its up to librarians, teachers, you know, to determine what should potentially be available, but ultimately, its a choice for parents and for kids, she said. Spanberger and Youngkin agree that parents have a say in what content their children consume, the question is how much of a say they have over what other children consume. I dont think its a place for legislators to be dictating to school districts, Spanberger said. I know what my children are bringing home, I know what my children are reading and if theres something that I perhaps think might be too scary or too touchy or something thats outside of my individual childrens outlooks and personalities, thats a choice I get to make as a parent, but I get to make that as the parent and with wonderful suggestions and opportunities. She went on to say, Books, whether theyre textbooks or fiction or nonfiction, are the gateway to understanding people. It is vitally important that kids and students have access to the diversity of books, the richness of books of literature. Students should have access to all of it. The former CIA officer and centrist Democrat was first elected to the House of Representatives in 2019. Shes been a fundraising powerhouse since she entered politics. In the 2022 election cycle, she raised almost $9 million. Shes also tallied $1.2 million this year for her congressional reelection. Spanbergers seat in the House of Representatives is considered crucial to Democrats who hope to regain control of the lower chamber in Washington. The suggestion that she might make a run for governor has excited not just Democrats in her following but Republicans eager to reclaim her district. Rich Anderson, chairman of the Republican Party of Virginia, told The Daily Progress that the trial balloon Spanberger has released could signal an opening for his party in 2024. This would be a win-win for Virginia Republicans, because we have a stable of quality candidates who will likely seek the governorship in 2025, preceded in 2024 by an equally attractive slate of Republican candidates, he wrote in an email. If she does decide to run she could face competition from other Democratic leaders who have also hinted at a bid, including Richmond Mayor Levar Stoney and former Speaker of the House of Delegates Eileen Filler-Corn of Springfield. Youngkin cannot run again, but there are rumblings in Richmond that both Lt. Gov. Winsome Sears and Attorney General Jason Miyares are laying the groundwork for what could be a very competitive Republican primary. Two criminals wanted in double murder nabbed after encounter in Delhi. Image Source: IANS News New Delhi, Aug 16 : After multiple raids in the national capital and western Uttar Pradesh, Delhi Police have arrested three criminals who targeted shops and offices for armed robberies, an official said on Wednesday. The accused were identified as Mohd Kasim (65), Iliyas (47), and Shamim (41). According to the police, the Keshav Puram police station received a PCR call on August 7 regarding a roberry at a Patanjali mega store. The complainant, Desu Kuswaha told the police that at around 3.10 p.m. on the day while he and his colleagues were in the store, four individuals wearing helmets entered and stole the cash at gunpoint. The robbers also took four packets ghee weighing 1 kg each and fled. During investigation, the teams scanned more than 200 CCTV cameras spanning from Keshav Puram to Greater Noida. "The team zeroed in on the location of suspects in Morna village, Noida. The efforts bore fruits when the hideout of the suspects was identified and the accused were nabbed from various places in Delhi and western Uttar Pradesh," said the Deputy Commissioner of Police (northwest) Jitendra Kumar Meena. "Four firearms with 22 live cartridges, cash, documents, and a ghee packet were recovered from their possession." Giving details about the modus operandi of the accused, the DCP said that the gang used to target offices, shops, stores so that the victims' voice could not be heard outside. "They used to wear helmets to hide their identities. They used stolen vehicles to commit robberies and also carried loaded weapons to kill, in case someone resists. "The gang used to leave the area within minutes after committing the crime and travel to faraway places like Noida. It was also was learnt that they were committing robberies at the interval of two to three days in various parts of Delhi," said the DCP. --IANS ssh/ksk Mumbai, April 1 (IANS) Actor Ali Fazal will be seen romancing "Qubool Hai" fame Surbhi Jyoti in a new song titled "Aaj bhi", which is sung by Vishal Mishra.. Image Source: IANS News Mumbai, Aug 16 : Actor Ali Fazal, who is known for his work in 'Mirzapur', 'Fukrey' franchise, 'Bang Baaja Baaraat' and others, is set to grace the Off-Broadway stage in the world capital for Broadway and Off-Broadway productions, New York City. The talented actor will perform in an experimental drama. Ali Fazal's Off-Broadway debut will take place in a limited run of four weeks, showcasing his remarkable talent and versatility to theatre enthusiasts in New York City. Speaking about his upcoming Off-Broadway venture, Ali said: "It's a dream come true to be part of the Off-Broadway tradition, which has produced some of the most groundbreaking and innovative productions in history. I am thrilled to embark on this new journey and bring forth an experimental drama that I hope will resonate with audiences from diverse backgrounds." The theatrical production is directed by Alexander Malichnikov, and is scheduled to begin rehearsals in October, promising a compelling and captivating experience for theatregoers. Off-Broadway has always been an illustrious platform, graced by some of the biggest names in Hollywood, and Ali's inclusion marks an important step in diversity and representation in the world of theatre. Meanwhile, Ali will be soon seen reprising his role of Guddu Pandit in the upcoming third season of his superhit crime-thriller streaming show 'Mirzapur'. The series will soon drop on Prime Video. Bengaluru, Aug 16 : Reacting to the casteist remarks made by Kannada superstar Upendra, state Home Minister Dr. G. Parameshwara on Wednesday warned that such demeaning and insulting statements will not be tolerated. Speaking to reporters here, Parameshwara said the people who make such remarks"should understand that no one will tolerate this and we will also not tolerate it". "The habit of talking lowly about a community should be stopped. Whoever it is, it does not matter. There should be a discretion while using suck remarks in the present day. "Those who are quoting the proverbs or usages which demean communities should refrain from making such comments. We will not tolerate this development and initiate legal proceedings against it," he added. The state Minister went on to say that "I don't tolerate this kind of nonsense". "Don't they understand that their statement are insulting toa community? They should not do it and behave in such a way which causes pain to others." Two FIRs have been registered against Upendra, who is known for his unique content challenging the existing political system and traditions, for quoting a Kannada proverb which demeans the Dalit community during a Facebook Live session. Following widespread backlash against his remark, the actor tendered an unconditional apology and clarified that he didn't intend to hurt the sentiments of any section of the society. On Monday, the Karnataka High Court issued a stay order on the two FIRs after the actor approached it for relief. The Karnataka government had stated that action would be initiated against him. Gandhinagar, Aug 16 : In response to the ongoing strike by nephrologists in Gujarat from August 14 to 16, the state government has taken the decision to extend the operating hours of all 280 centers under the A-One Dialysis Programme across all talukas until late in the night. The A-One Dialysis programme currently operates across the state with 280 centres, facilitating approximately 4 lakh free dialysis procedures annually. The Institute of Kidney Diseases and Research Centre (IKDRC) Ahmedabad, which serves as the nodal agency for the programme, has issued a directive ensuring that no patient requiring dialysis should encounter any difficulties during this period. This move comes as a response to the protest by nephrologists throughout Gujarat who have refrained from providing dialysis services to PMJAY (Pradhan Mantri Jan Arogya Yojana) patients for a duration of three days. The protest is aimed at opposing the reduction in the dialysis rates provided to private hospitals under the PMJAY scheme. Group of youth create havoc with racing, stunts in Hyderabad's Ananthagiri Hills. Image Source: IANS News Hyderabad, Aug 16 : A group of youth created ruckus with car and bike racing and stunts in the suburbs of Ananthagiri Hills in Hyderabad. With Tuesday being a holiday on the occassion of Independence Day, the group indulged in drag racing in the forest area, a popular outing place with scenic beauty and waterfalls. Video clips of the youth doing stunts with cars and jeeps were widely circulated on social media on Wednesday. Some youngsters were seen filming the drag race and stunts on their mobile phones. The nuisance triggered panic among locals and the tourists thronging the Ananthagiri Hills. The youth indulged in the dangerous activity when the police personnel were busy with the Independence Day parade and celebrations. Families flock to Ananthagiri Hills for sight-seeing, especially on weekends. The place was teeming with tourists on Independence Day. Worried over their safety, tourists and local people have appealed to people to check this problem. Meanwhile, Bharat Rashtra Samithi (BRS) leader P. Karthik Reddy has urged the Vikarabad Superintendent of Police to stop this nuisance. "This should be stopped at any cost. These miscreants are not from our local area and are mostly from Hyderabad. Ananthagiri is such a peaceful and beautiful region and this behaviour will not be tolerated," tweeted Karthik Reddy, son of education minister P. Sabitha Indra Reddy. Patna, Aug 16 : The Bihar education department has decided to transfer those non-teaching employees who have completed 3 years or more at one place. Separate letters in this regard were issued by additional chief secretary KK Pathak to managing directors of Bihar Educational Project Council (BEPC) and Bihar State Educational Development Corporation (BSEDC), directing them to transfer officials and employees working here in state headquarters to districts if they have completed 3 years or more. The idea is to make every official efficient for their respective works. KK Pathak, after taking over the charge of additional chief secretary of education department is in action mode and taking tough decisions for teaching and non-teaching staff of the department. Even those students, who would not have 50 per cent attendance in schools, will be not allowed in the examinations, as per the the direction. Chennai, Aug 16 : The operating environment (OE) for Indian banks has strengthened as economic risks associated with the Covid-19 pandemic have ebbed, Fitch Ratings said in a report on Wednesday. Fitch also said other structural issues like lengthy legal process, 'bad bank' not playing a meaningful role hamper the OE. While the number of prudential indicators for the sector have also improved compared with pre-pandemic levels, though growing risk appetite in a relatively benign OE highlights the importance of appropriate buffers against potential stress, the credit rating agency added. Fitch revised its OE mid-point score for Indian banks to 'bb' from 'bb+' in March 2020, after assessing that the pandemic was likely to worsen the existing OE stresses facing the sector. According to Fitch, India was badly affected by the pandemic, but the associated risks have now receded. "Fitch affirmed the sovereign's rating at 'BBB-/Stable' in May and we currently forecast real GDP growth to average 6.4 per cent annually in the three years to March 2026 (FY23-FY25), putting India among the fastest-growing sovereigns in our rated portfolio," the report said. The easing of pandemic-related risks has been accompanied by a strengthening of capital buffers. The sector's average common equity Tier 1 (CET1) capital ratio rose to 13.4 per cent by FYE23, from 10.4 per cent in FYE18. This partly reflects around $50 billion in cumulative fresh equity provided by the sovereign to state banks since 2015, Fitch Ratings said. Earnings buffers also appear significant, with operating profits equivalent to around 2.8 per cent of risk-weighted assets by our estimate in FY23, up from 0.6 per cent in FY20. India's OE score continues to benefit from the economy's well-diversified structure, which helps to reduce banks' exposure to specific sector-focused shocks. The large size of the economy and India's favourable demographics should offer banks opportunities to generate profitable business and diversify risk and revenue. "We further expect banks to benefit from the gradual formalisation of the SME sector, through initiatives such as the Goods and Services Tax and rapid digitalisation (including of payment systems), which will improve the prospects for providing services at acceptable levels of risk to this substantial part of the market," Fitch said. Terming the regulatory developments in the Indian banking sector as mixed one since 2020, the ratings agency said the RBI also announced plans to implement expected credit loss provisioning for banks in FY24 as part of a shift to align with IFRS9 accounting standards, although implementation of IFRS9 for banks has already been delayed by nearly four years. It was introduced for non-bank finance companies in FY19. The Indian authorities, like many others around the world, introduced wide-ranging forbearance during the pandemic, which obscured banks' asset quality. Meanwhile, other structural issues continue to hamper the banking OE. India's lengthy legal processes remain a major impediment to the implementation of an effective framework for bankruptcy and resolution, and the "bad bank" that was incorporated in July 2021 has not played a meaningful role so far, Fitch added. The credit rating agency said Indian bank's loan portfolio has grown 15.4 per cent over FY23 numbers and it is partly due to pent-up credit demand following the pandemic, amid improved capacity for growth, especially among private-sector banks, as well as strong nominal GDP growth. "We expect some normalisation in FY24, although credit demand has remained robust in 1QFY24. However, rapid loan growth and higher exposure to certain asset classes is also likely to indicate greater risk appetite, amid stiff competition, which could raise sectoral risk if not managed carefully. India's private credit/GDP, at around 57 per cent in 2022, is already moderately higher than the median for sovereigns in the 'BBB' category, of 50 per cent," Fitch said. --IANS vj/ksk Mohit Raina on Anupam Kher: 'You learn from a senior just by observing them'. Image Source: IANS News New Delhi, Aug 16 : Actor Mohit Raina, who will be seen as the lead in Neeraj Pandey's riveting thriller series 'The Freelancer', has heaped praise on his co-star Anupam Kher, saying that he feels lucky to get the opportunity to work with him. When actors work together, they share a great camaraderie on-set. One such duo is Mohit and Anupam. Mohit learnt alot from the senior actor Anupam on 'The Freelancer'. Talking about the same, Mohit said: "Though Anupam sir is a legend for actors like me, he doesn't like to be called one. Getting to work with him is obviously a great opportunity, I feel lucky. You always learn from a senior even just by observing them." The series is based on the book - 'A Ticket to Syria' by Shirish Thorat. The book attempts to share the story about the insidious operations of the Islamic State, and how the terror group has perfected a cross-country operation that converts thousands to a depraved cause. Produced by Friday Storytellers, filmmaker Neeraj Pandey is the creator and showrunner. He is known for films like 'A Wednesday', 'Special 26', 'Baby', 'M.S. Dhoni: The Untold Story' and others. 'The Freelancer' is about an extraction mission where a girl is held captive in the war-torn environment of Syria, and her escape from the world of death. Set to release on September 1, on Disney+ Hotstar, 'The Freelancer' also features Sushant Singh, John Kokken, Gauri Balaji and Navneet Malik, Manjiri Faddnis, Sarah Jane Dias amongst others in pivotal roles. Mohit is best known for his portrayal of Lord Shiva in the television series 'Devon Ke Dev a" Mahadev'. He then starred in movies like 'Uri-The Surgical Strike', 'Mrs Serial Killer', 'Shiddat', 'Ishq-e-Nadaan'. The 41-year-old actor has also starred in web series like 'Kaafir', 'Bhaukaal', and 'Mumbai Diaries 26/11'. New Delhi : Clouds hover in the sky above the Delhi Legislative Assembly. Image Source: IANS News New Delhi, Aug 16 : The two-day session of the Delhi Assembly started on Wednesday following the implementation of the GNCTD (Amendment) Act 2023, which addresses the control of services in the national capital. The Assembly began by honoring the memory of those who lost their lives in the ethnic violence in Manipur and communal clashes in Haryana's Nuh. Additionally, they offered prayers for peace in the troubled northeastern state. The session also paid a tribute to the victims of the Balasore train accident, the five soldiers who fell victim to a terror attack in Poonch, the security personnel who lost their lives in Chhattisgarh, the casualties and property damage caused by heavy rains in Himachal Pradesh and Uttarakhand. The session is anticipated to become stormy following the presentation of the Delhi Services Bill. --IANS atk/ksk Guwahati, August 16 : A suspected United Liberation Front of Asom-Independent (ULFA-I) linkman was shot by the police in Assam's Sivasagar district while trying to flee from custody, officials said on Wednesday. However, the father of the accused Raju Ahmed claims police conspiracy, adding that his son had no links with the banned militant outfit. Ahmed was allegedly extorting money from local businesspeople and others under the guise of ULFA-I when he was apprehended by police in the Demow area of the district on August 12. "We received accusations that he was using the moniker ULFA-I to extort money from local businessmen. He was detained as a result of this," a Sivasagar police official said. Ahmed was transported to multiple locations after his detention as part of the investigation, the police said. Prasanta Kumar Bhuyan, a spokesperson for the Assam Police, said: "On Tuesday midnight he tried to flee from Demow police station by attacking the officials and our officials fired at him in defence." Ahmed was taken to a nearby government hospital by police after that, where it was discovered that he had many bullet wounds to the lower half of his body. According to sources, police have filed a new complaint against him for allegedly assaulting the officers who were on duty and trying to escape from jail. Meanwhile, Ahmed's father asserted that his son is innocent and was set up as a ULFA-I linkman. On Wednesday, he told reporters that the police had brought Ahmed to his house for an inquiry on August 12, just after the arrest, and that they had been accompanied by some questionable characters. "Five cops arrived that day, including the circle inspector and the VDP secretary. However, one individual, Raju Phukan, who was not a police officer, accompanied them," he claimed. Ahmed's father also said that Phukan arrived and sat next to his son, pulled a paper out of his pocket, and casually placed it next to him before the police began their investigation. According to the memo, Ahmed sought Rs 20 lakhs from a Shashant Borgohain on behalf of the ULFA-I. "Police took this into account as evidence but disregarded Phukan's behavior. It is suspicious because they overlooked Phukan's wrongdoing and still permitted him to join them in the probe. I think my son has been set up," the father said. The police, however, refuted the claims, asserting that they had arrested him with sufficient evidence and that more inquiries were ongoing. --IANS tdr/ksk The Danville Casino brought in about $21.4 million in casino gaming revenue during the month of July, according to figures from the Virginia Lotterys casino gaming activity report posted Tuesday. That is an increase from nearly $19 million generated in June. The casino generated nearly $15.9 million in revenues from its 767 slot machines and about $5.5 million from its 25 table games, according to the report. Julys gaming activity at the Danville Casino resulted in about $3.85 million in gaming-tax revenue, with nearly $1.3 million of that expected to go to the city of Danville, according to the Virginia Lottery. In addition, the city will receive a $535,210.36 direct payment from Caesars as part of their agreement, for a total of about $1.8 million, said Danville Finance Director Michael Adkins. Under the agreement between the city and Caesars, Danville gets 2.5% of the first $200 million in total gaming revenue from Caesars. Thats in addition to the gaming-tax revenue that goes to the city. That percentage will increase once gaming revenues at Danville Casino exceed $200 million. Before a casino referendum passed among Danville voters in November 2020, the city formed an Investing in Danville Committee to develop strategies for investing gaming-tax revenues from the casino. The gaming tax revenues purpose was not to support normal city government operations, but to go toward education, economic development, economic opportunity and financial stability. Caesars Virginias temporary Danville Casino outperformed Hard Rock Bristol casino last month. HR Bristol brought in about $13.5 million in gaming revenue. Rivers Casino Portsmouth generated about $22.3 million in July. During July, gaming revenues from Virginia casinos totaled $57.3 million. Virginia law assesses a graduated tax on a casinos AGR, or wagers minus winnings, and $10.3 million in taxes were paid to the Gaming Proceeds Fund, according to the Virginia Lottery. New Delhi, Aug 16 : The annual Matsutake Mushroom Festival being held from August 15-16, 2023 in the beautiful valley of Genekha, about an hour's drive from Thimphu. Genekha district is renowned for its production of matsutake and chanterelle mushrooms, and it is also the starting point for the famed Dagala Thousand Lake Treks. The two-day matsutake festival is an exciting event, which will celebrate the region's culture, tradition and diversity of the local communities. Designed to promote awareness among the locals on the sustainable harvesting of mushrooms and to showcase/exhibit Matsutake (Tricholoma matsutake) mushrooms, other wild edible mushrooms, and local cuisines, the Genekha Matsutake Mushroom Festival promises a wide range of activities for guests. These include indigenous sports, stalls displaying various local products, a display of wild mushrooms, other non-wood products, culinary options including mushroom soup, mushroom curry, and a display of high-breed agricultural seeds. The festival will also feature cultural performances that highlight the unique cultural heritage of the region, such as mask dances, folk dances and unique traditional cultural performances. Visitors can also expect to see various stalls and exhibitions where they can explore, learn and purchase local souvenirs, and sample authentic local mushroom foods. Genekha Valley, located between the Thimphu-Paro highway, is a picturesque place to visit. The valley boasts of a pristine natural environment and lush greenery, captivating many nature enthusiasts. Visitors can expect to see typical Bhutanese villages, traditional Bhutanese houses, temples and experience the unique culture of the valley. There are also plenty of hikes and treks in the area, including to monasteries and some sacred Buddhist sites or guests can embark on a long and adventurous trek such as Dagala Thousand Lake Trek. "The Matsutake Mushroom Festival in the picturesque valley of Genekha is a great opportunity for nature lovers and food enthusiasts to experience the untouched beauty of Bhutan. Enjoy the scenic views, listen to traditional music, and observe local dance and arts and crafts exhibits. We are excited to welcome visitors and locals alike, to this yearas Genekha Matsutake Mushroom Festival, to immerse themselves in the distinctive customs and culture of the Genekha Valley," concluded Dorji Dhradhul, the Director General of the Department of Tourism. (IANSlife can be contacted at ianslife@ians.in) Ramallah, Aug 16 : The Palestinian Central Elections Commission (CEC) said it is ready to hold municipal elections in the Gaza Strip. The Commission told Xinhua news agency that it is following the developments related to holding the municipal elections in the Gaza Strip. "The Commission is ready to hold these elections if the Palestinian cabinet issues a decision specifying a date for holding it," the statement said, adding that "the cabinet has a legal mandate to call for holding it in accordance with the elections law". The CEC statement was made after Hamas officials announced during a workshop held in Gaza on Monday with representatives of Palestinian factions' leaders and civil institutions. During the workshop, Hamas leader Zakaria Abu Muammar voiced hope for a national consensus and widespread support for holding the municipal elections in Gaza under the supervision of the CEC. Hamas is committed to ensuring free, fair and transparent elections, said Suhail al-Hindi, a member of the Hamas political bureau, adding that the movement "will respect the results of the elections and will support whoever wins and extend a helping hand to him". "The municipal elections are an urgent necessity for change in institutions and services in light of the difficult circumstances in the sector," said Munzer al-Hayek, Fatah spokesman in Gaza. Home to about 2 million people, the Gaza Strip has been under an Israeli blockade since 2007 and is currently ruled by the Hamas. New Delhi, Aug 16 : Expressing its disappointment over the acceptance of resignation of assistant professor Sabyasachi Das, the Department of Economics of the Haryana-based Ashoka University on Wednesday wrote an open letter to the governing body of the varsity saying the ''hasty'' acceptance has ruptured their faith. "The offer of resignation by our colleague Prof. Sabyasachi Das and its hasty acceptance by the University has deeply ruptured the faith that we in the faculty of the department of Economics, our colleagues, our students, and well-wishers of Ashoka University everywhere, had reposed in the University's leadership," the Department wrote in the letter. "Prof Das did not violate any accepted norm of academic practice. Academic research is professionally evaluated through a process of peer review. The governing body's interference in this process to investigate the merits of his recent study constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear. We condemn this in the strongest terms and refuse as a collective to cooperate in any future attempt to evaluate the research of individual economics faculty members by the governing body." "The Ashoka Economics department has been painstakingly built into what is widely considered amongt the preeminent economics departments in the country. The actions of the governing body pose an existential threat to the department. It is likely to precipitate an exodus of faculty, and prevent us from attracting new faculty,'' it added. The Department letter further said: "We demand that the University must demonstrably reaffirm its commitment to academic freedom by taking the following steps- Unconditionally offer Prof Sabyasachi Das his position at Ashoka, affirm that the governing body will play no role in evaluating faculty research through any Committee or any other structure." "Unless these questions regarding basic academic freedoms are resolved before the start of the Monsoon 2023 semester, faculty members of the department will find themselves unable to carry forward their teaching obligations in the spirit of critical enquiry and the fearless pursuit of truth that characterize our classrooms. We urge the governing body to address this immediately, but no later than August 23. Failure to do so will systematically wreck the largest academic department at Ashoka and the very viability of the Ashoka vision," it said. Earlier in the day, Ashwini Deshpande, Professor of Economics and Founding Director of Centre for Economic Data and Analysis (CEDA) at Ashoka University, said on X (formerly Twitter): "Our effort was 1: not reach a point where he felt compelled to resign; failing which, 2: persuade University to not accept. This could only be done through internal meetings, not public statements." Das resigned from the university after his paper suggesting voter manipulation in 2019 elections sparked a controversy. New Delhi, Aug 16 : A controversy erupted between Delhi Lieutenant Governor (L-G) V. K. Saxena and the AAP government on Wednesday over convening two-day session Assembly session. Rakhi Birla, Deputy Speaker, informed the House that Saxena has shot off a letter to Chief Minister Arvind Kejriwal on August 11, expressing objections to convening the Assembly session. A copy of the said letter was also shared with the assembly. In response, the L-G said: "Proposed third part of the Fourth session of Assembly should not have been summoned. Assembly sessions should be prorogued and fresh Assembly sessions should be convened with my approval. Due procedure should have been followed." However, Birla disagreed and emphasised that an assembly session is conducted in multiple segments rather than being prorogued. "The Delhi Assembly is operating entirely within the established rules. The Legislative Assembly holds the authority to determine the timing of a session. The Lieutenant Governor has leveled a significant accusation, but he should be aware that a new session cannot be convened unless recommended by the cabinet," she said. She additionally stated that the NCT Act, which outlines the functioning of the Delhi Assembly, does not include any requirement to hold Budget, Monsoon, and Winter sessions. The Government of National Capital Territory of Delhi (Amendment) Bill, 2023, commonly known as the Delhi Services Act, was approved by Parliament in the Monsoon Session and subsequently received the President's approval. New Delhi, Aug 16 : The Congress on Wednesday charged the Centre over alleged irregularities in several government schemes and projects as highlighted by the Comptroller Auditor General of India (CAG) and demanded Prime Minister Narendra Modi to break his silence. Addressing a press conference at the party headquarters here, Congress spokesperson Supriya Shrinate said: "It is a big issue of scams. The CAG, which does the auditing of the government accounts, has highlighted seven scams. Now we feel that Prime Minister and the government should carry out a raid on CAG, as how they are questioning the government." Taking a jibe at the Prime Minister, she said that he does nothing wrong and has built an image of honesty, but the CAG report questions it, adding that it must be a big international conspiracy. Citing the alleged irregulairities in the Bharatmala Project and Dwarka Expressway, the party spokesperson said that the cost of both the projects have escalated. She alao highlighted the irregularities flagged by the CAG in the Ayushman Bharat scheme, Ayodhya project works and in schemes and projects of the Union Rural Development Ministry. Firing salvos at the Prime Minister, Shrinate said: "All scams are happening under your nose. Will you break your silence? Will you take action? Will the government take action against Ministers of the said Ministries. Why was the amount of other schemes used for publicity?" The CAG has highlighted irregularities in several Central government schemes and projects with the opposition parties alleging corruption. --IANS aks/ksk Gurugram, Aug 16 : Raj Kumar aka Bittu Bajrangi, who was arrested for allegedly instigating the July 31 communal clashes in Haryana's Nuh district that killed six people, was on Wednesday sent to a one-day police remand. He was arrested on Tuesday and was produced before the court of the Additional Chief Judicial Magistrate (ACJM), Anjali Jain in Nuh on Wednesday. The ACJM's said that her daughter was also targeted and their car was set a blaze by the mob on July 31. Bittu Bajrangi has been accused of obstructing government work, snatching weapons, and misbehaving with the police. He was questioned in connection with a fresh FIR registered against him at Nuh's Sadar police station based on a complaint by Assistant Superintendent of Police (ASP) Usha Kundu. Before the Brajmandal Yatra in Nuh on July 31, Bittu Bajrangi had put out provocative videos on social media. A case was registered against him by the Faridabad police in this matter and he was out on bail. Meanwhile, the Vishwa Hindu Parishad (VHP) on Wednesday claimed that he was not associated with Bajrang Dal. "Rajkumar alias Bittu Bajrangi, who is being described as a worker of Bajrang Dal, has never had any connection with Bajrang Dal. The video released by him is also not considered appropriate by the VHP," it said. Bhopal, Aug 16 : Congress leader Digvijaya Singh on Wednesday apparently softened his stance on the Bajrang Dal , saying the grand-old party will not ban the right-wing outfit if voted to power in Madhya Pradesh. During a press conference at Congress headquarters in Bhopal, Digvijaya said, "We will not ban Bajrang Dal if voted to power in Madhya Pradesh as there can be some good people also, but we will not spare anyone involved in riots or violence." In May, he had stated that if voted to power in Madhya Pradesh, his party will file a case of treason against BJP and Bajrang Dal functionaries, alleging that "they spy for Pakistan's Inter-Services Intelligence (ISI)." Meanwhile, responding on whether the Congress would release the list of candidates for 66 assembly seats he had been assigned to review the poll preparations, he said, "the selection of candidates would be done by party's state election committee after consulting with the national election committee." The former chief minister had been assigned a mammoth task to strengthen the party's position in 66 assembly seats that the Congress has lost in more than three elections in the state. For that, he had met Congress leaders and workers of the Berasia in Bhopal on February 17, soon after the end of the Bharat Jodo Yatra. He had visited Budhni, constituency of chief minister Shivraj Singh Chouhan, Rehti, constituency of PWD Minister Gopal Bhargava, Khurai seat of Minister for Urban Administration Bhupendra Singh, Badnawar, constituency of Minister for Industrial Policy Rajvardhan Singh Dattigaon, Govindpura seat of former chief minister Babulal Gaur, now represented by his daughter-in-law and BJP MLA Krishna Gaur, Harsud seat of Forest Minister Vijay Shah, Sanchi of Health Minister Prabhuram Choudhary and Shivpuri, represented by Minister for Youth Welfare Yashodhara Raje Scindia. Bhatpara: BJP's Lok Sabha candidate from Barrackpore Arjun Singh and CPI-M candidate Gargi Chatterjee at a counting center at Barrackpore Rastraguru Surendranath College during the ongoing counting of votes cast for the 2019 Lok Sabha elections, in W. Image Source: IANS News Kolkata, Aug 16 : MP Arjun Singh on Wednesday said that the politicians should spend their money as much as possible before any investigating central agencies like Central Bureau of Investigation (CBI) or Enforcement Directorate (ED) seizes it. "If you have money, you should spend it as much and as quickly as possible. Otherwise, the money will be seized by CBI and ED," Arjun Singh said while addressing a meeting of party workers at Titagarh. He said that the money earned is not meant to be saved. "If you save too much, the central agencies might seize it. There is a necessity to spend and distribute it as much as possible," he said. Arjun Singh, a Lok Sabha member from Barrackpore Lok Sabha constituency in North 24 Parganas district of West Bengal, was officially elected as BJP Lok Sabha member. However in 2022, Singh joined TMC. His comments come at a time when TMC is already in the midst of controversy after several heavyweight leaders are behind bars in connection with the school job case and huge cash recovered from the twin residences of Arpita Mukherjee, a close aide of former West Bengal education minister Partha Chatterjee. Chatterjee is already behind the bars for his alleged involvement in the cash for school job case. Reacting to Singh's comments, the Leader of Opposition Suvendu Adhikari said that his comments are probably a reflection of his uneasiness about his existence in TMC. "Probably, he has realised that he might be denied nomination in the 2024 Lok Sabha polls. He is realising that the promises made to him for joining TMC have not been fulfilled," Adhikari said. Rahul shares experience of meeting people, leadership asks Delhi unit to prepare on all 7 LS seats. Image Source: IANS News New Delhi, Aug 16 : Former Congress president Rahul Gandhi on Wednesday shared experience of his visits to several areas of the national capital with the Delhi leaders. The Congress leadership has asked the Delhi leaders to start preparing for Lok Sabha elections on all the seven seats. The meeting between the Congress leaders and its Delhi unit took place at party headquarters which was chaired by Congress President Mallikarjun Kharge. The meeting was also attended by Rahul Gandhi, Congress General secretary (organisation) KC Venugopal, Delhi unit chief Chaudhary Anil Kumar, incharge Deepak Babaria, Alka Lamba, Haroon Yusuf, Ajay Maken and several others. Sources said that Rahul Gandhi shared his experiences of his recent visits to Azadpur Mandi, Mukherjee Nagar, Jama Masjid, Delgi University, Okhla Industrial area and others. They said that Rahul Gandhi told the party leaders that in all the seven seats in last Lok Sabha elections, Congress came second. Rahul Gandhi also said that people have lot of expectation from the party. Sources said that the Delhi unit has indicated the leadership not to forge an alliance with AAP in the Lok Sabha elections in the national capital while the party leadership has instructed the Delhi leaders to prepare for all the seven Lok Sabha seats. Meanwhile, Kharge in a tweet said: "In view of the Lok Sabha elections, a discussion was held with the leaders of Delhi Congress today. Reenergising of Delhi Congress is our priority, in which participation of all leaders and workers is necessary. We had made Delhi prosperous and happy, our struggle for the people of Delhi continues even further." "In leadership of Khargeji a meeting of Delhi Congress leaders took place. To strengthen the voice of people and progress of Delhi, Congress is dedicated to take every step," Rahul Gandhi said in a Facebook post. Incharge Deepak Babaria told media that no discussion on alliance with the AAP took place during the meeting. However, all the issues related to Delhi were discussed in view of preparing for Lok Sabha elections. He said that the leadership has asked to start preparing on all the seven parliamentary seats. Kochi, Aug 16 : Five days after a single bench of Kerala High Court dismissed a petition filed challenging the Kerala State Film Awards for the year 2022, the division bench also dismissed the petition on Wednesday. The appeal was filed by Malayalam film director Lijeesh M.J challenging the Kerala State Film Awards for the year 2022, which was declared by the Kerala State Chalachitra Academy on July 21. The appeal was filed challenging the decision of a single-judge who had recently dismissed the case after noting that the plea lacked evidence to prove the allegations of nepotism and bias. On Wednesday, the division bench noted: "We are of the opinion that the Single Judge has committed no error in making the decision. It is also pertinent to note, the film producer has not come forward with the claim made by the appellant. It is also pertinent to note the two members of the main jury have not filed the affidavit. Therefore, it is dismissed." The division bench also noted that the petitioner's movie, 'Aakaashathinu Thazhe' was not even selected by the preliminary jury of the Kerala State Award. The director pointed out that the similar allegations were also raised by Director Vinayan, who said that he had evidence against the jury members of the State Awards which can prove bias and nepotism on their part. He had also urged the Court to direct the state government and the Kerala State Police Chief to conduct an inquiry and take action against film Director Ranjith Balakrishnan, who is the present chairperson of the Kerala Chalachitra Academy, however the court dismissed the petition. A suspect has been arrested in a Portland shooting that led to two deaths, including a man who grew up in Corvallis. A Gresham resident at the time, Fortress Oriakhi went out to a music venue with friends to celebrate his birthday on June 22. He and Isidahomen Ikhuoria, who was visiting from Nigeria, were shot on the way home. Portland police announced they arrested 24-year-old Bennet Miller on Monday, Aug. 14 in the Mount Tabor neighborhood of the city. The arrest involved Portland homicide detectives and the Special Emergency Response Team, the Crisis Negotiation Team, and the U.S. Marshals Service Fugitive Task Force. Miller was held at the Multnomah County Detention Center on two charges of first-degree murder, unlawful use of a weapon, and delivery of cocaine. Oriakhi was invited by friends to a karaoke bar in Portland the night of his death, according to his father. He said when Oriakhi and friends were done at the bar, they headed out for the night, but made it less than 2 miles before tragedy struck. Authorities said a vehicle pulled alongside the pair at around 2:30 a.m. June 23 and someone shot them both before speeding off. Oriakhi, 29, tried to drive away but apparently crashed and died at the scene of the shooting in the Hollywood neighborhood. Ikhuoria, 25, was hospitalized and later died. Portland police in the area of Northeast Sandy Boulevard and Northeast Cesar E. Chavez Boulevard heard gunfire, and moments later found a vehicle crashed into a pole near Northeast Broadway and Northeast Cesar E. Chavez Boulevard, according to the city's police department. If anyone believes they have information regarding Millers involvement in these homicides, theyre asked to contact Detective Travis Law at travis.law@police.portlandoregon.gov or (503)823-0395. Stay up to date on mid-Willamette Valley news, wherever you go Easily access the latest Corvallis, Philomath and Benton County news in an app that lets you select the topics that matter most to you. Anyone wishing to submit a secure and anonymous tip regarding this case, or any unsolved felony crime should visit http://www.crimestoppersoforegon.com or visit the App Store and download P3 Tips for your smartphone or tablet. New Delhi, Aug 16 : Bihar Chief Minister Nitish Kumar paid tribute to late prime minister Atal Bihari Vajpayee on his death anniversary at 'Atal Samadhi Sthal' in Delhi on Wednesday. Bihar Chief Minister said that he cannot forget how he used to work with the late prime minister Vajpayee. "I came here to pay tribute to former Prime Minister Atal Bihari Vajpayee on his death anniversary. I can never forget how we used to work together. He was there when I took oath as the Chief Minister of Bihar for the first time," Nitish Kumar said. "During the Covid lockdown, we were unable to reach Delhi to pay homage. He gave us a lot of work. He helped us a lot. We were there when he was unwell," Nitish Kumar said. New Delhi, Aug 16 : Introducing three bills in the Lok Sabha, India prepares for a significant shift in its justice system. These bills aim to transform the system and eliminate colonial-era laws. They are not just amendments, but rather intentional steps towards progress, aiming to create a fair and inclusive future. The bills suggest a thorough revamp of important legal codes that have faced criticism due to their complexity, lengthy processes, and biasness. Beyond being mere amendments, these bills represent the pulse of societal growth. Revolutionary piece of legislation in its time, the Indian Evidence Act of 1872 made rules of admissibility of evidence in Indian courts uniform, and not prejudiced against certain people based on their caste, community, faith and social position. The law was based on the work of the renowned scholar and the then Law Member of the Viceroy's Executive Council, Sir James Fitzjames Stephen. It is now being proposed to be replaced by the Bharatiya Sakshya Adhiniyam The Bhartiya Sakshya Adhiniyam Amendment Bill focuses on modernising the Indian Evidence Act of 1872. With 170 sections, the bill introduces 1 new section, amends 23 sections, and repeals 5 sections. The amendments expand the definition of acceptable evidence to encompass electronic and digital records, such as emails, server logs, and smartphone messages. This change is expected to enhance the efficiency and reliability of evidence collection and presentation in court proceedings. The definition of 'documents' is expanded to include electronic and digital records, such as emails, server logs, computer files, smartphone and laptop messages, websites, and location data. "The Bharatiya Sakshya Bill, 2023 is a testament to modernise as well as Indianise the Indian Evidence Act. The 69th Law Commission Report, published in the year 1977, was the last major overhaul to the 1872 Act. Over the course of the decades, the 1872 Act witnessed some more changes, however a mammoth of a overhaul can be seen with the introduction of the Bharatiya Sakshya Bill, 2023," Advocate Akanksha Mathur said. Given the fact that the Act and the New Bill came after a span of decades, it is indicative that the New Bill has taken into consideration the electronic form of documents as well as technical/scientific documents so as to include the existing terms. "This is evident from the clause 2 (c) (vi) of the New Bill, which has included "(vi) An electronic record on emails, server logs, documents on computers, laptop or smartphone, messages, websites, locational evidence and voice mail messages stored on digital devices are documents," Advocate Mathur said. "Each of these are now admissible in evidence like any other traditional document. The said amendment appears to take into account the present times, where offences are often committed in electronic form rather than in the traditional physical form. Though from 2000 onwards, the Courts in various judgments had held that 'electronic evidence' will be a document in terms of S.3 of the Indian Evidence Act, 1872, the amendment has incorporated the judgment of the various courts and has added it to the Statute," Advocate Nitin Saluja told IANS. "This expansion in the definition of the term document, places the New Bill at the same pedestal of progressiveness as its young counterparts that assist the ease of co - dependance of law and technology," Advocate Mathur said. The net effect of the amendment is that all the electronic evidence will be proved or disproved in the court like any other evidence without being entangled in the technicalities of whether the particular electronic record can be considered to be a document or not, said Advocate Saluja. San Francisco, Aug 16 : Meta-owned messaging platform WhatsApp is rolling out a new forwarding message feature for channels on Android and iOS. The company posted a new message within the official channel, mentioning that users can now share channel messages with their friends and family, reports WABetaInfo. Users can check whether the new feature is available to their account by tapping a message and selecting the 'forward' action. "With the ability to forward messages from channels, WhatsApp is providing channel creators with a new tool to expand their audience by getting new followers," the report said. A new entry point to the channel will be included in the forwarded message, allowing recipients to easily follow the channel by selecting 'View channel'. The feature is currently available to some users that install the latest update of WhatsApp for iOS from the App Store and WhatsApp for Android from the Play Store, and is rolling out to more users over the coming days. Last month, the messaging platform had rolled out channels to seven more countries -- Egypt, Chile, Malaysia, Morocco, Ukraine, Kenya and Peru. According to the company, channels are a one-way broadcast tool for admins to send text, photos, videos, stickers and polls. The company had introduced WhatsApp Channels in June. Earlier, it was reported that the Meta-owned platform was working on a message reaction feature for channels. For this feature, the platform is expected to add a new section called "Channel settings." In that section, admins would be able to manage certain options for their channels. The company is expected to allow channel admins to control which reactions users can send to the channel. Thiruvananthapuram, Aug 16 : A week, after a Medical Board dismissed a police probe report that substantiated Harshina's claim that the scissors found in her abdomen was left by doctors at Kozhikode Medical College hospital during a caesarean section in 2017, the woman on Wednesday started her protest before the State Secretariat, here. Speaking to the media before she began her protest, she thanked Rahul Gandhi, her local MP from Wayanad, for taking time to meet her, when he was in the constituency last week and for writing to Chief Minister Pinarayi Vijayan seeking his urgent intervention. "Though the State Health Minister (Veena George) is trying to help me, nothing is happening apart from assurances. Now that I have begun my protest here, I will try to meet the Chief Minister as I have been suffering for long and my grievances have not been addressed," said Harshina. In his letter to Vijayan, Gandhi has drawn the attention of the plight of Harshina. "I would like to request you to kindly put in place adequate safeguards against such cases of gross medical negligence and establish effective grievance redressal systems so that victims are not forced to take to the streets for justice. I kindly request you to look into her case and offer her adequate compensation," wrote Gandhi to Vijayan. One reason why Harshina has decided to shift her protest from her home town in Kozhikode to the state capital was, she was upset when the Medical Board dismissed the report of the police which found serious lapses that happened at the Kozhikode Medical College during the time of surgery. The police report had indicted two doctors and two nursing staff of the Kozhikode Medical College hospital responsible for this goof-up, which has been dismissed by the Medical Board report. Harshina has been protesting for long and in March her protest was called off after she got assurances from Health Minister Veena George who promised her of action. When nothing happened, she again began her protest. The woman was "deeply upset" early this year when a report stated that there was no evidence which indicates that the scissors were left behind in her stomach by the Kozhikode Medical College hospital staff. Her troubles started when she went to the Kozhikode Medical College hospital on November 30, 2017 for her third delivery when this goof-up happened. She recalled experiencing recurring pain in her stomach, and despite numerous consultations and checkups, the pain did not subside. Eventually, a full-fledged radiological examination revealed that a pair of scissors was present in her stomach. The foreign object was then removed following surgery at the Kozhikode Medical College hospital last year in October. Chennai, Aug 16 : Tamil Nadu Chief Minister M. K. Stalin is scheduled to visit Ramanathapuram district on Friday to discuss issues faced by the fishermen community. The fishermen of the coastal areas of Tamil Nadu have been facing tough situation over the regular arrests and "intimidation" by Sri Lankan navy on charges of crossing the International Maritime Boundary Line (IMBL) and confiscation of mechanised fishing boats that costs a fortune. R. Mohammed Ismael, a fishermen at Ramanathapuram told IANS, "We are expecting Chief Minister Stalin to take up our issue with the Union Government and to bring up a permanent solution. The Sri Lankan Navy arrests us and are taken to the island nation where we are jailed and may be after two to three weeks we get released. But our costly mechanised fishing boats which is our lifeline is confiscated by the Sri Lankans. The boats are not released and ends up as a rusty structure. We want the Chief Minister to listen to us and bring up a solution to this." The fishermen of Ramanathapuram have been constantly demanding for the construction of a fishing harbour at Mandapam, Thangachimadam, and other suitable places in the district. The fishermen, also want an increase in the allotment of diesel per boat from 1800 litres to 2500 or 3000 litres. Fishermen and Mandapam also want the state to revoke the ban on sea cucumber as its population has greatly increased in the past two decades. --IANS aal/svn New Delhi, Aug 16 : A man was found hanging from a ceiling fan in his house in Delhi, a police official said on Wednesday. The deceased was identified as Parveen Parmeshwar (41). He used to make dosas on a movable rehri (cart) and was staying alone on rent. According to police, on Tuesday, a police control room call regarding a foul smell coming out from DDA flat in Bindapur was received at Bindapur police station following which a police team was dispatched for the spot. Upon reaching the spot, it was found that the flat was bolted from inside upon which the door was broken by the police officials. "One person was found hanging from a ceiling fan in the house," said a senior police official, adding that inquest proceedings are being carried out. New Delhi, Aug 16 : Delhi Lieutenant Governor (L-G) V K Saxena on Wednesday approved the proposal for creation of 37 additional posts of various categories in Delhi State Consumer Dispute Redressal Commission. The proposal for creation of additional posts was mooted in June 2020, keeping in view the pendency of cases. As on March 1, 2020, the total number of cases pending for disposal in the State Commission was 7760, which comprised 5848 complaints that included Execution Application and 1912 Appeals and Revision Petitions. This will provide a major fillip to transparency and speedy redressal of grievances of the common people, something that the L-G has been pioneering ever since he took over in May last year. On more than one occasions, he has flagged the lack of adequate mechanisms and provisions for redressal of grievances of the common resident of the City and has insisted that sufficient provisions be made for them, vide different fora provided by law. L-G had on different occasions, come down heavily on the AAP Government for not constituting bodies meant for transparency and grievance redressal in relation to the public distribution system under the NFS Act, 2013. L-G paved the way for creation of one post of Deputy Registrar, three Section Officer, Assistant Section Officer, six Senior Assistant, twelve Junior Assistant, 03 PS, one Steno and five MTS. The sanctioned strength of members at present is of five which includes the President and three courts, two division benches and one single member bench. The Finance Department had concurred to the proposal and will make financial provisions for the posts being created. It is to be noted that Ministry of Home Affairs on January 1, 1997 delegated powers to Delhi Government for creation of posts on both plan as well as non-plan side under Group a" A, B,C and D. Therefore, all categories of permanent, temporary or supernumerary posts in any office in the Department of GNCTD can now be created with the concurrence of the Finance Department and approval of the L-G. New Delhi, Aug 16 : A number of churches were vandalised in the Jaranwala tehsil of Faisalabad in Pakistan on Wednesday over blasphemy allegations, officials said, local media reported. A Christian leader, Akmal Bhatti, said the crowd had torched at least five churches and looted valuables from houses that had been abandoned by their owners after clerics made announcements in mosques inciting the mob, Dawn reported. Images on social media showed smoke rising from the church buildings and people setting fire to furniture that had been dragged from them. Jaranwala pastor Imran Bhatti told Dawn that the ransacked churches included the Salvation Army Church, United Presbyterian Church, Allied Foundation Church and Shehroonwala Church situated in the Isa Nagri area. He added that the house of a Christian cleaner, who was accused of blasphemy, was also demolished. Speaking to Dawn, Punjab province police chief Usman Anwar said the police were negotiating with the protesters and the area had been cordoned off. "There are narrow lanes (in the area) in which small two to three marla churches are located and there is one main church ... they have vandalised portions of the churches," he said. The official stated that efforts were under way to contain the situation by engaging with peace committees and police across the province had been activated. "The assistant commissioner of the area, a member of the Christian community, has also been evacuated after people turned against him," Anwar added. On the other hand, Christian leaders alleged that the police remained silent spectators, Dawn reported. Separately, Punjab Home Department spokesperson Amjad Kalyar confirmed that a request seeking the deployment of Rangers in the area had been sent to the department, but a decision was yet to be taken on it. Taking to X (formerly Twitter), President Bishop of the Church of Pakistan Azad Marshall said that Bibles had been desecrated and Christians were tortured and harassed "having been falsely accused of violating the Holy Quran", Dawn reported. Former senator Afrasiab Khattak condemned the incident and demanded that the culprits should be brought to book. "Pakistani state has failed to provide security to the worship places of people who follow religions other than Islam. Impunity to the crimes committed in the name of religion has emboldened extremists and terrorists," he added, Dawn reported. Balochistan Senator Sarfaraz Bughti also called on the Punjab government to enforce its full might to protect churches and Christian hope. "We, as a Pakistani, can't allow the madness happening in Jaranwala," he said on X. "We have a responsibility toward the minorities in our country, and we couldnat let the crisis worsen any further!" Bughti added., Dawn reported. New Delhi, Aug 16 : Delhi BJP MLAs attacked Chief Minister Arvind Kejriwal on Wednesday over the 'Sheesh Mahal' issue during a discussion about the lack of funds at the Delhi Jal Board). When the ruling AAP accused the Finance Secretary of blocking files, the BJP targeted Kejriwal's residence 'Sheesh Mahal' and questioned spending crores of rupees on it. The BJP MLAs demanded a debate and an investigation into the Sheesh Mahal issue. This occurred when a shortage of funds for the Delhi Jal Board (DJB) was being discussed during the ongoing two-day special session of the Delhi Legislative Assembly. It was informed that the DJB lacks funds, which has led to various development projects being put on hold. Initiating the debate, former Deputy Speaker and MLA from Sangam Vihar, Dinesh Mohaniya, stated that the agency's work is being hindered due to the Finance Department's failure to provide the necessary funds. Kolkata, Aug 16 : CPI(M) politburo member Surjya Kanta Mishra has been admitted to a state-run hospital in Kolkata on Wednesday after he complained of chest pain. Mishra, himself a medical practitioner, held portfolios of the state health, panchayat affairs and rural development departments in the previous Buddhadeb Bhattacharjee-led Left Front government. Having chest discomfort, Mishra went to the state-run SSKM Medical College & Hospital in Kolkata for medical check-up. After the initial check-up he got admitted to the hospital following the advice of the doctors. A five-member medical board has been formed to attend on him. Hospital sources said that some myocardial problems have been detected though there is no major concern over it. The myocardial problems, sources added, were because of the prolonged smoking habit of the veteran CPI(M) leader. Blood-test and some related medical examinations have been conducted and the medical board will decide over the line of treatment after the test reports are available. --IANS src/svn A Corvallis man is headed to prison for an investment fraud scheme that claimed to support Christian missionaries and organizations. Erik J. Hass, 53, was sentenced to 30 months in federal prison and three years of supervised release, according to a news release from the Oregon District of the U.S. Attorneys Office. He was also ordered to pay more than $1.75 million in restitution to his victims. In January 2013, Hass founded Simply Grains Inc., which he claimed supported Christian missionaries and organizations while offering significant returns for investors, according to court documents. Hass solicited members of his church, coworkers and acquaintances to invest in his organization via self-directed retirement and cash accounts, the news release states, noting that in exchange, he gave investors unsecured promissory notes and promised compounded annual returns of up to 30%. Stay up to date on mid-Willamette Valley news, wherever you go Easily access the latest Corvallis, Philomath and Benton County news in an app that lets you select the topics that matter most to you. Although Hass claimed he would only profit if monthly returns topped 2%, he started drawing a salary from investors funds and using the money for personal expenses, according to the news release. Throughout the scheme, Hass reportedly sent investors account statements showing fictitious gains and account balances and false IRS 1099-INT forms. Accepting payments well into 2018, Hass knew he couldnt honor his investment claims. Instead, new investments were used to fund Ponzi payments to older investors who had requested withdrawals from their accounts. In total, at least 20 investors lost more than $1.75 million combined, the news release states. In 2020, Hass was indicted on eight counts by a federal grand jury in Eugene, including with wire fraud, mail fraud, and money laundering. In February he pleaded guilty to five counts of wire fraud and two counts of mail fraud. New Delhi, Aug 16 : The Delhi High Court on Wednesday sought the response of the Central Bureau of Investigation (CBI) on the appeals by former coal secretary H.C. Gupta and former IAS officer K S Kropha against their conviction and jail terms for their involvement in irregularities in the allocation of a coal block in Chhattisgarh. Admitting the appeals, a bench of Justice Dinesh Kumar Sharma issued notice and said that both the appellants will remain out on bail till the matter stands disposed off. The judge said that the appeals will be listed in due course along with the appeals moved by the other convicts former Rajya Sabha MP Vijay Darda, his son Devender Darda and JLD Yavatmal Energy Pvt Ltd's Director Manoj Kumar Jayaswal. "Issue notice. The counsel for CBI accepts notice. The appeals are admitted and will come for hearing in due course," the court said. When Gupta and Kropha were granted bail by the special judge, they were allowed to challenge their conviction before the high court. On July 28, the high court granted interim bail to the Dardas and Jayaswal, who were handed four-year jail terms. The court enabled them to challenge their conviction before the high court. Judge Sharma had issued notice on the pleas moved by the Dardas and Jayaswal against the trial court order convicting and sentencing them in the case. The court had sought the CBI's response and had directed to file it within eight weeks. The Delhi court had awarded four-year jail terms to them while Gupta, Kropha and K.C. Samaria were handed a three-year jail sentence. The court had also imposed a fine of Rs 50 lakh on M/s JLD Yavatmal. A fine of Rs 15 lakhs each was also imposed by the special judge on the Dardas and Jayaswal. The other three convicts were directed to pay a fine of Rs 20,000 each. On July 13, Special Judge Sanjay Bansal delivered the verdict convicting them. The accused have been held guilty under Sections 120-B (criminal conspiracy) and 420 (criminal conspiracy) of the Indian Penal Code, and sections of the Prevention of Corruption Act. The court had earlier acknowledged the arguments presented by senior public prosecutor A.P. Singh, stating that the CBI has successfully proven its case beyond any reasonable doubt. During the hearing on the quantum of punishment, the probe agency had sought the maximum punishment, claiming that Darda and his son had met then CBI Director Ranjit Sinha at his residence to scuttle the investigation. It was further claimed that a witness in the case stated that he was threatened by Jayaswal, who tried to influence him not to depose against him. On November 20, 2014, the court had rejected the closure report submitted by the CBI in this case. The court had directed the probe agency to initiate a fresh investigation, citing that the former MP Darda had "misrepresented" facts in letters addressed to then Prime Minister Manmohan Singh, who also held the Coal portfolio. According to the court, Vijay Darda, who is the Chairperson of the Lokmat Group, resorted to such misrepresentations in order to obtain the Fatehpur (East) coal block in Chhattisgarh for JLD Yavatmal Energy. The court had ruled that the act of cheating was carried out by private entities as part of a conspiracy involving both private parties and public servants. JLD Yavatmal Energy was granted the Fatehpur (East) coal block by the 35th Screening Committee. Initially, the CBI alleged in its FIR that JLD Yavatmal had unlawfully concealed the previous allocation of four coal blocks to its group companies between 1999 and 2005. However, the agency later filed a closure report, stating that no undue benefit had been granted to JLD Yavatmal by the Coal Ministry during the coal block allocation. Surat, Aug 16 : Two women were brutally beaten with sticks by a group of men in Surat. The incident, that was captured in a video, has since gone viral on the internet, raising concerns about the safety and security of citizens. The incident was recorded on August 15. The incident unfolded in the Pandesara area of Surat in Gujarat, against the backdrop of a public uproar over an old murder case that had gripped the neighborhood. The victims, a mother-daughter duo, were members of a family that had actively sought to mediate and defuse tensions in the area arising from the murder case. Not only were the women targeted, but the men of the family also faced the assailants' brutal attack. The assault continued relentlessly until the victims managed to escape from their attackers, as depicted in the video. Surat Police is investigating the matter. London, Aug 16 : Levels of grey matter in two parts of the brain may be linked to a desire to start smoking during adolescence and the strengthening of nicotine addiction, a new study has revealed. A team of scientists, led by the universities of Cambridge and Warwick in the UK and Fudan University in China, analysed brain imaging and behavioural data of over 800 young people at the ages of 14, 19 and 23. They found that, on average, teenagers who started smoking by 14 years of age had markedly less grey matter in a section of the left frontal lobe linked to decision-making and rule-breaking. Low grey matter volume in the left side of the ventromedial prefrontal cortex may be an "inheritable biomarker" for nicotine addiction. In addition, the scientists found that the opposite, right part of the same brain region also had less grey matter in smokers. Importantly, loss of grey matter in the right prefrontal cortex appears to speed up only after someone has started smoking. This region is linked to the seeking of sensations, according to the study that appeared in Nature Communications. The team argued that less grey matter in the left forebrain could lower cognitive function and lead to "disinhibition" -- impulsive, rule-breaking behaviour arising from a limited ability to consider consequences. This may increase the chances of smoking at a young age. "The initiation of a smoking habit is most likely to occur during adolescence. Any way of detecting an increased chance of this, so we can target interventions, could help save millions of lives," said Professor Trevor Robbins, co-senior author from Cambridge's Department of Psychology. Annual deaths from cigarettes are expected to reach eight million worldwide by the end of the decade. "In our study, reduced grey matter in the left prefrontal cortex is associated with increased rule-breaking behaviour as well as early smoking experiences. It could be that this rule-breaking leads to the violation of anti-smoking norms," said Robbins. Profofessor Barbara Sahakian from Cambridge's Department of Psychiatry said: "The ventromedial prefrontal cortex is a key region for dopamine, the brain's pleasure chemical. As well as a role in rewarding experiences, dopamine has long been believed to affect self-control". "Less grey matter across this brain region may limit cognitive function, leading to lower self-control and a propensity for risky behaviour, such as smoking." The scientists also looked at the right ventromedial prefrontal cortex. Grey matter loss occurs in everyone as they age. However, those who smoked from age 14 as well as those smoking from age 19 both ended up with excessive grey matter loss in the right frontal lobe. Data at age 23 showed that grey matter volume in the right prefrontal cortex shrank at a faster pace in those who continued to smoke, suggesting an influence of smoking itself on prefrontal function, the findings showed. Mumbai, Aug 16 : Actor Ravie Dubey, who is gearing up for his upcoming streaming series 'Lakhan Leela Bhargava', spoke about a 28-minute long monologue in the series which he shot in a single take. Set in Allahabad, this heartwarming legal drama follows the life of Lakhan, a young lawyer defying the odds to succeed in his city. Born in complex circumstances, Lakhan becomes a symbol of resilience, adaptability, and audacity. The series also stars Sanvikaa Singh, Akshay Joshi, Saad Bilgrami, Bhuvnesh Mann, Ariah Agarwal, and Aradhana Sharma. Sharing the insight, Ravie said: "As an actor, it's our constant responsibility to push boundaries and deliver unique and engaging content to the audience. This 28-minute single-shot monologue was an exciting opportunity. We realised it was a winner that could set a precedent. We worked on the script overnight on the sets after our shift and shot it the next day in one take. Being a courtroom drama, the setting provided an opportunity to attempt something like this." The actor essays the role of a lawyer in the series. He further mentioned: "I'm pleased to report that it went wonderfully well, and we can confidently say that this is among the world's longest single-shot monologues. This sequence adds gravitas that enhances the impact of the show and creates a valuable asset we will cherish for a lifetime." Produced by Sumeet Chaudhry, Kewal Sethi, and Saurabh Tewari, directed by Abhijit Das and Abhay Chhabra, the series will be available to stream on JioCinema from August 21. Bengaluru, Aug 16 : Karnataka Congress is planning to pull prominent BJP leaders into its fold ahead of the upcoming 2024 Lok Sabha election in the state. Under the exercise -- named 'Operation Hasta' (Hasta meaning hand in Kannada) -- the Congress is looking to deliver a lethal blow to the BJP ahead of next year's Lok Sabha elections. Under 'Operation Hasta', the Congress will try to rope in senior BJP leaders into its fold, and if sources are to be believed, former BJP ministers S.T. Somashekar and M.T.B. Nagaraj are likely to join the grand old party. Both the leaders were in the Congress and had joined the BJP after it had launched 'Operation Lotus' in the state. Somashekar, who is the sitting BJP MLA from Yeshwanthpur, had recently announced at a public function that Deputy Chief Minister D.K. Shivakumar is his 'guru' (mentor). He had also said that whatever he has achieved politically was due to the blessings of Shivakumar. His remarks had embarrassed the BJP, which is in bad shape following the humiliating defeat in the recently-held Assembly elections. Former minister M.T.B. Nagaraj belongs to the Kuruba community and has made his displeasure public by saying that after joining the BJP, he is not able to win elections. Sources close to Nagaraj said that he had joined the BJP quitting his position as a Cabinet minister, but the BJP failed to address his interests and did not allot him the ministry of his choice. Former minister N. Muniratna, BJP MLA from RR Nagar, who had also left the Congress and joined the BJP, clarified that he would retire from politics rather than joining the Congress again. "I won't knock on the doors of Congress. I will also not try to convince any leader. If anyone wants to quit BJP, it will be their decision. I can only wish good luck to them. Out of the 17 leaders who joined the BJP from Congress and JD (S), I don't know about rest, but I can assure about myself that I am not going back," he said. Senior leader H. Vishwanath, who had also joined the BJP from the Congress, has already said that he will return to Congress. Sources said that Deputy CM Shivakumar has taken a special interest in 'Operation Hasta'. When asked about 'Operation Hasta', Shivakumar said that Congress' main aim is the Lok Sabha elections. "We have given directions to the party workers not to pursue hate politics and to increase the vote share of the party. At the grassroots level, there is a need for adjustment in politics. "It is a decision left to the local leaders as to who they want to invite into the party fold. Where Congress is week in the state, the decision will be taken in the interest of the party," he said. Amritsar, Aug 16 : Shiromani Akali Dal (SAD) leader Bikram Singh Majithia on Wednesday demanded the registration of a first information report (FIR) and arrest of Aam Aadmi Party (AAP) legislator Amarpal Singh for summoning a Sub-Inspector to his office and getting him beaten by confidantes. Majithia told the media here that "even though Sub-Inspector Kailash Chander was summoned by the legislator and beaten up when he was sitting in his office, an attempt is being made to dilute the case by registering an FIR against two AAP office-bearers". Giving details, Majithia said the Sub-Inspector had in his complaint mentioned that he was told by the Station House Officer (SHO) that he had been summoned by the legislator and should meet him. He said following this when the Sub-Inspector reached the MLA's office one of the latter's closest confidantes and convict undergoing a 10-year imprisonment thrashed him and even disrespected his turban. The Sub-Inspector said even as the convict, Devender Singh, who is on bail, beat him up, another close confidant of the legsilator, Harjinder Singh, threatened him with dire consequences. He said all this happened when the legislator was sitting in his office. Demanding the arrest of MLA Amarpal Singh in the case, Majithia said "since the legislator had called the Sub-Inspector to his office and the police officer was beaten up in the MLA's office the latter is the prime accused in the case and should be proceeded against immediately". He also demanded that the case be probed by a judge since the Batala police could not be trusted to take action against the legislator. "The Batala SSP took 10 hours to register a case against the legislator's supporters Devinder and Harjinder, who are Youth President and Circle President of AAP in Hargobindpur, respectively, and are covering up Amarpal Singh's involvement in the case." The SAD leader also said how attempts were being made to force the Sub-Inspector to compromise. Bengaluru, Aug 16 : Karnataka Minister for Education Madhu Bangarappa on Wednesday said that the government will distribute eggs and bananas twice in a week to the students studying in government schools in the state. Talking to reporters, Minister Madhu Bangarappa said, the scheme of distribution of eggs and bananas to students will commence from Aug 18 and it is going to be inaugurated in Mandya district first. "Earlier, it was planned to extend the scheme till 8th standard. Now, a decision has been taken to extend the scheme up to 10th standard. The scheme is being implemented to ensure providing nutritious food to the students," he explained. He said that the eggs and bananas will be given along with the mid-day meal. Earlier, the Karnataka government's decision had triggered a controversy in the state. The decision had angered a section of the society who demanded that eggs shouldn't be distributed in school premises as it encourages discrimination among school going children. Another section supporting the egg supplement to school children claimed that this project shouldn't stop as protein supplement is badly needed by the students. They maintained children who have better access to better nutrition have better education outcomes. The BJP-JD (S) coalition government headed by H.D. Kumaraswamy had rolled back its project of distributing eggs to school children in 2007 succumbing to pressure from religious groups. However, the previous BJP government implemented the scheme. Then Education Minister B. C. Nagesh stated that the project has been implemented amid people opposing and vouching for it. "There is no substitution for egg as such. There is soya bean, but children won't eat it. The project has been implemented with an intention to address malnutrition among children," he had stated. San Francisco, Aug 16 : At 92, Australia-born American media mogul Rupert Murdoch is reportedly dating Elena Zhukova, a 66-year-old retired scientist, the media reported, According to Daily Mail, citing sources, Murdoch started dating Zhukova after meeting her through his third wife Wendi Deng. The billionaire was spotted with Zhukova boarding a rented superyacht named 'Christina O' in the Mediterranean last weekend. The luxury yacht is said to have been spotted off the coast of Corfu, Greece. Murdoch's third wife is friends with Zhukova's daughter Dasha Zhukova, who was married to Russian oligarch and former owner of Chelsea FC, Roman Abramovich. According to the report, Zhukova is a molecular biologist and specialist in diabetes. She was divorced twice and has lived in the US for over three decades after leaving Russia in 1991 with her daughter. Before retiring, she worked at the medical research unit in the University of California, Los Angeles (UCLA). The report mentioned that she spends most of her time with her grandchildren -- Dasha and Roman. Murdoch, who has been married four times, ended his last marriage by divorcing Jerry Hall in August last year. Earlier this year, he called off his engagement with Ann Lesley Smith, two weeks after announcing it. As per the report, he proposed to Smith with an 11-carat diamond ring worth over $2.5 million. Murdoch was last married to Jerry Hall, a model and actress, for six years. In addition to Hall, Murdoch was previously married to Deng from 1999 to 2013; Anna Maria Torv from 1967 to 1999; and Patricia Booker, from 1956 to 1967. Murdoch serves as the chairman of Fox Corporation, a publicly traded entity that controls Fox Broadcasting, Fox Sports, Fox Business, and Fox News. As the head of News Corporation, he also owns the New York Post, in addition to the Wall Street Journal, The Sun and the publishing house Harper Collins. New Delhi, Aug 16 : During the two-day Delhi Assembly session, both the AAP and the BJP said that due to a lack of funds with the Delhi Jal Board, they were unable to proceed with development work. Bharatiya Janata Party MLA Mohan Singh Bisht stated that as a BJP MLA, he was not being provided funds for development in his area. He mentioned needing funds for new work related to DJB in his constituency. Bisht emphasized that being in the opposition meant being the eyes and ears of the government, so the opposition should not be ignored. In response, AAP MLA Durgesh Pathak remarked that if the opposition is indeed the eyes and ears of the government, then the BJP should consider revoking the suspension of Raghav Chadha. Pathak discussed issues related to DJB, pointing out that sewer pipelines in his area were last laid about twenty five years ago and needed replacement. However, due to a lack of funds with the DJB, this task could not be accomplished. He noted that changing the pipelines would provide people with pure water and prevent sewer overflows. He highlighted that in some areas, people were getting contaminated water that could make them fall ill, and he called for action against the officials responsible for it. Pathak expressed surprise at the fact that the CO of DJB has been on leave for the past three months, particularly during a time when Delhi faced flooding. Aam Aadmi Party MLA Mahender Goyal while raising DJB issues challenged the Delhi Lieutenant Governor (LG) to contest from his constituency and win. He said even a small AAP worker would defeat the LG in the election. Hyderabad, Aug 16 : Bharat Rashtra Samithi (BRS) legislator K. Kavitha on Wednesday slammed Congress leader Rahul Gandhi over his allegation that democracy and Constitution are under threat in Telangana. She said as long as K. Chandrasekhar Rao is the Chief Minister of Telangana, there is no threat to democracy and the Constitution. "Rahul Gandhi says that the Constitution and democracy are under threat, but the truth is that Telangana has a powerful leader and a powerful democracy, and the Constitution is thriving under the leadership of CM KCR," she said. Taking a jibe at Rahul Gandhi over his Bharat Jodo Yatra, she said that it was like a cat undertaking a Haj yatra after killing 100 mice. The member of Telangana Legislative Council said this while addressing a public meeting in Bodhan, one of the Assembly segments of Nizamabad Lok Sabha constituency, from where she plans to contest the next year's election. Kavitha appealed to voters of Bodhan to re-elect sitting MLA Shakeel Ahmed in the Assembly polls due to be held later this year. Kavitha, daughter of Chief Minister K. Kavitha, dismissed the talk that Muslims in Telangana were contemplating to back the Congress party in the coming elections. Claiming that KCR brought a revolution in a decade, she asked minorities to ponder. "Why should you vote for Congress? How many years do you want to give them a chance," she asked. She questioned the Congress what it has done for Muslims in its 62-year-rule in the country since independence. "The Congress talked of removing poverty but it removed the poor," she remarked. Reacting to the Congress party's programme "Thirgabadam Tharmikodham", Kavitha said that the Congress leaders cannot bear if the BRS workers revolt. She claimed that only a devoted and powerful leader like CM KCR can ensure harmony, development and empowerment of every section. She said that BRS wants to create a similar atmosphere across the country. The MLC said that after the formation of Telangana state, the BRS government provided schemes to every household without discriminating against people in the name of caste and religion. She said that some elements in India divide people in the name of caste but in Telangana, self-respect buildings are being built for each caste in Hyderabad and the government is helping all caste professions. "On similar lines, some elements in the country try to divide people in the name of religion but in Telangana, the government celebrates the festivals of all religions," she said. Lebanon firefighters overcame an equipment malfunction to extinguish a pair of small fires in the area of Gills Landing RV Park in Lebanon. Dispatch initially reported a small vegetation fire burning trees along the South Santiam River in the early afternoon of Tuesday, Aug. 15, according to a Lebanon Fire District news release. Responding crews found two small fires burning near a camp along the river and deployed a small fire pump in the river, the news release states, but the pump reportedly malfunctioned, so a brush truck was put to work instead. The fire was under control in around 15 minutes, according to the release, and hand tools and chainsaws were used to mop-up and make a trail around the burned area. No injuries were reported. The cause of the fire is under investigation. Stay up to date on mid-Willamette Valley news, wherever you go Easily access the latest Albany and Linn County news in an app that lets you select the topics that matter most to you. Lebanon Fire District responded with 14 firefighters on three brush trucks, a ladder truck, water tender and three staff vehicles. Lebanon Police Department assisted in locating the fire. Dubai, Aug 16 : India batter Shubman Gill reached his career best 25th position in the latest ICC Men's T20I Player Rankings, released on Wednesday, following a half-century in the fourth match of the recently concluded series against West Indies in Lauderhill last week. The 23-year-old Gill has moved up 43 places after scores of 77 and nine in the last two T20Is of the five-match series that the West Indies won 3-2. His previous best in the format was 30th position, attained in February, after he registered Indiaas highest score in T20Is, an unbeaten 126 against New Zealand in Ahmedabad. Gill's opening partner Yashasvi Jaiswal, with whom he added 165 runs in the fourth match, has also made rapid progress in the latest weekly update, to reach 88th position for his player of the match; effort of 84 not out off 51 deliveries. Meanwhile, West Indies opener Bandon King's 85 not out off 55 balls has lifted him five places to a career-best 13th position while Kyle Mayers (up two places to 45th) and Shimron Hetmyer (up 16 places to 85th) have also moved up. In the rankings for bowlers, West Indies' left-arm spinner Akeal Hosein is up three places to 11th and Jason Holder is up two places to 25th after they bagged two wickets each in the final match while Romario Shepherd's match-winning four-wicket haul has lifted him 20 slots to 63rd position. India's left-arm wrist-spinner Kuldeep Yadav has progressed 23 places to 28th position after grabbing two wickets in the fourth match. New Delhi, Aug 16 : The Delhi High Court has granted bail to a man accused of sexually assaulting his daughter. The court, however, took into consideration the ongoing matrimonial dispute between the girl's parents and raised concerns about the possibility of false implication. Justice Vikas Mahajan, presiding over the case, observed that the girl had been residing with her mother for over four years. He also noted a significant delay in the registration of the First Information Report (FIR). The court noted that multiple cross FIRs had been filed by both the mother and father, with no mention of the alleged incidents of sexual assault in the earlier complaints. While acknowledging the seriousness of the allegations, the court said that it could not ignore the context of the matrimonial dispute. The court pointed out the potential for the complainant to have influenced the minor girl's testimony due to her custody, thus casting doubt on the accused's involvement. The petitioner, the father in this case, had claimed marital discord with his wife and had said that their daughter, aged around 15, was living with her mother, while their minor son, aged 10, was in his custody. He alleged that his wife was living with a police officer who was aiding her in filing baseless complaints against him. The petitioner was sent to judicial custody after he was arrested on February 21. The court noted that the alleged incidents had occurred between 2019 and 2022, yet the complaint was only lodged in 2023, indicating a substantial delay in filing the FIR. While stressing the purpose of custody as ensuring the accused's availability for trial rather than being punitive, the court said that the conclusion of the trial might take a considerable amount of time. Hence, the court granted bail to the petitioner under certain conditions, including a personal bond and the requirement not to contact the alleged victim or witnesses. Mumbai, Aug 16 : Under a cloud since the past few days, Nationalist Congress Party (NCP) President Sharad Pawar on Wednesday reiterated his loyalty to the Maha Vikas Aghadi (MVA), and also launched a scathing attack on the Bharatiya Janata Party. "There's no question of joining the BJP I am very much with the MVA and we are preparing for the upcoming national opposition alliance meeting in Mumbai," Pawar told mediapersons in Chhatrapati Sambhajinagar, scotching all political speculation. He also rubbished reports that the MVA allies Congress-Shiv Sena (UBT) have allegedly kept 'Plan B' or 'Plan C' ready to fight the upcoming Lok Sabha, Assembly or civic elections minus the NCP (SP). Congress' Leader of Opposition Vijay Wadettiwar and ex-Chief Minister Prithviraj Chavan have contended that the BJP and Prime Minister Narendra Modi had laid a precondition for Ajit Pawar that he could be the CM only if he succeeds in bringing along his uncle to the saffron fold, and he would be rewarded with a Central Cabinet berth or the chairmanship of NITI Aayog. "There is no such thing This rumour is only in the media, the reality is different. When I met Ajit Pawar last week, he did not bring up any such proposal for me," said Pawar, referring to Wadettiwar and Pawar's charges on Pawar uncle-nephew's 'secret' meeting recently. Training guns on the BJP and Modi, Sharad Pawar said that the saffron party is indulging in spreading hatred among the people and its decisions result in dispute or bitterness among various castes/religions in the society. "On the day of the no-confidence motion, the PM spoke on Manipur for 2 minutes and on other issues for 2 hours He did not think it was important or that he should go to Manipur and boost the peoples' confidence there," Pawar said. A former Union Defence Minister, Pawar cautioned that Manipur is near the China border and hence more attention is required, but everything happening there for the past over three months is dangerous for the country. Taking a dig, Pawar referred also to Modi's I-Day speech where he declared that he would return to power in 2024. "The current mood in the country is not conducive for the BJP' return No matter how much he claims to 'come back', he will end up like Devendra Fadnavis," said Pawar, in a swipe at Fadnavis' often-repeated slogan of 'Mee Punha Yaeen' (I will return) during the 2019 election campaign. The NCP supremo also said that efforts are underway to undermine elected Opposition parties' state governments as was seen in Goa, Madhya Pradesh or Maharashtra and elsewhere. He said the people will not tolerate all this anymore and they will teach the BJP a lesson. Los Angeles, Aug 16 : Bradley Cooper's upcoming film 'Maestro' has gained a lot of attention, particularly due to the notoriety it has earned for the use of a prosthetic nose on the actor's face which earned it a lot of criticism. The film has also now created a 'Jewface' controversy. Jewface is a term that negatively characterises stereotypical or inauthentic portrayals of Jewish people. In the teaser that offers a glimpse of Leonard Bernstein's love story with Felicia Montealegre, Cooper wears a large prosthetic nose to portray the conductor. This sparked the Jewface debate, with many people accusing the film of promoting Jewish stereotypes with exaggerated facial features and the casting of a non-Jewish actor in a Jewish role. Furthermore, the fake nose in particular has been said to be completely unnecessary. The 48-year old actor best known for his roles in films such as 'The Hangover' series, 'A Star is Born' and voicing the character of Rocket Raccoon in the MCU films, plays the role of the legendary musical conductor in the film opposite Carey Mulligan, who portrays his wife Felicia Montealegre, with the movie examining their relationship. On social media, many users sounded off on the size of the prosthetic - which was visible as he filmed the movie in 2022 - with some using photos to show that Bernstein's nose was not close to that size. Taking to X (formerly known as Twitter), one netizen wrote: "It seems completely unnecessary to have gone in that direction given the uncanny resemblance." Another user said: "The real Leonard Bernstein did not have the funny nose that Bradley Cooper is wearing in Maestro." Another bewildered user wrote: "Bradley Cooper is putting himself in an insanely large prosthetic nose to play a Jewish man in maestro and we're all just supposed to act like that's cool and normal?" Another netizen wrote: "Just looked up a picture of the real Leonard Bernsteina. the big anti-Semitic prosthetic nose on Bradley Cooper was definitely not necessary...." Another critic noted: "Bradley Cooper's own nose is larger than the actual person." 'Maestro' is an upcoming biopic directed by Bradley Cooper, and is centered around the life of famous composer Leonard Bernstein, his professional life as a composer, and his personal life including his marriage and his sexuality. The movie will be released on September 2, 2023. Guwahati, Aug 16 : Senior BJP legislator in Assam, Siddhartha Bhattacharya, has denied any involvement in the suicide of BJP Kishan Morcha Secretary Indrani Tahbildar, and urged the state unit president to conduct a thorough investigation into the matter. His clarification in the matter came after a telephone conversation in which Bhattacharya was held responsible for leaking the intimate pictures of Indrani and prime accused Anurag Chaliha went viral. In a letter addressed to Assam unit BJP president Bhabesh Kalita, Bhattacharya wrote: "My name has been surprisingly mentioned repeatedly in connection with the suicide of a party worker. In some telephonic conversations, some people have uttered my name without any connection to the case." He asserted that the party should ensure a thorough examination into the situation after stating this. "I demand the incident be thoroughly examined. Persons involved in the matter should get punishment. The party should punish me appropriately if there is any proof that I was involved in this incident," the BJP leader mentioned in the letter. Bhattacharyya, moreover, mentioned in the letter that even though he actively rendered many duties for the party in the past, currently, he was not given any responsibilities. He also alleged that conspiracies were hatched by a section of party workers to malign the image of senior leaders like him. Reacting to this, Bhabesh Kalita said that anyone who violates the party's disciplines would be penalised. Stating that Siddhartha Bhattacharya is a senior leader of the party, Kalita said: "He must be given respect. Insulting him cannot be tolerated." He further mentioned that in order to fully investigate the situation, he had called the Guwahati Police Commissioner. "From now on, new members will only be admitted to the party after having their backgrounds and profiles verified. The core team will be in charge of everything," Kalita said. 'Barbie' beats 'Oppenheimer' at the box-office, but together, the two topple all-time records. Image Source: IANS News Los Angeles, Aug 16 : Greta Gerwig's mammoth hit 'Barbie' which is currently grossing over $1.18 billion globally has been banned in several countries with the latest name being Algeria, due to the country believing that the movie promotes homosexuality while being divided on the movie's LGBTQ+ themes. According to The Hollywood Reporter, Algeria is only the latest name to join the list, as several countries in the Gulf and Middle East, with the exception of Israel, the UAE and Saudi Arabia have completely banned it, such as Kuwait, Qatar, Bahrain and Lebanon. Algerian Censors have stated that they believe 'Barbie' attempts to subtly impose Western values while attacking the country's values, cultural ethos and institutions, as well as overly promote homosexuality and create an LGBTQ tide in the country. The Lebanon ban came as a surprise to many because the country is generally believed to be quite liberal when it comes to movies, though Lebanon too had argued that the movie was trying to impose Western values on to the country, and many other 'Western depravities', particularly in regards to LGBTQ which the country is not very fond of. The country's minister of culture, Mohammad Mortada said that 'Barbie' "promotes homosexuality" and "contradicts values of faith and morality" by diminishing the importance of the family unit. Qatar had called 'Barbie' a 'depraved movie' while Kuwait showed no appreciation for it. The UAE and Saudi Arabia faced a long debate before finally allowing the film to release with a few edits, as they were overall satisfied with the film, in a surprising turn. Other countries that have banned the film include Russia which believes the film overly promotes wasteful consumerist attitude, Vietnam and Philippines due to the 'Nine Dash Line' map which they think strengthens China's claims over the South China Sea. The movie tanked in other big Hollywood markets such as China and South Korea while not doing very well in other big international markets such as India, Mexico and Australia. Nonetheless, the movie has earned a massive $1.18 billion due to extreme popularity in the West and has become Greta Gerwigas highest grossing movie to date, making her the biggest grossing female director. New Delhi, Aug 16 : Congress leader Alka Lamba on Wednesday said that they will contest the upcoming 2024 Lok Sabha elections without independently, leading to strong objections from the Aam Aadmi Party (AAP). "Now the Congress has termed Lamba's statement as premature," AAP Spokesperson Priyanka Kakkar told IANS. AAP sources have indicated that the party has decided not to attend the meeting of the opposition-led INDIA if Congress chose to contest all seven seats in Delhi independently. However, they said that the final decision will be taken by party high command. "We have observed the statement of Delhi Pradesh Congress Committee President. If Congress has indeed chosen to contest alone in Delhi, there may be no need to attend any INDIA meeting. But the decision will be taken by party leaders," AAP sources said. --IANS atk/dan New Delhi, Aug 16 : Minister for Health and Family Welfare Mansukh Mandaviya held a meeting with the Steve Barclay, Secretary of State for Health and Social Care of the UK. During their meeting, they discussed collaboration in telemedicine services, among others. "Productive meeting with Steve Barclay, Secretary of State for Health and Social Care of the UK. India and the UK are committed to a partnership that delivers for both countries," Mandaviya wrote on X (formerly twitter). He said, "Discussed collaboration in Telemedicine services to develop an India-UK Digital Health Partnership." "Also, discussed the harmonization of regulatory practices, nurse and allied health professionals recruitment and building supply resilience and helping to ensure continuity of supply of medical products," added Mandaviya. Los Angeles, Aug 16 : The American fantasy drama series 'Warrior Nun' is now being resurrected and brought back from the grave after it got killed following its two season run. It will be released as a trilogy of films, as revealed by the show's executive producer Dean English. According to The Hollywood Reporter, sometime in end of July, series creator Simon Barry credited an intense fan campaign to revive the show after its cancellation: "Today I'm happy to officially report that because of your combined voices, passion and amazing efforts a" Warrior Nun will return and is going to be more EPIC than you could imagine. More details to come! SOON! Thank you!!" Nonetheless, not a whole lot of details are available at the moment. The sources at THR reported shortly after Barry's tweet that the resurrection of 'Warrior Nun' wouldn't be returning to its old home, Netflix. Talks with the show's rights holders, Englishas Perfect Circle Productions and Productivity Media, were ongoing at the time Barry shared the news on social media. On August 15, Barry shared some of the details, which were finally revealed, with English confirming the feature film plan in a YouTube video and in a statement posted on a website set up to save 'Warrior Nun'. "I need to start by thanking all of you loyal fans. Itas because of you and your incredible energy that we keep pushing forward to make these stories. You guys really make it all worthwhile. So thank you so much for your continued support," English said at the start of the clip. "I am very happy to announce that Warrior Nun is coming back as a trilogy of motion pictures. Once again, a trilogy of feature films. Three," he added. However, he also said that due to the ongoing WGA and SAG-AFTRA protests, he could not give any details or make any announcements as things have become unexpectedly complicated. "One thing we need to touch on involves the strike in Hollywood involving actors and writers. And itas due to that, that we cannot make any announcements today on that front," he added. Based on the comic book 'Warrior Nun Areala' by Ben Dunn, the show follows Ava (Alba Baptista), a young woman who's resurrected after death by a divine artifact that's implanted in her back. The show streamed on Netflix in 2020 and was renewed for a successful Season 2, after which it got cancelled in 2022 leading to many demands for the show to be brought back. New Delhi, Aug 16 : In a draft SOP (Standard Operating Procedure) submitted for consideration of the Supreme Court, the Union government has said that personal presence of government officials in courts should be sought only in exceptional cases, and not as a matter of routine. "Howsoever, in exceptional cases too wherein in-person appearance of government official is still called for by the court, the court should allow as a first option, to appear before it through VC (video conference)," the SOP said. The SOP placed reliance upon the earlier judgments of the Supreme Court providing that courts should practice necessary restrain while summoning government officials during hearing of cases like writs, PILs and contempt cases. Referring to an instance wherein the Patna High Court reprimanded the Principal Secretary for Housing and Urban Development of the Bihar government for "inappropriate attire" though he was dressed in a formal white shirt and trousers, the Centre said that courts should refrain commenting on dress or physical appearance of government officials. Further, the judge of the Patna HC had asked if the officer had attended the civil service training institute in Mussoorie and if they had not told him "how to appear in court". "Government officials are not officers of the court and there should be no objection to their appearing in a decent work dress unless such appearance is unprofessional or unbecoming of her/his position," the SOP said. It said that no contempt should be initiated based on statements made by government counsels that is contrary to the stand of the government affirmed through affidavit or written statement or reply submitted before the court. "Compliance should not be insisted upon by court directing a particular outcome, especially on matters in the executive domain," read the SOP. In case, time-frame stated in the judicial order is requested to be revised on behalf of the government, the court may allow for a revised reasonable time-frame for compliance, it added. Recently, the Calcutta High Court had suspended the Chief Secretary of Andaman and Nicobar administration while ordering the Lieutenant Governor to deposit a sum of Rs 5 lakh from his own funds in a contempt proceedings. Later, the direction was stayed by an SC bench led by Chief Justice of India D.Y. Chandrachud. Last spring, Crescent Valley High student Alex Gough, then a sophomore, shared his concerns with the Corvallis school board about artificial intelligence in the classroom. AI chatbots would weaken students' writing, he warned, and not just grammar and spelling. "It also destroys the humanity and individuality of writing," Gough said, worrying chatbots would also alter how students interact by encouraging them to rely heavily on algorithms to express themselves. ChatGPT relies on technology known as a large language model, which is trained to mimic how people write by processing a large database of digitized books and online writings and analyzing how words are sequenced together That's why Gough recommended the district strengthen polices on academic integrity. "You would be surprised by the amount of essays and assignments done completely with ChatGPT," he told the board on March 9. As of this moment, the district lacks a fleshed-out policy on chatbots, but that's not surprising considering everybody is racing to catch up with the new technology. AI seemingly advanced at warp-speeds this year when platforms like Chat GPT seized the public imagination for its astounding ability to write essays with a convincingly human voice and alarmed graders of English papers nationwide. State guidance? Locally, AI chatbots have sparked conversations among K-12 teachers, university faculty and administrators on how to integrate or curb its use, though there's been little guidance from the state. The Oregon School Board Association currently has no AI policy on the books, and neither does the Oregon Department of Education. However, the agency is working on resources to support school districts in crafting their own equity-centered AI polices, according to ODE spokesperson Marc Siegel. "Cheating and plagiarism are valid concerns that ODE continues to hear from educators and families across grade levels," Siegel wrote in a statement, suggesting districts in order to be proactive draft strong AI policies, employ cheat-checking software, and train teachers on its use. "It is critical that any new tools are used to assist students in their learning, not to avoid learning," he wrote. So, with the school year fast approaching, how are districts in the Mid-Valley region responding to the emergence of crafty chatbots? K-12 school districts The Corvallis School District's technology services department has had ongoing conversations with cybersecurity consultants around AI, according to district spokesperson Kelly Locey. The school district hopes to craft an AI policy this fall, she said. Additionally, Locey said the district would be employing the cheat-checking software Turnitin (think "turn it in") this year. That tool, which detects plagiarism in student assignments, can also spot AI-generated content. It's also one of the tools Gough recommended the district use back in March when he spoke before the Corvallis school board. According to Turnitin's AI webpage, however, the company's AI detection software isn't completely foolproof and shouldn't be the only measure of a student's potential tinkering with a chatbot. Greater Albany Public Schools also currently lacks policy on the use of AI chatbots in the classroom and software to detect it, according to district spokesperson Michelle Steinhebel. There have been staff-level conversations around its use, though, she said. The Lebanon Community School District also has had staff-level conversations around AI, according to district spokesperson Susanne Stefani. While there's currently no district policy on the matter, LCSD is already using Turnitin and is working on updating the district's handbook for teachers and staff, Stefani said. She likened catching up with AI to trying to jump on an already fast-spinning merry-go-round. "It's moving so quickly, so we're kind of already a step behind," she said. Oregon State University When AI began making its photo-realistic waves earlier this year, the OSU's Center for Teaching and Learning, along with other partners, spearheaded a taskforce to draft guidelines for faculty. Among other things, they encouraged staff to consider redesigning assignments and updating syllabi on when and how to use AI in the classroom. Regan Gurung, the CTL's associate vice provost and executive director, updated his own general psychology course to make assignment questions more specific to his class's expressed learning outcomes a harder task for an AI chatbot to complete. "If you have a general assignment, ChatGPT is pretty good at giving you a general answer," Gurung said. Those guidelines, drafted this spring, also don't endorse any specific detection software because none, so far, has proven to be 100% accurate. Instead, Gurung maintains the best tools teachers currently have at their disposal are their eyes. "Even with smart prompting, ChatGPT still writes like a computer," he said, adding that teachers can pick up on clues in a student's text. "The downside for us instructors is that we've got to read stuff much more closely," he said. This upcoming fall, OSU will continue work on drafting a university-wide policy on AI use, and this next year, a new CTL committee on AI will host panels and listening sessions on AI's impact on teaching, research and productivity, Gurung said. A useful tool? While it remains unclear exactly how AI will impact student writing, Gurung said chatbots will never be a substitute for "knowing how to write." He compares chatbots like ChatGPT to calculators in math class. You can add sums together on a calculator, but if you don't understand the process of adding, you're still lost. When Gough shared his concerns with the Corvallis school board this spring, he acknowledged the merits of chat bots in the writing process, saying he used AI himself to brainstorm ideas for essays. Gurung said chatbots can help students who are stuck on prompts; he thinks it's shortsighted for any educator to completely dismiss the technology's educational benefits. "The fear that AI will change how students write, will only come true if students solely use AI and turn their brains off," he said. This article was written by a human reporter. Bhopal, Aug 16 : Apparently softening his stance on Bajrang Dal, former chief minister Digvijaya Singh said on Wednesday that if voted to power in the upcoming Madhya Pradesh Assembly poll, Congress won't ban the right-wing organisation as "some good people may also be associated with it." Veteran Congress leader, who is also a Rajya Sabha MP, however asserted that the party would not spare anyone instigating riots or violence. Digivijaya's statement was completely opposite to his own remarks made on Bajrang Dal in the past as he has even advocated for banning the right-wing outfit. "We will not ban Bajrang Dal (if we win polls in Madhya Pradesh) as there can be some good people in Bajrang Dal as well, but we will not spare anyone involved in riots or violence," Digvijaya Singh said during a Press conference at party headquarters in Bhopal on Wednesday. Interestingly, Singh's statement on Bajrang Dal came one-and-a-half week after his senior party colleague and state Congress President Kamal Nath organised a four-day 'Ram Katha' at a temple of Lord Hanuman built by himself in his home district Chhindwara. Therefore, a buzz in the political circle on poll-bound Madhya Pradesh was gaining pace that two veteran politicians - Kamal Nath and Digvijaya Singh, who lead the Congress, have different stances on politics of 'Hindutva' being promoted by the ruling BJP. But, at the same time, Digvijaya Singh also said, "Bajrang Dal is a group of goons and anti-social elements. This country belongs to all, so Modi ji (PM Narendra Modi) and Shivraj ji (MP CM Shivraj Singh Chouhan) stop dividing the country and establish peace in the country which will lead to development." Earlier this year, Congress' poll promise in Karnataka of banning outfits like Bajrang Dal had turned into a major controversy, with BJP making it a big issue during its campaigns. The BJP was also trying to corner the Congress in Madhya Pradesh over this issue as the assembly elections are just four months away. The Bajrang Dal, set up during the Ram temple movement, is the youth wing of the right-wing organisation Vishva Hindu Parishad (VHP). Mumbai, Aug 16 : Actor Arjun Mathur, who has been receiving a lot of positive response to his recently released second season of 'Made In Heaven', feels that in the ever-evolving world where everything is now hyper-connected, it's essential that people set-up boundaries. In the show, Arjun portrays the character of a homosexual man and one of the most powerful moments in the show is when the character of his dying mother unbeknownst of his son having a different sexual orientation, tells him to get married. Arjun's character of Karan Mehra, who heads the titular wedding planning company, tells her that although he loves her unconditionally, she cannot blackmail him into doing something that he cannot. Talking to IANS, Arjun said: "Boundaries in general are extremely important. In my personal space also, I am trying to learn how to set up boundaries. It becomes all the more difficult to set-up boundaries with people who are close to you or with people whom you love." He further mentioned: "My character in the show Karan is trying to do that as you would see in the sequence when he tells his mother that she can't blackmail him into doing something that he is not willing to. Setting up boundaries saves you from damage, itas a good practice." 'Made In Heaven 2' is streaming on Prime Video. Congress in damage control mode after goof up over contesting on all 7 LS seats in Delhi. Image Source: IANS News New Delhi, Aug 16 : Congress came in damage control mode on Wednesday after a party spokesperson said that the party leadership has decide to contest on all seven seats in upcoming 2024 Lok Sabha polls independently. The spokesperson's statement drew sharp reactions from the AAP while Congress once again clarified saying that no such discussion on alliance with AAP took place. Congress said that those commenting on the alliance issue are not the authorised to speak on behalf of the party. The controversy erupted after Congress spokesperson Alka Lamba, following a meeting between Congress High Command and Delhi unit, said that the leaders have been instructed to contest on all the seven Lok Sabha seats independently. Following Lamba's statement, the Delhi Congress leaders again went for second round of talks with the party leadership. After the second meeting, Delhi Congress in-charge Deepak Babaria said: "I feel that the people in AAP are immature. If they want to take such a big decision on the basis of media reports then even God cannot save them." He said that the person who had given the statement had clarified to me that she has not given any such statement. He also said that there was no such discussion (on alliance with AAP or being ordered to prepare for contesting on all the seven Lok Sabha seats). "If someone has given a statement in the media, as they have clarified to me that they have not given such a statement. And even the media should understand that those people are not competent to make comments on such a big issue," Babaria said. The remarks from the Delhi in-charge came after Lamba announced the grand old party's decision to contest the Lok Sabha elections alone. AAP spokesperson Priyanka Kakkar said that Congress has called Lamba's statement 'premature'. "Now they have said that her statement is premature," she said. AAP sources said that the party had decided not to attend the meeting of the opposition-led INDIA if Congress chose to contest all seven seats in Delhi independently. However, they said that the final decision will be taken by party leaders. "We have observed the statement from the Delhi Pradesh Congress Committee President. If Congress has indeed chosen to contest alone in Delhi, there may be no need to attend any INDIA meeting. Nevertheless, the ultimate decision will be taken by party leaders," party sources said. The meeting at the Congress headquarters was called to discuss the poll preparedness ahead of the 2024 Lok Sabha polls. Bengaluru, Aug 16 : The Karnataka government decision to scrap the National Education Policy (NEP) from the next academic year has drawn criticism from various quarters. T. V. Mohandas Pai, chairperson of Manipal Global Education and former CFO and board member at Infosys, has slammed the Congress government for its decision to do away with the NEP. Pai stated on social media that, "Very wrong decision! NEP is a framework, set of principles which give flexibility to Ed institutions and greater autonomy. States can modify, change! Why is the future of our youth compromised for political reasons? Where are the consultations?" The Karnataka Congress government on August 14 declared that it would scrap the NEP of the BJP government from the next academic year. Chief Minister SIddaramaiah making the announcement stated, "the NEP implemented by the previous BJP government would be scrapped from the next academic year. Certain required preparation has to be done before scrapping the NEP. There was no time available in the current academic year for this. When the government was formed following the elections, the academic year had begun." The NEP faces stiff opposition from students, parents, teachers and lecturers. The BJP has sacrificed the interests of the students from the state by implementing the NEP in the state on an experimental basis and by not implementing it in all states, Siddaramaiah charged. Deputy Chief Minister D.K. Shivakumar had announced that the Congress won't implement the NEP. Instead, the government would formulate a new education policy. Shivakumar had dubbed the NEP as the Nagpur Education Policy referring to the RSS headquarters in Nagpur city. Commenting on the development, former Chief Minister Basavaraj Bommai had stated, "to implement the National Education Policy in Karnataka, nearly three years have been taken. The committee headed by U.R. Rao was set up and consent from all states obtained. After this, before implementation, a task force was formed and then it was implemented in higher and primary education." Bommai urged the state government to rethink the decision. "It is a great sin to undermine our children's future and scrap the NEP for politics," he said. The NEP is designed to suit the needs of the present day. The blueprint of the NEP was okayed by the Siddaramaiah led Congress government between 2013 to 2018. The expert committee headed by Kasturirangan had worked on it. Kasturirangan who evolved the education policy for Karnataka, has framed the NEP for the whole country, he stated. The move by the Congress government is nothing but gambling over the future of the children. When a system which is there across the country is not available in the state, how are our children going to compete? Bommai questioned. He added that this is going to be a big setback for children from rural backgrounds. Siddaramaiah should not see the NEP with prejudiced eyes, he should rather consider the future of students and review the decision. If he will not it will become inevitable to launch an agitation along with parents and institutions of education, he warned. New Delhi, Aug 16 : Delhi Services and Vigilance Minister Atishi on Wednesday issued an order to ensure coordination between the departments of the Delhi government and the National Capital Civil Services Authority (NCCSA). In the order issued to the Chief Secretary, Atishi has clarified that for better functioning of the National Capital Civil Services Authority, the provisions of Sections 45H(1) and 45H(2) of the GNCTD (Amendment) Act 2023 should be followed. She stated that while adhering to the provisions of the GNCTD (Amendment) Act 2023, proposals for the transfer and posting of officers before the National Capital Civil Services Authority officials should be placed only after the approval of the Services Minister. Additionally, before sending cases related to vigilance and non-vigilance matters of officers to NCCSA, the Chief Vigilance Officer, in accordance with the Act, should obtain approval from the Vigilance Minister. Only after obtaining their approval, the proposals should be sent to the Authority's Member Secretary. "Under the GNCTD (Amendment) Act 2023, as per Section 45H(1), the National Capital Civil Services Authority (NCCSA) will be responsible for the transfer and posting of all Group-A and DANICS officers in Delhi. And as per Section 45H(2), it will be the responsibility of the NCCSA to recommend initiating disciplinary action for all Group-A and DANICS officers associated with vigilance and non-vigilance cases in Delhi, with the aim of starting disciplinary proceedings and recommending sanctions for the same," it said. Thus, to ensure better coordination between the departments of the Delhi Government and NCCSA, Services Minister Atishi has directed the chief secretary on the following: Under Section 45H(1), all proposals for transfers and postings should be placed before the National Capital Civil Services Authority only after obtaining the approval of the Services Minister. Only after receiving the approval of the Services Minister, the Secretary of Services should send the proposal to the Member Secretary of the Authority for presentation before the Authority. Adhering to Section 45H(2) of the Act, the Chief Secretary who is also designated as Chief Vigilance Officer, should keep all proposals related to vigilance and non-vigilance matters before the National Capital Civil Services Authority (NCCSA) with the approval of the Vigilance Minister. Only after obtaining approval from the Vigilance Minister, the Secretary of Vigilance should send the proposals to the Member Secretary of the NCCSA for presentation before the NCCSA. "The work of Delhi residents should continue without disruption, and services to them by the government should not come to a halt. Therefore, coordination between the authority and all departments of the government should be maintained. In this context, it is extremely imperative for this order to be adhered to," Atishi stated. New Delhi, Aug 16 : A Delhi court has modified the bail conditions for Bollywood actress Jacqueline Fernandez, who is one of the accused in the Rs 200 crore money laundering case in which jailed 'conman' Sukesh Chandrasekhar is the prime accused. The court has allowed her to leave the country without prior permission, as long as she informs the court and the Enforcement Directorate (ED) three days prior to her departure. The decision was made by Special Judge Shailendra Malik, who recognised that Jacqueline's professional commitments necessitate frequent overseas travel. The judge noted that Jacqueline has never misused her bail conditions and that the initial requirement for prior permission could hinder her career opportunities. The court noted that Jacqueline, a Sri Lankan citizen residing in India since 2009, has consistently paid income tax and has not violated any bail conditions. The modification comes in response to Jacqueline's application stating that seeking court approval for travel often leads to financial losses and reputational implications due to the time-consuming processes. Jacqueline has claimed that being an actor of international acclaim, she frequently engages in film shooting, appears at events, participates in various award functions etc., which are indispensable for her professional occupations and livelihood. In certain situations, it becomes time-consuming for the accused to take the courtas approval for going abroad, which is mandatory under the terms of bail. It was further claimed that due to that reason, she has faced certain situations wherein organisers, producers and other film industry professionals, on account of the time-consuming process of seeking court approval for leaving India, opted to engage other individuals, resulting in potential financial losses and reputational implications to her. In July, the court had commenced hearing on the charges against Jacqueline, whom the court had granted pre-arrest bail on November 15, 2022. The ED had recently filed its second supplementary charge sheet naming her as an accused in the case. Jacqueline and another Bollywood personality, Nora Fatehi, have recorded their statements as witnesses in the case. Earlier, assets and fixed deposits worth Rs 7.2 crore belonging to Jacqueline were attached by the ED, which termed these "gifts" and "properties" as "proceeds" of crime received by the actor. In February, the ED had filed its first supplementary charge sheet against Pinky Irani, an alleged aide of Chandrashekhar, who introduced him to Bollywood actors. It had been alleged in the charge sheet that Pinky used to choose expensive gifts for Jacqueline and drop them at her residence after Chandrashekhar made the payments. In December 2021, the probe agency had filed the first charge sheet in this matter. As per official sources, Chandrashekhar has spent around Rs 20 crore on different models and Bollywood celebrities. A few had, however, refused to accept gifts from him. Hyderabad, Aug 16 : Hyderabad police have arrested chairman of Jalpally municipality belonging to AIMIM and three others for the murder of a former worker of the party and rowdy sheeter Shaik Sayeed Bin Abdul Rahmaan Bawazeer. Abdullah Saadi, chairman of Jalpally municipality, his father Ahmed Saadi, main accused Ahmed Bin Hajeb and another accused Mohammed Ayub Khan have been arrested in connection with the murder which was committed under the limits of Bandlaguda Police Station on August 11. The 27-year-old was stabbed to death after midnight in a building at Bandlaguda X Road and a case of murder was registered on a complaint by the deceased's father. Ch. Rupesh, Deputy Commissioner of Police, South East Zone told media persons on Wednesday that the police cracked the case with the arrest of the main accused and three others out of total six accused identified so far. Abdullah Saadi's brother Saleh Saadi and another relative Omer Saadi were still absconding. The police officer said the municipal chairman and his family members got Sayeed Bawazeer killed by Ahmed Bin Hajeb as he was blackmailing them with his social media posts about illegal activities and lack of development in the municipality. Bawazeer was allegedly extorting money from the family but after some time continued the blackmail. "They felt that Bawazeer was defaming their family and decided to eliminate him. They used Ahmed Bin Hajeb as a tool by offering him Rs 13 lakh. Since the victim and the main accused had homosexual relationship, they thought that the murder would be seen as a fallout of the same. However, an in-depth investigation revealed the conspiracy behind the murder," the DCP said. Shaik Bawazeer, a rowdy sheeter of Chandrayangutta police station, was previously involved in nine cases in different police stations of Hyderabad and Rachakonda. These include three POCSO cases. Ahmed Bin Hajeb is also a rowdy sheeter involved in six cases of different police stations of Hyderabad and Cyberabad. According to police, Bawazeer was forcing the main accused to bring his friends to him to satisfy his unnatural lust. The deceased had also tried to commit unnatural sex with Ayub Khan but the latter had refused. On the night of August 10, the main accused along with Ayub Khan brought a boy to Bawazeer. The deceased took the boy inside a room and after some time when the boy came out, Ahmed threw chilli powder in the eyes of Bawazeer and stabbed him to death. After the murder, Ahmed, Ayub and the boy escaped from the spot on their motorcycles. Raipur, August 16 : Chhattisgarh Chief Minister Bhupesh Baghel on Wednesday hit out at Prime Minister Narendra Modi on his 'parivarvaad' and 'appeasement' politics remarks. The Chief Minister counted names of senior BJP leaders' sons either elected on BJP tickets or given prominent positions in some organisations. Talking to the press reporters, the Chief Minister said PM Modi should see that Baliram Kashyap's one son has been an MLA and another is an MP. He also cited the example of Defence Minister Rajnath and of former chief minister of Chhattisgarh Raman Singh's sons. "Union Home Minister Amit Shah's son Jay Shah is BCCI secretary and why do all deals go to Adani always? So who is doing corruption? BJP is indulged most of corruption," the Chief Minister said. Prime Minister Narendra Modi while addressing the nation on 77th Independence Day took a veiled attack at Congress leader Rahul Gandhi. In his address from the Red Fort, PM Modi said, "Today, parivarvaad and appeasement has destroyed our country. How can a political party have only one family in charge? For them their life mantra is party of the family, by the family and for the family." The Chief Minister also attacked BJP for engaging in politics of hate and division, claiming that the Congress party is raising its voice for uniting the country. New Delhi, Aug 16 : The Enforcement Directorate (ED) on Wednesday challenged before the Delhi High Court anticipatory bail granted to Robert Vadra by a trial court, claiming non-compliance of bail conditions, contrary to Section 45 of the Prevention of Money Laundering Act. Vadra, who was granted anticipatory bail by a trial court on April 1, 2019, is former Congress president Sonia Gandhi's son-in-law, facing allegations of money laundering involving the purchase of a property in London. The ED's counsel said he will file an additional affidavit to demonstrate that Vadra has breached the bail conditions. On the ED seeking some time to place it before court, it has been granted a two-week window by Justice Sudhir Kumar Jain. The matter is likely to come up next for hearing in the second week of September. The ED had earlier told the high court that it wanted to question Vadra in custody, citing direct links between him and the alleged "money chain" in the case. The agency had also said that Vadra was not cooperating during the investigation. In response to the ED's allegations, Vadra's side had denied any wrongdoing and said that he had fully cooperated with the investigation, and that he had appeared before the probe agency whenever summoned. Vadra had argued that the agency's seizure of all relevant documents pertaining to the case demonstrated that he had no intention to tamper with evidence. He further criticised the ED's investigation as a "fishing and roving enquiry" lacking substantial material to support their allegations. The specific allegations against Vadra pertain to his involvement in the purchase of a property located at 12, Bryanston Square, London, with an estimated value of 1.9 million pounds (approximately over Rs 17 crore). Islamabad, Aug 16 : A mob attack in Pakistan has left at least four churches vandalised, while several homes of Christian community have also been looted in Jaranwala city of Punjab province. The incident occurred after two Christians were accused of desecrating pages of the Holy Quran with abusive comments, triggering anger among the local clerics, who announced their anger through different mosques, calling on locals of the areas to join together and punish the two accused Christians for committing blasphemy. As per details of the incident, two Christian local residents of Jaranwala city, located near Faisalabad in Punjab province, namely Rocky Saleem and Raju Saleem, were allegedly involved in committing blasphemy and daring the Muslims in the area that they did not fear them. "Three pages of the Holy Quran were seen in the street where Raju and Rocky lived. The pages were marked with abusive comments against Islam in red ink," said a police officer. "Along with those pages, an A4 size paper was also present on the street with picture of both Raju and Rocky. Underneath the pictures, it was written that because they (Raju and Rocky) did not fear Muslims, they had also put up their pictures for them to know who desecrated the Holy Quran," the police officer said. After the local residents saw those pages, the clerics of the mosque was informed, who communicated the incident to other clerics and decided to join the locals together and move towards the residence where Raju and Rocky lived. "Announcements were made in mosques that Raju and Rocky have committed blasphemy and that they must be punished. After those announcements, locals from markets, schools, homes and mosques came out in anger and marched towards the residence of Rocky and Raju," a local resident said. "When they reached their house, both Raju and Rocky had fled. After that, the angry mob moved towards the churches, broke in and vandalised them," he added. The angry mob chanted pro-Islam slogans as they set ablaze at least four churches, desecrated Holy Bible books present inside them and looted several homes of Christian around those churches. Bishop Azad Marshal, President Bishop Church of Pakistan, expressed his sorrow over the incident, which he said was based on a false accusation of blasphemy. "Words fail me as I write this. We, Bishops, Priests and lay people are deeply pained and distressed at the Jaranwala incident in Faisalabad district in Pakistan. A church building is being burnt as I type this message. Bibles have been desecrated and Christians have been tortured and harassed, having been falsely accused of violating the Holy Quran. "We cry out for justice and action from law enforcement and those who dispense justice and the safety of all citizens to intervene immediately and assure us that our lives are valuable in our own homeland that has just celebrated independence and freedom," he said. Newly elected interim-Prime Minister Anwaar ul Haq Kakar has condemned the incident and directed law enforcement agencies to apprehend culprits. "I am gutted by the visuals coming out of Jaranwala. Stern action would be taken against those who violate law and target minorities. All law enforcement has been asked to apprehend culprits and bring them to justice. Rest assured that the government of Pakistan stands with our citizenry on equal basis," said Anwaar ul Haq. A police officer said that in view of the worsening security situation in Jaranwala, the Punjab government has called in the help and deployment of Pakistan Rangers to control the situation. Kolkata, Aug 16 : West Bengal Governor C.V. Ananda Bose on Wednesday raised questions over Jadavpur University (JU) not having a separate hostel for first year students, which is nationally considered a model technique to stop the menace of ragging. The Governor raised the question during a meeting convened on Wednesday at the Raj Bhavan over the mysterious death of a first year student of JU on August 10. The meeting was attended by several top officials attached to JU. Swapnadip Kundu, a first year student of Bengali honours, died under mysterious circumstances after falling from the balcony of a students' hostel on August 10. It is suspected that Swapnadip became a victim of ragging. According to sources, when the JU representatives apprised the Governor about the latest decision of the university authorities to separate the hostel for the first year students, the Governor expressed his surprise as to why this system was not followed so far despite a clear guideline on this count from the University Grants Commission (UGC). At the meeting, the sources said, the JU representatives pointed out the technical difficulties in managing the administrative affairs in the absence of a full-time VC and also one of the two posts of pro-VCs remaining vacant for quite some time. The Governor reportedly assured the JU representatives present at the meeting of taking quick action in this matter. Academicians feel that separating the hostel for the first year students is the best way to stop the menace of ragging. "If one group of freshers is kept immune from ragging by their seniors, they will also not develop the tendency of doing the same with their juniors. Thus, the ragging chain will be broken. Separate hostels for first year students has been a successful model adopted by several reputed universities nationally," a city-based academician pointed out. New Delhi, 16 August : The book titled 'Yogi at One Trillion Drive: Accelerating UP to a Trillion Dollar Economy' edited by Prof Punam Kumari was released in JNU on Wednesday. The book is about Uttar Pradesh Chief Minister Yogi Adityanath and his model of economic development in his state. "The book was written keeping the economy of Uttar Pradesh at the center, in which the one trillion dollar mega-campaign of the state has been closely examined," Prof Kumari said. The Chief Guest and Minister in the Government of India General V.K Singh said that the successful Chief Minister of Uttar Pradesh Yogi Adityanath has set a target of making the state a trillion-dollar economy. "For this, the state government has implemented strict law and order along with structural reforms and innovations in communication, transport, which has created a safe environment in the state," he said. He said that the country is on a strong path under the leadership of Modi ji and Yogi ji, where concrete work is being done through various schemes for the poor. "UP will cross the target of one trillion economy under the leadership of CM Yogi," V.K Singh said. President, IGNCA and Padam Ram Bahadur Rai said that not only Uttar Pradesh but the entire nation is encouraged by the stable government focusing on 'Sabka Saath Sabka Vikas' in the coming years. He said improving the quality of life of the people of Uttar Pradesh under his leadership. The book is a good record of these policies and practices. Punam Kumari, convenor of the program and editor of the book said that the book was originally written in English titled 'Yogi at One Trillion Drive: Accelerating UP to a Trillion Dollar Economy' and it has been translated in Hindi as well. She emphasised that it's the first book of its kind based on the long-term goals of the state and the first program of its kind based on the works of Chief Minister Yogi Adityanath in JNU and Delhi. Conducting such a program in Delhi, especially in JNU itself signifies the beginning of a new era in India. Keeping in mind meaning in the center, the book provides the basis for the concept of Ram Rajya. Prof. Balram Pani, Dean of Colleges, Delhi University, JNU Rector, Prof. Dipendra Nath Das and Sanjeev Nishttal, Director of Ace Attenci Research Centre, Bangalore were there including many academician and educationist. Sanjeev Nishttal, introduced the audience at various tenets of the book. He added that the book not only minutely assesses different aspects of economic development (product to market, import-export, tourism-transport, investment etc.) but also emphasizes on importance and scope of green energy towards micro and small-scale industries, human resources and environment and so on. Prof. Dipendra Nath Das said that once Uttar Pradesh was called 'Bimaru State' whereas the state holds immense possibilities of development in terms of natural and human resources. Making efficient use of these resources, this research book highlights Yogi's Adityanath resolve for overall and inclusive economic development of the state. Prof. Balram Pani added saying that the success of any work is achieved only by coordination of imagination, emotion, planning and meditation and Modi ji and Yogi ji are exemplary examples. The program on Yogi was witnessed with great enthusiasm among the audience to listen to the speakers and the auditorium was packed with students and professors from JNU, Jamia and DU. New Delhi, Aug 16 : Prime Minister Narendra Modi on Wednesday welcomed Director- General of World Health Organisation (WHO), Tedros Adhanom Ghebreyesus to India. PM Modi used the name 'Tulsi Bhai' for Tedros, a name that he gave to the Director General during his last visit. "My good friend Tulsi Bhai is clearly well prepared for Navratri! Welcome to India, Dr Tedros," the Prime Minister wrote on X (formerly Twitter) in response to a post by the Ministry of AYUSH. The AYUSH Ministry wrote on X: "A warm welcome to the WHO Director-General, Dr Tedros, also known as Tulsi Bhai, the endearing name conferred upon him by the Prime Minister Narendra Modi. And Swagatam, esteemed delegates to the historic WHO Global Summit on Traditional Medicine." Tedros will take part in the WHO Global Summit on Traditional Medicine to be held from August 17-18, in Gujarat's Gandhinagar. New Delhi, Aug 16 : The Delhi High Court on Wednesday granted 90-day parole to serial killer Chandrakant Jha, who is currently serving life sentence after being convicted in three gruesome murder cases. The order, delivered by Justice Rajnish Bhatnagar, came as Jha's conduct behind bars was deemed "satisfactory" and due to his prolonged incarceration of over 15 years. Justice Bhatnagar said that Jha, who has not been released for the past three years, must not leave the city without proper permission. The judge ordered him to provide his mobile phone number to both the jail authorities and the Station House Officer (SHO) concerned upon his release. Additionally, he is required to report to the local police station every third day. The controversial decision was met with opposition from the state, citing Jha's criminal antecedents and conviction in three murder cases. Jha had sought parole on the grounds that, as a father of four daughters, he needed to arrange a suitable marriage for his eldest daughter due to the absence of any other male family member. He argued that his release was vital to re-establish social ties with his family. The court took into account Jha's past parole and furlough instances, during which there were no allegations of misuse of liberty. This played a significant role in the decision to grant parole. The court noted that his conduct is satisfactory, and that he has also been released on parole on five occasions and on furlough on seven occasions. Jha's parole comes with a strict condition that he must surrender before the concerned jail superintendent upon the expiry of the 90-day period. To ensure his compliance, he has been asked to furnish a personal bond of Rs 25,000 along with two sureties of the same amount. Jha had killed two persons and dumped their headless bodies near Tihar Jail. He was sentenced to death in another case of murder. New Delhi, Aug 16 : Prime Minister Narendra Modi on Wednesday met an eight-member Congressional delegation from the US. He said after the meeting that a strong bipartisan support from the US Congress is instrumental in elevating ties between both the nations. "Glad to receive a Congressional delegation from US, including co-chairs of India Caucus in the House of Representatives, Rep. @RoKhanna and Rep. @michaelgwaltz. Strong bipartisan support from the US Congress is instrumental in further elevating India-US Comprehensive Global Strategic Partnership," he tweeted after the meeting. The US Congressional delegation had arrived in India last week for a visit to Mumbai and New Delhi. The delegation had also attended the Independence Day function at Red Fort on August 15, where the prime minister has delivered his speech. They had also met External Affairs Minister S. Jaishankar. "A good interaction with US Congressional delegation today. Glad they could join as we celebrated #IndependenceDay. Discussed the transformation underway in India, especially its outcomes of better governance. "Also exchanged views on our advancing bilateral partnership. Shared perspectives on the global situation and our collaboration on multilateral, regional and global issues," Jaishankar had commented on X (formerly Twitter) after the meeting. New Delhi, Aug 16 : Days after his Lok Sabha membership was reinstated after a stay by the Supreme Court on his conviction in the Modi surname defamation case, Congress leader Rahul Gandhi was on Wednesday nominated to the Parliamentary Standing Committee on Defence. According to party sources, Rahul Gandhi has been nominated again in the committee after his disqualification (as MP) was revoked. The Congress leader was disqualified as an MP after a Surat court convicted him in a 2019 Modi surname remark defamation case. He had made the remark in Karnataka's Kolar in the run-up to the 2019 Lok Sabha elections. His conviction was stayed by the Supreme Court on Augist 4 after which his Lok Sabha membership was reinstated. New Delhi, Aug 16 : Finance Minister Nirmala Sitharaman on Wednesday met governor of Japan Bank for International Cooperation (JBIC) Hayashi Nobumitsu, where she discussed ways with the institution to bring in more investments in India. "FM Nirmala Sitharaman met Hayashi Nobumitsu, Governor, Japan Bank for International Cooperation (JBIC), in New Delhi, today, to exchange views on JBIC's recent activities in India contributing towards Japanas investment target of five trillion yen over next five years," the Finance Ministry said in a series of tweets on X (formerly Twitter). "The Union Finance Minister observed that India has been ranked by the JBIC as one of the most attractive #investment destinations in recent years' survey of Japanese manufacturing companies and encouraged engagement of JBIC with Indian financial institutions such as Exim Bank @IndiaEximBank, NIIF and lending banks to leverage the strength and complementarities of both countries," the ministry said. Sitharaman spoke about the PMGatiShakti initiative and other enabling policies developed in the blue economy sector and urged JBIC to bring in investment to India for processing of marine food products, logistics and transportation development and also in emerging sectors like artificial intelligence, Hydrogen-based energy and semi-conductors. "JBIC Governor appreciated the initiatives of Government of India towards facilitating #investment through various instruments like PLI schemes and National Infrastructure Pipeline #NIP; besides GST reforms," the ministry said. Nobumitsu stated that JBIC is also looking forward to explore more opportunities for mutually beneficial engagements between the two countries. Panaji, Aug 16 : Union Minister of State for Tourism Shripad Naik on Wednesday advocated the need for collective participation in the fight against tuberculosis (TB). Naik said this while speaking at the Tuberculosis Awareness Programme, organised by the Goa Board of Homoeopathy in collaboration with the National Tuberculosis Elimination Programme Unit and Directorate of Health Services Panjim, here. The Minister urged for awareness about TB and called for a united front in the battle against the disease. "Everyone should support Prime Minister Narendra Modi's vision to make India TB-free. There is a need for collective participation in the fight against TB," Naik said. He commended the role of homeopathy medicines during the challenging times of the Covid pandemic and highlighted the instrumental role of homeopathy doctors in aiding TB patients -- a group often marginalised by society. Member of Parliament (Rajya Sabha) Sadanand Shet Tanavade, reiterated the importance of doctor's commitment in the mission to eliminate TB. He stressed the pivotal role of doctors in identifying and treating TB patients and praised their unwavering dedication to the cause. A one-day seminar on Tuberculosis Awareness Programme was also organised, where Manish Gaunekar, Chief Medical Officer of the National Tuberculosis Elimination Programme (NTEP), shed light on the vital role played by Homoeopathic Physicians in the NTEP programme. Mahabalipuram : , Aug 16 (IANS) The competition continued on the second day of the Tamil Nadu International Surf Open World Surf League (WSL) Qualifying Series (QS) 3,000 with the men's top seeds hitting the water at Mahabalipuram beach, here on Wednesday. Performance levels lifted as conditions improved with clean surf in the two-foot-plus range. The Round of 48 and six Heats of the Round of 32 were completed before competition went on hold due to onshore winds. Fresh of a semifinal finish at the recent Bonsoy Chiba Ichinomiya Open in Japan, Joh Azuchi (JPN) carried his form into Heat 1 of the Round of 32, posting the highest two-wave total of the event so far. Azuchi's 14.15 combination (out of a possible 20) came from his razor-sharp frontside snaps which were a perfect match for the slow right-handers of Mahabalipuram Beach. Azuchi was in last place with eight minutes left but he was able to find his two scores with only minutes remaining. "I was trying to get as many waves as I could from the start of the heat but I had a hard time getting good waves," Azuchi said. "I was kind of nervous if I could pull it off or not, but in the end, somehow I managed to get two decent scores. I didnat expect to get such good scores but knew it would be enough to have me progress into the Round of 16. I really love India and especially the food here. My favourite dish is curry and naan so there is no problem finding that here. Iave been having a great experience here in India," he added. Japanese duo Raiha Onou (JPN) and Daiki Tanaka (JPN) also looked solid, posting mid-range scores to progress into the Round of 16. Swedish representative Kian Martin (SWE) showed flashes while it was Dhany Widianto (INA) who won his heat with the maneuver of the day, a radical lay-back snap that was enough to get him over the line. Earlier in the day, Indian competitors Sivaraj Babu (IND), Ajeesh Ali (IND) and Kishore Kumar (IND) all progressed through their Round of 48 heats, each putting up solid performances. Unfortunately for the local fans, Ali and Kumar were eliminated in the Round of 32 but have done their country proud, making history at the first WSL event on Indian Shores. Babu will compete in the final heat of the Round of 32 when competition resumes. Bengaluru, Aug 16 : The Karnataka unit of BJP has objected to the release of water of Cauvery river to Tamil Nadu state by the Congress-led state government and dubbed the decision as a "great crime". Former Chief Minister Basavaraj Bommai said on Wednesday that the Congress government has committed a great crime by releasing water to Tamil Nadu immediately after the submission of a petition in this regard by the neighbouring state. Bommai said Tamil Nadu has utilised two times of allotted proportion of water for Kuruvai crop. "The land used for cultivation has been extended four times. The Karnataka government has not protested these facts in the Cauvery Water Disputes Tribunal (CWDT) and released the water as soon as Tamil Nadu filed a petition in the Supreme Court. "You (Congress government) have failed to release water to farmers of our state. You have delayed the decision to release water to farmers of our state and today, you have landed in a position to release water to Tamil Nadu," Bommai said. The former chief minister said the water has been released to Tamil Nadu by the irrigation department headed by Deputy Chief Minister D.K. Shivakumar a day after the Chief Minister Siddaramaiah made the statement that water would not be released to Tamil Nadu. "It shows there is unity in terms of the issue of managing the Cauvery River water and there is no conviction seen to protest the interests of Karnataka state," Bommai said. "The Karnataka government should immediately stop releasing water to Tamil Nadu and convince the Supreme Court about the plight of the farmers of the state. The interests of the farmers of Karnataka should be protected," Bommai said. Jaipur, Aug 16 : Rajasthan Chief Minister Ashok Gehlot on Wednesday said that the late veteran Congress leader Rajesh Pilot was a brave pilot of the Indian Air Force and insulting him is an insult to Indian Air Force. "Congress leader Rajesh Pilot was a brave pilot of the Indian Air Force. By insulting him, the BJP is insulting the sacrifice of the Indian Air Force. The whole country should condemn this," the Chief Minister wrote on X. On Tuesday, BJP IT cell in-charge Amit Malviya said that Rajesh Pilot was rewarded for 'dropping bombs' in Mizoram capital Aizawl in 1966. "Rajesh Pilot and Suresh Kalmadi were flying the Indian Air Force planes which dropped bombs on Mizoram's capital Aizawl on March 5, 1966. Later, both of them became Congress MPs and later ministers. It is clear that Indira Gandhi gave a place in politics to those who carried out air raids on their own people in the Northeast and gave them respect," Malviya had posted on X, formerly Twitter. Responding to Malviya, Sahin Pilot wrote on X: "You have the wrong dates, wrong facts. Yes, as an Indian Air Force pilot, my late father did drop bombs. But that was on erstwhile East Pakistan during the 1971 Indo-Pak war, and not, as you claim, on Mizoram on the March 5, 1966." The former Rajasthan Deputy CM also attached his father's certificate mentioning his joining dates, saying his father was commissioned into the Indian Air Force only on October 29, 1966. On the last day of the Monsoon Session, Prime Minister Narendra Modi while addressing the Lok Sabha had raked up the Aizawl bombing issue, saying: "On March 5, 1966, Congress had its Air Force attack the helpless citizens in Mizoram. Congress should answer if it was the Air Force of any other country. Were the people of Mizoram not the citizens of my country? Was their security not the responsibility of the Government of India?" Responding to PM Modi, Congress Rajya Sabha MP Jairam Ramesh had defended the late Prime Minister, saying, "His criticism of Indira Gandhi's extraordinarily tough decision of March 1966 in Mizoram to deal with secessionist forces that drew support from Pakistan and China was particularly pathetic. "She saved Mizoram, started negotiations with those fighting the Indian state and finally a Peace Accord was signed on June 30, 1986. The manner in which the Accord came about is a remarkable story that reinforces the idea of India in Mizoram today." Washington, Aug 16 : Former US President Donald Trump's 4th indictment by the Grand Jury of Fulton County has aroused the curiosity of a divided nation as former Vice President Mike Pence stoutly defended the Georgia election saying it was not stolen, and Trump is still forging ahead as the front-runner as Republican and public support grows amongst the belief that he is being politically persecuted. Pence said: "No one is above law. And the president and all those implicated (18 of them including Rudy Giuliani) are entitled to the presumption of innocence." Speaking in Indianapolis at the National Conference of State Legislatures, Mike Pence said Wednesday that the Georgia election was not stolen in 2020, virtually explaining his role on January 6, days after Trump's indictment for his attempts to overturn the election results in the state. "The Georgia election was not stolen and I had no right to overturn the election on January 6," Pence said, making it his first remark since the indictment that justified his certification of the 2020 election results. They also echoed the sentiments of Georgia Governor Brian Kemp this week thus setting up potential fault lines for the August 23 GOP debate in Milwaukee where presidential hopefuls of the GOP spar each other, media reports said. "Over the last two and half years, President Trump has continued to tell the American people things that just aren't so," Pence told the news network Politico. "I had no right to overturn the election. I welcome the opportunity to set the record straight. And Iall continue to." Despite Pence's assertion that the Georgia mandate was not stolen, the majority of Americans view the ex-president unfavourably, as Donald Trump appears to outrun his nearest rivals Florida Governor Ron DeSantis and former VP Pence for the 2024 presidential race , both way behind the former President, multiple Gallup polls show. Imphal, Aug 17 : As the security forces in Manipur continue to recover looted arms and ammunition, the combined forces on Wednesday recovered eight sophisticated arms, 112 various types of ammunition and a large quantity of explosives, officials said. The police in Imphal said that the eight sophisticated arms, 112 different types of ammunition and a large quantity of explosives were recovered from Bishnupur and Imphal West districts. The security forces also bulldozed six illegal bunkers, built by the attackers, in Tengnoupal district adjoining Myanmar. Intermittent firings by the attackers were also reported from different districts, but there was no report of any casualties. Police officials said that the Narcotics and Border Affairs wing personnel seized some drugs in Imphal East district. The detainees are residents of Manipur and Assam. New Delhi, Aug 16 : A young passenger, aged 10, who was on a Tata owned Vistara flight from Delhi to Frankfurt last week, suffered burn injuries due to a mishap involving a hot beverage spill. The child's family has criticised the airline for its inadequate response to the situation, asserting that the crew failed to apologise for the incident. Rachna Gupta, the child's mother, took to her Twitter account, to express her concerns. "@airvistara air hostess causes 2nd degree burn to 10 year old on flight to Frankfurt. An unfortunate accident poorly handled. Vistara hostess didn't apologise, captain or crew members didn't apologise. After basic first aid on flt my daughter and I were left in an ambulance to fend for ourselves (pay for ambulance/navigate the German medical emergency services) in an unfamiliar environment. Our luggage had to be taken out by friend of a friend who made 3 trips to the airport and spent 4-5 hours pillar to post. Vistara or Amex the booking," the tweet by Rachna Gupta read. "Got a call from @airvistara with a standard scripted apology saying they need 24-48 hours to respond. While we remain stranded with a daughter in pain in a foreign land," read her another tweet. "The @TataCompanies and @SingaporeAir airlines are known for it's ethics and integrity. Sadly, this has not trickled down to @airVistara. The past...Hours have been harrowing for our child. After the tweet we received a customary call saying the airline would revert in 24 to 48 hrs," her tweet said. According to a Vistara spokesperson: "An unfortunate occurrence transpired on flight UK25 from Delhi to Frankfurt on August 11, 2023. A child sustained injuries due to the spillage of hot beverage on her body. The cabin crew had served hot chocolate to the child upon the parents' request. However, due to the child's playful behavior during the service, the hot water accidentally spilled." "As per our established protocols, our crew promptly administered first aid for the spillage and enlisted the assistance of an onboard paramedic, who volunteered to aid until the flight landed in Frankfurt. Immediate medical care was arranged upon landing, and both the child and her mother were transported to the hospital. We have maintained continuous communication with the affected customer. We facilitated their early return to India, organized ground transportation in Frankfurt, met them at the airport, and provided comprehensive on-ground support," stated the airline spokesperson. The mother conveyed on her previous Twitter account: "We had to rely on a friend's friend to retrieve our luggage, making multiple trips to the airport and spending 4-5 hours... Both Vistara and Amex, the booking agent in India, have refused assistance, claiming they were not informed of the incident. Vistara has declined to facilitate our return to India. Surprisingly, there has been no contact from Vistara to inquire about the well-being of my child and me while we are stranded in a foreign land with a foreign language. Fortunately, I have the means to cover expenses, but I worry about those without such resources. Following my tweet, we received a customary call assuring a response within 24 to 48 hours." The airline representative further added: "We have assured the customer that we will cover all medical expenses arising from this incident. We are actively engaged in discussions with the customer to provide any necessary additional assistance. Our internal processes are also under review and enhancement to prevent similar occurrences in the future. As always, the safety and comfort of our customers remain our top priority." Patna, Aug 17 : Female BJP MLA from Narkatiaganj in Bihar's Champaran district, Rashmi Verma, was 'shocked' after her 'objectionable' photographs went viral on social media on Wednesday. In the purported photographs, she was seen with her old associate Sanjay Sarangipur. Verma claimed that the images were photoshopped to defame her. She also said that she would file a complaint with the cyber police station in this regard. Verma said that Sarangipur was her associate, but they had fallen apart after a dispute over a land registry for the last two years. "Cyber crime cases are increasing so much in the world. Anyone can edit photographs of anyone from any place. I am currently in Patna and I'm going to lodge a complaint against unknown persons with the cyber police station," Verma told mediapersons. "I have talked to the person who is seen in the photographs. He is also going to lodge an FIR in this matter. He was working for me till two years back. Now, we have a dispute over some issues. I want to point out that no one could defame us by uploading pictures," she said. Sarangipur also claimed in Motihari that the photographs were edited. "I was having a good relationship with Rashmi Verma a couple of years ago, and was frequently visiting her house. I wanted to buy a piece of land from Rashmi Verma and its rate was Rs 12 lakh. I had given Rs 10 lakh in cash to her and she also gave the copy of an agreement on her official letterhead. However, when it came to do the registry of the land, she refused," Sarangipur said. "I am a resident of Motihari and also a JD-U leader. I recently underwent a kidney transplant and I am currently on bed rest," Sarangipur said. Patna, Aug 17 : The Bihar Education Department has asked the headmasters of all government schools in the state to sell the empty mid-day meal sacks at Rs 20 per piece. As per the mid-day meal policy in Bihar, every school gets grains and other food items in jute bags. The headmasters have been told to keep the empty bags safe in the school and sell it at Rs 20 per piece. The department has also asked the headmasters to deposit the money in the district treasury, which will further deposit it in the state exchequer. Currently, these empty sacks are being sold at Rs 10 per piece. This rate was fixed in 2016. As the cost of empty bags have increased, the department has now decided to increase the selling price. "The department has written letters to every district education officer of Bihar to implement the decision. They have also been asked to keep an eye on headmasters and make them accountable for selling the bag," Mithilesh Mishra, Director of Education Department, Bihar, said on Wednesday. New Delhi, Aug 17 : A Delhi Police constable allegedly became a victim of the 'Chinese manjha' (kite string) when he was returning home from his duty on Independence Day. The constable identified as Ram Bharosi sustained injuries on his neck. However, his condition is now said to be stable. According to a senior official, on August 15 at around 9:00 a.m., Constable (Executive) Ram Bharosi posted at RK Puram police station finished his duty and left for his home in Delhi's Palam. "On his way home, on his Scooty via Ring Road, Palam Airport, and the Cantonment side of Palam-Dwarka road, he suddenly encountered a kite string," the official added. "He sustained injuries on his neck and left hand from the manjha (string)... We are in the process of verifying the facts, determining the precise location of the incident, and identifying the type of string that caused the injury," he said. --IANS ssh/sha Patna, Aug 17 : A Sub-Inspector, who was killed by alleged cattle smugglers in Bihar's Samastipur district on Tuesday, was cremated in his native village in Araria district on Wednesday. Slain policeman Nand Kishore Yadav was a native of Araria's Dighli village. The last rites were performed by his elder son Harsh Yadav. A large number of people assembled at the cremation ground during the funeral. The state police gave a guard of honour to the deceased sub-inspector. He was the in-charge of the Mohanpur outpost in Samastipur district. Mourning his father's death, Harsh Yadav demanded capital punishment for the culprits. Nand Kishore Yadav sustained a gunshot injury on his forehead on Tuesday morning around 3 a.m. after around 10 alleged cattle smugglers opened fire on a police team. He had received a tip-off that some cattle smugglers were transporting buffaloes in a vehicle on Tuesday morning. He immediately constituted a team and chased them. Nand Kishore Yadav managed to release the buffaloes but received a bullet injury on his forehead as the criminals opened fire on the police team. The injured sub-inspector was taken to a private hospital, where doctors referred him to Patna for better treatment. However, he died during the treatment. When Persepolis was first published by Pantheon two decades ago, Iranian expatriate French cartoonist Marjane Satrapi brought North America a childs-eye view of revolution in the middle east. She also changed the future of comics publishing. PWs starred review named the first volume a timely and timeless story, comparing Satrapi to Art Spiegelman and Joe Sacco. Ever since, almost any graphic novel with literary ambitions gets compared to Satrapissome clearly imitative, flattery that Satrapi shrugs off as shameful copycatting. The phenomenon of Persepolis and its conversion of general readers to become a breakthrough bestseller opened the gates to todays diverse graphic novel landscape. Now, Pantheon has put out a 20th anniversary edition of the complete Persepolis with a new introduction by Satrapi. Satrapi roundly declares, though, that shes left comics behind. The artist, 53 years old, is now a filmmaker: she adapted Persepolis into an animated film in 2007, and is currently directing a forthcoming slice-of-life, Robert Altmanstyle homage to the street life of Paris, a city shes lived in for many years since leaving Iran. The ferocity and passion of the little girl who came across so vividly in Persepolis remain in full force. Brash and unapologetic, Satrapi proudly names herself a very badly behaved woman, but a free one. The irony is not lost on her that her comics documenting how, as a schoolgirl, she snuck punk cassettes past morality police have topped banned book lists in America for a decade. But her own focus is back on Iran. Between filming, Satrapi speaks internationally about the uprisings in the country, raising awareness and support for women-led protests that heightened after the killing of a young woman in police custody in 2022. But while she accepts the mantle of liaison for a western world wooed by Persepolis, she points to the courage of the young activists on the ground in Iran as her own inspiration. Satrapi spoke to PW while on vacation in Stockholm about her shift from comics to film, the banning of books in America, and her pride in Iran's young revolutionaries. (Read to the end for a short excerpt from Persepolis.) Is raising awareness around the protests in Iran a big part of your life? As it has always been! Im making clips, going to demonstrations, radio, TV. If you have a voice, if you are somebody who people will actually listen to, then you have to go. But you cant talk too much, either. Too many words equals zero. I calculate when I can be efficient. Comics is an efficient medium, right? Comics is good, and I've been making lots of drawings. But I will never make comics again. That chapter of my life is behind me. And I've always been like thatIm like a car that you cant pull back. The problem with comics isand it will sound like Im sending myself flowers, butfrom the first comic I made, I got all this press and hoopla, hoopla. I made a couple more and it was the same. Its something I know how to do. Its not that I know a secret ingredientI cant give a formula to someone else. But its not challenging anymore because I know how to do it. My life is about the search, not getting comfortable. I like the chaos. I'm not going to live another 300 years. I have to explore everything I can before dying. Clearly you have a restless spirit. Do you think it came from growing up during the Iranian Revolution and the risks you took then? No, because millions had grown up during the revolution, and most did exactly the contrary: they longed for something stable, calm, no chaos. Its been a part of me since I was a childeven before the revolution I liked fear and challenge, I did all the dangerous stuff. But this new generation has changed. Its not that the regime changed, but the new generation is doing what we did not: they fight back, and they want freedom. The context also is that many factors of society have changed. In 1979, maybe only 40% of the Iranian people could read and write, and now its more than 80%for women, close to 100%. And not only secular people, also religious people today, they want separation of religion and government. They believe religion is something very personal. It's not that Im anti-anything, really. Persepolis has its own story in America, too. It went through waves. What a neighborhood: Oscar Wilde on your right and Mark Twain on your left. A banned book is always a good book. It makes the kids want it more. You mean the book banning? First it was all these stupid Republican parents, because they claim it has sexual scenes. I dont know how these people made children themselves, because if there is sex in there, show me where? And scenes of torturea frame where, as a child, I imagined what torture could be like. Kids of these same families play war video games. Then the liberals banned it as Islamophobic. The shift between the conservatives and the liberals, that was amusing, because it was the same kind of stupidity. What are they scared of? Dont they count on people to have any intelligence? Books are under constant assault in the U.S. Persepolis was once one of the top 10 banned books in the country. It shows how cool I am. What a neighborhood: Oscar Wilde on your right and Mark Twain on your left. A banned book is always a good book. It makes the kids want it more. Like in the Bible, God says to do whatever you want but forget about the apple. What did they do first? They ate the apple. Banning books is a dive back into the darkest moments in the history of human beings. You wrote in the new introduction that Persepolis was first published at a time where it seemed that humanity had somehow learned from its mistakes, but that changed in the aftermath of 9/11. Do you hold hope for the new generation? I dont just have hope, I have certainty. Freedom and self-awareness is part of Iranian culture now. Not like George Bush bombing Afghanistan and putting a Coca-Cola machine there and poof, we have a democracy, and in seven days the Taliban come take it back. In Iran, we are in a unique historical moment. Frankly its the first feminist revolution in the world that is supported by men. Its a society that has come to understand that for democracy, the basis is first that men and women are equal. 90% of this revolution, which is cultural, has already succeededand 10% is politics. Its not a question of whether, but of when. And I dont think its going to be very long coming. You have to be aware of the history of dictatorships, which rarely last more than 50 years. And with information circulating now so much more quickly [due to technology], it will be much faster. When I wrote Persepolis, I thought, "In four years, who will want to read this? Everything will become better." And then came George Bush. Because Trump, the clown, is so bad, people forget that Bush is a war criminal. If he and Cheney were in Yugoslavia or another country, theyd be in the international courts. You also suggested that things might have been different if you grew up in Iran like the teens today. Would you have stayed? Before, I was in the minority, and today, they are the majority. There is nothing more beautiful than freedom except fighting for freedom. When I see the young people of my country, girls and boys but especially our girlsthe way they are brave, the way they standtheir courage is so beautiful, it's mesmerizing. As an aesthete, I love beauty, and their courage is the highest degree of beauty. I would have gone for this fight. Connor Hazzard, fourth-year Purdue Northwest physical sciences student, examines a shell casing for tool marks as part of a capstone research project. Hazzard and other students learning under Charles Steele, lecturer of physical science and forensic science coordinator at Purdue Northwest, receive hands-on experiences to use innovative technologies in forensic science research and application. (Purdue University Northwest photo) CBF Forensics is the first forensic and education company to sell products based on Purdue University Northwest innovations HAMMOND, Ind. CBF Forensics, a northwest Indiana forensic and education startup, has launched two new products: virtual reality (VR) programming for forensic crime scene training and a system to quantify the amount of THC in manufactured CBD and hemp products. Crime by the F.I.V.E.S. Crime by the F.I.V.E.S. provides training scenarios that simulate live scenes using VR technology. Charles Steele is the founder and president of CBF Forensics. He said the Crime by the F.I.V.E.S. VR crime scene training program brings the latest technology and methods to forensic science classrooms and law enforcement agencies, providing affordable and standardized training. Crime scene investigation training is inconsistent across the country; there is currently no national standard. Most initial training takes the form of mock crime scenes that are not governed by global standards or requirements, said Steele, who also is a lecturer of forensic science and the forensic science coordinator at Purdue University Northwest (PNW). The professional training that does exist is out of the economic range for most law enforcement agencies and is completely unavailable for most educational institutions. In addition, it is common for agencies to become entrenched in existing methods and not be aware of the latest technology, Steele said. As a result, practitioners and new students often learn and use outdated methods. The Crime by the F.I.V.E.S. VR training program connects to in-person, real-world laboratory exercises that can be used to fill out a full semester course. This educational approach allows the latest methods to be economically passed on to the general practitioner, Steele said. Where possible, CBF Forensics will provide the latest support chemistry and devices to complement these scenarios. Crime by the F.I.V.E.S. will be accessible through school and private learning management systems. Steele said it improves upon other VR crime scene training tools on the market by providing better features and functionality. The simulations follow real physics; if a user bumps into an item, it will move and possibly corrupt the crime scene. The visibility of evidence matches real-world optics, Steele said. Crime by the F.I.V.E.S. is just the first in what will be a series of crime scene training scenarios. The original program was built by CIVS, the Center for Innovation through Visualization and Simulation, at PNW. CIVS is a leader in the simulation field, and our relationship will allow CBF Forensics to continue to produce the highest-quality products. Along with Crime by the F.I.V.E.S., CBF Forensics will offer additional forensics products for fingerprinting and blood detection applications. Steele said those products will be launched later in 2023. QuanTHC CBF Forensics also has created QuanTHC, a system that enables CBD and hemp manufacturers to measure the amount of THC, or tetrahydrocannabinol, in their products. THC is the main psychoactive compound in marijuana. Steele said CBD products must be free of THC, and hemp products cannot be above certain THC levels. He said up to 70% of CBD products sold in northwest Indiana contain THC, and hemp products are not monitored, which puts manufacturers and consumers at risk of legal and financial consequences. Innocently purchased hemp products may violate local laws, Steele said. Users of CBD products may face criminal charges for having THC in their blood and lose their jobs and military careers, etc. The manufacturers face civil liability for the harm done to their customers. Steele said most boutique manufacturers have no viable way to test the quantity of THC in their CBD and hemp products. QuanTHC provides an easy-to-use quantification test that does not require expensive analytical equipment or extensive chemical training, Steele said. It offers accurate quantification levels, which improves upon traditional tests that have only yes-no indicators. By 2024, CBF Forensics will pair QuanTHC with a benchtop neutralizer that disposes of all the test chemicals used in the quantifications. A marketing video about Crime by the F.I.V.E.S. and QuanTHC is available on YouTube. A historic startup CBF Forensics licenses its technologies, developed by Steele and collaborators, through the Purdue Innovates Office of Technology Commercialization. CBF Forensics is the first startup launched from Purdue Northwest through the Purdue Innovates office. Purdue University Northwest, serving northwest Indiana, offers more than 70 bachelors, masters and doctoral degree programs to nearly 9,000 students. CBF Forensics has received support from PNWs College of Engineering and Sciences and the Center for Innovation through Visualization and Simulation, student research groups at Purdue Northwests chemistry and physics department, and student Capstone Plus teams at the Purdue Polytechnic Institute at the West Lafayette campus. These student teams are a valuable resource, Steele said. Startup companies like ours do not have the resources of a fully staffed R&D laboratory. Steele is especially grateful to Kenneth C. (Chris) Holford, Purdue Northwests provost and vice chancellor for academic affairs, whose office provided the initial funding to bring the forensic research at PNW and state-of-the-art VR training at CIVS together. The launch of CBF Forensics highlights the impact that Purdue Northwest can have in working with entrepreneurs to advance research that leads toward product commercialization, Holford said. I believe that commercialization of research products in partnership with the university through entities like the new PNW Impact Lab will play an increasingly important role in future regional economic development. CBF Forensics also received support from Purdues Technical Assistance Program, or TAP40, and Purdues Manufacturing Extension Partnership. Steele said he is grateful to have worked with the people and university resources. Going through the process of starting the company, we have worked with dozens of students and faculty across three campuses within the Purdue system, Steele said. We have also worked with business and science professionals through Purdue Research Foundation and the University of Illinois Chicagos forensic science program. We are excited to be open for business. About CBF Forensics CBF Forensics (CBF) is unique in its capabilities and product offerings. We integrate innovative software, chemistry and devices for forensic science and higher education as well as adjacent industries. Our namesake product Crime by the F.I.V.E.S. is a first-of-its-kind nonscripted, data-driven virtual reality (VR) crime scene investigation training package that teaches the standards of crime scene investigation and introduces new technologies. No other VR company has our expertise in forensic science. Between Charles A. Steele and Dr. A. Karl Larsen, we have more than 70 years of combined forensic expertise. Both have run professional laboratories and forensic science academic programs. CBF also offers notable products like QuanTHC, which quantifies the THC levels for cannabis, CBD and hemp product markers, and BluePrint, a rapid development spray for porous materials. Our partners and relationships provide us with high-quality development and production resources that allow us to design and provide products for education, law enforcement and small manufacturing. Contact info@cbf-forensics.com for more information. About Purdue Innovates Office of Technology Commercialization The Purdue Innovates Office of Technology Commercialization operates one of the most comprehensive technology transfer programs among leading research universities in the U.S. Services provided by this office support the economic development initiatives of Purdue University and benefit the universitys academic activities through commercializing, licensing and protecting Purdue intellectual property. In fiscal year 2022, the office reported 157 deals finalized with 237 technologies signed, 379 disclosures received and 169 issued U.S. patents. The office is managed by the Purdue Research Foundation, which received the 2019 Innovation and Economic Prosperity Universities Award for Place from the Association of Public and Land-grant Universities. In 2020, IPWatchdog Institute ranked Purdue third nationally in startup creation and in the top 20 for patents. The Purdue Research Foundation is a private, nonprofit foundation created to advance the mission of Purdue University. Contact otcip@prf.org for more information. About Purdue University Northwest Purdue University Northwest (PNW) is a premier metropolitan university dedicated to empowering transformational change in our students and in our community. Located in Northwest Indiana, near Chicago, PNW values academic excellence, supports growth and celebrates diversity. For more information about PNW, visit www.pnw.edu. Writer/Media contacts: Steve Martin, sgmartin@prf.org Dustin Grove, dmgrove@prf.org Source: Charles Steele, steele49@pnw.edu Turkey's Ministry of Transport has authorised the construction of a 286km electric railway network, which will connect major cities through a high-speed, low-carbon route. Trains will run at speeds of up to 200 kilometres per hour, reducing travel time from Gaziantep to Mersin from six hours to two hours. Gazientep is a city of around two million people, including a sizeable Kurdish minority. Mersin, on the Mediterranean coast, is the second largest container port in Turkey and has a population of one million. The new railway aims to boost southern Turkey's economy, contribute to sustainability and improve international relations and the volume of export between Turkey and the UK. Turkey aims to extend its high-speed railway coverage to 10,000km. This project, which covers a distance greater than that between Cardiff and London, will contribute towards that. It will also connect airports, ports, and industrial zones in the hinterlands, including major cities such as Adana and Gaziantep, and larger cities in Southeastern Anatolia including Hatay, Diyarbakr, and Sanlurfa, and also Central Anatolia. The electric railway will replace the current diesel locomotive-operated railway, significantly reducing carbon emissions for travel between Mersin and Gaziantep. Estimates suggest that when completed, the route will save 157,000 tonnes in CO2e emissions in its first year. By reducing travel time and increasing railway use, it will also alleviate traffic congestion and road accidents. The project is part of reconstruction efforts following the devastating earthquakes that struck Turkey six months ago in February 2023. The railway will contribute to the rebuilding of Gaziantep, Osmaniye and other areas impacted by the disaster The UK government's is financing the project through its export credit agency, UK Export Finance (UKEF), which has underwritten 781m (680m equivalent), eighty-five per cent of the 923 million total project financing. The loan was provided by global financial institutions including JP Morgan, ING, and BNP Paribas. Italian and Austrian export credit agencies, SACE and OeKB, are also involved in financing the project, providing reinsurance to secure the project for Turkish rail infrastructure. Project development and construction group Ronesans Holding is involved in the project to build the railway, having also played a role in earthquake relief work. The group has a presence in over thirty companies and has worked with bodies such as the Government of Singapore Investment Corporation (GIC), Paris-based infrastructure fund Meridiam, Japanese trading house Sojitz Corporation, and International Finance Corporation (IFC) and Samsung CT. UK Export Finance's support for the railway project has made it possible for Ronesans to bid for more commercial opportunities. It is already discussing contracts for components, including mechanical and signalling equipment, as well as consultancy service. Ronesans Holding believes that it is well-placed to work on a rail infrastructure project in an area that is prone to earthquakes. Dr. Erman Ilcak, President of Ronesans Holding, expressed his enthusiasm for the project, stating, This railway line is a hugely exciting development for southern Turkey and will also see Ronesans strengthen its relationships with key UK suppliers. We are delighted to be appointed to the project, and to work together with such prolific UK businesses to bring this high-speed electrified railway to life. This truly collaborative project will not only enhance our relationship with UK exporters, it will also revolutionise rail links in Turkey, significantly enhancing the region's industrial connectivity and trade while actively reducing negative environmental impact. Parental involvement has become a flashpoint in the public debate over what instructional content is appropriate for school settings. These heated discussions have manifested in numerous forms, including a Parents Bill of Rights Act passed by House Republicans, lawsuits initiated by parents against school districts, and even violent conflicts at school events. The media narrative has largely pitted parents against schools (even though these incidents may be the work of a small but vocal minority of parents). Over the last two years, we've repeatedly used the RAND Corporation's American Educator Panels (AEP) to survey educators about how they are navigating politically charged issues. These national surveys of K12 educatorsincluding district leaders, principals, and teachersconsistently show that parents have become a key source of tension in schools. In this post, we use AEP survey findings to discuss what role educators see parents playing in these debates over politicized issues. We also discuss what schools can do to better engage parents as a way of alleviating political tensions. Educators at All Levels Have Experienced Pressure from Parents About Politicized Topics In fall 2022, about half of a nationally representative sample of 300 district leaders agreed that political polarization over hot-button topics like critical race theory, LGBTQ+ issues, and COVID-19 safety practices was interfering with their ability to educate students. When we asked what specific challenges districts had experienced, leaders often identified issues involving parents or local community members (see Figure 1). For example, roughly three in ten said they were dealing with threats from the public against educators or school board members. Another three in ten reported increased requests from parents to opt their children out of instruction about controversial topics. Figure 1: Challenges Experienced by Districts (Percent of Respondents) Heightened number of Freedom of Information Act (FOIA) requests 45% Verbal or written threats from the public against educators in your district/CMO about politically controversial topics 31% Heightened number of opt-out requests from parents from instruction about controversial topics 30% Verbal or written threats from the public against school board members in your district/CMO about politically controversial topics 29% Requests to remove certain books from school or classroom libraries and/or curriculum 28% Formal complaints about your district's instructional materials or teaching or training related to politically controversial subjects 16% Involvement by national or state-wide organizations or donors in your local district operations because of politically controversial subjects 11% In addition to surveying district leaders, we surveyed principals. They, too, identified parents as a key source of pressure. Regardless of whether the school policy fell more in line with progressive or conservative values, principals overwhelmingly identified parents and family members as the source of harassment. Share on Twitter We asked a national sample of 1,540 principals in January 2022 whether they had experienced harassment about politicized issues such as COVID-19 mitigation measures or classroom conversations about race or bias. Overall, 61 percent of principals said they had experienced harassment over one of these topics, and 27 percent of principals said they experienced harassment specifically for their schools' policies related to teaching about race, racism, or bias. Most of these principals were harassed over school policies that were supportive of teaching about race, racism, or bias, though some were harassed over school policies that opposed this practice. Regardless of whether the school policy fell more in line with progressive or conservative values, however, these principals overwhelmingly identified parents and family members as the source of the harassment. For example, 86 percent of the principals who reported harassment over a school policy supportive of teaching about racism or bias said this harassment came from parents. And 82 percent of the principals who reported harassment over a school policy against teaching about racism or bias said this harassment came from parents. Our surveys also point to challenges that teachers are experiencing with parents and contentious political issues. In spring 2022, we used an open-ended survey item to ask 1,452 teachers whether and how restrictions on discussions of race- or gender-related topics were impacting their instruction. In their responses, teachers named a variety of actors, including state leaders and school system administrators, as the source of the challenges they experienced. However, teachers most commonly pointed to parents and families. They described feeling increased scrutiny from parents about their lessons and instructional materials. They also expressed reluctance to address certain topics for fear of parental backlash. More-recent data further confirm teachers are modifying their instruction to avoid controversial topics, in large part because of real or perceived pressure from parents. In January 2023, we asked 1,439 teachers if their school system leaders had directed them to limit discussions about political or social issues in class. One-quarter of teachers said their school system leaders had done sothe same percentage as the school year before. However, a striking 65 percent of teachers said that they decided on their own to limit discussions about political or social issues. When we asked why, the top reasonpicked by about half of teacherswas that they weren't sure whether their school or district leaders would support them if parents expressed concerns (see Figure 2). And the second most-common reason was fear of verbal or physical altercations with upset parents. Figure 2: Why Teachers Decided, on Their Own, to Limit Discussion of Political and Social Topics in Their Classroom (Percent of Respondents) I am not sure that my school or district leaders would support me if parents expressed concerns 48.8 I am afraid of verbal or physical altercations with upset parents 35.8 I don't feel confident that I know enough about these topics to address them with my students 32.2 I am afraid of losing my job or teaching license 31.8 My school or district leaders have not issued any guidance about how to address 26.4 I'm not sure what topics I am allowed to address in the classroom 25.3 I have to get my instructional materials or lesson topics approved 10.1 I have to notify parents about the content of my lessons 3.8 Taken together, these survey results give the impression that conflicts between parents and educatorsor educators' hopes of avoiding these types of conflictsare having tangible impacts on how schools are approaching instruction. Because Educators at All Levels Have Experienced Pressure from Parents, Educators' Solution to the Problem of Heightened Political Polarization in Schools Must Involve Parents Our national surveys of educators reveal points of tension between families and schools. However, these same surveys underscore the influence of parents and the importance of building trusting relationships with families to head off potential conflict early. Educators don't need to hide from controversial topics. They can (and should) bring families into respectful, productive conversations to build a foundation of trust and shared goals with families before conflict arises. But educators also need clarity on how to respond when they encounter conflicts with parents and families. Educators can (and should) bring families into respectful, productive conversations to build a foundation of trust and shared goals with families before conflict arises. Share on Twitter We suggest school system leaders, including district leaders and principals, develop a two-pronged approach: a proactive strategy to build trust and engage parents in conversations about their children's learning and a reactive strategy to manage conflict when it does occur to reduce the burden on educators. Here are some ideas of what school system leaders might do: Create clear protocols for how teachers and principals should respond to families. It is inevitable that educators will encounter disagreements with families about instructional content. Teachers have told us that navigating these disagreements creates additional work. For example, they must spend time looking for different instructional materials or creating alternative assignments for students whose parents have opted them out. To avoid placing increased burdens on an already beleaguered workforce, school system leaders should streamline processes and develop protocols to respond to families' concerns. This might include guidance on moving concerns to higher levels of authority within a school system, submitting instructional materials for approval, and responding to opt-out requests from parents. A list of approved instructional materials that teachers can draw on when they do want to address race or gender in the classroom could also help. Collect data on what families in their school communities think about politicized issues. Anywhere from about a quarter to almost half of principals don't know what the parents in their schools think about various politicized issues. To collect this information, school systems might administer brief, factual, and objective surveys to families in their school communities. Such data might help leaders identify potential points of contentionor even misconceptionsheld by parents. These data might also help leaders avoid making decisions that address the preferences of only a vocal minority of parents and identify opportunities for school and district leaders to emphasize common ground. For instance, a recent parent survey ( PDF ) showed that two-thirds of parents, including a majority of both Republican and Democratic parents, believe it is important that schools teach their children social and emotional skills. Leaders could emphasize these shared values when communicating about school activities or books that students are reading. Foster greater transparency around instructional policies and practices and work to establish more alignment between parental beliefs and best practices. We know from decades of research ( PDF ) that parental involvement in children's schooling is critical for helping students succeed. But students are also best served when schools' instructional practices and curriculum choices are informed by professional expertise and research evidence. School system leaders should be prepared to articulate the reasoning and evidence behind their policies and instructional practices as well as the valuewhether academic, social, or otherwiseof instructional content or policies that parents might consider controversial. School system leaders should work to educate families on their instructional choices so that families can develop an understanding of the evidence and knowledge base undergirding schools' policies. Ashley Woo and Melissa Kay Diliberti are assistant policy researchers at the nonprofit, nonpartisan RAND Corporation and Ph.D. candidates at the Pardee RAND Graduate School. This commentary originally appeared on Brookings on August 10, 2023. Commentary gives RAND researchers a platform to convey insights based on their professional expertise and often on their peer-reviewed research and analysis. Property details: ESCAPE TO GODS COUNTRY AND OWN A PIECE OF PARADISE IN THE GREAT NORTH WOODS OF NORTHERN MAINE NEAR THE CANADIAN BORDER! This huge 39 acre +/- tract of recreational and hunting land is located in Van Buren, Maine a pristine and rural area with the feel of how life used to be like. Moose, black bear, deer, you name it...that roam this land, a hunters paradise! It is the perfect lot for those that love the outdoors. The land is close to the St. John River so you can boat, swim and fish. You could g... Price: $ 2,125 Seller State of Residence: Maine Type: Recreational, Acreage Property Address: Alexander Road Van Buren Maine Zip/Postal Code: 04785 Zoning: Mixed State/Province: Maine Location: 047**, Van Buren, Maine You will be redirected to eBay Nearby Maine Property details: .BUY LAND NOW3 Miles to GULF/BEACH! START INVESTING NOW!This land is perfect for Land Banking! Buy and hold for future investment. Many companies and investors purchase land at a substantial discount for their investment portfolios. Then later, use this as collateral for their business. Low Low Prices!Great Investment for new investor. Start your own land business now!DescriptionThis is a great opportunity to make your dream on a vacant lot located in Waveland MS- Mississippi Sound. Low taxes an... Price: $ 888 Seller State of Residence: California Type: Homesite, Lot Property Address: Danube St Zip/Postal Code: 39520 Zoning: Residential City: Bay St Louis State/Province: Mississippi Location: 395**, Bay Saint Louis, Mississippi You will be redirected to eBay Nearby Mississippi Zooey Deschanel / Instagram By Elizabeth Kwiatkowski, 08/15/2023 ADVERTISEMENT FOLLOW REALITY TV WORLD ON THE ALL-NEW GOOGLE NEWS! Reality TV World is now available on the all-new Google News app and website. Click here to visit our Google News page, and then click FOLLOW to add us as a news source! ADVERTISEMENT Elizabeth Kwiatkowski is Associate Editor of Reality TV World and has been covering the reality TV genre for more than a decade. Jonathan Scott and Zooey Deschanel have gotten engaged after four years of dating.The HGTV star, 45, and the actress, 43, announced their engagement news in a joint Instagram post on Monday, August 14."Forever starts now," they captioned a selfie of the couple in which Zooey was showing off her unique engagement ring.Jonathan and Zooey also added smiling heart emojis and the infinity symbol to their post.The and Brother vs. Brother star popped the question to the Physical and New Girl star on Sunday, August 13 during a family trip to Scotland, People reported Jonathan incorporated Zooey's daughter Elsie, 8, and son Charlie, 6, into the sweet marriage proposal, to which Zooey said, "Yes!"Jonathan apparently popped the question in front of a stone castle with a band that features large clear, pink and purple flower-shaped stones.Jonathan is very proud of his Scottish heritage. Not only did his twin brother and fellow HGTV star Drew Scott wear a kilt to his wedding ceremony in 2018, but the men's father, Jim Scott, reportedly emigrated from Scotland to Canada as a teenager.The star met Zooey four years ago when they filmed an episode of James Corden 's Carpool Karaoke. The lovebirds starred in the episode alongside Drew and Zooey's sister, Bones actress Emily Deschanel After a fun filming experience, the group of four found a spot in Los Angeles to sing karaoke on their own."They were all talented and spontaneous and they had a really fun time shooting the show," a source told People at the time. "They became friends after and then started talking as friends and realized there was more to it."Jonathan and Zooey went out on their first date in September 2019, and then they confirmed their romance later that month when they attended a taping of Dancing with the Stars and sneaked a kiss while sitting in the front row."I am seeing someone," Scott told Us Weekly in September 2019."I'm a very private person, so I don't typically talk about that. It's definitely special for me because I am the guy who raises the bar in what I'm looking for in a partner. I was caught a little off guard. It was definitely a pleasant surprise."In October of that year, Jonathan and Zooey went Instagram official with a funny Halloween picture in which Jonathan was holding his girl in his arms. The couple had enjoyed a night out at Universal Studios' Halloween Horror Nights with Drew and his wife Linda Phan.Jonathan and Zooey later attended his older brother J.D. Scott's Halloween-themed wedding for which they dressed as Batman and Catwoman, and then the couple quarantined together through COVID-19."He makes her laugh and he's so sweet to her," an insider previously told People, adding how "they bonded over a shared love of music" and Christmas carols.During an April 2020 episode of Drew and his wife Linda's podcast, "At Home," Jonathan admitted he was "flirting so hard" with Zooey when they first met."The show's producer had to cut a bunch of it out," he reportedly joked. "I thought I was playing it cool."Jonathan and Zooey purchased a five-bedroom home in June 2020, and then that winter, Zooey made her HGTV debut on Jonathan and Drew's hit show Celebrity IOU.Zooey appeared on the show's Season 2 premiere in December 2020 and surprised her best friend with a drastic home renovation.Prior to getting romantically involved with Jonathan, Zooey was married to Jacob Pechenik for four years. She and Jacob, who share Elsie and Charlie, split in 2019 and their divorce was finalized in May 2020.Zooey's first marriage was to Death Cab for Cutie frontman Ben Gibbard. They got married in 2009 and divorced in 2012.Jonathan was also previously married to flight coordinator Kelsy Ully. He and Kelsey wed in 2007 and broke up in 2010.The star was also in a long-term relationship with Jacinta Kuznetsov, a producer at the Scott brothers' production company, but they split in April 2018. The information disseminated by the Ministry of Foreign Affairs of France about the content of the telephone conversation between the Minister of Foreign Affairs of this country, Catherine Colonna, and the Minister of Foreign Affairs of Armenia Ararat Mirzoyan dated 08/15/2023, is another evidence of France's disrespect for the norms and principles of international law and human rights, Azerbaijanophobia, Azernews reports. The statement of the Community of Western Azerbaijan says: The fact that in the Karabakh region of the Republic of Azerbaijan, illegal Armenian armed groups are holding the civilian population hostage, blocking the road from Aghdam and demanding a direct, uncontrolled road to Armenia is nothing but blackmail, robbery, and terrorism. France's support for this demand by Armenia is a serious affront to international law and human rights. We call on France to stop the policy directed against the territorial integrity and sovereignty of Azerbaijan, not to interfere in the internal affairs of Azerbaijan and support the right of Azerbaijanis expelled from Armenia to return to their homes. Porterville, CA (93257) Today A steady rain this evening. Showers continuing overnight. Low 67F. Winds SE at 5 to 10 mph. Chance of rain 100%. Localized flooding is possible.. Tonight A steady rain this evening. Showers continuing overnight. Low 67F. Winds SE at 5 to 10 mph. Chance of rain 100%. Localized flooding is possible. Joshua Malek is one of thousands of University of Georgia students who moved in Friday, Saturday and Sunday ahead of the fall 2023 semester beginning on Aug. 16. More than 15,300 students were admitted as freshmen for the 2023-2024 school year, joining thousands of transfer students and returning students in the dorms. Aptech managing director and CEO Anil Pant died on Tuesday, the company informed in a regulatory filing. Photograph: Anil Pant/Twitter "The company regrets to inform about the sad demise of Dr. Anil Pant, Managing Director and CEO of the company on Tuesday, August 15, 2023. Dr. Pant's contribution and energy will be missed by the company. "All the directors and employees of the company convey their deepest condolences to his family," Aptech Limited said in the filing. Pant assumed the charge of MD and CEO in Aptech in July 2016, according to his LinkedIn profile. The company had disclosed health updates of Pant on June 19 and July 18 this year and the board had constituted an interim committee of certain members and senior management of the company for continuity of operations. On July 18, Aptech's Board of Directors had considered and approved the appointment of Anuj Kacker as interim CEO. "Pant went on an indefinite leave on June 19th "on account of sudden deterioration of his health", the company had informed the exchange. Pant had 15 years of experience in IT and communications. He had worked with Tata Consultancy Services (TCS) as a principal consultant for six years and with Sify Technologies as vice president for two years. His LinkedIn bio reads, "Over the past 28 years, he has held strategic roles of significant operating scale and complexity, of which more than 15 years are in the IT & Communications space, handling roles of increasing responsibilities across functions like quality, sales, marketing, delivery and product management, all culminating into P&L responsibility. "In his immediate past role at Tata Consultancy Services, he built a $100 million practice in the testing domain in five years." He is Six Sigma Black Belt certified, the bio added. Pant completed his doctorate in philosophy from Lincoln University College Malaysia in 2019, and was an alumnus of BMS College of Engineering and the Bangalore University. The strategic sale of government and state-owned Life Insurance Corporation (LIC) of India stakes in IDBI Bank might encounter procedural delays, potentially pushing the timeline for expected financial bids to the third quarter of the current financial year (2023-24, or FY24), according to sources familiar with the development. Photograph: PTI Photo from the Rediff Archives Financial bids constitute the second significant step in the divestment process, following expressions of interest (EoIs) from potential bidders. In this phase, bidders are required to quote transactional fee as a percentage of the divestment proceeds, which are then added to the governments kitty after completion of the transaction. The Reserve Bank of India (RBI), responsible for the fit-and-proper assessment of shortlisted potential bidders, has yet to grant its approval. This delay is anticipated to extend the time needed to invite financial bids. Initially, we had aimed to invite bids by September, but due to procedural delays, more time is needed, revealed an official with knowledge of the process. The cautious approach taken by the banking regulator is understandable, given the involvement of a bank, the official noted. The IDBI stake sale holds significant importance for the governments target to achieve Rs 51,000 crore in FY24. The deal is projected to generate Rs 15,00016,000 crore, factoring in the prevailing stock market conditions. The regulator is reported to be examining various aspects, including the banks capital adequacy, after-acquisition concerns, the credibility of potential bidders based on their track record, their financial stability following additional capital infusion in the bank, and particularly, any potential conflicts of interest. Assessing certain aspects, such as conflicts of interest, requires substantial information from multiple sources, noted the official cited above. It was added that both the ministry and banks are working closely to ensure all processes are concluded within the designated timeline. The Department of Investment and Public Asset Management, responsible for managing government equity, will check antecedents once it receives the green light from the RBI, subsequently enabling the invitation of financial bids. Its important to highlight that access to the banks data room will be granted to qualified bidders after vetting by both the RBI and the home ministry. Industry experts maintain optimism about the IDBI stake sale occurring this year. Once financial bids are submitted, an estimated two to three months will be required to finalise the remaining processes, including share transfers and the open offer from the winning bidder. To mitigate delays during the transaction stage, the government may consider conducting an initial assessment of potential companies before adding them to the divestment list. "This assessment should encompass potential value drivers, whether asset- or business-related, while safeguarding the entitys rights over these value drivers. Additionally, all pertinent financial, legal, and other documentation necessary for potential due diligence should be identified, says Arindam Guha, an independent expert in public policy and governance matters. On January 7, the Centre received numerous EoIs from both domestic and foreign investors for the 60.72 per cent stake in IDBI Bank. The successful bidder will secure this stake alongside management control. The offer comprises 30.48 per cent from the government and 30.24 per cent from LIC, the current promoter. Regulators have extended the requisite regulatory forbearance to sweeten the deal for potential bidders. Foreign banks, funds, and investment vehicles incorporated outside India were granted permission to participate in the bid for IDBI Bank. US-based boutique investment firm GQG Partners along with other investors on Wednesday bought an 8.1 per cent stake in Adani Power Ltd for over Rs 9,000 crore ($1.1 billion) as the marquee investor shrugged off damning report of a US short seller to invest in billionaire Gautam Adani's group. Photograph: Amir Cohen/Reuters GQG Partners and other investors bought 31.2 crore shares of Adani Power in a block deal -- one of the largest ever secondary market equity transactions -- stock market data showed. Adani Power is the fourth firm of the ports-to-energy conglomerate where GQG has invested since May. Promoter Adani family, which held 74.97 per cent in the firm, sold 31.2 crore or an 8.1 per cent stake at an average price of Rs 279.17 per share. GQG Partners Emerging Markets Equity Fund and Goldman Sachs Trust II-Goldman Sachs GQG Partners Intl Opportunities Fund bought 15.2 crore shares at Rs 279.15 apiece, according to the stock market data. The US-based investment firm, which started investing in the Adani Group in early March when the group was reeling under damaging allegations made by short-seller Hindenburg Research, has been increasing its stake in group companies. GQG had previously picked up a 5.4 per cent stake in Adani Enterprises, a 6.54 per cent stake in Adani Green Energy Ltd and a 2.5 per cent stake in Adani Transmission Ltd. Adani Power is one of India's strategic energy and power producer. The transaction is the first of its kind in India between an investor and a promoter group. Sources said the investment highlights the intrinsic strength of Adani Group's diversified business ventures but also stands as an endorsement of the Group's commitment to the highest standards of governance. Furthermore, the success of this investment programme underscores the Group's unparalleled ability to raise substantial funds seamlessly across all its portfolio of companies. Hindenburg Research, in a bombshell report in January, alleged accounting fraud and stock price manipulation at the group, triggering a stock market rout that had erased about $150 billion in its market value at its lowest point. Adani Group has denied all allegations by Hindenburg and is plotting a comeback strategy that includes recasting its ambitions, scrapping acquisitions, pre-paying debt to address concerns about its cash flows and borrowings, and scaling back its pace of spending on new projects. In March, promoters sold stakes worth Rs 15,446 crore ($1.87 billion) in group companies to GQG Partners. GQG ramped up that investment with an additional purchase of shares worth $400-500 million in May. Adani family had raised $1.38 billion (Rs 11,330 crore) through stake sale in the three portfolio companies -- Adani Enterprises Ltd, Adani Green Energy Ltd and Adani Transmission Ltd. In addition, the three portfolio companies have received board approvals for primary issuances through a share sale to investors. Adani Enterprises Ltd plans to raise Rs 12,500 crore through share sale to investors while Adani Transmission plans to mop up Rs 8,500 crore. Adani Green Energy plans to raise Rs 12,300 crore. Investments have been in key Adani group firms that are linked to India's ongoing energy transition. Adani Enterprises is incubating the green hydrogen projects while Adani Green Energy is the renewable energy arm that is building 45 GW of capacity by 2030. Adani Transmission lays lines to wheel such power. The Group's 360-degree energy suite encompasses renewables, power generation, transmission and gas, reflecting a well-rounded perspective on India's dynamic energy landscape. India stands at a crucial juncture, balancing its colossal energy demands with global sustainability commitments. The share sales come months after Adani Enterprises was forced to abort a Rs 20,000 crore Follow-on Public Offering (FPO) in the wake of the Hindenburg report. The offer was fully subscribed but the company returned the money to subscribers. The funds that Adani Group is raising will be used to pare debt and fund the group's expansion projects. The sources said GQG's bet on Adani was primarily because of the conglomerate emerging as the largest and fastest-growing critical infrastructure developer. Adani's portfolio offers a one-stop play for India's growing infrastructure theme with no equivalent alternative. The group's flagship and incubator Adani Enterprises Ltd is developing businesses like airports, roads (transport and logistics), data centres, copper and green hydrogen, which could lead to massive value unlocking over the next 5 to 15 years for its investors, they said. Its incubator model has a 100 per cent success rate of creating unicorns and has built unicorns like Adani Transmission, Adani Power and Adani Ports & SEZ. The combined market capitalisation of these four companies alone stands at $62 billion -- almost twice that of the incubator. The management, in the recent past, has said that they will be separately listing airports and road businesses in the next three to four years. Adani Green has emerged as the largest and fastest-growing renewable energy player in India. Its green capacities have grown at 33 per cent CAGR over the past five years, outpacing the industry average of 15 per cent. Currently, the size of its renewable energy portfolio stands at 20.4 GW (8.2 GW operational and another 12,2 GW under construction and near construction projects). It is targeting a 45 GW portfolio by 2030. 'Obviously, this has been done to give an exit route to some accused.' IMAGE: A 2018 protest against the mob lynchings across the country. Photograph: Amit Dave/Reuters Supreme Court Senior Advocate Rebecca John discusses the new criminal law bill, which was introduced in Parliament last week, with Rediff.com Senior Contributor Jyoti Punwani. The first of a two-part interview: Can you comment on the new criminal law bill introduced in Parliament on the last day of its session? What will be its effects? I'm still reading it. It's going to cause a lot of inconvenience to lawyers, courts and all stakeholders. You spend a lifetime quoting provisions and suddenly there are unnecessary changes to the structure of these statutes in a way that is neither creative, nor imaginative. Were you part of the consultation held on this? Suggestions were taken online from lawyers and other stakeholders, I too responded to the questionnaires sent by the core group that had been convened to look at the need for amendments. I can see that they've amalgamated several IPC offenses into one offence. For example if you were to look at the offence for cheating. Currently, Sections 415-420 IPC (Indian Penal Code) deal with cheating. The offences range from less aggravated to more aggravated crimes. Now, they've been merged into one section, which is not the smartest thing to do. Some housekeeping exercise has been done and offences of the same genre have been put together, like crimes against women. But, the devil is in the details. They've introduced lynching as an offence, putting it under the section on murder. However, with regard to punishments, one would have imagined that as death was caused it would be punished in the same way as the offence of murder. But for lynching the punishment varies from death to life imprisonment to imprisonment not less than 7 years. How can lynching defined as murder be punishable by seven years? Obviously, and I'm making a political point here, this has been done to give an exit route to some accused. Also, sedition has not been thrown out; it appears in another form. There are many provisions which deal with terrorism and organised crime which form part of special statutes like UAPA and MCOCA. This overlap is very problematic and will, I'm sure, be challenged. IMAGE: Senior Advocate Rebecca John. Photograph: Kind courtesy Rebecca John It's just a completely unnecessary exercise and will cause more problems than benefit. Many of the provisions relating to speedy trial are unenforceable given the huge backlog of cases and the skewed Judge-Case ratio in India. Unless something is done to fix that first, these provisions will make no effective difference on the ground. And the names given to the new codes are such tongue-twisters. Who in the South or the East will be able to pronounce them? If you want to get rid of colonial laws, simplify them and make them more accessible. You may have done just the reverse. Part II of the Interview: 'Supreme Court judgment has far reaching consequences' Feature Presentation: Aslam Hunani/Rediff.com Six more former and current students of Jadavpur University were arrested for allegedly ragging and sexually harassing a freshman student of the varsity leading to his death, and were remanded to police custody by a city court on Wednesday. IMAGE: People from the Bagula village of deceased Swapnadeep Kundu, first-year student of Jadavpur University, take part in a rally to protest his unnatural death, in Kolkata, August 16, 2023. Photograph: ANI Photo This takes the total number of apprehended persons in this case to nine, a police officer said. The court remanded the six -- three current students and three former ones -- to police custody till August 28. The victim of the alleged ragging was a 17-year-old boy who died after falling from a second-floor balcony of a hostel last week. Leading a delegation of the ruling Trinamool Congress, Education Minister Bratya Basu met the family of the boy at his home in Nadia district and accused a section of students and ex-students of creating an atmosphere of anarchy in Jadavpur University. The police have taken cognisance of JU students' social media posts, including photographs, which suggest that there was an element of sexual harassment in the ragging of the deceased. The officer said the three former students of the varsity had been staying at the hostel illegally and fled Kolkata after the death of the Bengali department student. "We arrested them (three ex-students) last night after they gave incoherent replies to our officers during questioning as multiple raids were conducted at different places in Purba Medinipur and South 24 Parganas districts where they had fled. It seems they were actively involved in the student's death," he told PTI. Of the three others, two are current third-year civil engineering students, and one is studying electrical engineering, he said, adding that they were boarders of the hostel. He said there was no CCTV camera at the hostel, which violates the standard norms. Meanwhile, the Registrar of JU on Wednesday met Joint CP Crime on Wednesday at Lalbazar, the Kolkata police headquarters. The police also talked to a functionary of the Jadavpur University Teachers' Association at Lalbazar on the issue, he said. "The Dean of the students who was gheraoed by agitating students could not come," the officer said. The police earlier arrested an ex-student and two current students of JU for their alleged involvement in ragging the undergraduate student who died on August 10, hours after falling from the balcony of a hostel. Governor CV Ananda Bose, also the chancellor of the varsity, held a meeting with senior JU officials at Raj Bhavan. He asked them to ensure that there is no repetition of such an incident in the future, a Raj Bhavan official told PTI. During the meeting, Bose also asked them to fill up all vacancies in the varsity, the official said. Earlier in the day, a TMC delegation comprising senior leaders such as Women and Child Development Minister Shashi Panja and Minister of State for Health Chandrima Bhattacharya went to Bagula in Nadia district and met the parents of the deceased. "What has happened is extremely unfortunate. The police already arrested a few people, and those who are involved in it must be punished," Education Minister Bratya Basu told reporters. The TMC leaders assured the family of all help. "It seems a section of students and ex-students have created an atmosphere of anarchy and chaos inside the campus for years. What kind of politics is this that you pounce upon a student just because he is from a semi-rural area and dreams of making it big in life? Such unruly elements now stand exposed," he said. Several people including students from Bagula arrived at Jadavpur and protested against the incident. Members of the ruling party's student wing Trinamool Chhatra Parishad Tmcp and the Left students union also staged protests there. The deceased was a first-year undergraduate student of Bengali (Honours). He allegedly fell from the second-floor balcony of the main hostel around 11.45 pm on August 9 and died while undergoing treatment at a hospital the next day. The Aam Aadmi Party on Wednesday said there was no point in having the Indian National Developmental Inclusive Alliance if the Congress has made up its mind to go solo in Delhi for the Lok Sabha polls, after Congress leader Alka Lamba's remark that her party leadership has directed them to prepare in all the seven seats for the 2024 election. IMAGE: Congress leaders Mallikarjun Kharge, Rahul Gandhi, Bihar Chief Minister Nitish Kumar, RJD chief Lalu Yadav, West Bengal Chief Minister Mamata Banerjee, NCP Sharad Pawar, AAP leader Arvind Kejriwal (second from right) and Bhagwant Mann (right) attend the Opposition leaders' meeting in Patna on June 23, 2023. Photograph: ANI Photo The Congress and AAP are part of the opposition grouping Indian National Developmental Inclusive Alliance. AAP chief spokesperson Priyanka Kakkar said its top leadership will take a call on attending the Mumbai meeting of the opposition bloc INDIA, following the statement by Lamba. Congress leaders from Delhi on Wednesday held a meeting with the party's top leadership to review its preparedness for the Lok Sabha elections and discussed ways to strengthen the organisation. Congress president Mallikarjun Kharge and former party chief Rahul Gandhi, who were present at the meeting, asked the party leaders to remain united and connected with people, sources said. After the meeting, Delhi Congress leader Alka Lamba said they have been directed to work strongly in all seven seats ahead of the 2024 polls. "No decision has been taken on whether to have an alliance, but we have been asked to prepare ourselves on all seven seats. We will go to the people strongly after preparing ourselves properly in all seven seats," she told reporters. Lamba said that "Congress votes have gone to the AAP" and many senior AAP leaders are in jail for corruption. "We have been asked to prepare ourselves on all seven seats and remain strong on all these seats," she said Following her statement, Kakkar said if the Congress wants to fight alone in Delhi, there is no point in attending the INDIA alliance meeting. "If Congress has made up its mind to fight elections alone in Delhi, there is no point of the INDIA alliance. "The final decision to attend the next meeting of the INDIA alliance will be taken by the party's top leadership. It was the Congress which approached AAP for forming an alliance in Delhi since they do not have an existence in Delhi," Kakkar told PTI Video. Other AAP leaders echoed similar sentiments. AAP MLA Vinay Mishra said the Congress needs to make its stand clear. "There will be no point of the INDIA alliance if the Congress continues to give statements like this. The Congress needs to make its stand clear," he said, adding that the party's national convener and Delhi Chief Minister Arvind Kejriwal will take a decision keeping in mind the interest of the country and the people of Delhi. AAP leader Somnath Bharti said, "Everyone should realise that we should keep our ambitions aside and think about the country and the Constitution." After AAP's statement, Delhi Congress chief Anil Chaudhary clarified that Wednesday's meeting was not on alliance formation in Delhi and nothing was discussed about it. "AAP can make assumptions but the decision on alliance will be taken by the AICC central leadership and it will be announced by them. We, as an opposition party here, will raise questions about corruption, " he told PTI. Former Congress MLA Anil Bhardwaj said Lamba is not authorised to speak on this matter. "In today's meeting of Delhi leaders with party central leadership, there was no discussion over (INDIA) alliance," he said. The AICC in-charge of Delhi, Deepak Babaria, said the formation of an alliance, if any, in Delhi will be finalised by the party high command. "We all decided to oppose the double engine of both the AAP government in Delhi and the BJP government at the Centre," Babaria said. "We have been suffering for the last several years in Delhi and we are preparing for the elections. We will have our own candidates and they will have their own candidates," he said. The next meeting of the opposition alliance is slated to be in Mumbai on August 31 and September 1. Ahead of the 2024 Lok Sabha elections, a group of 26 opposition parties have come together under the banner of the Indian National Developmental Inclusive Alliance to take on the Bharatiya Janata Party-led National Democratic Alliance. Bharatiya Janata Party leader OP Sharma said, "I feel (Delhi CM) Arvind Kejriwal must have said something after the Delhi Services Bill was passed in Parliament that forced them to give such a statement." On the Delhi Services Bill, Union Home Minister and senior BJP leader Amit Shah had earlier said that Kejriwal will quit the opposition bloc INDIA once the legislation is passed by Parliament. He had asked the opposition not to indulge in politics of supporting or opposing legislation just to win elections. If the CM can steer the BJP into forming a government for a third term, he will have achieved a unique place in the party's history -- Mewat notwithstanding. IMAGE: Shops and properties damaged in communal violence in Haryana's Nuh. Photograph: ANI Photo For chief ministers, it is the nightmare they hope never to have. On July 31, a procession led by the Vishva Hindu Parishad and the Bajrang Dal, through the Muslim Meo-dominated Nuh (headquarters of Mewat district) in Haryana, engulfed the most developed areas of the state like Gurugram and Palwal; and the most backward -- Mewat -- in mayhem and violence that many in the government allege was pre-planned. An imam in a mosque, a VHP volunteer, and two home guards were among the six killed. Nearly 200 were injured. When violence threatened to spread to nearby areas including Rajasthan and Delhi, the state administration sought additional paramilitary forces in tacit acknowledgement that the situation was beyond the state police. Sporadic cases of arson continue. This is not the first incident of mass violence Chief Minister Manohar Lal Khattar has had to handle. Administrative failures of the Khattar government were blamed on caste riots (the Jats, a significant caste group, went on the rampage in the state in 2016 that the administration was unable to control. The government put the blame on the sense of entitlement of the Jats); and lack of experience (the militant resistance when the police attempted to arrest self-styled godman Rampal after he failed to appear in court 43 times; and, later, the Dera Sacha Sauda buildup). But this time, a communal divide is patent: And it may have to do with the socio-economic profile of Mewat. Around 80 per cent of Mewat's population comprises Muslims, and a majority is Meo-Muslims, a unique ethnic group. Nuh is just 60 km from Gurugram. But all of Mewat is pitifully underdeveloped. A 2015 study of Mewat funded by the NITI Aayog finds that 90 per cent of the district is rural. The main problem is water: It is so saline that it is unusable. In any case, barely 25 per cent of the households have a water source in the house. In the absence of potable water, livestock rearing is the preferred occupation. Livestock is both goat and cow: Which makes Muslim-majority Mewat vulnerable to attacks by cow vigilantes. The study provides evidence of why Mewat feels the government has passed it by. Nearly 50 per cent of the households still use firewood for cooking. Open defecation is common and accepted. And yet, the intensity of mobile phones per household in Mewat is the same as the rest of the state. This means rumours spread fast and conspiracies hatch faster. After nearly 10 years of being chief minister, Mr Khattar cannot have been unaware of the realities of Mewat. But like governments before him, money has been poured to develop the region with no evidence of results. In the past, inexperience was cited as an excuse. It cannot any longer. Mr Khattar has two leadership advantages: His reputation for personal honesty and his relationship with Prime Minister Narendra D Modi. Mr Khattar and Mr Modi have known each other since the days when Mr Modi was the Bharatiya Janata Party prabhari (in charge) of Haryana. Originally from Pakistan, Mr Khattar's family was very poor and migrated to Haryana, where he was born. He came into contact with the Rashtriya Swayamsevak Sangh during the Emergency in 1975 and joined it in 1977. Impressed by the RSS ideology and conduct of swayamsevaks during the Emergency, he became a full-time RSS pracharak by 1980. He was engaged in Haryana politics and liaised with Mr Modi, who was 'lent' to the BJP at the time. When the earthquake struck Gujarat, Mr Khattar was invited to lead the committee for reconstruction and rehabilitation. In 2002, he was put in charge of polls in Jammu and Kashmir. He was made chairman of the election campaign committee for Haryana in 2014. The BJP's performance in Haryana in both the assembly and Lok Sabha elections that year was stupendous. He became first-time MLA (Karnal) and first-time chief minister. Then came the 2019 assembly elections. While the BJP managed to win all 10 Lok Sabha seats in Haryana, its performance in the assembly was sub-par. Though it improved its vote share, it could not cross 40 seats of the 90 and was forced to go, cap in hand, to the Jannayak Janata Party headed by Dushyant Chautala. Mr Khattar was forced to agree to appoint Mr Chautala his deputy chief minister. So far, Mr Chautala has stayed in line. But with months left for the assembly elections, if the BJP tally falls any further, he may decide to dictate terms. The Congress is divided against itself. And the Aam Aadmi Party is still looking to put down roots in Haryana. Haryana is happy with the Khattar-led government as the vote share increase in the last assembly elections suggests. But the state doesn't like the BJP MLAs and voted for fewer of them in 2019. This represents a problem for Mr Khattar: If he doesn't get a free hand in selecting MLAs, other parties could move in. On the other hand, if he disturbs power equations within the BJP, the party could turn against itself. But this much is clear: That if he can steer the BJP into forming a government for a third term, he will have achieved a unique place in the party's history -- Mewat notwithstanding. Feature Presentation: Aslam Hunani/Rediff.com Cow vigilante Bittu Bajrangi was remanded in police custody for a day by a Nuh court on Wednesday in connection with communal clashes that erupted in the district on July 31, and the police said his associates will also be arrested soon. Bajrangi alias Raj Kumar was arrested on Tuesday from Faridabad after a fresh FIR was registered against him at Nuh's Sadar police station based on a complaint by Assistant Superintendent of Police Usha Kundu, they said. According to the FIR, Bajrangi, who was identified through social media posts, and some of his unidentified supporters had allegedly misbehaved with and threatened an ASP Kundu-led police team which had stopped them while they were carrying swords and trishuls to Nalhar temple. Bajrangi had been arrested by the Faridabad police two days after the violence in connection with another case related to the communal clashes but was released on bail after he joined the investigation. He was accused of making inflammatory speeches and brandishing weapons in public. Bajrangi was produced in a city court today and we have taken him on a one-day police remand for questioning. Further probe is underway and associates of Bajrangi will also be arrested as earliest possible, a Nuh police spokesperson said on Wednesday. In her complaint, ASP Usha Kundu said, I was on duty with my team 300 metres away from the Nalhar temple. We saw a mob of around 20 people carrying swords and trishuls marching to Nalhar temple. To maintain law and order my team snatched and seized their weapons." "After this, they started raising slogans against the police and manhandled the team. We kept their weapons in our vehicles but they went ahead and squatted in front of vehicles. Soon after they opened the rear gates of our official vehicle and fled with weapons. Bittu and others who had misbehaved with us and threatened to kill us were identified in the footage, ASP Kundu said in her complaint. The FIR was registered against Bajrangi under Indian Penal Code sections 148 (riots), 149 (unlawful assembly), 323 (causing hurt), 332 (voluntarily causing hurt to deter public servant from his duty), 353 (assault or criminal force to deter public servant), 186 (obstructing a public servant from discharging duty) and 506 (criminal intimidation) and provisions of the Arms Act, police said. Congress leaders from Delhi on Wednesday held a meeting with the party's top leadership to review the preparedness for the Lok Sabha elections and discussed ways and means to strengthen the organisation in all seven parliamentary constituencies in the national capital. IMAGE: Congress president Mallikarjun Kharge along with party leaders Rahul Gandhi and KC Venugopal holds a meeting with Jharkhand Congress leaders over the preparations and planning for the upcoming 2024 polls in New Delhi, August 16, 2023. Photograph: ANI Photo Congress president Mallikarjun Kharge and former party chief Rahul Gandhi, who were present at the meeting, asked party leaders to remain united and connected with the people, sources said. Delhi Congress president Anil Chaudhary and former Union minister Ajay Maken, who were also present at the meeting, pointed out to the issues relating to a possible alliance with the Aam Aadmi Party, the sources said. "In view of the Lok Sabha elections, a consultation was held with the leaders of the Delhi Congress today (Wednesday). Revitalisation of the Delhi Congress is our priority, in which participation of all leaders and workers is necessary. We had made Delhi prosperous and happy, our struggle for the people of Delhi continues even further," Kharge said after the meeting. Gandhi said in a Facebook post that a meeting of Delhi Congress leaders was held under the chairmanship of Congress president Kharge. "The Congress is dedicated to strengthening the voice of the people of Delhi and ensuring the progress of Delhi," he said. Later, in a one-on-one meeting, Kharge and Gandhi met senior leaders of the Delhi Congress, including Maken, Chowdhary and Subhash Chopra, to discuss leadership issues in the unit. Chowdhary has completed his tenure as Delhi Congress president and a replacement is expected. All India Congress Committee general secretary KC Venugopal said on X, "Attended the meeting to review preparations of Delhi PCC (Pradesh Congress Committee) for the Lok Sabha elections, chaired by Sh. Mallikarjun Kharge ji, along with Sh. Rahul Gandhi ji and senior leaders from Delhi." "The (Narendra) Modi-led BJP has proven to be disastrous for Delhi. The people also recall INC's glorious 15-year stint in Delhi during which the city transformed into a modern, vibrant metropolis. We are confident that the people will extend their full support to us in 2024," Venugopal said. All the seven Lok Sabha seats in Delhi are currently held by the Bharatiya Janata Party. Delhi Congress chief Chaudhary said it was the Congress which protested against the Delhi liquor policy during 'Pol Khol Yatra' and it led to the arrest of top AAP leaders. The Congress will always question Chief Minister Arvind Kejriwal (also the AAP's national convener) whenever it concerns the safety and protection of the people of Delhi, he said. "Today's meeting was not on alliance formation in Delhi, nothing was discussed about it. The AAP can make assumptions but decision on alliance will be taken by the AICC central leadership and it will be announced by them. We, as an opposition party here, will raise questions about corruption," he said. AICC in-charge for Delhi affairs of the Congress Deepak Babaria said Wednesday's meeting was held to discuss the party's strategy for the forthcoming parliamentary elections and all senior leaders and workers were present. All leaders representing various sections of society put forth their issues and felt that the AAP government is "pushing Delhi behind" after the progress made during the Sheila Dikshit government, he said. "The Delhi Congress put forth their resolution that the anti-people policies of the Delhi government of AAP would be opposed at the ground level," he said. Asked whether it was decided with whom will the party form its alliance in Delhi, Babaria said, "We did not discuss that issue in today's meeting. We only discussed on how to strengthen the party in Delhi". He said an alliance, if any in Delhi, will be finalised by the party high command. "We all decided to oppose the double-engine of both AAP government in Delhi and the BJP government at the Centre," Babaria said. "Whatever promises he (Arvind Kejriwal) has made to people of Delhi, he has not fulfilled, be it on any issues," he alleged. He said Gandhi and Kharge are senior leaders of the INDIA bloc and they will decide on any possible alliance in the national capital. "We have left our decision on them," Babaria said. "We have been suffering for the last several years in Delhi and we are preparing for the elections. We will have our own candidates and they will have their own candidates," he said. The Delhi Congress will continue to raise issues of the people of Delhi, he said, adding that Gandhi has "told us to reach out to the people and stressed on being among the people of Delhi". Delhi Congress leader Alka Lamba said in the over three-hour meeting, discussions were held on the shortcomings in the organisation and preparations for the Lok Sabha elections. "We have been directed to work strongly in all the seven seats," she said. "No decision has been taken on whether to have an alliance, but we have been asked to prepare ourselves on all seven seats," she said. Lamba said "Congress votes have gone to the AAP" and many senior AAP leaders are in jail for corruption. "We have been asked to prepare ourselves on all seven seats and remain strong on all these seats," she told reporters. The AAP has reacted strongly to the comments made by some Congress leaders claiming that they will contest in all seven seats, saying there is no point of the INDIA alliance if the Congress has decided to contest alone in Delhi in the Lok Sabha polls. "If the Congress has already decided to not form an alliance with us, then it is of no use for us to attend the next 'INDIA' alliance meeting. Our top leadership will decide whether or not we'll attend the next meeting," AAP leader Priyanka Kakkar said. Babaria, the AICC in-charge for Delhi affairs of Congress, later said the AAP should understand that there are "attempts to provoke" and the "AAP should not fall in such a trap". The Rajasthan high court has denounced the criterion of chest measurement to gauge the lung capacity of female candidates during physical examination in recruitment process, saying it is absolutely arbitrary and outrageous and dents a woman's dignity and right to privacy guaranteed under the Constitution. IMAGE: Women candidates take part in a Railway Recruitment Cell non technical popular category Level-1 physical efficiency test, in Prayagraj, Uttar Pradesh, February 1, 2023. Photograph: ANI Photo It also directed the state authorities to solicit the opinions of experts to explore the possibility of any alternative means to determine the desired level of lung capacity "to avoid this unwarranted humiliation of women candidates". Justice Dinesh Mehta made the observation while deciding a petition by three female candidates challenging their disqualification on the parameter of chest measurement despite clearing the physical efficiency test for the post of forest guard. The court preferred not to intervene in the recruitment process, which had already taken place, but stated some deliberation is necessary about the very requirement of chest measurement for the female candidates, may it be for the recruitment of Forest Guard or Forester or any other post. The size of the chest and its expansion in the case of a female candidate may not necessarily be a pointer of physical fitness and a litmus test of the lungs' capacity, the judge observed in the August 10 order. "Even if it be so, such measurement impinges upon or intrudes on the privacy of a female. Apart from being irrational, prescribing such criterion disrupts the dignity, bodily autonomy and mental integrity of a woman, the court stated in its order. The court observed that the criterion is absolutely arbitrary and outrageous and a clear dent on a woman's dignity and right to privacy guaranteed under Articles 14 and 21 of the Constitution of India. The petitioners have told the court that their measurement was more than required after which the high court sought a report from the medical board of AIIMS. The report, however, stated that two candidates' chest measurements were less than required in the "normal condition" while one of them had chest measurement less than required in the "expanded condition". Based on this report, the court rejected their petitions and upheld the decision of the recruiting agency to disqualify them, but objected to the use of chest measurement among female candidates. A copy of the order was marked to the state chief secretary, the secretary of the forest department and the secretary of the department of personnel to have a relook into this criterion. It asked them to solicit the opinion of experts to explore the possibility of alternative means to determine the desired level of lung capacity. A 16-year-old Muslim boy was allegedly beaten up by a group of persons amid chants of 'Jai Shri Ram', after he was caught before boarding an express train at the Bandra railway terminus in suburban Mumbai with a teenage Hindu girl, who they claimed was kidnapped by him, the police said. IMAGE: Kindly note that this image has been posted for representational purposes only. Photograph: PTI Photo The incident occurred on July 21, but came to light when its video went viral on social media on Tuesday. But no case has been registered so far in connection with the assault, the police said on Wednesday. The boy as well as the girl, who is 17 years old, are residents of Ambarnath in neighbouring Thane district, an official said. "The incident took place on July 21, when the Muslim boy and the Hindu girl were caught by a group. The girl's family members had earlier lodged a complaint of her kidnapping in Ambarnath. After coming to know that the boy and the girl were at the Bandra railway terminus, around a dozen people reached the spot and found the two waiting there to board Awadh Express," he said. The group caught hold of the boy and started assaulting him amid chants of 'Jai Shri Ram'," he said. While bashing up the boy, they also dragged him out of the railway station by pulling his hair, he added. "Someone present at the spot captured the incident on his mobile phone camera, and the video went viral on social media on Tuesday, following which the local police as well as the GRP swung into action and launched a probe," another official said. After assaulting the boy, the group members took him to Nirmal Nagar police station located in Bandra East. But as the kidnapping case of the girl was registered in Ambarnath, he was handed over to the police there, he said. An official of Nirmal Nagar police station said since the incident of assault occurred in the railway station premises, the GRP was informed about it for a probe. "We made a station diary entry in connection with the incident," he said. A Bandra GRP official said that after receiving a letter from the Nirmal Nagar police about the incident, a first information report (FIR) for rioting and assault was registered against the unidentified persons. "The GRP is the complainant in the case as it has taken suo motu cognisance of the incident...We have identified the assaulted boy and contacted his family members," he said. The people who assaulted the boy can be clearly seen in the viral video, he said, adding that no arrest has been made so far. Rishi Sunak said on Tuesday that his Hindu faith guides him in every aspect of his life and gives him the courage to do the best as the Prime Minister of Britain. IMAGE: Britain's Prime Minister Rishi Sunak greets spiritual leader Morari Bapu at Jesus College, University of Cambridge. Photograph: Screen grab During a visit to the ongoing 'Ram Katha' being conducted by spiritual leader Morari Bapu at Jesus College, University of Cambridge, Britain's first Indian-origin Prime Minister highlighted the significance of the event coinciding with India's Independence Day. "Bapu, I am here today not as a Prime Minister, but as a Hindu, said Sunak, opening his address at the gathering. "For me, faith is very personal. It guides me in every aspect of my life. Being Prime Minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country, he said. The 43-year-old leader shared the special moment when he first lit Diwali diyas outside No. 11 Downing Street as the first British Indian Chancellor in 2020. Pointing to a large golden image of Lord Hanuman as the backdrop to Morari Bapu's Ram Katha, the British prime minister said it reminded him of how a golden Ganesha sits gleefully on my desk at 10 Downing Street. "It is a constant reminder to me about listening and reflecting on issues before acting, he shared. Sunak, just back from a family holiday in the US United States his wife Akshata Murty and children Krishna and Anoushka, said he was proud to be British and Hindu as he reflected upon his childhood years in Southampton where he often visited his neighbourhood temple with family. "Growing up, I have very fond memories of attending our local mandir in Southampton. My parents and family would organise havans, pujas, aartis; afterwards, I would help serve lunch and prasad with my brother and sister and cousins, said Sunak. Our values and what I see Bapu does each day of his life are the values of selfless service, devotion and keeping faith. But perhaps the greatest value is duty or sewa, as we know it. These Hindu values are very much shared British values, he noted. Referencing his family's immigrant history, Sunak noted how many among the hundreds gathered at the Katha had parents and grandparents who came to the UK with very little from India and East Africa and worked their way up to give his generation the greatest opportunities ever. "Today, I want to say thank you to the generation who worked day and night for our education and our today now is the time for our generation to give back, he said. "I leave here today remembering the Ramayana' that Bapu speaks on, but also the Bhagavad Gita' and the Hanuman Chalisa'. And for me, Lord Ram will always be an inspirational figure to face life's challenges with courage, to govern with humility and to work selflessly, he added. He concluded his address with the words Jai Siya Ram' and went on to participate in an aarti on stage, with Morari Bapu invoking the blessings of Lord Hanuman, seeking "boundless strength" to facilitate his service to the people of Britain. Earlier on Tuesday, the spiritual leader was joined by British Indian peer Lord Dolar Popat to celebrate India's Independence Day with a flag hoisting at the University of Cambridge. The spiritual leader commended Sunak's gesture of offering food as prasad to 50-100 volunteers before attending the event, highlighting its alignment with intrinsic Indian traditions. While the UK Prime Minister typically refrains from accepting gifts, Morari Bapu presented him with a consecrated Shivlinga from the Somnath temple as a sacred offering from the Jyotirlinga Ram Katha Yatra. His nine-day Ram Katha at Cambridge University commenced last Saturday with a reception by Barbados-born Sonita Alleyne, the 41st Master and the first woman to lead Jesus College since its inception in 1496, and will run until this weekend. The Vishva Hindu Parishad on Wednesday disassociated itself from cow vigilante Bittu Bajrangi arrested in connection with the communal clashes in Haryana's Nuh district. IMAGE: Heavy police deployed on the Gurugram-Nuh border near the KMP Expressway after violence occurred between two groups in the Nuh district of Haryana, August 10, 2023. Photograph: ANI Photo "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad also does not consider the content of the video allegedly released by him to be appropriate," the right-wing outfit said in a statement. Bajrang Dal is the youth wing of the VHP. Bajrangi was arrested on Tuesday in connection with the communal clashes that erupted in Nuh on July 31, the police said. He was questioned in connection with a fresh FIR registered against him and 15-20 others at Nuh's Sadar police station based on a complaint filed by Assistant Superintendent of Police Usha Kundu. The police said Bajrangi, the president of an outfit called the Goraksha Bajrang Force, was initially detained by a crime investigation agency team of Tauru from Faridabad and taken for questioning. A spokesperson for the Nuh police later said he had been arrested and would be produced in a city court on Wednesday. The FIR against Bajrangi and the others has been registered under Indian Penal Code sections 148 (riots), 149 (unlawful assembly), 332 (causing hurt), 353, 186 (obstructing a public servant from discharging duty), 395, 397 (armed robbery), and 506 (criminal intimidation) and provisions of the Arms Act, the police said. A senior police officer said Bajrangi and his associates wielded illegal weapons during the VHP procession that came under attack in Muslim-majority Nuh on July 31. Six people, including two home guards and a cleric, died in the clashes that also spread to nearby regions. Nationalist Congress Party president Sharad Pawar on Wednesday asserted he will not align with the Bharatiya Janata Party and instead work towards bringing about a change in 2024 when the Lok Sabha polls will be held as he sought to downplay his last week's meeting with politically estranged nephew Ajit Pawar. IMAGE: NCP president Sharad Pawar in a discussion with party leader Ajit Pawar after announcing being resigned from the party post in Mumbai, May 2, 2023. Photograph: ANI Photo He sought to nix speculation that the Congress and the Shiv Sena-Uddhav Balasaheb Thackeray were working on a 'Plan B' to contest the 2024 Lok Sabha polls without his faction of the Nationalist Congress Party. The Congress, the Shiv Sena-UBT and the NCP (Sharad Pawar faction) are constituents of the opposition Maha Vikas Aghadi. "The news of a 'Plan B' (by Congress and Sena-UBT to together contest polls) is wrong. No such plan is being made. There is a need for change in 2024 and therefore, we are preparing for it," Sharad Pawar told reporters in Aurangabad ahead of his public rally in Maharashtra's Beed district on Thursday. Asked about his hush hush meeting with deputy Chief Minister Ajit Pawar, who has aligned with the BJP, in Pune last week, the senior Pawar said, "He is my family member. If any ceremony is planned in our family, naturally I will be consulted at that time." Seeking to clear his stand on the much-talked about meeting with his nephew, which has caused unease among his allies Congress and the Shiv Sena-UBT, the NCP patriarch asserted, "I will not go with the BJP. To stop unrest among people, we need to bring a change in 2024. We will do every possible to bring this change." The meeting between the NCP founder and Ajit Pawar on August 12 at businessman Atul Chordiya's residence in Pune had sent political circles abuzz with speculation. It also did not go down well with the Congress and the Shiv Sena group led by former CM Uddhav Thackeray. Apparently referring to the split in the NCP after Ajit Pawar and eight other party MLAs joined the Eknath Shinde-led government in early July, the former Union minister said, "Those who have left me (in the past) didn't get election tickets again." Asked about the Ajit Pawar group still using his photos, the former Union minister said, "We are going to court over this issue." Sharad Pawar indicated his faction is in danger of losing the party symbol and noted he has sent his reply to the Election Commission over the issue. "I have replied to the notice sent by the Election Commission. Looking at the decision that came up in regards to the Shiv Sena (whose poll symbol was given to rebel faction led by Eknath Shinde), our party symbol (clock) seems to be in danger. But I don't care for symbol as I have contested elections on many symbols like bullock pair, cow and calf, and won," he said. The rebel NCP faction led by Ajit Pawar has approached the EC and sought allotment of the party symbol clock. The NCP founder said Prime Minister Narendra Modi did not think the situation in Manipur important enough to visit the violence-hit state. The Modi government has been a mute spectator to the goings on in the (Manipur) region. The northeast region is important and sensitive. More attention needs to be paid to the areas bordering China, the former defence minister said. The NCP chief claimed that things happening and being orchestrated in northeast are extremely dangerous for the country. Manipur is an example, he added. PM Modi spoke outside Parliament and gave a three minute video message on day one of the Monsoon session, and also briefly mentioned about Manipur in his lengthy reply to the debate on the no-confidence motion, the NCP chief said. Modi should go to the northeast and give confidence to the people, but he did not think it important. Instead, he preferred to address election meetings in Madhya Pradesh, Sharad Pawar said. Sharad Pawar said injustice has been done to his party colleague and former minister Nawab Malik, who is out on an interim bail in a money laundering case, in which he was arrested in February 2022. "I have spoken to him (Malik). Injustice has been done to him as he was kept in jail for a long time for no reason. Political issues will take their time. It's time for him to be with family," he said. A Delhi court has allowed Bollywood actor Jacqueline Fernandez to leave the country without its prior permission, modifying the bail condition in a Rs 200-crore money laundering case lodged against her and alleged conman Sukesh Chandrashekar. IMAGE: Bollywood actress Jacqueline Fernandez poses for a photo during the Press Conference of Dadasaheb Phalke International Film Festival Awards 2023, in Mumbai, January 23, 2023. Photograph: ANI Photo The court directed her to intimate to it as well as the Enforcement Directorate at least three days before leaving the country instead of taking prior permission. Special judge Shailendra Malik, who had granted bail to Fernandez on November 15 last year, observed that in order to grab professional opportunities, the actor requires to travel abroad at short notice and she has never misused the conditions of bail at any point in time. The judge modified the condition in an order passed on August 10, noting that taking prior permission of the court before leaving the country "becomes cumbersome and may be a reason for losing livelihood." While granting bail to Fernandez, the judge had directed her not to leave the country without prior permission of the court". The court noted that while Fernandez was facing trial in the money laundering matter being probed by the ED, she was made a witness in a related case being investigated by the Economic Offence Wing (EOW) of Delhi Police. It was also undisputed that the accused never misused the conditions of bail at any point in time, the court observed. The judge said that the purpose of putting conditions on the accused to take prior permission before leaving India was only to ensure that she must appear during the course of trial and there must be least possibility of her fleeing from the judicial process. In the present case, I find considering the fact that the accused being an actor in the Indian film industry and has to travel abroad frequently and in certain situations, in order to grab professional opportunities, she requires to leave the country with short notice. "In such a situation, the condition of taking prior permission before leaving the country becomes cumbersome and may be a reason for losing livelihood, the judge said. The judge further noted that Fernandez was a citizen of Sri Lanka but was living in India since 2009 and had been regularly paying income tax since 2009. There has never been any instance of the accused violating any condition of bail, the judge observed. In such a situation when apprehension of investigating agency can be removed by putting certain other conditions, I find that it would be just an equitable to modify conditions of bail regarding taking of prior permission before leaving the country. Accordingly, application of accused is being allowed, the judge said. In her application, Fernandez claimed that being an actor of international acclaim, she frequently engaged in film shooting, appearance at events, participation in various award functions etc. being indispensable to her professional occupations and livelihood. In certain situations, it becomes time-consuming for the accused to take the court's approval for going abroad, which is mandatory under the terms of bail. Due to that reason accused has faced certain situations wherein organisers, producers and other film industry professionals, on account of the time-consuming process of seeking court approval for leaving India, opted to engage other individuals resultantly potential financial loss and reputational implications to her, the application claimed. The court had granted bail to Fernandez in the case on a personal bond of Rs 50,000 and one surety of like amount. The court had on August 31, 2022, taken cognisance of a supplementary charge sheet filed by the Enforcement Directorate (ED) and asked Fernandez to appear before the court. Fernandez, who was summoned by the ED several times in connection with the investigation, was named as an accused for the first time in the supplementary charge sheet. The ED's earlier charge sheet and supplementary charge sheet did not mention her as an accused. The documents, however, had mentioned the details of the statements recorded by Fernandez and fellow actor Nora Fatehi. More than 750 eminent citizens, including historian Romila Thapar, economist Jean Dreze and actor Naseeruddin Shah, on Wednesday came out in support of a news website which is alleged to have received dubious funds to spread Chinese propaganda. The allegation was levelled against NewsClick following a report in the New York Times. Expressing solidarity with NewsClick, the group of more than 750 citizens said in a statement, "The hounding of NewsClick is an attack on the freedom of expression enshrined in our Constitution." "It is an attack on the conscientious role of independent journalism in a democracy to inform its readers about the government's failings and to hold the government accountable," said the statement signed by Zoya Hasan, Jayati Ghosh, Harsh Mander, N Ram, Bezwada Wilson, Aruna Roy, Colin Gonsalves, Prashant Bhushan, Anand Patwardhan and Ratna Pathak Shah among others. It said the New York Times report "does not allege any violation of the law by NewsClick". The report was also raised in Parliament when BJP MP Nishikant Dubey said the funds pumped into NewsClick were used to create an anti-India environment and that he had documents pertaining to e-mail exchanges between Left leader Prakash Karat and American billionaire Neville Roy Singham. Information and Broadcasting Minister Anurag Thakur had also alleged that China, NewsClick and the Congress are linked to an "anti-India umbilical cord". The BJP leader had cited a report in the New York Times which claimed that companies linked to China were funding the news portal. The Enforcement Directorate, as part of its criminal investigation against NewsClick, its promoters and others, is probing alleged fraudulent foreign funds infusion of more than Rs 86 crore from entities linked to Singham into its holding company (PPK Newsclick Studio Pvt Ltd). The agency had first raided the premises of NewsClick in Saidulajab area of the national capital in September 2021 on charges of money laundering and since then the probe is continuing besides the litigation between the two sides in the Delhi high court. The five-judge collegium of the Supreme Court headed by Chief Justice of India DY Chandrachud on Wednesday reiterated its recommendation to transfer Delhi high court judge Rajnish Bhatnagar to the Rajasthan high court. IMAGE: The Supreme Court of India. Photograph: ANI Photo On August 10, the collegium also comprising justices Sanjay Kishan Kaul, Sanjiv Khanna, BR Gavai and Surya Kant had proposed transfer of Justice Bhatnagar to the Rajasthan high court for better administration of justice. Subsequently, Justice Bhatnagar made a representation to the top court collegium on Wednesday requesting his retention in Delhi. The collegium said that in terms of the memorandum of procedure, it has consulted judges of the Supreme Court, who are conversant with the affairs of the Delhi high court and are in a position to offer views on the proposed transfer. The members of collegium said that they have also consulted the chief justices of the high courts of Delhi and Rajasthan. "We have considered the request made by Justice Rajnish Bhatnagar in his representation. The collegium does not find any merit in the request made by him," the collegium said in its resolution uploaded on the apex court's website. It said, "The collegium, therefore, resolves to reiterate its recommendation dated August 10, 2023, to transfer Justice Rajnish Bhatnagar to the Rajasthan high court." In another resolution uploaded on the apex court website, the collegium, in its meeting held on August 10, had also considered the representation of Patna high court judge Justice Sudhir Singh on his transfer to the Punjab and Haryana high court. On August 3, the collegium had proposed the transfer of Justice Singh to the Punjab and Haryana high court for better administration of justice. Subsequently, on August 8, Justice Singh had made a representation to the top court's collegium requesting that before taking a final decision regarding his transfer, the facts submitted by him in his letter may be considered. Justice Singh, had however told the top court's collegium that any decision on the matter of transfer shall be binding on him. "The collegium after taking note of the submissions made by him in the said representation resolved to defer the proposal for his transfer for the time being. In terms of the Memorandum of Procedure, we consulted the Judges of the Supreme Court who, being conversant with the affairs of the High Court of Judicature at Patna, are in a position to offer views on the proposed transfer. We also consulted the Chief Justices of the High Court of Judicature at Patna and high court of Punjab and Haryana", the resolution said. It said that the collegium resolves to reiterate its recommendation dated August 3, 2023, to transfer him to the Punjab and Haryana high court. Words like eve-teasing, prostitute, and housewife may soon be out of the legal lexicon and will be replaced by terms like street sexual harassment, sex worker and homemaker. IMAGE: Chief Justice of India Justice DY Chandrachud attends an event organised by the Supreme Court Bar Association on the occasion of the 77th Independence Day, at the Supreme Court premises, New Delhi, August 15, 2023. Photograph: Ayush Sharma/ANI Photo The Supreme Court on Wednesday launched a handbook that contains a glossary of gender unjust terms and suggests alternative words and phrases which may be used. As soon as a five-judge bench headed by Chief Justice D Y Chandrachud assembled to hear arguments on a batch of pleas challenging the abrogation of Article 370 of the Constitution, which accorded special status to the erstwhile state of Jammu and Kashmir, the CJI announced the unveiling of the handbook. "This is to assist judges and the legal community to identify, understand stereotypes about women in legal discourse," he said. In a press release, the apex court said the Handbook on Combating Gender Stereotypes seeks to empower judges and members of the legal community to identify, understand and counteract harmful stereotypes about women. "The handbook features a glossary of gender-unjust terms and proposes alternative words and phrases for use in legal documents, including pleadings, orders, and judgments. The compilation identifies common stereotypes about women and demonstrates the inaccuracies of these stereotypes and how they can impact the application of the law," the release said. It said the handbook also encapsulates the prevailing legal doctrine on crucial issues, particularly those involving sexual violence. "In its entirety, the handbook aims to equip judges with the knowledge and tools to critically evaluate their own reasoning, writing and to ensure that justice is served fairly and equitably," the release said, adding it is a significant step towards a gender-just legal order. It said the launch of the handbook marks a significant milestone in the journey towards a more just and equitable society. By equipping judges and the legal community with the tools to identify, understand, and reject stereotypes, it is aimed to pave the way for a more inclusive, impartial, and gender-just legal system, the release said. "The ground-breaking initiative under the directions of the Chief Justice of India, Dr Dhananjaya Y Chandrachud is aimed at fulfilling the Indian judiciary's goal of eradicating pre-conceived gender stereotypes from judicial discourse, especially those concerning women," it said. In the 30-page handbook, the top court has given a list of stereotypical words and suggested alternative terms for being used in legal discourses and judicial pronouncements. The handbook says that instead of using words like "seductress", "whore" or "woman of loose morals", the word "woman" has to be used. It also prohibits use of words like "hooker" and "prostitute" and said the term "sex worker" be used instead. The handbook said that instead of using words such as "concubine or keep", the expression "woman with whom a man has had romantic or sexual relations outside of marriage" has to be used. The word "eve-teasing" will now be termed as "street sexual harassment", the handbook said, adding that instead of word "faggot", the term accurately describing the individual's sexual orientation be used. "Housewife" will now become "homemaker" for judicial discourses, it said, adding that word "mistress" be now described as "woman with whom a man has had romantic or sexual relations outside of marriage". It said instead of word "bastard", the word "non-marital child or, a child whose parents were not married" should be used. Following is the list of terms and their suggested replacements: August 15, 2023 marked two years since the Taliban captured power in Afghanistan and Talibs celebrated the fall of Kabul on the street near the US embassy on Tuesday. IMAGE: Children of Talibs with toy weapons. All photographs: Ali Khara/Reuters IMAGE: Talibs rejoice. IMAGE: An Afghan woman with her son walks among the Talibs. IMAGE: Talibs brandish rocket launchers. IMAGE: A Talib with an Islamic Emirate of Afghanistan flag. IMAGE: Talibs wave their flag in celebration. IMAGE: Girls and young women have been denied an education and banished to the margins of Afghan society. IMAGE: A Talib bike rally. IMAGE: A Taliban convoy. IMAGE: Talibs with rocket launchers. IMAGE: Taliban leaders pray near the US embassy. IMAGE: Talibs guard the US embassy. Photographs curated by Manisha Kotian/Rediff.com Feature Presentation: Ashish Narsale/Rediff.com 'There are many things we need to work on.' 'At the heart of it is, one, the extent of inequality, which is not good for democracy and nation; two, a structural decline on how a country ought to function, how people should be held accountable, whose role is what.' Tamil Nadu Minister Palanivel Thiagarajan delivered the annual commencement lecture at the School of Government and Public policy, Jindal Global University, recently. A Ganesh Nadar listened in, for this multi-part series. IMAGE: Students take part in a Tiranga rally in Ranchi. Photograph: ANI Photo Palanivel Thiagarajan is the information technology minister in Tamil Nadu, before which he was the state's finance minister. A BTech, an MS, a PhD and an MBA, the last three from American universities, PTR, as he is popularly known, has worked in Lehmann Brothers and Standard Chartered Bank. It was after a long stint in the corporate world that he returned to his family calling, politics. Institutions have their own culture that lasts as students and teachers come and go. The idea of India a land of diversity, that you could carve out a country which has been ruled by many, take my part of the world. Over different eras we were ruled by the Pandiyas, the Cholas, the Pallavas, the Vijayanagar Nayaks, the Muslims. They had different kinds of models and different kind of governments. If you take my city Madurai, it is one of the oldest living cities in the world, 2500 to 3000 years old. There are 50 or 60 variants of this throughout the country. One day in 1947, after various political back and forth, the borders were decided on various criteria. Not exclusively based on race, or language. Bengali is spoken in both Bengal and Bangladesh; Urdu is spoken in various parts of North India and Pakistan. Constitutional entities, at that time we did not have a Constitution, we had a notion of a Constitution in an Independent country. In some ways at the beginning the idea of India is a miracle, a wonder, the fact that we were able to design, create a country from a colonial power before which we had thousands of years of history. A history of great variants and yet we have sustained it for 75 years, a fact that is a miracle and a wonder. It was not easy, most of you know that it took over two years to draft the Constitution. There were debates in the Constituent Assembly before they arrived with a draft in November 1949 which was promulgated on January 26, 1950. We now had states, against the 17 provinces that the British had ruled. In 1956 we had the reorganisation of states into 14 states and Union territories. Today we have 29 states and 9 Union territories after the split of J&K. We have a Constitution and the UK does not have written Constitution, the US has a Constitution for over 200 years and they have had 27 amendments. We are 75 years old and we have had 175 amendments, among them 105 have been voted, approved and implemented. That is fluid and what identifies India, what is India. The basic structure of the Constitution, the Fundamental Rights which most of you will come across are unwavering. It has come under threat but most things in the Constitution cannot be changed no matter how many vote for it or take part in it. There are inherent weaknesses in the Constitution. The first weakness is the necessity of a top-down structure. They were trying to carve a nation out of hundreds of princely states, the provinces have great differences in language, culture, history and so on. Though the Constitution says we are a Union of States it is very much centrally controlled, looking top-down. There was no notion of a local body like a municipal or panchayat till the nineties' Panchayat Act. We have evolved a lot in some ways much better than expected. At least the idea of India as a global political player, a macro-economic player, is now beyond doubt and an unquestionable success. IMAGE: Jawaharlal Nehru and members of the Constituent Assembly at midnight August 14-15, 1947. Kind courtesy Wikimedia Commons There are many things we need to work on. At the heart of it is, one, the extent of inequality, which is not good for democracy and nation; two, a structural decline on how a country ought to function, how people should be held accountable, whose role is what. And finally, in consequence of the first two, a fall in public values and morality, there are some things we can do to improve that. For me at the heart of democracy is equality, the equality of access, a level playing field, availability of information if you are in the market. The core of democracy is what binds the free market and democracy together. I want to talk about the notion of equality as a universal value. How that translates across countries, across cultures, across time. Though it is a profound value, how difficult it is to attain at least in the United States which is a 200 year old country as opposed to a 50 year old country. In the US the constitution adopted in 1789 says we hold the truth as inevitable that all men are created equal, that they are endowed by the creator with certain inalienable rights. Among these are life, liberty and the pursuit of happiness. This was a pioneering document at that time. In reality there are already two problems here, it talks about only men and not women, and the second is that while the constitution was declared active, slavery was still in practice in the United States. Ten years later you take that to Europe partly influenced by the Americans; there was a revolution in France. A cry for liberty, equality, fraternity was the basis on which the revolution ran. Notice the commonality of equality as the core concept of it. We march forward to our part of the country, in 1916 the Dravidian movement started with the Justice Party. In 1929 the convergence of the movement of the Justice Party and the self-respect movement of EVR Periyar came together for a major conference in 1929 in Singapore. It was a major conference for people like us for the major framework of the Dravidian movement. 'The aim and project of this self-respect movement is to aim and align a movement to remove concepts that creates discrimination between two human beings, creates divisions between different sections of society, the concept of inferiority or superiority on the basis of birth paves the way for the majority of people to be treated worse than cattle. That prevents the majority of people from entering temples.' This is the problem of people in 1920. The aim of this movement is to give people equal rights, to create equality between people by natural justice and manmade laws. To create requisite facilities for every single person to help in development to make all realise the duties towards the nation and learn about the rights enjoyed in the nation. To raise the feeling of unity and solidarity among all the people and enable them to feel joy and sorrow. It is over a hundred years after the French Revolution and the US constitution. Let us now go to the document that we call the Constitution of India. I start with the preamble, WE, THE PEOPLE OF INDIA, having solemnly resolved to constitute India into a SOVEREIGN SOCIALIST SECULAR DEMOCRATIC REPUBLIC and to secure to all its citizens: JUSTICE, social, economic and political; LIBERTY of thought, expression, belief, faith and worship; EQUALITY of status and of opportunity; and to promote among them all FRATERNITY assuring the dignity of the individual and the unity and integrity of the Nation; So here we are, 20 years after the self-respect conference and over 150 years after the US constitution. As you know Dr B R Ambedkar, who was the leading light of the Constitution, he goes on to explain that the differences between people in Hindu society, to address the chronic problems is a part of the Constitution. So in every way the universal values of human beings starts with equality and self-respect, the identity that all of us are born equal irrespective of caste, gender or religion. You should get that right and move on from there. It is very hard to get that right because in the same country where the Constitution says that all men are born equal there are slaves. 180 years later in 1963 the civil right activist Martin Luther King, he hasn't realised it, he is still dreaming about it, In August 1963 he says, 'I have a dream that one day my children will live in a nation where they will live and be judged not by the color of their skin but by their character.' Though it is a universal concept and though it is transcends time, race, region and religion at some level it is very difficult to attain and getting it is the core principle of democracy. Let us take various examples within India. In Tamil Nadu we have much better development and much better equality than other parts of the country. I am making a mathematical statement, not a political statement. Capital income is over Rs 3 lakh. 52% of our students are enrolled in colleges. 89% of 18 year old girls have passed out of school. A majority of manufacturing is in Tamil Nadu, though we have only 6% of the population. How did we get this far ahead? Because in 1921 the Justice Party legislated for compulsory primary education for boys and girls. It was called a communal GO (government order) as it made sure that all communities had opportunity for ratio to jobs. They legislated for giving women the right to vote before it was done anywhere else, even before the UK. So you start with equality as the basis and then the formula becomes very, very clear. Women are 50% of the population, if you don't give them enough opportunity, enough education, enough access to resources, you cannot have an equal society or a prosperous society. Everything starts with that. If you do that right then the next generation is better educated than the last one. You start with children, you make sure that every dollar goes into primary education, secondary education and skill development. The core of democracy is how to focus on human capital development and the core of that starts with self-respect, equality, education, support from the State for health. If we get that right, I think within India you will see data when you compare the capital income of Gujarat and Tamil Nadu it is the same maybe a little high. 50% of students are the age of 18 have not finished high school, we have to look into that situation, why are they not finishing high school? They are not coming back to school because they have not been given health support. Why have they not been given enough health support? There is a social reason for that. It will take a generation or two to progress to the right thing. But for that everybody has to be involved. If the product development activity is not at the bottom of the pyramid then there is no stable society, therefore there is no full democracy. If you look at the new world, the quality of relative civil society and the standards of life is very, very clear. You take Western Europe, you take Australia, you take Singapore, you take Canada, it is very clear. Those societies everybody has access, everybody has engagement and the bottom of the pyramid is protected. You will find better quality of life, better economy and better development. Transcribed by A Ganesh Nadar/Rediff.com Feature Presentation: Rajesh Alva/Rediff.com Brattleboro, VT (05301) Today Partly cloudy this morning, then becoming cloudy during the afternoon. Slight chance of a rain shower. High 82F. Winds NW at 5 to 10 mph.. Tonight Partly cloudy. Low 54F. Winds N at 5 to 10 mph. Brattleboro, VT (05301) Today Partly cloudy this morning, then becoming cloudy during the afternoon. Slight chance of a rain shower. High 82F. Winds NW at 5 to 10 mph.. Tonight A few clouds. Low 54F. Winds N at 5 to 10 mph. OZARK-An unmanned aviation company has landed at the Ozark Airport-Blackwell Field. With a formal contract signing by Thirium Co-founder and Chief Operating Officer Jon Malnero and Ozark Mayor Mark Blankenship the UAS operations company became the newest manufacturing business to open in the Dale County seat. Thirium is an innovative company with a great promise, is how Ozark-Dale County Economic Development Corporation Executive Director Holle Smith described the company to city department heads, elected officials and business leaders attending the signing ceremony held in the council chambers at Ozark City Hall. We are all about partnerships and thats what this is, said Ozark Mayor Mark Blankenship, welcoming the team to the Heart of the Wiregrass. Thirium Inc. is a Tennessee Corporation dedicated to empowering the future of unmanned aviation through cutting-edge design, exceptional functionality, and a commitment to continuous development, said Co-Founder and Chief Executive Officer Austin Lawson. Malnero said the military-style UAS Thirium produces, weighing up to 300 pounds with a wingspan of up to 30 feet, are primarily used for surveillance-type work such as border protection. Lawson had attended flight school training at Fort Novosel. That is what drew him back to this area to open a Thirium manufacturing and production facility here, he said. We are growing exponentially and being based out of Ozark is going to help us a lot, added Malnero. Jon and I actually met in Syria conducting operations supporting US Special Operations, Lawson said. We worked on projects like this for about half a decade. We eventually reached the top of our fields and realized we had more to offer the UAS industry. This is when Thirium was born. The name Thirium melds Thir- from theoretical, underscoring our scientific underpinnings, with -ium, reminiscent of futuristic elements on the periodic table, said Lawson. Together, they convey our blend of deep science and forward-thinking in the UAS industry. The Ozark business expectation is to employ up to 15 people within the first year. At 30 months were hoping to be at 25 employees, Lawson said. We are essentially empowering the future of unmanned aviation, said Lawson said. We push the boundaries of whats possible in the industry, making it more than just theoryits Thirium. The two companies cooperated to establish the "Cloud Center of Excellence - Application Modernization and Innovation" to help harness the potential of cloud solutions. The representatives of both organizations, Andrew Cheng of AsiaPac Technology and Tran Xuan Khoi of VTI, formalize their partnership with the signing of a strategic Memorandum of Understanding (MoU) in July 2023. Photo courtesy of VTI The ceremony was also attended by Thai Thu Phuong, Counsellor and Head of Investment Office at the Vietnam Embassy in Singapore. The primary goal of this partnership, thanks to great encouragement from Enterprise Singapore, is to jointly create the Cloud Center of Excellence that will focus on application modernization and innovation, harnessing the potential of cloud solutions. The center will also leverage state-of-the-art technologies to support businesses across industries in their digital transformation journey. Andrew Cheng (L) and Tran Xuan Khoi (R) at the signing of the MoU on July 27, 2023. Photo courtesy of VTI AsiaPac Technology Pte Ltd (from M1) is a technology provider in Singapore and Asia. VTI is a prominent technology corporation based in Vietnam, Japan, and Korea. Andrew Cheng, Managing Director of AsiaPac Technology, expressed his excitement about the collaboration. He said that the company was pleased to collaborate with VTI in establishing the Cloud Center of Excellence. This partnership represents a significant step forward for AsiaPac Technology as they continue their commitment to becoming a leading technology provider in the region. "Together with VTI's expertise, we aim to deliver innovative solutions and enhance value for our customers," he said. Tran Xuan Khoi, CEO of VTI, said that as AsiaPac Technology's strategic outsourcing provider, VTI was dedicated to supporting its business expansion and technology initiatives. Through the establishment of the "Cloud Center of Excellence," they aim to foster innovation and set new industry benchmarks. "With our skilled technology experts and advanced capabilities, we look forward to contributing positively to AsiaPac Technology's customer offerings," Khoi said. Representatives of AsiaPac Technology and VTI express their expectations for long-term cooperation. Photo courtesy of VTI This collaboration reflects a shared commitment to excellence and customer satisfaction. By pooling their resources and expertise, both organizations seek to drive innovation and provide cutting-edge solutions for businesses seeking to stay ahead in the rapidly evolving digital landscape. Established in 2017, VTI Group is one of the leading technology and IT service providers based in Vietnam, Japan, and South Korea. They assist customers of all sizes and from any industry to consult businesses and operations on digital transformation. Find out more about their services and solutions here. The Mumbai Police detained two teenage college students from Colaba on Wednesday after they used their Instagram profile to share a photo of Pakistan's Independence Day festivities. The two were freed following a warning, according to the police, who claimed their detentions were prohibitory in character. A businessman from Colaba complained to the police on Monday that a few youths from Colaba were posting Pakistan's I-Day celebration as their Instagram status, stressing that this may cause inter-communal strife, the ANI reported. The anti-terrorism squad (ATS) of Colaba police located two teenagers late Monday night and brought them to the police station where they were placed under prohibitory custody in accordance with CrPC 151 (3). This was done as a result of the complaint. When the police searched the lads' cell phones, they discovered that they had used Pakistan's Independence Day as their stories on Instagram status. Police confiscated their cell phones after taking screenshots from their phones. A senior official stated that, From their conduct, manner of speaking and behaviour, it seems that they were doing this with the intention of disturbing the law and order in the country on August 15, Pakistan, which came into existence in 1947 following an acrimonious split from India, celebrates the day of its independence from the British on August 14. (With ANI inputs) The Delhi Police have arrested a 35-year-old Ghana resident named Prince Joe for allegedly conning several victims. As per law enforcement officials, the accused created a fake profile on social media and used to befriend people majorly women. By doing so, he would get the contact details of the victims and take a note of their special occasions like birthdays, etc. The accused on the pretext of sending them gifts would dupe the victims. The modus operandi of this was revealed by one of the victims who worked as a field boy. He got befriended by the accused who then, on the pretext of sending him gifts for his birthday, asked him to deposit Rs 27,000 in a bank account as custom charges. The victim however realised that he was being duped when he received another call, in which he was asked to pay Rs 31,000 as clearance charges. He then filed the complaint with Delhi Police. Following one month of intense search, the law enforcement officials were able to get hold of Prince. The accused confessed of his crime and mentioned his accomplice named Chauhan who is still at large. The police have seized nine mobile phones, 31 SIM cards, 12 bank debit cards, one beneficiary bank account and one laptop. "Prince Joe belongs to Ghana. He was conning a large number of people through social media. He used to befriend them and ask for their birthdays. Then on the pretext of sending gifts from foreign countries, he would dupe them. Our investigations are underway," said Guriqbal Singh Sandhu Deputy Commissioner of Police (Rohini), Delhi Police. Nepal's Prime Minister Pushpa Kamal Dahal Prachanda will leave for a ten-day official visit to the US and China on September 16. Prachanda will travel to the US first, where he will attend the 78th United Nations General Assembly, scheduled to take place in New York from September 18 to 26, the prime minister said while interacting with editors of major newspapers here on Tuesday. Prachanda will address the UN General Assembly on September 21 in New York, according to sources close to the prime minister. After spending five days in the US, the Prachanda will fly directly to Beijing, embarking on a four-day journey to China. Besides being part of the Nepalese delegation to the Asian Games to be hosted by Beijing, the prime minister will also engage in high-level political consultations there. During the visit, he is expected to meet Chinese President Xi Jinping, among others, according to the sources, and discuss matters relating to the construction of a cross-border transmission line with Chinese officials. Prachanda will return to Kathmandu on September 25, wrapping up his ten-day visit to the US and China. Prachanda assumed office in December last year. The 68-year-old Communist Party of Nepal-Maoist Centre (CPN-MC) leader visited India in June as his first foreign trip after assuming office for the third time. In a momentous occasion that marked a significant step forward for Suriname's legal landscape, Ms. Garcia Paragsingh was officially sworn in as the country's new Attorney General. The solemn ceremony took place on August 14, 2023, in the capital city of Paramaribo, where President Chandrikapersad Santokhi presided over the event. With a sense of gravity and importance, Ms. Paragsingh took her oath of office, pledging her commitment to upholding the law and serving the nation with integrity and diligence. The oath was administered by HG. Partha Sakha Das, also known as Dr. Prakash Ramautar, who invoked the name of HDG Bhaktivedanta Swami, the revered founder of ISKCON (International Society for Krishna Consciousness). Here is what you need to know about Hindus of Suriname Suriname, a small South American nation nestled on the northeastern coast of the continent, is home to a diverse population with a unique blend of cultures and traditions. Among the various ethnic and religious communities that have contributed to the country's vibrant tapestry, the Hindu community holds a significant place, reflecting a rich heritage that has evolved over centuries. The presence of Hindus in Suriname is rooted in the country's colonial history. During the 19th century, the Dutch colonial rulers brought thousands of indentured laborers from India to work on the sugarcane plantations in Suriname. These laborers, primarily from regions such as Uttar Pradesh and Bihar, brought with them their cultural practices, beliefs, and religious traditions. This migration marked the beginning of a new chapter in Suriname's history and the establishment of a vibrant Hindu community. Hinduism in Suriname is characterized by a diversity of religious practices and beliefs that have been preserved and adapted over generations. The community celebrates various festivals and rituals that hold deep significance. Major Hindu festivals such as Diwali (Festival of Lights), Phagwa (Festival of Colors), and Ram Navami are observed with great enthusiasm and fervor. These celebrations often include vibrant processions, traditional music, dance, and the preparation of special foods. Temples, known as mandirs, serve as important centers of religious and cultural activities for Suriname's Hindus. These mandirs are not only places of worship but also spaces for community gatherings, social events, and cultural exchanges. They play a crucial role in passing down religious teachings, maintaining cultural ties, and fostering a sense of belonging among community members. Meanwhile a judge paused Thach Sethas trial to allow for lawyers to bring more evidence. The wife of detained Cambodian opposition leader Thach Setha said he is in poor health and is having trouble walking as a Phnom Penh Municipal Court judge in his false check case adjourned a trial on Wednesday to allow lawyers to submit more evidence. Thach Sethas health is deteriorating partly because he is being held in a small detention cell where he is unable to move around, his wife told Radio Free Asia. There is no justice for my husband because the case has been delayed almost eight months, Thach Sokborany said. I want the court to release him on bail so he can monitor his health. His health is bad, but he is trying. Thach Setha is the vice president of Cambodias main opposition Candlelight Party. He was arrested in January on charges of issuing bad checks from his bank account. Hes denied the allegation. The Candlelight Party has said that the charges were part of a campaign of intimidation and threats against the opposition leaders and activists ahead of last months parliamentary elections. The Candlelight Party the only major party that could have challenged Prime Minister Hun Sens ruling Cambodian Peoples Party wasnt allowed to compete in the July 23 election after the National Election Committee disqualified the party, citing inadequate paperwork. Preliminary results show the CPP winning 120 of 125 seats in the National Assembly. Additional charge In April, an additional incitement to provoke social chaos charge was added to Thach Sethas case over remarks he made in a speech last year while visiting Japan. NGO and Candlelight Party officials have accused the court of deliberately attempting to keep him detained so that he couldnt campaign in the runup to the election. Thach Sokborany said Judge Chhun Davy refused to allow her husbands lawyers a chance to argue his side of the case at Wednesdays trial. But his defense lawyer, Son Chum Chuon, told RFA that the trial was adjourned to an unspecified date to allow both the defense and prosecutors to submit additional materials. As a defense team, we will fight justice for my client. I want the court to drop charges against my client, he said. RFA couldnt reach court spokesman and Deputy Prosecutor Plang Sophal for comment on Wednesday. Srey Sohorn, the working group chief for Candlelights Kandal province office, went to the court on Wednesday to express support for Thach Setha. He said the case has been politically motivated since the start. The bad check story was an old case and shouldnt be revisited. It should be resolved with the plaintiffs, he said. The case should be dropped. But instead, the court added another charge. Translated by Yun Samean. Edited by Matt Reed and Malcolm Foster. An elderly man uses a magnifier to see the descriptions on a pack of medicine at a pharmacy in Dandong, Liaoning province on March 30, 2011. Little more than two weeks since news broke that Chinas medical sector was facing an unprecedented anti-corruption drive, Chinese-language headlines have celebrated the whisking away of health officials, amid online rumors of raids on hospitals and pharmaceutical firms. But a purported notice from the Beijing Municipal Health Commission has raised eyebrows online by demanding that healthcare institutions surrender bribes they have received. Radio Free Asia was unable to independently verify the authenticity of the notice. The document reportedly states that contributions can be made anonymously or with real names, using a Beijing Bank Cash Deposit Form, RFA Mandarin reported. If submitted with a real name, the form allegedly claims it must include details such as name, affiliated institution, and the discounted value. Units that receive funds from relevant personnel shall promptly deposit the full amount into the clean account without withholding or misappropriating any portion. According to state nationalist tabloid Global Times, at least 176 hospital heads are under investigation more than double the number in 2022 in what is being called the most vigorous crackdown ever seen in the healthcare industry. Workers sort medicines at a logistics center in Beijing on December 19, 2022. Credit: cnsphoto via Reuters At a National Health Commission press conference on Tuesday, according to the Global Times, the NHC reiterated six key focus areas for the crackdown including medical institutions engaging in kickback sales of drugs and devices, as well as the improper use of medical insurance funds. The pharmaceutical field is the main battleground for safeguarding the health of the people, the commission said. Chinese media have already taken to describing it as a shock and awe anti-corruption campaign. Chinas health-care stocks are down 13% year-to-date, reported Bloomberg, with the CSI 300 Health Care Index falling 0.5% on Tuesday as sentiment took a hit from the anti-graft crackdown. Hand in your bribes According to various state media, including the Guangming Daily, besides Beijing, health commissions in various provinces including Fujian, Hainan, and Shanxi have released hotline numbers for reporting issues related to corruption in the medical and pharmaceutical sectors as part of efforts to address these problems. Attempts by RFA Mandarin reporters to reach the hotline numbers were unsuccessful. Shen Liangqing, a former prosecutor from Anhui, told RFA Mandarin that anti-corruption campaigns like the current healthcare one are a disguised form of spoils distribution. Corruption is present in every sector of China. Systemic corruption resulting from the monopoly of power by the party cannot be resolved through sporadic attempts to combat it, said Shen. The so-called anti-corruption movements practical purpose is to make corrupt officials and vested interest groups in various industries hand over their money. Essentially, its a form of redistributing spoils, he said. Relying on these sporadic campaigns to combat corruption is a manifestation of the rigidity and ineffectiveness caused by the corruption of power under one-party rule. Shen argued that the approach of anti-hospitals, anti-doctors, anti-pharmaceutical companies will not only fail to solve the problem but also lead to the collapse of the industry, leaving people unable to receive medical treatment or access medication. The most important issue to address in anti-pharmaceutical industry corruption is the partys monopoly on the healthcare market, Shen added. Netizens: No consequences? Chinese netizens have publicly wondered whether wrongdoers could really expect to hand money back and replenish the national treasure without consequences, with rhetorical questions such as, When funds run low, everyone just pays back their bribes with no charges? Other questions include, Why not investigate issues related to COVID-19 testing? Is everyone turning a blind eye? and Why isnt it [the money] returned to the people? A user under the pseudonym Defender of Justice wrote in a post on Zhihu, a Chinese online platform similar to Quora, that if the Beijing Health Commission has the authority to pardon its own personnel, then do other institutions and units have the same right? Employees package tablets at a Chinese pharmaceutical factory. Credit: Reuters/Tingshu Wang Asked whether returning bribes using your real name could lead to amnesty, or no repercussions, current affairs commentator Guo Baosheng told RFA Mandarin, no. The policies of the CCP [Chinese Communist Party] are hard to grasp, Guo said. If you pay under your real name, it means your incriminating evidence is also in their hands. They might not arrest you today, but they could arrest you the day after tomorrow. This is just the first step they ask you to voluntarily confess within a set period. If that deadline passes, they will formally arrest you, and then its a direct grab for your money, Guo explained. Guo added that China is experiencing significant outflows of foreign capital and amid the current squeeze on the entire economy it was no surprise that the government was seeking revenue sources wherever it could even if that meant throwing the healthcare sector into chaos. Before, they were grabbing money from private enterprises. Now, theyve shifted to public institutions, mainly in the education and medical sectors. You cant grab money without some sort of cover there has to be a pretext and this time its medical corruption. The South China Morning Post editorialized more positively that the Chinas leadership is concerned about the weak momentum of economic growth, and clamping down on corruption in the medical sector could alleviate some of the burden on Chinas consumers, who are currently tightening their wallets rather than spending freely and invigorating the economy. The CCP needs to lift consumer confidence among the 400-million-strong middle class the backbone of domestic consumption which means reducing their daily cost burdens, wrote the Post. But, in China, questions are being asked as to whether the damage being done to the health sector in the name of rooting out corruption will really be a boon to ordinary consumers. Chinas Upstream News reported that according to incomplete statistics, over 160 hospital presidents and secretaries at all levels have been ousted nationwide since the beginning of the year. The current purge has only been underway since late July. In the face of this storm, 3 million pharmaceutical representatives in the country are fraught with anxiety, fearing imminent job loss, the media outlet reported. The representatives have been deleting chat records with doctors overnight and avoiding discussing any matters related to their profession while medical and pharmaceutical academic conferences are being postponed or canceled under suspicion that they are a sophisticated front for corruption, reported Upstream News. Edited by Mike Firn and Taejun Kang. Concerns about Trump-like ambivalence, not so much China, have led to Fridays summit, experts say. President Joe Biden [right] will meet with Japanese Prime Minister Fumio Kishida [left] and South Korean President Yoon Seok-youl on Friday at Camp David in Maryland. U.S. President Joe Biden hosts his Japanese and South Korean counterparts on Friday in a major step toward institutionalizing ties between the countries toward a more tangible trilateral alliance. Beijing has slammed the summit at Camp David, Maryland, as part of a ploy by the United States to establish a mini-NATO in Asia. This time, though, its not China thats the impetus for the three leaders to push their alliances into something more concrete. Its not even North Korea and its increased nuclear-weapons testing. Its fears, experts say, that former President Donald Trump or another candidate with similar foreign-policy views could return to the White House and rebuff an active U.S. role in North Asia. Both capitals will be looking very carefully at our election and the possibility we may have a transition in leadership at the top, said Sheila Smith, a Japan expert at the Council on Foreign Relations, at a preview of the summit hosted by the think tank on Tuesday. The United States, Smith said, had long been proactive in trying to encourage better bilateral relations between Seoul and Tokyo, but the Trump administration really didnt focus much on that and left Tokyo-Seoul ties to struggle as it pursued an America First policy. That led to a reckoning, said Scott Synder, an expert on Korea at the Council on Foreign Relations, which has now culminated in Camp David and the plans for a more concrete tripartite alliance. One of the motivations in both South Korea and Japan for pursuing institutionalization, Snyder said, would be precisely to hedge against political uncertainty in the United States. Institutionalization Its not yet clear what institutionalization of tripartite ties means. Reuters reported on Monday that Fridays summit was unlikely to produce a formal security arrangement between the three countries, but could produce a three-way hot line, as well as an in-principle deal to forge closer security ties and a pledge for annual meetings. U.S. Secretary of State Antony Blinken shed little light on what an institutional approach would mean on Tuesday. What you can expect to see coming out of this summit is collaboration on a trilateral basis that is further institutionalized in a variety of ways, to include regular meetings at a variety of levels senior levels in our governments, Blinken told reporters. At the Brookings Institution on Wednesday, Rahm Emanuel, the U.S. ambassador to Tokyo, said the summits aim is to broadly embed changes so they are not just dependent on these three leaders. The goal here is, he said, that this becomes the new normal, and that you weave it into the DNA of all the institutions whether it's intelligence, security, political, diplomatic, economic and that no one country, or no one future leader, rolls that rock back down the hill. Fragile alliance Its not only the prospect of Trumps return that has put closer tripartite ties in the face of Beijing and Pyongyang at longer-term risk. South Korean President Yoon Seok-youl and Japanese Prime Minister Fumio Kishida who held their nations first bilateral summit in over a decade in March face their own domestic issues. Yoon, for instance, won power last year pledging closer military ties with the United States and Japan South Koreas historical enemy but has quickly fallen in popularity, and has not had an approval rating above 26% in over a year, according to Morning Consult tracking. Its fair to say that in South Korea, President Yoons efforts are still not widely popular, said Christopher Johnstone, a former director for East Asia on Bidens National Security Council and now the Japan chair at the Center for Strategic and International Studies. In Japan, he said, theres this constant refrain of skepticism that the improvement will be durable and that theres the risk that a future [South Korean] president could flip the table over again. That means there now exists what could be a small sliver of opportunity for Biden, Yoon and Kishida to cement some planks of long-term security cooperation, even if its only a beginning. The focus of this meeting, Johnstone said, is to look for ways to institutionalize the progress thats been made, and to make it harder for future leaders in any of these countries to walk away from it. Asian mini-NATO Despite the vagueness of the plans announced so far, Beijing, which has long opposed what it says are U.S. plans to create a NATO-style military alliance in Asia, has voiced its opposition to the summit. China opposes relevant countries assembling exclusionary groupings, and practices that intensify antagonism and undermine the strategic security of other countries, Chinese Foreign Ministry spokesman Wang Wenbin said at a press briefing on Tuesday. The Global Times, a mouthpiece of the Chinese Communist Party, also criticized the summit as a push toward something bigger. On the surface, the U.S., Japan, and South Korea are under the banner of countering North Korea's growing nuclear threat, the editorial said, but in fact, it has always been U.S.' desire to build a mini-NATO-style trilateral military alliance in Northeast Asia. U.S. State Department deputy spokesperson Vedant Patel on Tuesday denied any such plans exist and said the summit should not be seen as any kind of step toward a NATO-style bloc. But that does not mean it wont be historical, said Kurt Campbell, Bidens Indo-Pacific coordinator, at the Brookings Institution, noting it was Bidens first time hosting foreign leaders at Camp David. We are seeking not just to lock-in Japan and South Korea for the future, but the United States as well, he said. We're going to try to embed this in our politics in such a way that it will be hard [to change things back] for any leader in either of the three countries. Edited by Malcolm Foster. Members of a rescue team carry residents in a boat along a flooded road in Bago, Myanmar, about 80 kilometers (50 miles) northeast of Yangon, Aug. 11, 2023. UPDATES at 16:16 EDT, Aug. 16, 2023. Three months after Cyclone Mocha struck Myanmar, heavy monsoon rains are hampering aid delivery and displacing even more people affected by Mays devastating storm. The countrys civil war and infrastructure damage pose additional challenges for relief efforts and long-term recovery. The cyclone, one of the strongest to hit Myanmar, killed 145 people, according to the military junta, but the shadow National Unity Government, put the death toll at more than 450. In Rakhine State, about 15% of the cyclones victims remained displaced when the rains began, and subsequent flooding in five townships displaced another 4,000 residents. The director of the Arakan Heritage Foundation, who goes by the name August, spoke of the juntas blockade of international aid, emphasizing their reliance on internal humanitarian aid, which includes food and rice bag distributions. We are expecting the need for medicine to get higher because of the flood-related diseases, he told Radio Free Asia. August added that there were some disruptions along roads in the state, with bridges and roads flooded, and repairs could take a long time. Rakhine isnt the sole state affected. Myanmar has seen around 40,000 people uprooted by the monsoon floods, causing extensive damage across Rakhine, Kayin, Bago, and Mon State. In particular, parts of Kayin States Asia Highway succumbed to the monsoon, causing potential delays in relief distribution and healthcare access. Residents displaced by flooding wait for food to be distributed as they take shelter in a monastery compound following monsoon rains in Bago township in Myanmar's Bago region on August 12, 2023. Credit: Sai Aung Main/AFP Saw Ba Win, from the Ethnic Health Strengthening Services Group, highlighted the fallout, noting increased basic service costs, disruption in healthcare access, and hampered aid delivery. It will impact people, the price increasing. They cannot communicate to transportation, its cut out. So at times, how can we carry out the medicine and supplies? And also for emergency [medical] referrals, he told RFA. Hard to reach About 500 households have been displaced in Hpa-an District, and for most residents in four severely inundated communities in Thaton District, according to a spokesperson at the Karen Department of Health and Welfare. Delivering aid remains challenging for about half of these households due to their remote locations, the spokesperson added. Meanwhile, there has been an alarming increase in malaria cases, with numbers on the Karen border rising to 5,319 in the initial seven months of 2023 a huge jump compared with previous years. The country recorded 1,098 and 4,595 malaria cases in 2021 and 2022, respectively. Nay Htoo, the director of the Burma Medical Association, expressed concerns about those migrating from flood-hit areas to malaria-prone districts. It will be serious if you get malaria if you are not immune and have no antibodies against it, he said. Fighting flares Further complicating relief measures is the escalating conflict in regions like Kayin State, where more than 8,000 of the flood victims reside. Here, airstrikes and shelling are audible from the Thai border. The Karen health department spokesperson said routing aid through conflict zones is riskier and more time-consuming. If we know the fighting area is on the way, we have to think of another way to deliver it. Of course, definitely another way will cost more time, the spokesperson told RFA. A man sits on his wooden boat on a flooded street in Bilin township, in Myanmar's Mon state, on August 11, 2023. Credit: Sai Aung Main/AFP The department is also concerned about possible landmine-related incidents since it is tricky to figure out what dangers await under floodwaters, according to the spokesperson. When the landmine can move or when the flood-affected populations move to a place, they can be at risk as well. So that is what concerns us in Kler Lwee Htoo [Nyaunglebin] District. Long-term impact Even while local organizations are providing food and medication to affected areas, the long-term effects on residents' livelihoods may generate larger concerns, according to experts. Next years harvest will be very bad, already the price of the rice bags is double, triple in some places, said the Arakan Heritage Foundations August, adding that livestock was also low, as many had drowned. For instance, rice paddies, which were already in limited supply due to storms last winter and Cyclone Mocha, has sustained additional damage in recent weeks. Mocha already damaged the supply of cattle to the farmers. In this flooded area, the cattle died also. Nay Htoo from the Burma Medical Association re-emphasized the gravity, saying that food and water treatment is among the top priorities for flooding victims, as rice crops have been destroyed. If the flooding destroys the rice fields, you need to collect the paddy to plant rice again, he said. If youre fighting, you have to worry about your life, your survival. You dont worry about malaria you worry about your food items. Edited by Taejun Kang and Malcolm Foster. Updates with death toll in third graf. Aircraft at Tan Son Nhat Airport in HCMC in April 2020. Photo by VnExpress/Quynh Tran Vietnams growing aviation market, with large infrastructure projects being developed, is facing a shortage of human resources as training fails to meet recruitment demand. A severe shortage is plaguing the aviation industry post-Covid, as its 44,000 workers, divided into three main areas of operations, transportation and flights, are not enough for further development needs, Tran Thi Thai Binh, head of the aviation economic department at the Vietnam Aviation Academy, said at a recent forum in HCMC. Recruitment demand will rise from now until 2030 as Long Thanh International Airport is being built and Tan Son Nhat International Airport and Noi Bai International Airport are set to be upgraded. By 2030 Vietnam will have 30 civilian airports, up from the current 22. Construction of Tan Son Nhats third terminal began at the end of last year while work on Long Thanh is set to start this year. Long Thanh, in Dong Nai Province, will require many personnel. Experts have estimated that the number of engineers it needs might be double or triple the 100 Vietnam Airlines hires annually. With the number of passengers increasing by nearly 30% year-on-year in the first half of this year, aviation staff size is not meeting demand, Bui Song Thu, head of the Institute for Human Resources Training and Development, said. She added that shortages of air traffic controllers, aircraft engineers, maintenance workers, and pilots are expected. Luong Thi Xuan, CEO of the Vietnam Aviation Exhibition Jsc, said: "Overloaded airports are seeing shortages in high-skilled jobs such as pilots and aircraft engineers." A report by the International Air Transport Association (IATA) in 2019 said the number of air passengers in Vietnam could either double or quadruple from 2018 to 100.7 million or 205.2 million by 2038. This means that the number of aviation workers will also have to double or quadruple in the period. Vietnams current training capability is able to address only a fraction of this demand. Its leading aviation training facility, the Vietnam Aviation Academy, had only 119 students in the air traffic control department and 106 in aviation technology in the 2022-2023 school year. The Viet Flight Training, which trains pilots, churns out around 100 annually. Ta Minh Trong, head of the flight safety department at the Civil Aviation Authority of Vietnam, said workers in the industry can earn VND15-20 million ($626-834) a month at the basic level and this would go up to VND50 million or higher once they obtain certain certificates. But the long training time, high training costs and the work pressure in the industry discourage young people from choosing aviation for a career, he added. Deputy head of the Vietnam Aviation Academy, Ha Nam Khanh Giao, said training facilities should partner with foreign universities and academies to send their students overseas. John Ling, president of Canadian Aviation College, supported Giaos idea, saying there are many opportunities for young Vietnamese who are willing to learn if partnerships are established with other countries. Vietnam Aviation Exhibition is working on setting up partnerships with 10 countries in, including the U.K., Canada, Australia, and the U.S. Township residents also find bodies of 3 men killed by junta troops. Smoke rising from the shelling of Pay Kone village, Yinmarbin township, Sagaing region on August 15, 2023 Junta heavy artillery killed a nine-year-old boy in Sagaing regions Yinmarbin township, residents told RFA Wednesday. They said the boy, Kyaw Thiha, died Tuesday when a shell hit his home in Pay Kone village. Five other people were injured in the shelling and are being treated locally. Locals blamed the attack on troops who are providing security for the China-owned Kyae Sin Taung and Letpadaung Taung copper projects situated nearby. The military commander of the anti-junta Peoples Defense Force stationed between Yinmarbin and Salingyi townships told RFA there was no reason for the shelling because his force was not fighting with junta troops Tuesday. Bloodstains on Myauk Yamar bridge, Sagaing region, where locals believe junta troops killed three villagers they arrested five days earlier, August 16, 2023. Credit: Citizen journalist Separately, villagers found the bodies of three men near a bridge over the river that runs between Yinmarbin and Salingyi townships on Wednesday, a local eyewitness from Yinmarbin Township who didnt want to be named for security reasons told RFA. Three bodies were found near the Myauk Yamar bridge this morning, he said. Two can be confirmed to be from Lel Ngauk village and the whereabouts of the other one is still under investigation. The bodies were cremated this morning. He identified two of the dead as 44-year-old Thein Wai and 47-year-old Kyaw Nyan. Residents say the villagers were arrested around five days ago when they encountered a column of nearly 100 troops heading towards Yinmarbin township. Photographs obtained by RFA show bloodstains on Myauk Yamar bridge which locals say indicate the men were killed there. The junta spokesperson for Sagaing region, Tin Than Win, told RFA that he didnt know about the killing of the men or the shelling of Yinmarbin. Translated by RFA Burmese. Edited by Mike Firn and Taejun Kang. The largest group was from Sagaing region, where 800,000 have fled since the coup. Many of the 42 people who went missing in northern Myanmars Kachin state after a landslide buried workers at a jade mine were migrants displaced by civil war, RFA has learned. More than two years after a coup, the military is trying to root out armed rebels, and civilians are regularly caught up in the fighting. Nearly 2 million people have been displaced by the conflict, the United Nations says. A quarryman from Sagaings Shwebo township whose cousin died in the landslide triggered by heavy rains on Sunday afternoon in Hpakant township said that the two of them had moved to the area for work but decided not to return home for a visit this year amid political instability and a military offensive against the armed resistance. Since our villages in the lower part of the country have been burned down [ by the military], we were too upset and did not go back, he said. We thought it was safer here. Thats why we didnt go back home this year." In addition to his cousin, the quarryman said that three of his friends were killed in the landslide. Rescue workers and residents said Wednesday that nine people remain missing from among a group of mostly small-scale scavengers and prospectors searching for semi-precious Yay Ma Say stones and panning for gold after eight more bodies were recovered on Wednesday. The block of land where the landslide took place is owned by Jade Leaf Co. Ltd., but operations had been suspended due to downpours during the rainy season. Other victims included residents of Mandalay regions Mogoke and Tada-U townships; Sagaings Taze, Shwebo, Homalin and Kyunhla townships; Kachin states Myitkyina and Waingmaw townships; Magway regions Bago and Pauk townships; and Rakhine state. Most of the dead were from Sagaing, where the U.N. says nearly 800,000 people have fled fighting. The body of Aung Tun Myint, a 26-year-old from Sagaing regions Shwebo township, was one of those pulled from the rubble by rescue workers at around 5:00 p.m. on Tuesday, his mother told RFA Burmese. He was a young and single son who was feeding his parents by means of his hard labor, she said of the young man who had worked as a jade miner in Hpakant for about a decade, calling him the only person I could rely on. I am crushed and helpless as I have only one son to rely on," she added. List of dead and missing incomplete Video of the aftermath of the incident, obtained by RFA, shows brown water surging up the sides of muddy embankments that circle the caldera of the mine as people look on. In the background, a steep, dark stain runs down the side of a nearby cliff, where scavengers were washed away by a torrent of moving earth. Many people sustained injuries in the accident and at least eight were sent to the hospital for treatment. Divers had been unable to enter the pool at the caldera of the mine site and could only hang hooks from motorboats to drag the water for remains as collapses continued on Tuesday. Win Kyaw, 46, of Kyunhla, was among those killed, said his brother-in-law, who called his death a painful loss. He was [living] with his father, as his mother had been long gone, he said. He still had an older sister. He was working to support them. Of the 25 bodies recovered by Tuesday, 19 were identified by friends and family, and later buried, rescue workers said. The rest of the bodies have not yet been found by their loved ones, one worker said, adding that they had been sent to nearby cemeteries for storage. He said recovery efforts were continuing and noted that the list of dead and missing remains incomplete. Rescuers recover a body [not shown] following a landslide at a jade mine in Hpakant, Kachin state, Myanmar, Tuesday, Aug. 15, 2023. Credit: Screenshot from video obtained by Reuters Win Ye Tun, the juntas spokesman and social affairs minister for Kachin state, confirmed to RFA that 25 bodies had been recovered as of Tuesday and said seven people are currently receiving treatment at the hospital. He said that the state had provided 1 million kyats (US$476) to each of the families of identified victims and 450,000 kyats (US$214) to each injured person. We are helping them with all the essentials," he said. Better safety and environmental protection But Zaw Tan, the spokesperson for the Kachin National Forum a group of nine Kachin civil society groups that collectively solves disputes in Kachin state said that if the junta wants to allow jade mining to continue, it must institute better safety standards and ensure the preservation of the environment. "To prevent such landslides from happening again, we need to stop the mining in this area, but we all know that it cannot be stopped because many people depend on it for their livelihood, he said. We can only [solve this issue] by taking effective measures [on safety] and through proper management to preserve the land and the nature of the region. Nearly a decade of large-scale excavation mining has leveled Hpakants mountains and cleared out its trees, making the land more susceptible to erosion and landslides, Zaw Tan added. The Kachin National Forum also issued a statement expressing its condolences to the families of those who died in the landslide. More than 190 people died in a landslide at the Wai Khar jade mining site in Hapkant in 2022, while nearly 80 company employees and miners died in a separate accident at mining sites owned by Myanmar National Co. and Shan Yoma Co. last year. Under the deposed National League for Democracy, or NLD, jade mining concessions had been suspended in Hpakant and around 90% of mining rights had expired by the end of 2020. However, residents of the area told RFA that since the coup, jade companies have illegally restarted mining operations and skirted scrutiny by paying taxes to the Kachin Liberation Organization, an ethnic army in the area, and the junta. According to a recent statement by the U.K.-based rights group Global Witness, nearly 400,000 people in Myanmar rely on scavenging precious stones in the Hpakant region to earn a living most of whom work under unsafe conditions. Translated by Myo Min Aung. Edited by Joshua Lipes and Malcolm Foster. Paramilitary police officers swap positions during a change of guard in front of Potala Palace in Lhasa, capital of western China's Tibet Autonomous Region, Oct. 15, 2020. China is hosting a three-day international symposium on Tibetan studies in Beijing by bringing together more than 300 scholars from around the world. But critics say the seminar titled Prosperity of Tibetan Studies and the Opening of Tibet is nothing more than a propaganda tool meant to whitewash its efforts to erode Tibetan culture and identity. The Chinese governments claim of protecting Tibetan culture, language and religion is completely untrue, said Tenzin Lekshey, spokesman for the Central Tibetan Administration, the Tibetan government-in-exile in Dharamsala, India. Instead, they are using such platforms and seminars to amplify their false narratives to the international community, he said. If the Chinese government wants to hold genuine seminars on Tibetan studies, then it must allow Tibetologists from Tibet and around China to freely participate and convey the true state of Tibetan studies, Lekshey said. Many Tibetans face difficulties traveling to Beijing from the far western region, sources said. The China Association for Preservation and Development of Tibetan Culture, the China Tibetology Research Center and the Xizang Academy of Social Sciences organized the three-day event, which began on Aug. 14. The seminars are just a show and a propaganda tool to try to demonstrate that the Chinese government is protecting Tibetan culture and language, said Jampa Samten, an associate professor of Tibetan history at the Central University for Tibetan Studies in Varanasi, India. China holds its own Tibetan studies seminars to undermine information discussed in other symposiums that focus on Tibet, sources said. In July 2022, more than 600 scholars and researchers from all over the world attended the 16th Seminar of the International Association for Tibetan Studies in Prague, where hundreds of Tibetologists gathered to discuss Tibetan studies and Buddhism. The association was founded in 1979 in Oxford, England, by Michael Aris, a leading scholar in Tibetan and Himalayan studies and the late husband of Burmese democracy leader and Nobel Peace Prize laureate Aung San Suu Kyi. Few Tibetologists from Tibet participate in these international seminars, because they cant obtain permission from Chinese authorities to travel, sources said. None of them attended the 2022 seminar in Prague. The international community has criticized the Chinese governments colonial-style boarding schools for ethnic Tibetan students in Tibet and its assimilationist policies concerning Tibetan religion, cultural and identity, noted Tibetan rights analyst Sangay Kyap, who lives in Spain. China hosts its own Tibetan seminars in part to challenge the criticism that the Chinese communist government has been receiving over the years, he said. It is a deliberate move. Many Tibetologists who are not Tibetan have established good relations with exiled Tibetan communities over the years and have conducted their own seminars, he said. So, this effort by the Chinese government is intended towards subverting these relations and an attempt to undermine these outside seminars, Kyap said. Translated by Tenzin Dickyi. Edited by Roseanne Gerin and Malcolm Foster. The education of girls and women is universally accepted in more than 200 countries and territories, including nearly 50 Muslim-majority nations. But Afghanistans hard-line Islamist Taliban rulers have banned teenage girls from attending school after the sixth grade since they returned to power two years ago. The ban was extended in December to women in universities. Countless protests by Afghans inside the country, pressure from the international community, and lobbying by Muslim scholars and clerics have failed to convince the fundamentalist Taliban leaders to reopen schools. Experts are divided over whether the ban is rooted in how the Talibans interpretation of Islam is shaped by conservative Pashtun tribal customs and cultural practices or if it is prompted by how senior Taliban ideologues interpret Islamic teachings. Most Taliban leaders are ethnic Pashtun, Sunni Muslim clerics. Many were educated in Deobandi madrasahs in neighboring Pakistan. Deobandism emerged as a puritanical Islamic revivalist movement in 19-century British Colonial India. Based on the Sunni Hanafi school of jurisprudence, it is a prominent strain among Islamists in Afghanistan and Pakistan. Tribal Influences Sami Yousafzai, a veteran Afghan journalist and commentator, argues that the Taliban restrictions against women are linked to social customs and cultural practices in eastern and southern Afghanistan. Most Taliban leaders come from various Pashtun rural tribal communities in these regions bordering Pakistan. "They believe that a woman's place is either inside a house or in a grave," Yousafzai said of the basic Taliban belief influenced by the status of women in the families of clerics and religious leaders in these Pashtun regions. Women living in the households of the current Taliban policymakers were never educated and never left their homes, said Yousafzai, who has tracked the Islamist group since it emerged as a ragtag militia in the southern Afghan province of Kandahar in late 1994. These women never performed any government or nongovernmental jobs. Yousafzai says the Taliban backs policies shaped by this worldview by leaning on Islamic teachings supporting such ideas. He says Taliban leaders rely on sayings attributed to the Prophet Muhammad that discourage women from leaving their homes. Their primary belief is that pubescent girls should not leave home under any circumstance, he said. This is why they view women leaving home for education or work as engaging in moral corruption. In Afghanistan, a Muslim nation of some 40 million people, activists and rights advocates accuse the Taliban of implementing "gender apartheid" by denying women education, work, freedom of movement, and deciding how they can appear in public. Most Muslims agree that Islam allows women to get an education. Yet the Taliban publicly says that it will allow girls access to education only after ensuring complete gender segregation and other unspecified conditions. Almost all Afghan secondary schools were gender segregated and universities imposed a strict separation between men and women after the Taliban takeover. Yousafzai says in conservative and traditional Muslim societies around the world, some clerics also favor restrictions on women's education, work, and their role in public life. But the governments in those countries usually oppose or limit such ideas. In recent years, Saudi Arabia -- one of the most conservative Sunni Muslim nations -- has allowed women to drive and granted them freedom of movement without a male guardian. These steps are part of a reform and modernity drive by Crown Prince Muhammad bin Salman. The Taliban ban on education has invited universal condemnation from Muslims globally. The Taliban's ban on women's education is not rooted in [the Islamic] Sharia law but rather reflects cultural biases that contradict the teachings of Islam, said Salam al-Marayati, president of the Muslim Public Affairs Council in the United States. He said that contrary to Taliban practices, Islam emphasizes the importance of seeking knowledge and encourages all individuals, regardless of gender, to acquire an education. Historical Conflict But Islamic scholars and those who have attempted to convince the Taliban about reopening girls schools offer a different explanation. John Mohammad Butt, an Islamic scholar and former BBC broadcaster who is the only Westerner to graduate from Indias Darul Uloom Deoband, argues that the Taliban's policy on girls' education is not tribal but shaped by the century-old conflict over modern education. The problem is that girls' education in Afghanistan -- indeed, contemporary education in general -- has generally been introduced in Afghanistan in line with a secular agenda, he said. In the 1920s, a coalition of conservative clerics and tribal and community leaders deposed reformist King Amanullah Khan. He wanted to modernize Afghanistan along Turkish leader Kamal Ataturk's secular lines and championed modern education and rights for women. This opposition to modernity and secularism continued, and conservative clerics opposed women's education and work. It became a key part of the Islamist opposition to the pro-Soviet Afghan communist governments after the April 1978 military coup that ended the Afghan monarchy. The mujahedin accused the communists of spreading immorality by promoting womens education and empowerment. This has led to particular wariness on the part of conservative circles in Afghanistan with regard to girls' education, Butt said. 'Deep Ideological Conviction' Obaidullah Baheer, a political science lecturer at the American University of Afghanistan, became part of an effort to rescind the ban by talking to the Taliban last year. But he says the effort failed because the ban is a matter of deep ideological conviction for the current supreme leader of the Taliban, Mullah Haibatullah Akhundzada. Baheer says that the most prominent Taliban leaders have studied in Pakistani madrasahs and were thus disconnected from village and tribal life. They have been indoctrinated by the Deobandi school of thought so that they now enforce the strictest version of Islam on the Afghan population, he said. He argues that the ban on girls schools is a deliberate policy championed by Akhundzada, who he says has endorsed Taliban Chief Justice Abdul Hakim Haqqani's Arabic language book The Islamic Emirate And Its System. In this book, Haqqani supports a fringe Islamic opinion of preferring the choice of one of the Prophet Muhammad's wives, Sawdah Bint Zam'ah, who chose to stay at home until her death. Baheer says Haqqani ignores his other wives and other female companions that played an active role in many sectors of society and how they served as students and teachers for men. This fringe opinion is not held by all Taliban leaders but is one that the current absolute sovereign, the Taliban emir, seems to be convinced of, he said. Butt says that even Haqqani has acknowledged the principle that if there is something that women need to act upon, then that is also something that women need to learn about. I hope the Taliban authorities will come to realize in the not-too-distant future that education for women will make Afghan women into better Muslims, he says. It will enable them to make a stronger contribution to the well-being of their country. Two years after grappling with the issue, Western diplomats appear to be encouraging Afghan conservative and clerical circles to find ways to end the ban. Tom West, the U.S. special representative for Afghanistan, recently tweeted that Afghan women must be educated and contribute to the economy to help their country stand on its own two feet. If change to policies is made, it will be because Afghans have asked for it, not a result of foreign requests, he wrote. At least seven people, including a child, were killed and 129 were wounded in a Russian missile strike on the northern Ukrainian city of Chernihiv on August 19, Ukraine's Interior Ministry said, while President Volodymyr Zelenskiy said a "terrorist state" had carried out the attack and caused "a day of pain and loss." Oleksandr Lomako, acting mayor of Chernihiv, said the attack was another blow to the city. "This is another war crime by Russia against Ukraine. The blood of all innocent children and people is on the hands of Russia. We will not forgive this. Never," Lomako said on Telegram, adding that three days of mourning would be observed on August 19-21 under a decree he signed. Zelenskiy, who is on a visit to Sweden, wrote on X, formerly known as Twitter, "Russian missile hit the heart of Chernihiv. A square, a university, and a theater." Zelenskiy also posted a video of the purported place of the attack. Live Briefing: Russia's Invasion Of Ukraine RFE/RL's Live Briefing gives you all of the latest developments on Russia's full-scale invasion, Kyiv's counteroffensive, Western military aid, global reaction, and the plight of civilians. For all of RFE/RL's coverage of the war in Ukraine, click here. "Russia turned an ordinary Saturday into a day of pain and loss," said Zelenskiy. "This is what it means to live next to a terrorist state," he said, adding, "I urge the world to stand up to Russian terror." Regional Governor Vyacheslav Chaus said on Telegram that the attack was "probably a ballistic missile." Separately, the Interior Ministry said a 6-year-old boy was killed and 15 other children were among the wounded by the missile, which struck Chernihiv's Drama Theater. The mother of the boy killed in the attack was in serious condition, authorities said. Interior Minister Ihor Klymenko said most of the victims were in vehicles or were crossing the street on foot. The people inside the theater reached a shelter in time after the air-raid alert was announced, he said. The UN's humanitarian coordinator for Ukraine condemned the missile attack as "heinous" and called on Moscow to end strikes on populated areas. "It is heinous to attack the main square of a large city, in the morning, while people are out walking, some going to church to celebrate a religious day for many Ukrainians," said Denise Brown in a statement. "I condemn this repeated pattern of Russian strikes on populated areas of Ukraine, causing deaths, massive destruction, and soaring humanitarian needs." Earlier on August 19, the Ukrainian military said that the air defense shot down 15 out of 17 Iranian Shahed drones that Russia used in its latest overnight attack on Ukraine. "The air force in cooperation with the air defense and other components of the Defense Forces of Ukraine destroyed 15 enemy drones," the military said in its daily report, adding that the attacks targeted the eastern, northern, and western parts of Ukraine. Russia's Defense Ministry, meanwhile, said on August 19 that its air-defense systems repelled a Ukrainian drone attack on a military airfield in Novgorod region located northwest of Moscow, but the attack resulted in a damaged Russian warplane. There were no casualties in what the ministry's statement called "a terrorist attack," but a fire that broke out on the airfield causing damage to one of the warplanes parked there. The information could not be independently verified. WATCH: Residents of Kupyansk in Ukraine's Kharkiv region are being transported to safety amid signs of a buildup of Russian forces nearby. The ministry earlier claimed that it had downed a Ukrainian missile over Moscow-occupied Crimea overnight. On the diplomatic field, Zelenskiy and his wife, Olena, traveled to Sweden for talks on defense cooperation. Zelenskiy visited Sweden with his wife, Olena, for talks on defense cooperation. He announced that he and Swedish Prime Minister Ulf Kristersson had agreed on 10 points, including cooperation on the production of powerful CV-90 combat armored vehicles in Ukraine, joint training on equipment provided by Sweden, and further steps to transfer Gripen fighter aircraft to Ukraine. "I am grateful to Sweden for its firm and consistent support. Together, we protect life, freedom, and our common values." Zelenskiy said at the conclusion of his visit to Sweden, which has applied for NATO membership. Kristersson said he was proud to have had the honor to welcome Zelenskiy. "I highly appreciate our close contacts and cooperation. Swedens support for Ukraines freedom, sovereignty and territorial integrity will continue for as long as it takes," Kristersson said on X. Sweden, which abandoned its longstanding policy of military nonalignment to support Ukraine after Russia launched its full-scale invasion in February 2022, is part of a coalition of 11 Western countries together with Netherlands, Belgium, Canada, Denmark, Luxembourg, Norway, Poland, Portugal, Romania, and the United Kingdom that pledged last month to train Ukrainian pilots to fly F-16s. On the battlefield, Ukrainian forces continue to advance in the areas near the southern cities of Melitopol and Berdyansk, the military said on August 19, adding that during the day Ukrainian forces fought a total of 26 clashes along the front line. The General Staff's evening summary said Russian forces carried out five missile and 44 air strikes and 17 attacks from rocket salvo systems on troop positions and populated areas. The push toward the Russian-occupied strategic city of Melitopol is part of Ukrainian forces' attempt to cut off Russia's land bridge to Ukraine's Crimean Peninsula. It comes as a U.S. intelligence report quoted by The Washington Post assessed that Ukrainian forces do not appear likely to reach and retake Melitopol during the ongoing counteroffensive. Russia controls nearly a fifth of Ukraine, including the Crimean Peninsula, most of the Luhansk region, and large tracts of the regions of Donetsk, Zaporizhzhya, and Kherson. The Russia-installed head of the military in Kharkiv, Vitaly Ganchev, said on Russian television on August 19 that the number of communities Russia controls in the Kharkiv region had grown by five and now totals 33. "The front line is slowly moving toward Kharkiv. Our troops are approaching the suburbs of Kupyansk," he said. It is not possible for RFE/RL to verify either side's claims of battlefield success. With reporting by Reuters and AFP SARAJEVO -- The sadistic nightmare was beamed across the Balkans and the world. Thousands of viewers on social media were reduced to horrified spectators as a Bosnian man live streamed the murders of his ex-wife and two other innocents before eventually turning the gun on himself. The episode shocked a society already scarred by conflict and lingering trauma, prompting desperate calls for greater protections for women like Nizama Hecimovic, the young mother killed point-blank in the northeastern city of Gradacac, who had previously alleged abuse by the father of her baby daughter. But it also rekindled frustrations in Bosnia-Herzegovina and elsewhere over the failure of social networks like Instagram, which along with WhatsApp is owned by Facebook parent company Meta, to prevent such live content appearing or to at least get it quickly taken down. Experts warn that algorithms like Instagram's are "notoriously ineffective" at sniffing out danger and say the Gradacac episode underscores how Meta and other leading social network operators are failing miserably at moderation, particularly when it comes to videos and non-English content. Maida Muminovic, executive director of Mediacenter Sarajevo, which is part of an EU- and UNESCO-backed alliance to curb harmful online content, said Meta's response should have been faster. "The circulation of such a video for hours on Instagram showed all the weakness of the mechanisms for reporting and removing disturbing content on social networks, the irresponsibility of Meta," Muminovic said. She said the Coalition for Freedom of Expression and Content Moderation in Bosnia and Herzegovina, which was officially launched in June, would request details of the case from Meta to aid in a detailed analysis of what went wrong. "I have no words to describe what happened today in Gradacac," said Nermin Niksic, the prime minister of the Bosniak-Croat Federation, one of the two entities that makes up Bosnia. Exactly a month earlier, Niksic was sharing a photo from the 1995 genocide that took place during the 1992-1995 Bosnian War and assuring the country that the tragedy would "never be forgotten and never repeated against anyone." Algorithms Are 'Notoriously Ineffective' The video of 35-year-old bodybuilder Nermin Sulejmanovic announcing his intentions and then shooting his wife at close range began at 10:20 a.m. As the grisly live stream continued into its third hour on Instagram, its viewership rose along with the death toll. It was only taken down at around 2:30 p.m., by which time Sulejmanovic had also killed a father and son and wounded three others as he was being pursued by police. Nearly 12,000 people watched the killing live, and viewership peaked at around 15,000 at one point. The alleged killer's Instagram profile, which has since been taken down, gained 300 or so new followers. Sasa Petrovic, a cybercrime inspector for the federal police, told RFE/RL's Balkan Service that he contacted Meta after being alerted to the live stream by Tuzla-area prosecutors at around 12:20 p.m. "I received the approval of the police from Tuzlaand I contacted the Meta administrator," Petrovic said. "In about 20 minutes, the video was removed, as was the account." Petrovic said he and Bosnian police agencies have contact details for Meta and some other social networks that allow for freezing data and banning users but said he was personally unaware of any connection to, say, TikTok, where he estimated that dozens of accounts share graphic murder videos. When contacted by RFE/RL on the day of the killings, Meta did not respond to say how much time passed between the application and the video's removal. But in a statement obtained by RFE/RL's Balkan Service, the social media titan said that it was "deeply saddened" by the "horrific" Gradacac attack and was "in contact with Bosnian authorities to help support their investigations." Asked to clarify its policies and practices by RFE/RL, the company said through a spokesperson that "when it comes to Live content, we use [artificial intelligence] classifiers to proactively identify potentially violating content in Live -- as we do elsewhere across Facebook and Instagram -- and we respond to reports from our community." Meta said it had "made several updates to help limit our services from being used in this way" after the notorious live streamed killings in Christchurch, New Zealand, in 2019. Caitlin Chin, a fellow at the Washington, D.C.-based Center for Strategic and International Studies (CSIS) who researches technology regulation, said the Gradacac broadcast shows the pitfalls of algorithmic solutions. "Social media algorithms are notoriously ineffective, especially for images or non-written content," she told RFE/RL's Balkan Service. She described a complicated process of conversion from photo or video to text that is scanned for telltales and concluded, simply, that "the software itself is just not adequate." She also referred to Meta's recent staff reductions and its focus, as well as the effectiveness of its internal content moderation policy, which she suggested is "fuzzy." "Unfortunately, one of our biggest lessons [from shared graphic videos and images] is just how challenging and consequential online content moderation can be," Chin said. And the chances of a miscue are likely higher for people in countries like Bosnia. 'Completely Opaque' Processes Meta dedicates a massive amount of its attention to English-language content moderation, Chin said, "but this terrible tragedy reveals how problematic it is to neglect Bosnian and other non-English languages." James Waldo, a professor of the practice of computer science at Harvard University, said moderating content is "not a simple thing," particularly on a scale like that of Meta, originally known as Facebook after its founding in 2004. He thinks Meta "is still coming to grips with the fact that they are a worldwide companyand have to deal with having representatives in all sorts of places that they may think of as niche but they are vital to those areas." "I don't know what their staffing levels are like in Bosnia or Romania or any of the Eastern European countries," Waldo said. "I know that they're fairly lightly staffed in South Asia in various spotsyet they are present in all of these places where there are lots and lots of different languages and cultures that they need to deal with." But he called Facebook/Meta's internal process on video removal "completely opaque" in a way that "means it's very hard to trust Facebook to be doing the right thing." He said multiple jurisdictions further complicate the issue around, say, a video viewed in the United States through a server in France but originally posted in Bosnia. Milos Jovanovic, from the Belgrade-based OpenLink Group, an IT agency that provides development and education services, expressed sympathy for Meta's dilemma. He said real-time events like the Gradacac live stream are particularly challenging and it will probably take years to adequately police what happens after someone turns on a live video transmission. "I'm convinced that it wasn't possible to react and remove that content in a quick period of time," Jovanovic said. Claudio Agosti, founder of the Tracking Exposed platform and software for analyzing social network algorithms, said that the moderation process is resource-intensive and "optimizations mean that certain content is scanned more superficially or later." Sand dunes, he noted, are frequently mistaken for naked bodies, and food preparation can appear as violent patterns if a detection mechanism is "loose." "The content that will be uploaded tomorrow is by definition new, and artificial intelligence is trained on what has been shown before," Agosti said, although he said that, as opposed to disinformation or hate speech, graphic violence or behavior "should be language-independent." Darko Obradovic, from the Center for Strategic Analysis in Belgrade, suggested that after the brutal internecine wars of the 1990s many people in the region have a particularly high tolerance for violence. He said algorithms aimed at compliance with social media company's terms of use cannot exclude a human dimension. "It tells us that we have all become numb and lost the basic values of a culture of security, in which it is far more valuable for everyone to share the video, to watch the video, instead of, for instance, calling the police," Obradovic said. "And when these two dimensions intersect, then we get something called the execution of a criminal plan to which no one reacted." The grisly crime's viewers could also come under the scrutiny of Bosnian authorities. The Federal Ministry said Interior Minister Ramo Isak would ask federal police and the Interior Ministry of the Tuzla Canton, where Gradacac is located, to investigate anyone on social media who might have encouraged the killer or glorified the crime. On August 14, thousands of Bosnians demonstrated in multiple cities, including the capital, Sarajevo, to demand greater protections for women like Hecimovic, who just days before her murder had sought police protection from her ex-husband. The local UN mission expressed its shock and urged authorities to commit to stopping femicide and said it was particularly "horrified by the fact that the murder of a female victim was live streamed via a social network." Written by Andy Heil based on reporting by RFE/RL Balkan Service correspondents Meliha Kesmer and Una Cilic A polytechnic school in Russias Tatarstan, a region some 900 kilometers east of Moscow, is using manufacturing facilities that are part of a nearby special economic zone to assemble Iranian attack drones and are increasingly turning to underage students as laborers, many of whom often work in exploitative conditions. The revelations at Alabuga Polytechnic University raise troubling implications about the lengths that Russian authorities are going to in order to boost the war effort and how the advanced Iranian weaponry -- which is increasingly used to bombard Ukrainian cities and has only recently begun to be manufactured inside Russia -- could contribute to escalating tensions and rising civilian casualties. The use of underage students as drone factory workers and the details of the manufacturing facilities were first reported by Russian independent media outlets Protokol and Razvorot, which published a series of investigations in July. Since then, RFE/RLs Idel.Realities has spoken with students who describe grueling working conditions and interviewed dozens of parents whose children have been enrolled at Alabuga Polytechnic University -- some as young at 15 -- who say that their children were forced to work exceedingly long hours, often without proper breaks or meals, and under hostile conditions that have deeply affected their mental health. My son enrolled and 2 1/2 months later he called for me to take him away, Zhanna, who asked to be identified only by her first name to protect against reprisals for speaking about the operation, told RFE/RL. He said to me on the phone, Come and get me or Ill die, so I picked him up immediately. Zhanna, who asked that her underage sons name also not be used, says that she sent him from Nizhny Novgorod to study at Alabuga Polytechnic University in 2022 because of its reputation as a leading technical institution inside Russia. The school offered students -- often between the ages of 15-18 -- an opportunity to get vocational training as part of a dual program that combines a classroom education with practical work experience. Students were also promised an opportunity to work and earn a locally competitive salary of up to 70,000 rubles ($700) a month as part of the work experience program that could further their career growth. Live Briefing: Russia's Invasion Of Ukraine RFE/RL's Live Briefing gives you all of the latest developments on Russia's full-scale invasion, Kyiv's counteroffensive, Western military aid, global reaction, and the plight of civilians. For all of RFE/RL's coverage of the war in Ukraine, click here. But, instead, those enrolled were encouraged and in some cases pressured into working at the drone facility, where the salaries of the mostly underage laborers are contingent on meeting tough production quotas. This is a textbook definition of what constitutes exploitation, Sergei Podsytnik, an investigative journalist at Protokol who worked on the series of reports, told RFE/RL. [Students] assemble drones, with the work taking priority over their studies. The pressure to fulfill these quotas has allegedly led to strenuous back-to-back days -- with some shifts lasting up to 15 hours -- with little time for sleep or adequate sustenance. Overtime work is often unpaid, further highlighting potential labor violations. Exhausted students also reported not always meeting their quotas, which led to them not earning the salary initially promised by the school. Many students came from disadvantaged backgrounds and relied on their earnings to cover costs for tuition, room, and board and would send the remainder home. Other parents, such as Marina, said she decided to take her daughter out of the program when she discovered that she was working in apparent unsafe conditions and that staff from the school had instructed students not to tell their parents about the drone assembly work. This was the last straw for me, Marina, who also asked for her identity to be concealed, told RFE/RL. This is a dangerous production process that involves dangerous chemicals. They also forbid the kids from telling everything to their parents. RFE/RL sent multiple requests for comment to various staff and administrators at Alabuga Polytechnic University but received no replies. Making Iranian Drones In Russia The complicated and concerning dynamic at Alabuga Polytechnic University stems from growing military cooperation between Iran and Russia that has accelerated since Moscows full-scale invasion of Ukraine in 2022. Iran has said it provided drones to Russia before the start of the war, but not since. However, U.S. intelligence officials have warned for months of continued deliveries and deepening cooperation between Moscow and Tehran, saying the two sides were exploring how to set up a manufacturing plant for Iranian drones inside Russia. According to The Wall Street Journal, an Iranian delegation visited Yelabuga in Tatarstan on January 5, touring a potential site for such a factory at the Alabuga special economic zone close to Alabuga Polytechnic University. U.S. officials released satellite images in April of the plant being built. Russia already possesses an array of unarmed aerial vehicles, or UAVs, which are used mainly for surveillance and artillery spotting, but has turned increasingly to Tehran for attack drones. After being forced to abandon Ukrainian territory that its troops captured in the early stretches of the war, Moscow shifted to a strategy of relentless air assaults on Ukrainian cities. These attacks often rely on a combination of cruise missiles and self-detonating drones packed with explosives to knock out electricity and running water for the civilian population in Ukraine. So far, Iran has provided Russia mostly with so-called "suicide" drones, known as the Shahed-136, that contain a modest amount of explosives that can detonate when the drones crash into targets, military experts say. In acquiring its own domestic assembly line, Russia could dramatically increase its stockpile of the relatively inexpensive but highly destructive weapons systems. WATCH: Russia has resorted to using Shahed-136 drones from Iran in its war on Ukraine. Ukraine says it's already downed many of the drones, which work by slamming into their intended target, laden with explosives. Ordinary Ukrainians say they can already recognize the sound of the drones, which use two-stroke engines like lawnmowers or motorbikes. Iran has denied supplying the drones to Russia. The arrangement also offers substantial economic and political benefits for Iran, which has sought to portray itself as neutral in the Ukraine war. The appearance of Iranian-made drones over Ukrainian cities, however, has triggered threats of new economic sanctions from the West. The United States, the European Union, and the United Kingdom have also all issued rules in recent months designed to cut off the flow of drone components to Russia and Iran. The Washington Post reported in November 2022, citing unnamed U.S. intelligence officials, that the agreement to deliver Iranian drone schematics and materials for manufacture in Russia resulted from Iranian leaders believing that the arrangement would allow Tehran to avert new sanctions. Foreign Recruitment And Patriotic Education Alabuga Polytechnic University is formally not a college. All of its students are officially enrolled at nearby Yelabuga Polytechnic College, with the Alabuga institution existing on paper as a specialized program for students looking to break into high-tech industries. Currently, about 1,000 students are studying at Alabuga Polytechnic University, with several hundred of them -- most of whom are between the ages of 15 and 17 -- involved in assembling the Iranian drones. In addition to the work on the drones, there are other signs that point to blurred lines in Russia between the education system and the countrys military amid the war in Ukraine. According to current and former Alabuga Polytechnic University students, team-building and organized extracurricular activities through the school often take on a patriotic character that may be designed to expose students to official government narratives of international events or echo talking points from state television. Organized paintball games have become a mainstay for students, especially first-year arrivals, in which they are encouraged to compete against one another and then play together against more experienced outside players. Teachers and administration officials regularly refer to paintball as being part of a patriotic education needed to complement the technical aspect of their studies. In one instance, a group of new students competed in paintball as Soviet soldiers against outside players who were dressed as troops from Nazi Germany in a capture-the-flag competition meant to simulate the World War II battle of Stalingrad. According to one student, the Nazi flag contained the compass symbol used by the NATO military alliance instead of the swastika used by Nazi Germany. Other instances of political teachings from staff are more direct. In a recording obtained by RFE/RL from June 16, a senior administrator can be heard telling the teenagers that NATO launched a hybrid war against Russia back in 2011 and that it has slowly become more overt. The man then goes on to tell students that their hard work and exceeding long days at the drone factory are part of a nationwide struggle against the West and that their patriotism will be rewarded. Several students and parents identified the man in the recording as Timur Shagivaleev, the director-general of the special economic zone where Alabuga Polytechnic University and the drone factory operate. Shagivaleev did not respond to RFE/RLs request for comment. The man in the recording then goes on to tell students not to take holidays and to continue working even if it's mom's birthday, before ending his speech with, Long live our great country. WATCH: Amid a new wave of Russian drone attacks on Ukraine, analysts in Kyiv are gaining useful intelligence from a collection of downed Russian aerial weapons. They have been studying the remains of Iranian Shahed drones and Russian Kinzhal missiles, among others. Multiple current and former students told RFE/RL that students who work in the drone factory are often praised by staff, while those who have refused or asked to be reassigned due to the high workload are often publicly shamed. In some instances -- according to recordings heard by RFE/RL -- staff even encourage students to bully others who are not deemed patriotic enough. According to Protokol and Razvorots investigations, Alabuga Polytechnic University has also turned toward recruiting foreign students to enroll. The majority of them come from African countries, but also from Central Asia and Azerbaijan, where they are promised world class salaries as part of the dual-track world experience program. However, these foreign students are then given low-skill and menial tasks around the campus and the special economic zone, such as janitorial work, and are also paid lower salaries than initially promised. Written by Reid Standish based on reporting by RFE/RLs Idel.Realities Surfers carry their board past chairs and umbrellas along Kuta Beach near Denpasar, Bali, Indonesia, on March 14, 2023. Photo by AFP A new Indonesian visa policy that will offer multi-entry visa valid for up to 10 years is expected to take effect by the end of September, instead of this month as originally planned. "We want to make sure that the Golden Visa offer is attractive for tourists to stay longer in Indonesia," said Minister for Tourism and Creative Economies Sandiaga Uno at a press conference this week. He said immigration authorities are working to ensure that the requirements are "watertight." Indonesian authorities initially planned to launch the 10-year visa program this month to boost its economy. It will be the second visa initiative the Indonesian government has launched in recent times, after previously introducing a second home visa program in October last year. That initiative allows foreigners to apply for a stay permit of up to 10 years, if they have an existing visa and provide proof of funds of either Rp 2 billion (US$130,800) in a personal Indonesian bank account, or proof of ownership of a luxury property in the country. Its been 18 months since Russian President Vladimir Putin began what he called Russias special military operation against Ukraine, and what civilized countries accurately call Russias brutal and unprovoked invasion. If Putin believed the operation would be swift and painless for Russia, events have proven otherwise. As U.S. Director of National Intelligence Avril Haines told Congress, Moscow underestimated the strength of Ukraines resistance and the degree of internal military challenges. Of course, it is Ukraine that has suffered most from Russias aggression, with devastating effects on Ukraines civilian population, infrastructure, and economy. But the costs to Russia have also been high: estimates are that tens of thousands of Russian soldiers have been killed; hundreds of thousands of Russians have left Russia; military spending is spiraling; and there are shortages of munitions, including tanks, drones, and artillery pieces. Russias munitions shortage is in large part due to Western sanctions that have forced the Kremlin to seek help from countries with no regard for the devastation occurring in Ukraine or for international peace and stability. Iran is one of them. Russia has received hundreds of drones from Tehran which it uses to destroy Ukrainian infrastructure and kill civilians; and now Russia is receiving materials from Iran to build a UAV manufacturing plant inside Russia itself. The DPRK is another country that has no qualms in helping Russias attempts to destroy Ukraine. In 2022, the DPRK delivered infantry rockets and missiles into Russia for use in Ukraine by the Russia-backed Wagner group. At the end of July, Russias defense minister Sergei Shoigu reportedly met in the DPRK with government officials about increasing the sale of North Korean munitions to Moscow for the Ukraine war. This is another example of how desperate Mr. Putin has become because his war machine is being affected by the sanctions and the export controls, said U.S. National Security Coordinator for Strategic Communications John Kirby. He is going through a vast amount of inventory to try to subjugate Ukraine, and hes reaching out to countries like North Korea, like Iran, and certainly hes been trying to reach to China to get support for his war machine. U.S. officials have voiced deep concern over the possibility that the DPRK will further aid Russias brutality and have promised to expose all unlawful arms transfers and enforce all U.S. sanctions. As Coordinator Kirby declared, Nobody should be helping Mr. Putin kill more Ukrainians. A BUS service under threat of the axe has been saved - and with extra journeys added. The no 3 bus route through Ravenfield to Bramley and Wickersley was due to be cut when the Powells bus company went bust last year. But MP John Healey joined forces with the mayor of South Yorkshire, Oliver Coppard, to keep the service going. Cawthornes Travel has taken over the service and is running a new timetable with more journeys in the late afternoon from Monday to Saturday. Mr Healey said: Its fantastic news for people living in Ravenfield that the number 3 bus timetable is being expanded. The service is used by people in the village to access important services at the Tanyard in Wickersley and in the centre of Rotherham as well as helping students get to Wickersley School. Ive been campaigning with residents to protect local bus routes but its a constant battle, as nationwide over 1,000 services were cut last year alone. SOUTH Yorkshire Police's approach to identifying people who may commit serious offences in future was highlighted in a national review of how forces can help prevent murders. His Majestys Inspectorate of Constabulary and Fire & Rescue Services (HMICFRS) examined eight regions which collectively accounted for 260 of the 696 killings recorded in the year ending March 2022. The report - called Homicide Prevention - found that most forces were able to identify patterns and causes, and responded by allocating the correct resources. A potentially dangerous person (PDP) is someone who is believed to pose a risk of committing offences that will cause serious harm. South Yorkshire Police was highlighted in the report for introducing meetings to discuss PDPs and allocate resources based on high, medium or low risk. This means it can prioritise people more likely to commit a homicide and allocate resources to protect the public, added the report, which noted how another force had identified only one PDP - and a third had none. Dr Alan Billings, South Yorkshires police commissioner, said: A range of factors can lead to homicide, including domestic abuse, organised crime, drug and alcohol abuse and gang violence. Its vital that police forces understand the picture clearly in their area, as every county will have different challenges and demographics. He added: Im pleased to see South Yorkshire Police highlighted for their efforts in assessing the risk posed by individuals, allowing them to take action before further crimes can be committed. I welcome this report and its findings and hope it will work towards improving consistency between force areas. The report reiterates concerns from inspectors that a national shortage of analysts is preventing good understanding of patterns in killings. It also notes how the link between drugs and homicide might have been overstated in the past few years. We found no evidence of effective processes to identify linked incidents, said HMICFRS. This included assessment of deaths in non-suspicious circumstances, for example in care homes. If police forces are to contribute effectively to the governments target of reducing homicide by 20 percent, the availability of analysts needs to improve. South Yorkshire Police was also praised for effective use of the Domestic Violence Disclosure Scheme, which allows officers to disclose information about someones previous history of domestic abuse or violent acts. Today, we are experiencing a global hunger crisis of unprecedented proportions. According to the World Food Programme, in just two years, the number of people facing, or at risk of, acute food insecurity increased from 135 million in 53 countries to 345 million in 79 countries in 2023. Two major events are driving this crisis, according to Cary Fowler, the United States State Departments Special Envoy for Global Food Security. Climate is certainly one of them, said Dr. Fowler. Weve had 532 consecutive months in which the global average temperature for the month exceeded the 20th century average. Whats worse, for the past two years, we have benefitted from the La Nina cooling weather phenomenon. But in 2023, we lost that advantage. Were now going into an El Nino period, a warming trend that typically affects tropical countries more than temperate countries In other words, this is not business as usual for agriculture, said Dr. Fowler Russias war in Ukraine is the second major driver of food insecurity, particularly Vladimir Putins decision to pull out of the Black Sea Grain Initiative, said Dr. Fowler. What are the impacts on the degrading of Ukrainian agriculture and export by Russia? Among the top 15 importers of Ukrainian grain are a number of developing countries with quite high percentages of childhood stunting A majority of countries on Earth are in fact net food importers 131 out of 196 countries are net food importers, he said. Countries in the Global South really need our help in terms of developing their agricultural food systems. They need strengthened food security, said Dr. Fowler. One of the more promising possibilities is the Vision for Adapted Crops and Soils program, co-sponsored by the Food and Agriculture Organization of the UN and the African Union, said Dr. Fowler: Were working with African governments to identify the traditional and indigenous crops that have the most potential for adding to nutrition in Africa. We are assessing how they are likely to perform in a climate-changed Africa, which we can see already. And this will provide the basis for our working with African countries in crop improvement programs for those crops. Were taking a [long] view of trying to address the food insecurity problems, said Dr. Fowler. We do not need to be taking grain and food off of markets; we need to be helping countries strengthen their food security. Uttarakhand Himachal Pradesh & Uttarakhand Grapples with the aftermath of Natural Calamities CHAMOLI: The Relentless monsoon rains over the past three days have triggered a series of natural disasters in Himachal Pradesh and Uttarakhand, resulting in the unfortunate loss of more than 80 lives due to landslides and cloud bursts. As the Meteorological Department continues to forecast heavy rainfall within the next 24 hours, the region remains on high alert. Amidst this challenging situation, around 250 pilgrims found themselves stranded in Uttarakhand's Rudraprayag district while on a visit to Madhyamheshwar Dham. The predicament arose when the bridge connecting Madhyamheshwar Dham to the highway collapsed under the onslaught of heavy rains. In response, a rescue operation was promptly initiated via helicopters. However, a new obstacle emerged: the absence of a suitable landing spot at the dham. More than 7 local women stepped up and managed to transform an area into a makeshift helipad in a matter of hours. With the helipad in place, the trapped pilgrims were successfully evacuated, ensuring their safety. Advertisement In a separate incident, tragedy struck near Joshimath in Himachal Pradesh's Chamoli district as a house succumbed to a landslide. Regrettably, one individual lost their life in the incident. The event transpired in Helang village, situated along the Badrinath highway between Pippalkoti and Joshimath on Tuesday evening. The Chamoli police revealed that extensive damage has been inflicted on the highway, impacting areas such as Pipalkoti, Gadora, Navodaya Vidyalaya Pipalkoti, Gulabkoti, Pagalnala, and Vishnuprayag within the district. Recent days have witnessed the loss of 55 lives in the state due to heavy rains, landslides, and cloud bursts. The dire conditions have led to the closure of over 950 roads at various locations across the region. As the region grapples with the aftermath of these natural calamities, authorities, local communities, and dedicated individuals come together to provide assistance, undertake rescue operations, and foster a sense of unity amidst adversity. Former PM Vajpayee's 5th Death Anniversary India benefited greatly from Former PM Atal Bihari Vajpayee's leadership: PM Modi NEW-DELHI: A Somber Atmosphere enveloped the nation as dignitaries, including President Droupadi Murmu, Vice President Jagdeep Dhankhar, and Prime Minister Narendra Modi, converged at the 'Sadaiv Atal' memorial to commemorate the fifth death anniversary of former Prime Minister Atal Bihari Vajpayee. The solemn occasion witnessed heartfelt tributes paid to the revered leader who left an indelible mark on Indian politics. In addition to these prominent figures, Defense Minister Rajnath Singh, Union Home Minister Amit Shah, Bharatiya Janata Party President JP Nadda, and Leader of the House in the Rajya Sabha Piyush Goyal gathered to pay their respects to the late 'Bharat Ratna' awardee. The memorial service also drew participation from several Union ministers and National Democratic Alliance (NDA) leaders, who joined in honoring Vajpayee's legacy. Advertisement A Prayer meeting marked the commemoration, held within the precincts of "Sadaiv Atal," the memorial erected in tribute to the former Prime Minister. It was on this day in 2018 that Vajpayee passed away at the All India Institute of Medical Sciences (AIIMS) in Delhi, after a prolonged illness. Using the platform of X (formerly Twitter), Prime Minister Narendra Modi expressed his sentiments, "I join 1.4 billion people of India in paying tribute to Atal Ji on his death anniversary. India benefited greatly from his leadership. He played an important role in advancing the progress of our country and taking it into the 21st century in various fields." Notably, Vajpayee's contributions to the nation were recognized when he was honored with the prestigious 'Bharat Ratna' award in 2015. A co-founder of the Bharatiya Janata Party, Vajpayee served as the Prime Minister of India on three occasions. His initial tenure in 1996 was notably short, spanning just 13 days. Subsequently, he took office once again in 1998 and held the position for 13 months. In 1999, Vajpayee embarked on his third term as the Prime Minister, becoming the first non-Congress leader to complete a full term in this role. Seized Gold Total Weight of the Seized Gold was Approximately One Kilogram AMRITSAR: In a significant breakthrough, the customs department at Amritsar airport apprehended an individual who had just arrived from Dubai. The operation resulted in the successful recovery of gold valued at INR 45.22 lakh from the suspect. The passenger has been taken into custody by customs officials, who have initiated a comprehensive investigation. The primary focus of the inquiry is to establish the motive behind the smuggling attempt. Reports indicate that the accused had disembarked from a flight originating in Dubai and landed at Amritsar airport. Customs officers grew suspicious of the individual's activities and behavior, prompting them to initiate a detailed scrutiny. Through rigorous interrogation, the accused was found to be concealing three capsules, surreptitiously hidden in his private areas. Advertisement Upon inspection, the capsules were discovered to contain a paste-like substance made of gold. The total weight of the seized gold was approximately one kilogram. Subsequently, the gold was processed to attain its purest form, resulting in a final weight of 751 grams in 24-carat quality. The estimated international value of this confiscated gold haul is around INR 45.22 lakh. As a response to the incident, the Customs Department has commenced legal proceedings under the Customs Act of 1962. REMINDER TO REGISTER FOR THE MEETING OF THE RHODES UNIVERSITY CONVOCATION AND CALL FOR NOMINATIONS FOR PRESIDENT OF CONVOCATION A follow-up notice is hereby given of the seventy-third Annual Meeting of the Rhodes University Convocation to be held on Saturday, 2 September 2023 at 10h00, (Hybrid) in person and via Zoom, venue to be confirmed. Convocation is a statutory body which meets at least once a year to discuss any matters affecting the University, and to convey its resolutions to the University Council. Membership of Convocation include all academic staff and graduates as per the University Statute. Only persons who confirm attendance whether in person or on-line, will be sent a link to be invited to the meeting. Please confirm attendance indicating preferred method (in-person/virtual-on-line via Zoom) by e-mail to: - Ms Natasha Agnew at convocation@ru.ac.za by 16h30 on Thursday, 24 August 2023. NOMINATIONS FOR PRESIDENT OF CONVOCATION Members of Convocation are further advised that the term of Dr Michelle Ruiters as President of Convocation comes to an end after the September 2023 meeting. Nominations are therefore invited from members for a President of Convocation for a term to be considered at the meeting for approval by Council. The attached nomination form including a 1-page motivation should be completed and returned. Nominators are also advised that a presentation (maximum length of five (5) minutes) would be required at the meeting of Convocation. The nominator and nominee must be present at the meeting, either in person or on-line. The University would like to take this opportunity to thank Dr Ruiters for her willingness to serve and to stand again for nomination and for her ongoing support. Please send all nominations via e-mail to: Ms Natasha Agnew at convocation@ru.ac.za by 16h30 on Thursday, 24 August 2023. VOTING FOR PRESIDENT OF CONVOCATION Only members who register by RSVP to convocation@ru.ac.za and are present either in person or on-line for the meeting will be allowed to vote, in accordance with the Institutional Statute. Voting will be via a link that will be emailed to those who (1) have registered and (2) are present in the meeting either in person or on-line. A register will be taken in this regard. Be sure that the name that appears on your zoom profile matches your name and surname please. Those present in person can either vote on their cellphones or by using one of the laptops to be provided at the voting booths. Voting will open immediately after the meeting to 15:00 on Saturday, 02 September 2023. Members are reminded that the link provided for voting should not be shared or distributed to another party. We shall confirm receipt of your registration and look forward to your engagement at the meeting. Sincerely ( signed: Professor AL Moodly) REGISTRAR AND SECRETARY TO CONVOCATION [L-R] Professor Sizwe Mabizela, Vice-Chancellor; Dr Kwezi Mzilikazi, DVC: Research, Innovation and Strategic Partnerships; Velisile Bukula, Senior Communications Officer By Tokologo Lekoma Fifty-eight Rhodes University staff members were recognised at this years Vice-Chancellor and Thomas Alty Awards on 14 August 2023. This ceremony acknowledges staff members who have attained further qualifications within the last year, either through Rhodes University or an external institution. This award is a mark of excellence and a massive sign of empowerment for many others within the community. Manager of Learning and Development at Rhodes University, Mr Schalk van der Merwe, opened the evening by saying, To all the recipients, allow me to express my congratulations on your remarkable achievement. Your contribution is commendable and serves as an inspiration to all of us. Of the awardees, 60% were women, and 40% were men. Vice-Chancellor Professor Sizwe Mabizela said, It is always heart-warming that our staff who are female excel, as do our undergraduate students. We celebrate them. Moreover, 45% of these esteemed recipients are support staff members, and 55% are academics. The VC encouraged staff members to continue their education journey and expressed joy by saying Rhodes University is a learning community. Senior Communications Officer Mr Velisile Bukula, who received a Postgraduate Diploma in Media Management, said, When I was employed at Rhodes University in 2019, I told myself it was an opportunity to further my studies. I have a very supportive Director, Dr Luzuko Jacobs, who is always motivating staff in the Division to further their studies. According to Bukula, his newly-acquired knowledge further enhanced the skills he already had and, by implication, his contribution towards shaping an improved image of Rhodes University in the public domain. I had to sacrifice personal time to work hard at my studies, but in the end, it paid out. I will forever be indebted to the University, and it will forever hold a special place in my heart. This years recipients obtained six PhDs, 12 Masters degrees, three Honours degrees, nine Bachelors degrees, 14 postgraduate diplomas, and 14 postgraduate certificates. Vice-Chancellor Awardees Dr Marcellin Atemkeng Teufack - Postgraduate Diploma in Higher Education (with distinction) Sandisiwe Bom - CATALyst certificate Velisile Bukula - Postgraduate Diploma in Media Management (with distinction) Ronaldo Burger - Advanced Diploma in Business Analysis Nothando Calane - Bachelor of Journalism Prof Megan Campbell - CATALyst certificate (with distinction) & Postgrad Diploma in Higher Education (with distinction) Nangamso Phila - Bachelor of Science Pulane Choane - -Postgraduate Diploma in Media Management Prof Stephen Folaranmi - CATALyst certificate Chad Gill - Bachelor of Commerce (Hons) Mhleli Khomo - Bachelor of Laws Megan Knowles - Postgraduate Certificate in Education (with distinction) Ethan Langson - Bachelor of Fine Art Dr Pedzisai Makoni - Postgrad Dip in Enterprise Management (with distinction) Nwabisa Malimba - CATALyst certificate Siyathanda Matiwane - Bachelor of Arts Prof Mmamosheledi Mothibe - CATALyst certificate Athenkosi Mpotulo - Advanced Diploma in Business Analysis Nomahlubi Mrubata-Mhlebi CATALyst certificate Nqobile Msomi - CATALyst certificate Prof Arthur Mukenge - Diploma in Training of Trainers Nangamso Myoli - Postgraduate Diploma in Media Management Dr Thando Njovane - CATALyst certificate (highly competent) Mandla Nonqane - Postgraduate Certificate in Education Aphiwe Nonyukela - Bachelor of Laws Dr Lungile Penxa - Postgraduate Diploma in Higher Education (with distinction) Sisisa Ponoshe - Advanced Diploma in Management Lumka Salamntu - CATALyst certificate Allistair Sampson - Advance Diploma in Information Technology Princess Shabangu - Bachelor of Social Science (Hons) Dr Zelalem Shibeshi - Postgraduate Diploma in Higher Education Unami Sibanda - CATALyst certificate Caitlin Stoltz - Bachelor of Laws Anelisa Takane - Postgraduate Certificate in Education Nande Titi - Bachelor of Social Science Dr Tendamudzimu Tshiwawa - CATALyst certificate Siphesihle Tyobeka Advanced Diploma in Business Analysis & Bachelor of Commerce (Hons) Rene Zimmerman - Bachelor of Commerce Thomas Alty Awardees Affordability vs. Luxury: Wuling Starlights Potential in India Could be a Bridge to Mainstream EV Sedans in India Do you fancy an affordable electric sedan? If you look at the EVs in India, 28 of the, most affordable proper e-sedan is BMW i4 starting from Rs. 74 lakh (ex-sh). What if there was a potential vehicle that would fill the gap? If we look at the Dragons Den, Wuling showed Starlight sedan in official pictures. This comes with both hybrid and BEV powertrains to cater to both audiences. Wuling released official images right after the homologation docs went live on Chinese MIIT (Ministry of Industry and Information Technology). Sedan Elegance Redux: Wuling Starlights Echoes of Audi A7 Even though MIIT documents reveal quite a bit of information, they are incomplete. Wuling will reveal complete details at launch or ahead of launch. Because Wuling Starlight e-sedan is a product of SAIC-GM-Wuling conglomeration, there is a tiny possibility of this launching in India under MG brand. As of now, we already have Wuling Air EV as MG Comet. We await Baojun Yep SUV under MG brand with similar powertrains as Comet. If we look at EVs under sub Rs. 30 lakh price bracket, there are hardly 10 offerings. While other 18 EV offerings fall in premium or even luxury category. More EVs down the price range with multiple body styles will bring freshness. One look at Wuling Starlight is enough to remind me of Audi A7 Sportback. Size and proportions almost resemble as well. Speaking of size, Wuling Starlight measures 4835 mm in length, 1860 mm in width, 1515 mm in height and has a 2800 mm long wheelbase. If it launched in India, it would have a similar size to Toyota Camry and now discontinued Skoda Superb. The Coupe rear is what lends Wuling Starlight a sophisticated look. There are slight design differences between BEV and hybrid vehicles. BEV Starlight has boomerang-shaped LED DRLs while hybrid Starlight has a sleek three-piece LED DRLs with a connected design. Other changes are with grill and lower front bumper. Tail lights, headlights, sheet metal and 18 wheels are virtually indistinguishable. Power Play: Inober Electrics motor in Wuling Starlight Wuling Starlight BEV will pack a single 100 kW (134 bhp) motor from Inober Electric Co. Ltd. Top speed is 150 km/h. Battery size is unknown, but it has LFP cells (Lithium Ferrous Phosphate) and kerb weight is impressive at 1600 kg. In contrast, Wuling Starlight hybrid weighs 1695 kg. Hybrid model gets a 1.5L ICE unit from Saike technology Development Co. Ltd. This engine is good enough for 78 kW output (104 bhp) and the top speed is 145 km/h. Expected price is around 150,000 Yuan (Rs. 17.3 lakh). But given the price wars in China, launch price will be much lower. Mahindra Unveils Its Global Pik Up Concept based on the Scorpio N Delivering Performance, Safety, and Authentic Design to Redefine Lifestyle Pickups Mahindra has taken the automotive world by storm with the unveiling of its Scorpio N Pik Up concept at the Futurescape event in Cape Town. The introduction of this concept marks a strategic move towards expanding into new international markets while fortifying its presence in existing ones. Mahindra Scorpio N Pik Up concept represents a fusion of cutting-edge technology, safety innovations, and authentic design, underscoring Mahindras commitment to providing vehicles that blend utility and innovation. It has now been detailed in official TVC ahead of launch in 2025. It will be powered by new gen engines delivering improved performance. Scorpio N Pikup prices could be in the Rs 20 lakh range. Mahindra Scorpio N Pikup TVC An Engineering Marvel for a New Era Based on the state-of-the-art Tough & Versatile New Gen Ladder Frame platform, the Scorpio N Pik Up stands as a testament to Mahindras dedication to excellence. Designed with a focus on toughness, versatility, and capability, the concept pushes the boundaries of contemporary pickup technology and safety standards. This vehicle encapsulates Mahindras promise to deliver an authentic experience that combines utility and innovation. R Velusamy, President Automotive Technology and Product Development at Mahindra & Mahindra Ltd., highlighted, This Global Pik Up from Mahindra, based on the Tough & Versatile New Gen Ladder Frame platform, is engineered to deliver performance, safety, utility, and robust capability. The core principles of this concept lie in crafting a multifaceted vehicle for the contemporary era. Design with Purpose and Passion The design of the Scorpio N Pikup is more than just aesthetic; its a purposeful creation that reflects Mahindras interpretation of an authentic lifestyle pickup. Pratap Bose, Chief Design Officer at Mahindra & Mahindra Ltd., emphasized, The Global Pik Ups rugged, dependable, and purposeful appearance appeals universally, reflecting a desire for adventure and exploration. This design philosophy stems from comprehensive research that gathered insights from diverse countries, shaping the vehicles exterior and interior to meet customer preferences. Scorpio N Pik Up presents a harmonious blend of resilience and elegance. Its exterior is built to withstand challenging conditions, while the interior offers comfort and ample space. Crafted with both functionality and aesthetics in mind, the vehicle promises a driving experience thats both dependable and comfortable. Safety Meets Innovation Safety is paramount in the Scorpio N Pikups design, evident in the incorporation of Level-2 Advanced Driver Assistance Systems (ADAS), Trailer Sway Mitigation, All-Around Airbag Protection, Drowsy Driver Detection, 5G Connectivity, and more. The goal is not only to meet global safety standards but to exceed them, ensuring peace of mind for drivers and passengers alike. The vehicles technology features go beyond safety, enriching the driving experience with elements like drive modes, immersive audio, semi-automatic parking, and a sunroof. This blend of advanced technology, safety, and convenience transforms the Global Pik Up into a reliable companion for daily commutes and adventurous journeys. A Global Vision Unveiled Mahindras Scorpio N Pikup concept isnt just about creating a new vehicle; its part of a larger vision. Veejay Nakra, President Automotive Sector at Mahindra & Mahindra Ltd., explained, The new Global Pik Up marks a significant step in Mahindras Go-Global Strategy. This robust and Tough vehicle, engineered with cutting-edge Technology, is not only Versatile and Capable but also meets high standards of Safety. This vision aims to establish Mahindra as a distinct and authentic brand for personal exploration in the lifestyle pickup market. With the introduction of the Scorpio N Pikup, Mahindra seeks to democratize the pickup lifestyle, making it accessible to a broad spectrum of consumers worldwide. The phased launch strategy, starting in existing markets and eventually expanding to ASEAN regions, reflects Mahindras calculated approach to becoming a significant player in the global automotive landscape. Pic story of guardians of Hoh Xil in China's Qinghai Xinhua) 08:54, August 16, 2023 Staffers with the Drolkar Lake protection station of the Hoh Xil management office pose for group photos in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. (Xinhua/Zhang Hongxiang) Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. A staffer of the Hoh Xil management office feeds rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. (Xinhua/Zhang Hongxiang) A group of pregnant Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, May 29, 2023. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office encounters with heavy snowfall on the way to the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. (Xinhua/Zhang Hongxiang) A staffer of the Hoh Xil management office is pictured with rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. (Xinhua/Zhang Hongxiang) Guo Xuehu, deputy head of the preservation station of Drolkar Lake, poses for photos at the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 17, 2023. (Xinhua/Zhang Hongxiang) A staffer of the Hoh Xil management office feeds rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. (Xinhua/Zhang Hongxiang) A group of pregnant Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, May 28, 2023. (Xinhua/Zhang Hongxiang) A group of Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Sanjiangyuan area in northwest China's Qinghai Province, July 28, 2023. (Xinhua/Zhang Hongxiang) A female Tibetan antelope is pictured at the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 18, 2023. (Xinhua/Zhang Hongxiang) Staffers with the Drolkar Lake protection station of the Hoh Xil management office patrol around the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 17, 2023. (Xinhua/Zhang Hongxiang) Tsesogyal (L), a staffer with the Drolkar Lake protection station, and his colleague repair a vehicle on their way to the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office prepares to perform rescue operation on trapped vehicles in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. (Xinhua/Zhang Hongxiang) This aerial photo taken on June 17, 2023 shows a view of the Drolkar Lake protection station of the Hoh Xil management office in Hoh Xil, northwest China's Qinghai Province. (Xinhua/Zhang Hongxiang) Tibetan antelopes are pictured at the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 18, 2023. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office checks the condition of trapped vehicles in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. (Xinhua/Zhang Hongxiang) (Web editor: Zhang Kaiwei, Wu Chaolan) Marko Arnautovic has returned to Inter from Bologna after three appearances at the San Siro in the treble winning season of 2010, Azernews reports, citing ANSA. The strapping (1.92m, 6ft 4in) ex Stoke and West Ham striker, who has scored 34 times in 108 caps for Austria, is joining on a 10 million euro deal, sources said. The player will get 3.7 million euros plus bonuses. Meanwhile unsettled Belgium striker Romelu Lukaku may be set to leave the Meazza for Spurs who have just sold England captain Harry Kane to Bayern Munich for 116 million euros. Highlights New eight-bay charging hub is capable of providing 100km of charge in as little as six minutes The chargers are powered by 100% renewable electricity ESB has today (Aug 7) launched its new High Power (200kW) EV charging hub at the Barack Obama Plaza motorway service in Co Tipperary that will enable eight EVs to charge simultaneously using 100% renewable energy. The new charging facility is capable of providing 100km of charge in as little as six minutes. The charging bay, which is located at a critical juncture in Ireland's road network on Junction 23, just off the M7 Motorway at Barack Obama Plaza, will be a game-changer for EV drivers, particularly those travelling long distances in the midlands and Munster region. The announcement marks a significant milestone in ESB's 20m investment in all-island EV infrastructure, which is a key part of ESB's sustainability strategy and commitment to reaching net-zero by 2040. With this 20m investment programme, which is partially funded by the Government's Climate Action Fund, ESB aims to deliver 50 High-Power hubs across the country as part of its national EV network strategy. Since the Climate Action Fund was allocated, the funding has allowed ESB to install 28 high power sites nationwide, replace almost all of the standard 22kW chargers with newer technology, and upgrade 42 chargers to faster speeds. Among these projects was the launch of a similar High Power Hub in Junction 14 in 2021. Since its launch, the chargers have enabled more than 60,000 charges monthly - a number that is expected to increase considerably in the coming years as the number of EVs on our roads increase. This innovative charging hub will also play a key role in helping to meet Ireland's ambitious transport targets as laid out in the Climate Action Plan. Speaking at the launch, John Byrne, Head of ESB ecars said: "We are so pleased to be launching our new high power charging infrastructure today at Barack Obama Plaza. With several thousand visitors daily, this location will be of critical importance to ensuring that drivers in the region feel empowered to make the electric transition." "EVs are the fasting growing segment in the Irish car market, and at ESB, we are committed to ensuring that the public charging network is there for drivers when they need it. Today's launch is just the next step of our plan which will see the remaining 28 high power sites at various stages of planning or build over the coming months." John added. Welcoming the new chargers, Supermacs founder and owner Pat McDonagh said: "At Supermac's, we have identified and prioritised opportunities to promote environmentally friendly activity as part of our ongoing Glan & Glas initiative. Electric vehicles are becoming an increasing presence on our forecourts at The Plaza Group and The Barack Obama Plaza is now one of the country's largest e-charging sites. This is certainly way of the future, and we are delighted to be a part of it." Matthew Sealy, Chairperson of the Irish EV Association also welcomed the new hubs: "It's great to see the increasing number of EVs on Irish roads in recent times, and fantastic to see the rapidly expanding network of charging hubs across the country, which will encourage even more people to make the switch. This new charging hub will be of huge importance to local EV drivers, commuters, and tourists, giving them even more flexibility on their journeys." San Diego voters filed into polling places around the county Tuesday to register their choices for the state and congressional primary elections, including several that could tip the balance of power in Washington. Many showed up to cast votes in the brief window before their workday started, while others stopped in throughout the day. Poll traffic was slow and steady at many stations, but voters who came said they felt obligated to weigh in on key races. I just want to make a difference in my state and make sure we pick the right people, said Lance Vannalom, who cast his vote at the Meadows Community Center in North Escondido, before heading to his job at Sony. Advertisement There, the parking lot was full and voters streamed in steadily, but the process moved swiftly, with no lines for voting booths. Poll workers arrived at their stations at 5:30 a.m., and said the day began smoothly at other sites as well. More than 300 field support representatives were assigned to troubleshoot any issues that arose, but there were no major problems as of noon, San Diego Registrar of Voters Michael Vu said. Vu had predicted turnout of 40 to 45 percent Tuesday, but revised that downward slightly to 38 to 43 percent around midday. He said that voter registration in the county reached an all time high of 1,693,774 registered voters. About 1.1 million of those are casting mail ballots, the county stated, and 277,845 mail ballots had already been returned as of Sunday. For those who prefer to visit the ballot booth and sport an I Voted sticker on election day, 1,264 polling stations staffed by 6,300 poll workers opened throughout the county at community centers, public buildings, private residences and even a mortuary in Escondido. San Diego voters filed into polling places around the county early Tuesday morning to register their choices for state elections. Many showed up to cast their votes in the brief window before their workday started. As Democrats fought to regain control of the House of Representatives, voters faced a wide field of candidates in local congressional primaries. In the 49th District, longtime Rep. Darrell Issa, R-Vista, is retiring, leaving his seat open, with 18 contenders listed as candidates on the county rolls. A block from Issas office, however, the polling station at Hope Church of the Nazarene was quiet in the early afternoon, as it had been since the morning, poll workers said. Jim Day, 65, said he grew up in a military family where voting duties were cherished, and has never missed an election since the age of 18. He said it was a tossup which way the 49th district race would fall. I think that it will stay Republican, which is good for me, he said. But I would not be very surprised if it didnt, because Democrats put a lot of money into this race. Nearby, Shawna Lee perused her ballot pamphlet before voting for only the second time in her life. Although she admitted she hadnt paid much attention to politics in the past, she said recent events prompted her to vote. Mostly the presidential race made me step it up, she said. How weird it was. Deana Cacus, who voted in North Escondido, said she wants to flip the 50th District, where embattled incumbent Rep. Duncan Hunter, R-Alpine, who has been the subject of a campaign finance investigation, faces five challengers. Shes supporting Ammar Campa-Najjar, a former Obama White House intern and community organizer. I know that it is a contentious primary, and there is a lot at stake, she said. I have a personal interest in keeping California blue, so I came out first thing to vote for my Democratic candidates, and hope that the state continues to progress in a liberal direction. Wade Barbour, 34, who cast his votes Tuesday morning at the Lake Murray Villa condominium/apartment complex, said he has never missed an election. He said hes particularly interested in the results of the primary races for governor and Senate. I want to have this go the way I want to see it go, Barbour said. I want it to be as much as possible in the direction I want it to go. I am not too happy with the direction we are going right now in this country. At least California has been a major Democratic state, and I would like to keep it that way. Keith Koble, a staunch Republican, hoped to hold back the blue tide. He said there was no way he would miss voting in the primary at the Prospect Avenue Baptist Church near his home in Santee. Im a conservative and I think that we are too far left in California and Im doing my part in swinging us to the other side, Koble, 61, said after voting. Im concerned about one party not making it onto the ballot and I want to make sure both parties are properly represented. Koble said he has voted in every election since he was eligible to do so. Hes not a fan of voting by mail and prefers to weigh in on Election Day. Personally, Im against voting by mail because issues can change from the time you send in your ballot until the day of the election, he explained. I also told the poll workers that I was here for the sticker, that thats why I came. Mark Luhm, who voted in North Escondido, said he would like to see improvements to roads and other infrastructure. Describing himself as a fiscal conservative who is also interested in environmental protection, he and said hes concerned about the deeply partisan political climate. Its a divided country, he said. Youre on one side or the other. An early voter on Tuesday, 41-year-old Randy DeWitt, is a teacher at Granite Hills High School in El Cajon who lives in the Fletcher Hills area of El Cajon. There was no line to vote this year, but DeWitt said he remembers waiting in like the first time Obama ran. My kids were little and I remember waiting in line a long time to get to vote, DeWitt said. I make it a point to vote in every election because if you dont vote, your voice is silenced. By not participating, you will never have a voice. A teacher for 16 years, he said he taught government and world history, but doesnt let students know which political party he belongs. I make sure I vote every year, DeWitt said. We have finals today and I want to make sure the students see my I Voted sticker. David Cartwright and his father, Ben Cartwright, Leon Shapiro and Yvonne Villa were in a small room with mirrors stretched across one side of the wall at the Fletcher Hills Recreation Center, across the street from Fletcher Hills Elementary School in El Cajon. Ben Cartwright said seven people were there as soon as the polls opened at 7 a.m. One guy was there a half hour early, so poll workers gave him a chair to sit in while he waited. We were in a garage for 15 years so this is really a step up, with a lot brighter lighting, and even a mirror, said David Cartwright. Tonia Tagnani turned 87 on Monday and lives in the Lake Murray Villa apartment and condominium complex where the polls were located. Its right here, so we dont have any excuse to not vote, Tagnani said, looking around her complex. We in America are so fortunate to have the opportunity to vote. If we dont vote, we should not call ourselves citizens. Tuesdays expected turnout of 38 to 43 percent could be higher than other mid-term and primaries in recent years, even the 2012 Presidential primary, if Vus voting forecasts hold true. According to the County Registrar of Voters, the number of registered voters who turned out in elections since 2010 are as follows: June 7, 2016 Presidential Primary 51 percent June 3, 2014 Gubernatorial Primary 27 percent June 5, 2012 Presidential Primary 37 percent June 8, 2010 Gubernatorial Primary 38 percent People looking for their local polling place can search the San Diego Registrar of Voters to check their registration and find their voting location. deborah.brennan@sduniontribune.com Twitter@deborahsbrennan Alectra is providing $50,000 through the Ukraine World Congress' "Energize Ukraine Fund" to help transport and install electrical equipment from North American suppliers to Ukraine. The equipment will be used to supply electricity to schools, kindergartens, and hospitals in the cities of Kremenchuk, Chernihiv and Kharkiv. The financial assistance will help Energize Ukraine to pay for the delivery and logistical support of grid assets such as circuit breakers, solar panels and other essential equipment donated by various companies and organizations from across North America to Ukrainian utilities. "These funds will assist Ukrainian utilities that are coping with the severe damage that is happening to the electricity grid that supplies power to essential civic services, like hospitals and schools," said Brian Bentz, President and CEO, Alectra Inc. "Working with the Ukrainian World Congress we can help get this equipment delivered overseas and in service as quickly as possible, to provide electricity in locations where it is needed the most." Energize Ukraine is a global initiative dedicated to helping the Ukraine people rebuild their energy infrastructure that has been destroyed by Russia's ruthless and massive missile attacks. Over 50 per cent of Ukraine's energy infrastructure has been damaged, leaving citizens without electricity, heat, and water last winter. Next winter is around the corner, and Energize Ukraine is urging the world to prepare now. "When considering donating equipment to Ukraine through Energize Ukraine, North American utilities want to be sure their equipment will be delivered safely and will make a change in the Ukrainian electricity grid. Having partners like Alectra helps us not only deliver the equipment but also attract more donations," said Paul Grod, President of the Ukrainian World Congress. "The support Alectra provides makes us hopeful that Ukrainian utilities will be equipped to face the winter season with more resilience and capacity." About Alectra's Family of Companies Serving more than one million homes and businesses in Ontario's Greater Golden Horseshoe area, Alectra Utilities is now the largest municipally-owned electric utility in Canada, based on the total number of customers served. We contribute to the economic growth and vibrancy of the 17 communities we serve by investing in essential energy infrastructure, delivering a safe and reliable supply of electricity, and providing innovative energy solutions. About Ukraine World Congress Established in 1967, the Ukrainian World Congress is the global voice for the Ukrainian people worldwide. As an international non-governmental organization, we represent the interests of over 20 million Ukrainians in the diaspora, unite Ukrainian communities and organizations in over 60 countries, and work to support a democratic, prosperous, European Ukraine. The UWC is recognized by the United Nations Economic and Social Council as a non-governmental organization with special consultative status and has a participatory status as an international non-governmental organization with the Council of Europe. Media Contact Ashley Trgachef, Media Spokesperson ashley.trgachef@alectrauti Highlights Plan calls for an "all of the above" approach to future energy generation Includes doubling peak hourly capacity of Oconee County's Bad Creek pumped storage facility Reflects rigorous stakeholder outreach and feedback As strong economic development successes and population growth power South Carolina's energy needs, Duke Energy's goal is to ensure energy reliability for its customers. To that end, Duke Energy Carolinas and Duke Energy Progress today (Aug 15) filed their Integrated Resource Plan (IRP) with the Public Service Commission of South Carolina (PSCSC). "Over the next 15 years, electric use by Duke Energy customers in the Carolinas is projected to surge by around 35,000 gigawatt-hours - more than the annual electric generation of Delaware, Maine and New Hampshire combined," said Mike Callahan, Duke Energy's South Carolina state president. "We're preparing for this extraordinary growth in energy demand by prioritizing grid reliability, energy affordability and the deployment of a diverse range of energy options that support the sustainability goals of our South Carolina customers." The IRP includes three energy portfolios presented to the PSCSC that provide a range of generation options, reflecting the companies' "all of the above" approach to powering the energy needs of a growing region. The plan recommends Portfolio 3 as the most prudent path forward to comply with South Carolina and other applicable law, as it provides for a reliable, affordable and smart clean energy transition that supports the Palmetto State's economic prosperity. In the plan, the company also recommends a near-term action plan based on this most reasonable and prudent plan for South Carolina. "This disciplined plan presents a path to build upon the tremendous economic development activity in our state that will contribute to the long-term vibrancy and prosperity of South Carolina, while leveraging tax incentives and credits and operational efficiencies to help keep costs for the state's energy future lower than they would otherwise be," Callahan said. Benefits of the recommended portfolio The recommended portfolio accounts for dramatic recent growth in the Carolinas and the accelerating transition to electric transportation by residential and nonresidential customers alike. At the same time, this pathway helps reliably exit coal by 2035, protecting customers from increasing risks and costs as the coal industry declines. It also makes the most of the companies' existing system resources by extending the lives of Duke Energy's nuclear plants and extending the license of the Bad Creek pumped hydro storage facility in Oconee County - while doubling the peak hourly capacity of that carbon-free "marvel in the mountain." Expanding operations at Bad Creek also provides significant economic benefits of $7.3 billion to South Carolina, as the state benefits from construction and general infrastructure activity by 2033. Portfolio 3 takes an "all of the above" approach with a diverse and reliable set of solutions, including new hydrogen-capable natural gas resources, energy storage, small modular nuclear reactors complemented by fuel-free renewable energy, and shrinks the challenges of growth and the transition from coal toward a lower carbon future by expanding the companies' industry-leading energy efficiency (EE) and demand response options. Stakeholder input critical to the process Earlier this year, Duke Energy continued engagement with stakeholders to discuss the development of these resource plans, which represent an evolution from prior plans filed with the PSCSC and shared with stakeholders. The information gathered throughout the stakeholder process was an important step to informing these plans. Over the course of four months, the companies held five engagement meetings on technical, complex issues involving resource planning. More than 100 individuals, representing a diverse group of attendees that included customers, environmental advocates, community leaders and other industry representatives, attended these virtual sessions. "We appreciate the significant input we received from everyone that helped inform these plans," Callahan said. "Preparing for a successful energy future for South Carolina will take coordination and cooperation among many varied interests, and we look forward to continuing this dialogue on issues that are critically important to our state's continued economic prosperity." The presentation materials and Q&A from these engagement sessions as well as additional documents and links related to the IRP process can be viewed on the IRP website. Next steps Today's filing in South Carolina begins a public regulatory process at the PSCSC that involves the evaluation of thousands of pages of testimony and data from the company, other parties to the proceeding and customers. A hearing will be scheduled where this information is thoroughly evaluated and ultimately the PSCSC will order a path forward, likely in mid-2024. The resource plan will also be submitted to the North Carolina Utilities Commission (NCUC) in the coming days, where a similar but separate regulatory process will take place. These plans are checked and adjusted every two to three years with updates filed in both states. Duke Energy Carolinas/Duke Energy Progress Duke Energy serves about 830,000 households and businesses in South Carolina through two utilities, Duke Energy Carolinas - serving primarily the Upstate including Greenville, Spartanburg and Anderson counties - and Duke Energy Progress, with customers in the northeastern part of the state including Florence, Darlington and Sumter counties. Duke Energy Carolinas owns 19,500 megawatts of energy capacity, supplying electricity to 2.8 million residential, commercial and industrial customers across a 24,000-square-mile service area in North Carolina and South Carolina. Duke Energy Progress owns 12,500 megawatts of energy capacity, supplying electricity to 1.7 million residential, commercial and industrial customers across a 29,000-square-mile service area in North Carolina and South Carolina. Duke Energy (NYSE: DUK), a Fortune 150 company headquartered in Charlotte, N.C., is one of America's largest energy holding companies. Its electric utilities serve 8.2 million customers in North Carolina, South Carolina, Florida, Indiana, Ohio and Kentucky, and collectively own 50,000 megawatts of energy capacity. Its natural gas unit serves 1.6 million customers in North Carolina, South Carolina, Tennessee, Ohio and Kentucky. The company employs 27,600 people. Duke Energy was named to Fortune's 2023 "World's Most Admired Companies" list and Forbes' "World's Best Employers" list. More information is available at duke-energy.com. Contact 24-Hour media line: 800.559.3853 The Norwegian government has approved the Snhvit partners' plans for the future operation of Snhvit and Hammerfest LNG, subject to certain conditions. The approval entails onshore compression from 2028, and electrification of the plant from 2030. "The Snhvit owners are pleased that the government has now approved Snhvit Future, a project that will strengthen Norway's position as a reliable, long-term supplier of gas produced with very low greenhouse gas emissions. The project ensures long-term operation and export from Melkya towards 2050. We fully understand that there have been many factors to consider, and we will recommend the partnership to meet the conditions for the authorities' approval," says Geir Tungesvik, Equinor's executive vice president for Projects, Drilling & Procurement. Compared to the partnership's application, the authorities have postponed the start of electrification by two years, from 2028 to 2030. The plant will continue to run on gas turbines during this period. The approval opens for maintaining the gas turbines for back-up power from 2030 to 2033. The need for this will be assessed by 2028 at the latest. Electrification of Hammerfest LNG will replace today's gas turbines with electricity from the grid. This reduces CO2 emissions from the plant by around 850,000 tonnes annually. This is the most substantial individual emission reduction decision that has been made aimed at decarbonising oil and gas production in Norway. The project is an important contribution towards the energy transition. The Snhvit partners are investing NOK 13.2 billion (2022) in the Snhvit Future project. Geir Tungesvik, Equinor's executive vice president for Projects, Drilling & Procurement. (Photo: Sheyda Aalgaard / Equinor) "The project generates substantial spin-offs and jobs both in Finnmark, Northern Norway and nationally. Around 70% of value creation in the development phase is expected to go to Norwegian companies. Regional employment is estimated at almost 1,700 person-years of employment, mainly in Finnmark," says Tungesvik. The approval of Snhvit Future ensures continued operation on Melkya. Today, 350 permanent employees and 150 contractors and apprentices work at the plant, and the ripple effects in Northern Norway have been documented by Bod Science Park at almost 900 person-years of employment. The Snhvit Future project triggers an increased need for power and a strengthened grid. Today, the government has also approved Statnett's licence application for a power cable (420 kV) between Skaidi and Hyggevatn, and Equinor's licence application for a power cable (132 kV) between Hyggevatn and Melkya. Snhvit licensees: Equinor Energy AS (36.79%), Petoro AS (30.00%), TotalEnergies EP Norge AS (18.40%), Neptune Energy Norge AS (12.00%) and Wintershall Dea Norge AS (2.81%). Snhvit Future - illustration The Snhvit Future project The approval entails an amended plan for development and operation of Snhvit and an amended plan for installation and operation of Hammerfest LNG. Onshore gas compression provides sufficient flow from the reservoir to maintain high gas exports and jobs at Hammerfest LNG beyond 2030. Electrification will replace today's gas turbines with electricity from the power grid from 2030. The development will require major modifications both on Melkya and onshore. Three large modules will be installed at the plant: a compressor, substation and electric steam boilers, and extensive modification work will also be carried out. A tunnel will be built for power cables from Hyggevatn to Meland. From Meland, cables will be placed and buried on the seabed over to Melkya. In a study sample of 380,000 environmentally oriented users, nearly 47.5% became inactive on Twitter (recently renamed X) after it was sold in October 2022. Given Twitters importance for public communication, the findings have troubling implications for digital environmental information sharing and public mobilization. Since Elon Musks acquisition of Twitter, researchers and industry scientists have raised alarms about the platforms integrity. Days after the acquisition was finalized on October 28, 2022, industry analysts reported that over one million users were no longer active on the platform, a doubling of the previous baseline. Rising abuse and hate speech on the platform due to Musks decision to change content moderation could have driven this change. Recent findings suggest that hate speech increased substantially after the Twitter sale and that engagement increased much more markedly for contentious right-wing actors than a comparison sample. Changes to the application programming interface and service outages have impeded volunteer and researcher access to real-time Twitter data with real-world consequences. For instance, these changes posed major hurdles to crowd-sourcing information during the recent earthquake in Turkey and Syria. The platforms modified governance resulted in overdue payments to cloud services vendors such as Google Cloud, accompanied by throttling user access to posts, negatively impacting user experience and information sharing. Changes since Twitters acquisition likely have ripple effects for other user segments, such as the climate policy sphere, or future disaster response after extreme weather events. Twitter has been the dominant social media platform for diverse environmental interests to communicate and organize around advocacy goals, exchange ideas and research, and new opportunities for collaboration, said Pomona College researcher Charlotte Chang and colleagues. As a result, Twitter has been used to track a broad array of topics important to conservation and environmental research, ranging from biodiversity conservation, human-nature interactions, climate change beliefs, extreme weather disaster responses, and environmental policy preferences, as well as to disseminate information and mobilize public interest by environmental non-governmental organizations (NGOs) and public agencies. In their study, the researchers studied a group of 380,000 environmental supporters, a group that frequently discussed climate change mitigation and biodiversity conservation. Users were considered active if they posted on the platform at least once within a 15-day period. The scientists found that in the 6-month period after Musk took over Twitter, only 52.5% of these environmental users were still actively using Twitter a substantially larger drop-off rate than other comparable online communities, including users who discuss general politics on the platform. There is currently no platform equivalent to Twitter, they said. Thus, any changes in engagement by environmentally-minded users raise serious questions about where to track discourse about environmental conservation and how to mobilize pro-environmental segments of the public. Going forward, the authors call upon scientists to take an active role in the transition towards different modes of environmental communication whether that be advocating for change within Twitter to help make it a useful platform for environmentalists again or collectively switching to another platform like Mastodon or Threads. They also point to resources like the Coalition for Independent Technology Research, which bring people together to voice concerns to Twitter representatives and policymakers. The future of Twitter as a platform for outreach and research is uncertain, they said. We need to create collaborations across industry, the non-profit sector, and academia to track public engagement with the environment across social media platforms for the benefit of primary research, applied environmental conservation, and climate mitigation. The findings were published in the journal Trends in Ecology and Evolution. _____ Charlotte H. Chang et al. Environmental users abandoned Twitter after Musk takover. Trends in Ecology and Evolution, published online August 15, 2023; doi: 10.1016/j.tree.2023.07.002 Harrison Ford, the popular actor in the hit adventure-action film "Indian Jones," has received a new milestone. A new species of snake found in Peru was named after him. Peruvian Snake Named After Harrison Ford Ford's character in "Indiana Jones" was afraid of snakes. However, a new species of the reptile was named Tachymenoides harrisonfordi to honor his efforts for the environment. Ford is the vice chair of the non-profit group Conservation International. He responded to the new honor, calling it "humbling." It wasn't the first animal named after him. There were also species of ants and spiders named after him. Ford acknowledged how scientists named critters after him. He jokingly told Conservation International that the animals named after him scared the children. He couldn't grasp it because, in real-life, he loved cross-stitching during his free time and would even sing lullabies to his basil plants to comfort them. Ford added that in contrast to his portrayal of "Indiana Jones," he truly enjoyed snakes and "found a quick kinship" with them. He claimed they would have been buddies in the early 1960s despite the snake's enormous eyes and habit of lounging by a muddy pool of water during the day. He added that this serves as a reminder that there is still much to learn about the wild world and that people make up a tiny portion of the biosphere, which is incredibly large. According to Edgar Lehr, the project's lead scientist, one of a biologist's most crucial tasks in the midst of the biodiversity crisis is to describe new species and give them a new name. He noted that only known organisms can be safeguarded. He hoped the discovery would highlight the global extinction problem many species are experiencing. More About Tachymenoides harrisonfordi The Tachymenoides harrisonfordi is a slender snake, measuring a modest 16in (40.6cm) when fully grown. It is not harmful to humans. It was reportedly discovered sunbathing in a swamp in the Andes Mountains. The discovery, a collaboration between researchers from Peru and the United States, was made in Peru's Otishi National Park. ALSO READ: Hunter Recounts Harvesting 15-Foot Snake With 5-Foot Gator Inside It Ahead Florida Python Challenge; Why Do This Reptile Need To Be Removed From Everglades? Harrison Ford Speaks About Climate Change In 2019, Ford spoke at the World Government Summit in Dubai. The climate activist begged the people to stop supporting politicians who don't understand science. Ford knows his decades of labor will likely be in vain if international leaders do not cooperate. He has taken the stage each year to denounce this willful ignorance for years. He claimed in his speech that everyone will be affected by climate change and environmental degradation, regardless of their wealth or level of influence. He added that the greatest moral of our time is what we are currently confronting. Ford also slammed all of the international leaders who reject climate change. He alleged that they were on the wrong side of history. Ford did not mention the U.S. president by name, but many were convinced he was referring to former U.S. President Donald Trump, the head of the state at the time. Trump has always advocated denialism about climate change and skepticism toward accepted scientific reality. Since at least 2012, he has been spreading conspiracy theories about the issue and asserted that China was using global warming as a hoax to acquire an unfair manufacturing advantage over America. RELATED ARTICLE: Homeowner Shot a 7-Foot Alligator 4 Times in the Head While the Beast Was Biting His Dog; FWC Will Not File Charges for the Killing Check out more news and information on Burmese Python in Science Times. China has made serious representations and made clear its solemn position against Japanese politicians' negative moves related to the Yasukuni Shrine, a Chinese Foreign Ministry spokesperson said on Tuesday. Spokesperson Wang Wenbin made the remarks at a press briefing in response to media reports that Japanese Prime Minister Fumio Kishida sent a ritual offering to the controversial Yasukuni Shrine on Tuesday, while one of Kishida's cabinet members, economic security minister Sanae Takaichi, paid a visit to the shrine. Today marks the 78th anniversary of Japan's acceptance of the Potsdam Proclamation and its unconditional surrender, Wang said, pointing out that the Chinese people, together with the people of the world, defeated the Japanese militarist aggressors and fascism. It was a great victory of justice over evil, of light over darkness, and of progress over reaction, which will forever be enshrined in the history of the Chinese nation and the history of the human pursuit of justice, Wang said. Noting that the Yasukuni Shrine is a spiritual tool and symbol of the Japanese militarists' war of aggression, and honors Class-A war criminals of World War II, Wang pointed out that the Japanese politicians' negative moves once again reflect Japan's wrong attitude toward historical issues. "China has lodged solemn representations with Japan and made clear its solemn position," Wang said. Facing up to and deeply reflecting on history is an essential prerequisite for Japan to restore and develop normal relations with its Asian neighbors after World War II, Wang said, adding that China urges Japan to draw lessons from history, adhere to the road of peaceful development, and make a clean break with militarism through concrete actions to avoid further losing the trust of its Asian neighbors and the international community. Vietnams tea exports record consecutive growth in five months (Photo: vneconomy.vn) Most notably, tea exports to Iraq during the seven-month period reached 3,800 tonnes worth US$5.7 million, up 43.3% in volume and 25.3% in value year on year, Radio the Voice of Vietnam quoted the figures of the MoIT. Meanwhile, statistics compiled by the General Department of Customs outline that tea exports to several main markets of Vietnam continued to experience a downward trajectory in the reviewed period. Tea exports to Pakistan fell 11.6% in volume and 10.9% in value year on year to 23,100 tonnes valued at US$ 44.2 million. Vietnam exported 7,900 tonnes of tea worth US$13.1 million to Taiwan (China), down 35.3% in volume and 46.6% in value, while exports to Russia hit 4,200 tonnes, worth US$7 million, declining by 18.6% in volume and 22.1% in value. Overall, the country shipped 58,800 tonnes of tea worth US$ 99.9 million abroad during the past seven months, down 15.6% in volume and 19% in value year on year, with the average export price dropping by 4% to US$1,700 per tonne. Thai Nguyen tea (Photo: vitas.org.vn) According to the International Trade Center (ITC), Turkey increased tea imports between January and May with 6,600 tonnes worth US$12.7 million, up 54.1% in volume and 27.7% in value year on year. Turkey, an important gateway to the Middle East and a transit point into the EU market for many export products, offers plenty of opportunities for Vietnamese tea to enter this market as the proportion of its tea imports from Vietnam remains low, accounting for just 0.3% in volume. VietJet Air officially launches direct flights from HCM City to Kochi Local budget-airline VieJjet Air has become the first Vietnamese carrier to operate direct flights from Ho Chi Minh City to Kochi - the major port city of India, said Radio the Voice of Vietnam. The airline will run four flights per week on the route, departing every Monday, Wednesday, Friday, and Saturday with a travel time of approximately five hours. VietJet Air officially launches direct flights from HCM City to Kochi (Photo: vietjetair.com) Kochi is the fourth Indian city that VietJet has launched direct flights to after previously operating a number of routes to some of Indias leading economic, cultural, and political hubs such as Mumbai, New Delhi, and Ahmedabad. With the new direct flights in use, Indian visitors will be able to easily travel to Ho Chi Minh City, as well as other locations across Vietnam, Australia, the Republic of Korea, Japan, Singapore, and Indonesia. 153-year-old church in HCM City among worlds most beautiful pink destinations The Hindustan Times of India has listed eight destinations that satisfy pink-loving and viva-loving followers around the world, with Tan Dinh church in Ho Chi Minh City (Vietnam) coming in at fourth position, according to Radio the Voice of Vietnam. "Tan Dinh church, located in the centre of Ho Chi Minh City, Vietnam, is a stunning architectural marvel and an iconic pink landmark," the daily newspaper wrote. The oldest Catholic church in the city was founded in 1864, the pink exterior paint job was subsequently applied in 1957. The interior, which was painted an even more bright pink, is the real surprise for any visitors. For those who adore the colour pink, it is an amazing joy since it is like standing inside a wedding cake, the article shared. Tan Dinh Church (Photo: VOV) The Hindustan Times dubbed the church a captivating pink landmark. The construction of Tan Dinh church commenced in 1870 and was subsequently completed six years later. It is the second largest church in Ho Chi Minh City after the iconic Saigon Notre Dame Basilica, which is closed for renovation over the next two years. On top of the 52m tall main tower stands a cross three metres high, whilst inside the tower hangs a 5.5 tonne bell. The inner sanctum accommodates two rows of columns, with the altar made of Italian marble. Located in District 3, also known as the Pink Church, Tan Dinh church was included on the list of 10 pink global destinations by Conde Nast Traveler in June 2020. The Hindustan Times also introduced a number of destinations that satisfy pink-loving and viva-loving, including Lake Hillier in Australia, Jaipur (known as the Pink City) in India, Pink Sands Beach in the Bahamas, Hitachi Seaside Park in Japan, the Laguna Salada de Torrevieja in Spain, Craigievar Castle in Scotland, and Las Coloradas in Mexico. Ho Chi Minh City to hold mass wedding for 150 couples The Ho Chi Minh City Labourers and Youth Support Centre said on August 13 that it will organise a mass wedding for 150 couples in order to help less well-off workers enjoy a proper ceremony, Radio the Voice of Vietnam reported. The event is expected to set a new national record for the largest number of participants in a mass wedding, the centre noted. Ho Chi Minh City to hold mass wedding for 150 couples Photo for illustration (Source: nld.com.vn) The couples will be presented with free wedding rings, make-up services, wedding celebrations, as well as photos and health checkup packages. Those who are in great financial difficulty will also get housing support. This year's ceremony will also attract the participation of other groups, such as teachers and demobilised soldiers, who come from Ho Chi Minh City and the southern citys surrounding areas. According to details given by the events organisers, the mass wedding has been organised for 13 years and has supported more than a thousand couples who cant afford a wedding due to economic difficulties./. President Vo Van Thuong and outgoing Ambassador of Bangladesh Samina Naz. (Photo: VNA) At a Hanoi reception for outgoing Ambassador of Bangladesh Samina Naz, the leader highlighted the traditional relationship between the two countries, saying Bangladesh was among the very few South Asian nations that established diplomatic ties with Vietnam 50 years ago. Vietnam and Bangladesh share many similarities in economic development as both have paid attention to foreign investment attraction based on their abundant workforce, he said, suggesting them cooperate and exchange experience in this field. Thuong shared Nazs view that the Vietnam-Bangladesh relationship is developing fruitfully, with two-way trade jumping four-fold over the past decade and the two countries striving to raise the value to 2 billion USD in the coming years. Apart from trade, the two countries should boost collaboration in other spheres, while maintaining their mutual support at reginal and global multilateral forums, he continued. Vietnam supports the enhancement of the relations between Bangladesh and the Association of Southeast Asian Nations (ASEAN) to which Vietnam is a member, the leader emphasised. Thuong commended contributions by the ambassador to the bilateral friendship and cooperation during her six-year tenure in Vietnam, and expressed his belief that Naz, in any position, will contribute more to the relationship. The President asked the diplomat to convey his regards to President of Bangladesh Mohammed Shahabuddin. For her part, Naz also conveyed greetings and regards from President Shahabuddin to his Vietnamese counterpart. The ambassador congratulated Vietnam on its achievements across spheres, and its advanced position in the international arena, stressing Bangladesh always hopes to learn from Vietnams experience. Naz said she believes that the bilateral relationship will grow further in the time ahead, especially in tourism, including spiritual tourism. The diplomat also noted her belief that under the leadership of the Communist Party of Vietnam (CPV) headed by General Secretary Nguyen Phu Trong, Vietnam will reap more achievements in the future, and her wish to work as goodwill ambassador of Vietnam in the time ahead./. The-city spotlight How this Tenderloin alley gives neighbors hope Craig Lee/The Examiner Amos Gregory: Weve taken a blighted alley, and we didnt alter it physically we just beautified it, but with community members that actually live here reflected in it. Tucked away in the heart of the Tenderloin is one mans attempt to capture some of what he believes makes the neighborhood so special. More than a decade after Amos Gregory created the mural-covered Veterans Alley on Shannon Street, the veteran and artist wants to make that its official name. People have been asking me to do this for a minute, Gregory said of the name change recently, paintbrush in hand, touching up the parts of the murals that had been hit by taggers in the alley. Gregory has received support from the community, local businesses and The City to change the streets name for some time now. Weve taken a blighted alley, and we didnt alter it physically we just beautified it, but with community members that actually live here reflected in it, he said. The pandemic was not kind to Gregory or to the alley. Tents have lined the block-long street, while drugs have been increasingly prevalent as the Tenderloin bears the brunt of The Citys opioid crisis. He also lost his longtime friend and project co-founder, Gilbert Lovato, to a fentanyl overdose in 2021. Despite it all, and despite outside perceptions of the neighborhood, Gregory and his alley arent going anywhere. With the help of Supervisor Dean Preston, he hopes that Veterans Alley will become a more permanent fixture going forward. Preston has only represented the Tenderloin since last year, following The Citys hotly contested redistricting process. But he learned of the alley soon after. One of our early trips was to meet with Amos and a bunch of artists out of Veterans Alley to learn more about history, and weve been in pretty regular touch with them, he said. Craig Lee/The Examiner Navy veteran Amos Gregory, founder of Veterans Alley on Shannon Street and a contributing artist there, walks past his I Am The TL mural. Craig Lee/The Examiner Amos Gregory, a Navy veteran, and founder and artist of Vets Alley on Shannon Street, painting a mural called No Pills in the Tenderloin of San Francisco on Friday, Aug. 4, 2023. Gregory approached the supervisor a number of months ago about the name change, Preston said, and his office walked him through what the process would look like. First, a resolution would be introduced directing the Department of Public Works to conduct community outreach. Then, the Land Use and Transportation Committee would hold a hearing. People can weigh in with any objections then, but Preston said hes confident it will win broad support. My understanding is that theyre interested in moving forward with that, he said. We will certainly be supportive of that and get the process started as soon as theyre ready after the break. Gregory is a Navy veteran. In 2011, he was working on a photography project in the neighborhood most nights when he stumbled upon the alley, which runs from Geary Street to OFarrell Street between Taylor and Jones streets. In those days, the alley was dubbed crack alley, and Lovato convinced him to transform the space into something else. One day, it was like two oclock in the morning, walking down the middle of OFarrell, said Gregory. And hes talking about this and that and hes like, Kid, if you really think youre good, you really want to do something good in this neighborhood, do something with this alley. The idea to turn it into a mural space akin to Balmy or Clarion alleys in the Mission district came almost immediately. All the contributing artists are veterans or related to someone who served. Some of the alleys artwork honors those family members, such as Christopher Burchs portrait of his grandfather. Other pieces memorialize as many fallen as possible, like one patch of the alley covered in the names of soldiers who died in the Afghanistan and Iraq wars. One such artist is Billie Cooper, a local Black transgender activist and Navy veteran who ran for District 6 Supervisor last year. She met Gregory around five years ago, she said, and he asked her to contribute to the alley as soon as he found out she was a veteran. Craig Lee/The Examiner Ms. Billie Cooper, 64, a transgender woman next her mural she painted on Vets Alley on Shannon Street in the Tenderloin of San Francisco on Friday, Aug. 11, 2023. No matter what, there has to be transgender representation in it, she said. Her mural has the transgender flag. I will never forget the shoulders of many, many, many people I stand on, she said. Coopers cancer battle hasnt stopped the 64-year-old from committing to painting another piece for the alley, in which shell paint herself and Felicia Elizondo, another local transgender activist, who passed away in 2021. Cooper said she hopes it will be finished by the end of this year, when the alleys name change might be closer to fruition. We have to get the street signs up there, the designated Veterans Alley, she said. We have to have a ceremony for the announcing of Veterans Alley. Although she moved to South of Market last year in order to run for District 6 supervisor after the redistricting, the 40-year Tenderloin resident remains committed to her old neighborhood. Gregorys commitment has been tested over the past few years while he has tried to keep the space clean and the murals in good condition. He worked with many unhoused people camping in the alley to find housing, hoping to get the alley back to the way it was. All in all, it took about 18 months to get it cleared out, with things finally getting back to normal this May. As part of its post-pandemic recovery, Veterans Alley has hosted block parties with music, food and activities this summer. Gregory hosts workshops in an art center right next to the alley where people can come and create, using media such as silkscreening and sneaker painting. photos by Craig Lee/The Examiner Billie Cooper was invited to contribute to the murals in Veterans Alley as soon as organizer Amos Gregory learned that the 64-year-old served in the Navy. The alley receives some funding from the San Francisco Arts Commission and The Citys Dream Keeper Initiative, but most of the resources come from Gregory and the surrounding community. Veterans Alley is a unique, homegrown presence in the Tenderloin, as forms of outside support have had mixed success. Urban Alchemy entered the Tenderloin a couple of years ago, but there was pushback from some of the unhoused population. La Cocina recently announced plans to close its food hall due in part to the crime in the area. Gregory said these issues, along with the doom loop narrative centered on downtown, are disheartening. But he said he hopes Veterans Alleys roots make it built to last. It was born here, the roots are here, he said. Not to knock La Cocina its a nice place, but they came from the Mission. They moved some nonprofit in there and said its going to do all these wonderful things in the Tenderloin, he said. But they took a Mission nonprofit, and they put a Mission nonprofit in the TL. Gregory said he doesnt mind Veterans Alley being a bit under the radar it enables him, the artists and his community to keep painting freely without much interference. But, he said, he does wish that The City would support them in some ways. Itd be nice when people come here, theyd wash the street, he said. Itd be nice for some recognition about what folks are doing here, like, how this happened over here without all of the expense. The name change is a good start. Preston said that when Gregory gives the green light, hell introduce a resolution to change the name as soon as September. Its an amazing project, both in terms of artistic value and contribution to the neighborhood and also just really as a form of healing through art and honoring history, Preston said. For the artists and community members involved, such as Cooper, the alley is that and so much more it represents who they are. My heart and my DNA are enriched and encased in the Tenderloin, she said. BEIJING, Aug. 15 (Xinhua) -- China has made serious demarches to Japan over its certain politician's visit to Taiwan and his irresponsible remarks, a Chinese Foreign Ministry spokesperson said on Tuesday. Spokesperson Wang Wenbin made the remarks at a press briefing when asked to comment that according to a Japanese lawmaker who accompanied Taro Aso, Vice President of the Liberal Democratic Party and former Prime Minister of Japan, on his visit to Taiwan, Aso had discussed his remark in Taiwan about "a readiness to fight" and "deterrence" with the Japanese government beforehand, and the remark is in line with Japan's official view. Taiwan is part of China, Wang said, pointing out that the Taiwan question is purely China's internal affair that brooks no foreign interference. Wang pointed out that the Cairo Declaration issued by China, the U.S. and the UK in 1943 made it clear that Taiwan and all the other territories Japan stole from China shall be restored to China. Article 8 of the Potsdam Proclamation in 1945 reaffirms this. The Instrument of Surrender signed by Japan in 1945 says explicitly that Japan accepts the Potsdam Proclamation. The China-Japan Joint Statement signed in 1972 when the two sides normalized diplomatic relations states that the Japanese government fully understands and respects the position of the Chinese government that Taiwan is an inalienable part of China's territory and declares the commitment to Article 8 of the Potsdam Proclamation. Wang said in 1978, the two countries concluded the Treaty of Peace and Friendship between China and Japan, which affirms the principles set out in the joint statement in legal form. The third and fourth political documents concluded in 1998 and 2008 respectively both reiterate Japan's commitment to the position on the Taiwan question stipulated in the joint statement. Japan has more than once made serious commitments to China on the Taiwan question, including not supporting "two Chinas," "one China, one Taiwan" or "Taiwan independence," and only maintaining people-to-people and region-to-region exchanges with Taiwan, Wang added. "Those are the historical context of the issue, and the principles and position that Japan must abide by," said Wang. "I wish to stress that the Taiwan question bears on China's core interests, the political foundation of China-Japan relations, and basic trust and principles between the two countries," Wang said, urging Japan to adhere to the one-China principle and the spirit of the four political documents between China and Japan, and take concrete action to honor its political commitment and related statements. "China is ready to take all measures necessary to firmly safeguard its sovereignty and territorial integrity," Wang said. Editor: WXL Landscaping supplier Marshalls reported a 2% improvement in first-half revenue on Wednesday, thanks to a four-month contribution from Marley, with like-for-like revenue dipping 13%. The FTSE 250 company reported a 26% tumble in adjusted profit before tax to 33.2m, and statutory profit before tax fell to 16.7m from 20.7m year-on-year. Marshalls said it had revamped its group strategy amid a tough market, which was now gradually coming into force across the organisation. In a bid to enhance efficiency, it said it had restructured its manufacturing capacity and associated costs - a move projected to yield yearly savings of around 9m. The firm managed to cut down its net debt by 23.6m since June last year, bringing it to 184.6m on a pre-IFRS 16 basis on 30 June. To bolster its medium-term funding security, Marshalls extended its syndicated bank facility by 12 months during the period, pushing the deadline to April 2027. It also strategically pulled out of its Belgian operations, a move which the board said would allow the company to better concentrate its efforts on the UK construction market. Looking ahead, Marshalls said it was bracing for the continuation of a strenuous trading milieu throughout the latter half of the year, with expectations for it to persist into 2024. The board said it remained committed to prudent fiscal management, focusing on cost containment, enhancing adaptability, and ensuring effective cash flow control. Its primary aim was to fortify the businesss resilience so that it was poised to bounce back as market conditions started showing signs of recovery. Amid the prevailing challenges, the board said it remained optimistic that their proactive steps, combined with long-term growth levers and a commitment to strategic implementations, would substantially uplift profitability once the market regained its momentum. Market conditions in new house building and private housing RMI were challenging in the first half of the year, which led to a material reduction in volumes across all three of our reporting segments, said chief executive officer Martyn Coffey. This resulted in a significant decline in group profitability compared to the first half of 2022. We have responded by taking action to improve our agility, reduce capacity, take costs out of the business, and manage cash. Coffey said the actions regrettably necessitated a reduction of around 250 roles across the organisation. However, we have been careful to ensure that we have sufficient latent manufacturing capacity that will allow us to respond quickly when there is an improvement in market conditions. Our refreshed strategy is underpinned by our strong market positions, established brands and focused investment plans to drive ongoing operational improvement. Notwithstanding short-term challenges, the board remains confident that the long-term market growth drivers and a focus on executing key strategic initiatives, will underpin a material improvement in profitability when market conditions normalise. Reporting by Josh White for Sharecast.com. HANGZHOU, Aug. 15 (Xinhua) -- Chinese President Xi Jinping has urged the whole society to vigorously promote and act as role models in practicing the concept that lucid waters and lush mountains are invaluable assets. Xi, also general secretary of the Communist Party of China (CPC) Central Committee and chairman of the Central Military Commission, made the instructions as the country marks its first National Ecology Day on Aug. 15. Ecological conservation is of vital importance for the sustainable development of the Chinese nation, Xi said, calling it a major political issue that concerns the mission and purpose of the CPC as well as a major social issue that bears upon public wellbeing. On the new journey of building a modern socialist country in all respects, efforts should be made to maintain strategic resolve in advancing ecological progress and promote high-quality development in sync with high-standard protection, Xi said. With a focus on carbon peak and carbon neutrality, the country should facilitate the gradual transition from dual control over the amount and intensity of energy consumption to dual control over the amount and intensity of carbon emissions, Xi noted. Efforts should be made to promote the transition towards green and low-carbon production methods and lifestyles, and accelerate the advancement of modernization featuring harmony between humanity and nature to build a Beautiful China in all respects, Xi said. He called for concrete and constant efforts across the society to make greater contributions to jointly build a clean and beautiful world. Xi's instructions were conveyed by Vice Premier Ding Xuexiang, a member of the Standing Committee of the Political Bureau of the CPC Central Committee, at the opening ceremony of an event marking National Ecology Day held Tuesday in Huzhou City, east China's Zhejiang Province. Addressing the ceremony, Ding stressed the significance of building a Beautiful China, and called for more efforts to create a high-quality ecological environment to support high-quality development and accelerate the advancement of modernization featuring harmony between humanity and nature. Ding urged grasping Tuesday's event as an opportunity to deepen the public's understanding of Xi Jinping's thought on ecological civilization and improve people's awareness and behavior in protecting the ecological environment. Lawmakers adopted a decision to designate Aug. 15 as National Ecology Day at the third session of the Standing Committee of the 14th National People's Congress in June this year. Chinese Vice Premier Ding Xuexiang, also a member of the Standing Committee of the Political Bureau of the Communist Party of China (CPC) Central Committee, speaks at the opening ceremony of an event marking National Ecology Day in Huzhou City, east China's Zhejiang Province, Aug. 15, 2023. The instructions of Chinese President Xi Jinping, also general secretary of the CPC Central Committee and chairman of the Central Military Commission, were conveyed by Ding at the opening ceremony. (Xinhua/Yue Yuewei) An event marking National Ecology Day is held in the city of Huzhou, east China's Zhejiang Province, on Aug. 15, 2023. (Xinhua/Jiang Han) An event marking National Ecology Day is held in the city of Huzhou, east China's Zhejiang Province, on Aug. 15, 2023. (Xinhua/Jiang Han) An event marking National Ecology Day is held in the city of Huzhou, east China's Zhejiang Province, on Aug. 15, 2023. (Xinhua/Jiang Han) Editor: WXL India and Thailand have engaged in a full-fledged race for semiconductor manufacturing spending to win a spot on Asia's chipmaking map. As China and US are engaged in the chip war, India has come out as an alternative option and is also exploring every opportunity to be known as a major player in the supply chain. On July 28, Prime Minister Narendra Modi discussed SemiconIndia 2023 industry event, where he showed the strengths his country has to offer the global chip industry. "Who can be a more trusted partner than the world's largest democracy?" he asked in a speech."As India moves forward on the path of reform, new opportunities will be created. India is becoming an excellent conductor for semiconductor investments," PM Modi said, addressing the inaugural session of 'SemiconIndia 2023' in Gandhinagar, Gujarat. 22,516 crore (2.75 billion dollars). This manufacturing facility of Micron will manufacture DRAMs, Flash memories and Solid-State Devices, the statement added. In 2021, the Indian government approved the Modified Programme for Development of Semiconductors and Display Manufacturing with an outlay of Rs 76,000 crores, as per the statement issued by the It and Electronics Ministry. The program aims to provide attractive incentive support to companies that are engaged in silicon semiconductor Fabs, Display Fabs, Compound Semiconductors/silicon photonics/sensors, semiconductor packaging and semiconductor design. In a bid to make India the next chipmaker industry, the government approved the proposal of Micron Technology Inc. on June 14 for setting up a semiconductor unit with a capital investment of22,516 crore (2.75 billion dollars). This manufacturing facility of Micron will manufacture DRAMs, Flash memories and Solid-State Devices, the statement added. According to Nikkei Asia, Taiwan's Hon Hai Precision Industry, or Foxconn, is reportedly teaming up with American chipmaking equipment maker Applied Materials to produce such machinery in the state of Karnataka. Deep-rooted concerns persist about India's infrastructure, such as electricity. Foxconn has scrapped a separate semiconductor tie-up in India, underscoring the industry's mixed feelings. But the fact that American players are setting up shop in India shows that "the tide has changed," according to Noboru Yoshinaga, executive vice president at Japanese chipmaking equipment maker Disco. Ashwini Vaishnaw, Unnion minister of electronics and information technology, in July of plans to draw semiconductor capacity into the country and develop the local industry. "What is important is we must have a few initial successes so that the [lessons] can be used for the subsequent projects," Vaishnaw said. He cited India's wealth of semiconductor-designing engineers trained by the country's institutes of technology. India is deepening its partnership with Japan, which has companies strong in front-end processes and chipmaking equipment. The two governments signed a memorandum of understanding in July on promoting semiconductor supply chain cooperation. Meanwhile, in Thailand, Narit Therdsteerasukdi, who is in charge of foreign investment policy as secretary-general of the Board of Investment, has called semiconductors one of the most critical goods. The Thai government has expanded corporate tax breaks that chip companies stand to benefit from. A company upstream in the supply chain that enters Thailand is now exempt from corporate taxes for up to 13 years, for example, where once the break ran for only up to eight years. Thailand is keenly focused on drawing companies that engage in front-end processes, such as designing semiconductors and etching wafers. These processes are considered more technically advanced than back-end processes, which include dicing and packaging. Thailand is also developing a local industry bringing together electric-vehicle assembly plants and suppliers. EVs are expected to contain more semiconductor devices than gasoline-engine cars, so a local EV sector would give Thailand an advantage in wooing capacity. India and Thailand are among a collection of governments that have become well-attuned to the shifting stances of chip companies. Narit said Thailand is seen as a neutral country to take refuge from the Sino-American tensions. With a firm commitment to remain at the forefront of technology-driven education, Bengaluru-based neo-university Newton School has launched a suite of AI tools to foster personalized learning and help them become top developers with industry-oriented AI-driven learning. The tools will use artificial intelligence to simulate real-world scenarios and help them to develop the skills that are in demand by the worlds biggest tech giants such as Google, Amazon, Meta, and Apple. Since 2019, the neo-university has prioritized outcome-driven tech education. With cutting-edge products like Tryouts and CodeLens, Newton School is geared up to shape a new era of futuristic learning with Artificial Intelligence at the core. Nishant Chandra Co-founder, of Newton School said, We witnessed fantastic success when we launched Newton AI CodeLens, an AI-powered coding tool earlier this year. We are certain that AI Tryouts will enable students to overcome critical challenges while preparing for job interviews. Backed by the foundation of immersive data and extensive amplification and training, these tools are certain to redefine the future of education and we are proud to lead the way in this transformation of learning experiences and their outcomes. Since its inception, Newton School has had a keen focus on enabling users to learn with industry-oriented projects with live classes and projects that give hands-on experience to students. With mentors working in companies like Google, Amazon, and Microsoft students get industry-relevant knowledge. We have placed more than 2500+ students in companies like Zomato, Deloitte, Amazon, Flipkart, Meesho, and many more. These placements have been possible due to our reverse-engineered curriculum that teaches industrys in-demand skills. This is complemented by dedicated interview preparation modules which include unlimited mock interview sessions with experts and company-specific prep, that enable students to build confidence and rehearse even the toughest technical interviews in real-time. The Newton AI Tryouts has been designed keeping in mind that such preparation needs to be accessible to all, Chandra added. Newton AI Tryouts is a one-of-its-kind tool in the field, with more than 95% accuracy rate. It is specifically designed to bring in a significant shift in the way students prepare for interviews. The groundbreaking tool will empower students in honing their interview skills before appearing for a human-led mock interview by providing interactive voice-based practice sessions with real-time tailor-made feedback to help students address critical use cases including company-specific prep for aspiring developers, HR interview prep, resume question prep, and more. To encourage students to learn on the go, Newton School also recently launched an AI-powered coding tool named Newton AI CodeLens, marking a milestone in adopting learning with AI. Chandra said, The tool uses natural language processing to provide clear explanations for complex programming questions, providing real-time guidance with concept visualization, debugging support, and syntax hints. Newton AI CodeLens enables users to implement these learnings in real-time and improve their command on programming topics and languages on the go. Newton AI CodeLens is powered by advanced GPT-4 large language models and offers learners and developers an exceptional opportunity to refine their coding skills. Newton AI CodeLens leverages advanced artificial intelligence algorithms to help students learn coding in a more effective and efficient way. The tool is designed to align with Newton School's vision of making high-quality technology education accessible to all by providing exposure to industry-engineered projects, AI-first learning experiences, and dedicated placement assistance. The tool can be experienced by visiting Newton Schools Question of the Day an open platform for coders to practice different questions and top leaderboards. The rapid advancements in AI technology have brought about transformative changes across industries, and education is no exception. Newton School is committed to nurturing the top 1% of software developers of tomorrow, and the suite of AI tools is a testament to the institute's dedication to delivering innovative learning modules to students. By harnessing the power of AI, Newton School aims to elevate better prospects in a dynamic and competitive job market. The tools are live and open to all and not restricted to Newton students alone. Applauding the youth of the country, Prime Minister Narendra Modi credited their strength behind India becoming the third largest startup ecosystem in the world. The PM addressed the nation from the Red Fort on Indias 77th Independence Day and stated that the government is completely in support of the youth and is focused on bringing out policies that help the youth develop more. The PM noted that Indias exports are growing and market analysts expect the Indian economy to maintain and continue its growth progress. Restating his belief and support for the youth of the country, the PM said, I believe in youth power, youth power is my strength. Our policies are giving more power to youth strength. The youngsters of the world are getting amazed by seeing the strength of Indian youth. Their strength has helped India become the third-largest startup ecosystem in the world. The report added that about 98,119 entities have been recognised as startups officially as on April 30 this year. The Startup India scheme renders these startups eligible for availing incentives like tax benefits. Startup India was launched in January 2016, with an objective to help create a robust ecosystem that nurtures entrepreneurship in the country. The scheme aims at transforming India into a country of job creators. The programs in the scheme are overseen by a Startup India Team, which reports to the Department of Industrial Policy and Promotion (DPIIT). In addition to providing funding and incentives, the scheme helps startups by simplifying legal processes, fast-tracking patent applications, and overall ease in compliance and exit processes. The scheme also helps startups get access to lucrative partnerships with industry experts, and provides an inlet into innovation labs, competitions, and grants. Other schemes like Fund of Funds for Startups, Startup India Seed Fund Scheme, and Credit Guarantee Scheme, also aim at providing help and support to startups at multiple stages in their business cycle. In an event on Independence Day, 2023, Bhavish Aggarwal-led Ola Electric launched a new series of its electric vehicle, the Ola S1 X series of scooters, along with the next generation of platforms for all Ola Electric vehicles. The vehicle will come in three variants, the Ola S1 X (with a 2 kWh battery), the Ola S1 X and Ola S1 X+ (with a 3 kWh battery each). This scooter will end the ICE age, said Bhavish Aggarwal during the launch. The Ola S1 X can go up to 195 kilometres on a single charge and can reach a top speed of 120 km/h. The vehicle can hit 0-40 km/h in 2.6 seconds, and Ola Electric claimed it to be the fastest electric scooter in the country. However, these stats are valid for the 3 kWh S1 X, with the other two having slightly different ranges and top speeds. The S1 X+ will start at INR 1.09 Lakh. The 3 kWh S1 X will retail at INR 99,999. The 2 kWh variant will retail at INR 89,999. All three scooters invite a discount of INR 10,000 if a customer reserves the scooter this week. Along with the new vehicle, Bhavish Aggarwal also introduced the new vehicle platform, the Gen 2 platform, that will be featured on all Ola Electric vehicles. According to the mobility unicorn, the new platform will feature a new motor, a new battery, a new electric control unit and a new frame, reducing the number of parts needed, the costs and energy consumption. Ola Electric also said the new battery will separate low-voltage and high-voltage boards, improving thermal performance. With this, Ola Electric now has a range of five electric two-wheelers, including the new Gen 2 S1 Pro, which will retail at INR 1.47 Lakh. Alongside the new platform, Ola Electric has also introduced the latest version of its software, the MOVE OS 4. The startup has planned a beta launch starting September with a timeline of mid-October to bring it to all users. Meanwhile, the startup also announced the launch of 100 new service centres across India, taking the total service centres to more than 300. Meanwhile, Singapores sovereign wealth fund Temasek is set to lead a $300 Mn $350 Mn funding round in Ola Electric. The PE firm is said to be looking to invest $100-150 Mn in the electric vehicle maker. Indian Bank a leading Public Sector Bank, has opened 10 startup cells across different locations on the eve of 77th Independence Day and 117th foundation day. S L Jain, MD and CEO, Indian Bank inaugurated the startup cell at Chennai Centre and virtually inaugurated start-up cell across major start up hubs in the nation, including Ahmedabad, Bangalore, Coimbatore, Delhi, Gurgaon, Guwahati, Hyderabad, Kanpur and Mumbai. Under the initiative, a bouquet of tailor-made banking products and services are designed for startups keeping in mind their unique and specialized banking requirements. It includes offering payment gateways, corporate credit cards and credit facilities apart from other existing products of the bank. With the aim to bolster the start-up ecosystem and address the unique financial challenges faced by these dynamic enterprises, Indian Bank has formulated customised loan product 'IND SPRING BOARD' for meeting credit requirement (OPEX/CAPEX) of Start-ups. Indian Bank has also entered into strategic collaborations with renowned institutions viz IITs / IIMs and Incubation Cells, fostering an environment of growth and innovation. The launch of the startup cells is a major milestone in Indian Banks journey to support the growth of the startup ecosystem in India, said, S L Jain, Managing Director and CEO, Indian Bank. The Indian Bank start-up branches will have dedicated Relationship Managers, who would partner with start-ups and build lifecycle engagement with them. This will also enable us to contribute to the Government of India and State govt efforts to promote start-ups. The event was attended by Imran Amin Siddiqi (IAS), Executive Director, Vikas Kumar, Chief General Manager (Retail Assets & MSME), Narendra Sharma, General Manager (MSME) and Field Functionaries across the country. Good number of Start-Ups also attended the Inaugural event and sanction tickets were issued to various Start-ups. Established in 1907, Indian Bank is a premier Public Sector Bank with a dominant Pan-India presence. The government of India owns 88.06 percent of the shareholding. The government of India had announced the Amalgamation of Allahabad Bank a bank with 155 years of legacy into Indian Bank in 2019. Indian Bank commenced its operation as an amalgamated entity on 1st April 2020. Apart from various initiatives undertaken by Indian Bank, one of the out-of-the-box initiatives was the launch of MSME Prerana an Online Business Mentoring PAN India Programme for MSME entrepreneurs. To strengthen this initiative, Indian Bank also launched Ind Spring Board, financing for Start-ups and entered MOU with IIT Madras. Over the years, Indian Bank has set new benchmarks in providing efficient banking services to its different client groups and has also emerged as a frontrunner in delivering excellent customer service. Musa Magomedov, member of the Parliament of Ukraine, member of the Verkhovna Rada Committee on Economic Development In the midst of a full-scale war, Russian and Belarusian rubles remain ballast for Ukrainian companies. Although this currency must work for the Armed Forces of Ukraine. According to the National Bank of Ukraine, the amount of rubles on the accounts of Ukrainian legal entities equals about 57 million dollars. This is the money of Ukrainians, Ukrainian business, and industry, which has been legally earned, but is currently blocked. NBU Resolution No. 18 of February 24, 2022 prohibits Ukrainian institutions from conducting any currency transactions using Russian and Belarusian rubles. At the onset of full-scale war, this Resolution introduced a number of much-needed restrictions. For example, the fixed official exchange rate (as of February 24), the ban on issuing funds in foreign currency. Ukraine faced such large-scale currency restrictions for the first time. And, of course, it took time to adjust and create a single working banking mechanism under these conditions. As for individuals, the problem was solved much faster. On February 25, 2022, the National Bank revoked the banking license and liquidated the Ukrainian branches of two Russian banks. These are Prominvestbank and the International Reserve Bank. The salary cards of a large number of people turned out to be blocked. Three weeks later - on March 17 - the Individual Deposit Guarantee Fund approved the register of compensations, first to depositors of Prominvestbank, and from March 25 - to the International Reserve Bank. The total amount of compensation in the first case was almost 3.4 million hryvnias, and in the second - about 1.2 billion hryvnias. Payments began the same month. However, the accounts of legal entities continued to be blocked. I fully support the complete ban on the sale of currencies of the aggressor countries on the currency market of Ukraine, as well as any economic activity with them. However, there is a flip side to this ban. As a result of the Resolution, number of enterprises are unable to dispose the funds placed in ruble accounts. The ban on transactions with rubles was introduced without a transition period, without a proper mechanism for its implementation, without ways to exchange existing Russian rubles for another currency. And it caused negative consequences for the economy of Ukraine, which is already in a critical situation without it. According to the information of the Ukrainian Institute of the Future, which became public at the beginning of July this year, the forecast of the economy of our country for 2023-2024 is rather negative. The fact is that during this period of time the working population will decrease by several million. We will experience a projected shortfall in investment and crisis in business development. So, we need these blocked funds now more than ever. And we should have developed a mechanism for their removal a long time ago. This issue can be resolved by making certain changes to the NBU's resolution regarding the possibility of a one-time exchange transaction of rubles accumulated in the accounts of enterprises before the war. Verkhovna Radas Committee on Economic Development has prepared an appeal to the National Bank of Ukraine regarding this issue. We claim that the balances of Russian and Belarusian rubles on the accounts of Ukrainian legal entities require a practical solution as soon as possible. After all, convertible rubles should work. And they should work for Ukraine. For example, for the blocked 57 million dollars, we can buy 22,800 Mavic 3e drones, which are indispensable on the front. Or more than 2,700 Valkyrie unmanned aerial systems. Or, after all, almost 3 million 170 thousand such necessary, high-quality and life-saving Dnipro turnstiles. So let's take care of our own. These funds can and should be used exclusively to help the security and defense forces and purchase military bonds, which are a direct investment in our Victory. US Secretary of State Blinken's India visit, on account of Independence Day celebrations, emphasizes strengthening bilateral ties, shared values, and joint efforts for global progress. The US State Secretary Antony Blinken hints that the bilateral relationship between India and the US is becoming deeper and more expansive than ever in recent years. He took part in India's 77th Independence Day celebrations, where he proposed the statement of deepening ties between both countries. As the oldest and largest democracies of the world, both the US and India have nurtured a strong bond that is growing closer in recent times as both nations are working together for globalized open, prosperous, secure, stable, and resilient growth. Blinken, as a representative of the American citizens, conveyed his heartfelt wishes on Indian Independence Day. He highlighted Americas shared vision and commitment to tackling soaring global challenges, ensuring peace and prosperity among both countries. Reflecting on the depth and breadth of this strategic partnership, Blinken conveyed his respect and admiration for the proud history of India, the key to the bright future that both nations are building together. Following this, these leaders also took out parades and cultural rallies. Thousands of Indian Americans also joined Indian Independence Day celebrations, hoisting the tricolor flag outside their homes in cities like Boston, Jersey City, and Chicago. The governor of Minnesota, Tim Walz, has announced August 15, 2023, as India Day in the state, highlighting the pioneering contributions and heritage of Indian Americans to create a better nation and state ahead. Michelle Wu, the Mayor of Boston, also proclaimed the significance of Indian Independence Day, remarking on the critical role played by the Indian community in the ethnic diversity of Boston, incorporating its rich spiritual and cultural traditions. Many Indians assembled at Boston Harbour to commemorate India's Independence Day, arranged by FIA New England. STATEN ISLAND, N.Y. Amid rumors of a smoke shop selling illegal items at a Port Richmond intersection thought to already be buzzing with drug activity, members of a community safety group say they took action. We monitored the situation and forwarded to the appropriate agencies, said leaders of the Port Richmond North Shore Alliance in an email recently to the Advance/SILive.com commending the work of local law enforcement. The day after officials executed a raid at the location, the group said it sent a letter to the property owners home address in Rossville. We researched the address and located the owners and their residence, said civic association president Mary Bullock and vice president Mario Buonviaggio. A Narcotics Bureau investigation of the P.A.I.N. Station at 207 Port Richmond Ave. led to a March 28 police raid. Law enforcement alleges the business was selling black market cannabis along with magic mushroom chocolate bars and Ecstasy. Police arrested several individuals at the location thought to be running and/or working in the store, ranging in age from 25 to 54 with home addresses across several neighborhoods on the boroughs North Shore. The owners of the mixed commercial, residential property Susan Park, 70, and Tae Suk Park, 71 reside in a single-family house in Rossville, records show. Items recovered during raids of two unlicensed smoke shops in Great Kills and Port Richmond in March. One of the smoke shops raided was the P.A.I.N. Station. (Courtesy of the RCDA) We would hope if such a business were to open by your residence you would object, read a letter the group sent to the couple prior to law enforcement intervening. We would like to inform you that proceeds from narcotics sales may very well possibly be funding to pay the rent of this commercial space which you own ... this is a serious public safety issue in our community. Susan Park returned a phone call seeking comment Thursday but declined to comment before speaking with an attorney on the matter. The Parks also drew the ire of New York City in the form of a lawsuit filed recently in state Supreme Court, St. George. An attorney was not listed for the defendants in court documents as of Friday. In March 2022, Mario Buonviaggio of the Port Richmond Strong Civic Association speaks during a press conference regarding public safety in the neighborhood. (Staten Island Advance/David Luces) The city in its civil complaint alleges the couple should have been aware of the activities unfolding inside their commercial property due in part to the sale of psilocybin products ... transacted openly. One red flag, the complaint furthers, was the business name: P.A.I.N Station Smoke Shop. Community leaders in Port Richmond commended the work of law enforcement in addressing their safety concerns. This civic group was relieved to learn of the actions by the DAs Office and other local law enforcement agencies addressing this serious public safety concern, read the groups email last week. We are grateful for DA McMahon watching over our community and continuing to fight for a safe and healthy Staten Island for all. Following back-to-back raids at the P.A.I.N. Station in Port Richmond and GK Express in Great Kills, McMahon addressed what officials have deemed a boroughwide issue. No one is naive enough to believe that these establishments are financially thriving exclusively off of snacks and soda alone, said the boroughs top prosecutor. Yet, with NYPD manpower at historic lows not seen in a generation and a toothless Office of Cannabis Management designed by idealistic and unrealistic legislators, a black market has been allowed to balloon across the five boroughs posing a threat not just to our children but to legitimate customers as well. RELATED COVERAGE: Smoke shops on Staten Island >> 8 charged in raids at 2 smoke shops on Staten Island; weed, magic mushrooms found, NYPD says >> Another Staten Island smoke shop raid, another arrest: Drug-tainted chocolate, gummies found, NYPD says >> 2 arrests after another smoke shop raid on Staten Island; pills, tainted gummies, candy allegedly found >> Inside the gold rush of smoke shops illegally selling marijuana on Staten Island Little League officials will take no chances this summer. The organization announced it will only be using single beds in the dormitories a year after a Little Leaguer from Utah suffered a serious head injury after falling out of the top bunk, according to the Associated Press. Out of an abundance of caution, we placed all beds individually on the floor during last years World Series, and in preparation for the 2023 Little League International Tournament, Little League decided to provide its participants with single, one-level beds for all of their player housing at each of its tournament locations, including those in Williamsport, Pennsylvania, where the dormitories can accommodate all 14 single beds, Little League International told the AP. A year ago, 12-year-old Easton Oliverson of Snow Canyon LL (Utah) suffered an injury and was forced to go to the hospital when he fell out of the top bunk and hit his head while sleeping in a dorm in South Williamsport. When Oliverson was first injured, doctors didnt believe the youngster would survive, according to his father, Jace. However, after undergoing surgery and initially spending a time in the Intensive Care Unit, the pitcher/outfielder showed steady signs of improvement and did survive. About a month after the incident, Oliversons family sued Little League International, as well as the company who made the bunk beds, claiming negligence because the bunk didnt have a railing. The family was apparently seeking $50,000 in damages and its unclear if the case is still in ligation or if it was settled before going to trial. The 2023 Little League World Series, which includes 20 teams this season, begins Wednesday. A downloadable bracket for the Little League World Series play in Williamsport is now available. An invasive hornet was discovered for the first time in the United States this week, officials said, posing a threat to native pollinators and imperiling honey production. The yellow-legged hornet, also known as an Asian hornet, was detected by a beekeeper in Savannah, Georgia, earlier this month, according to the Georgia Department of Agriculture (GDA). The U.S. Department of Agricultures (USDA) Animal and Plant Health Inspection Service (APHIS) confirmed the University of Georgias identification of this insect on Aug. 9. Now, officials are advising residents to be on the lookout for the hornet, which creates egg-shaped paper nests, often in trees, that can house an average of 6,000 workers. Photos of the pest can be viewed here. Georgians play an important role helping GDA identify unwanted, non-native pests, and I want to thank the beekeeper who reported his sighting to us, as well as our partners at the University of Georgia and USDAs Animal & Plant Health Inspection Service for working swiftly to confirm its identity, said Agriculture Commissioner Tyler Harper in a release. Our experienced team of professionals will continue to assess the situation and are working directly with USDA APHIS and UGA [University of Georgia] to trap, track, and eradicate the yellow-legged hornet in Georgia. The yellow-legged hornet is native to tropical and subtropical areas of Southeast Asia but also has a non-native presence in most of Europe and the Middle East. Experts are now collaborating with federal officials and academic experts to develop an operational plan to trap, track and eradicate the hornet in Georgia. The foundation of this response is the strong relationship USDA has developed with the Georgia Department of Agriculture and the University of Georgia over many years, said Dr. Mark Davidson, deputy administrator of APHIS Plant Protection and Quarantine Program. Our partnership is already paying off as our teams come together to apply the science and technology in our response planning. The public can also play a critical role by reporting potential sightings of the hornet to help eradicate this pest. In the event of a sighting, officials urge individuals to be careful, as yellow-legged hornets can be dangerous. Additionally, there are many domestic lookalikes to yellow-legged hornets which are not dangerous to honeybees considered valuable to Georgias vital agriculture industry. The USDA provided a photo gallery of insects that look similar. To get to the gallery, go to www.aphis.usda.gov, and search for yellow-legged hornet. Murder hornets, a relative of the yellow-legged hornet, sparked concerns in recent years after they were discovered in parts of the Northwest. Originally called the Asian giant hornet before being renamed to the northern giant hornet, the insect was feared for its ability to rapidly kill domestic bees. STATEN ISLAND, N.Y. In honor of those who have passed away, here is a compilation of obituaries posted on SILive.com. Viewing times and guest books can be seen here. Native Staten Islander Joanne Matheson, 78, passed on Thursday, July 27, 2023. She attended Port Richmond High School, and worked for NYC Social Services until her retirement. In 2017, Joanne moved to Florida with her son. She is survived by her brother, youngest son, daughter-in-law, granddaughter, and cousin. For the full obituary, click here. YESTERDAYS OBITUARIES: Kimberley Paige Weintraub, 56, of Staten Island, passed away Saturday, August 12, 2023, surrounded by her family. Her passing marks the end of her courageously fought battle with Adenocarcinoma, Lung Cancer. Born in West Palm Beach, Fla., the family moved to Staten Island in 1972. Kim attended PS 26, Rocco Laurie Intermediate School, and Tottenville High School. In 1990, Kim earned her Bachelors Degree at The College of Staten Island. From there she went on to work as a C.A.S.A.C., Certified Alcohol and Substance Abuse Counselor and Psychiatric Counselor, Advanced Addiction Counselor at The Sisters of Charity, St. Vincents Medical Center and Richmond University Medical Center. She also worked at the South Beach ATC, Addiction Treatment Center, and at the YMCA for The Little Steps Program as an Addiction Specialist for Children. Additionally, she was also a member of the RUMC Mobile Crisis Team, checking in with people and providing counseling services to them in their homes. Kim was also committed to the wellbeing of all animals, as seen through the care of her owner babies: AJ, Tyler, Lucy and Callie. For the full obituary, click here. Theresa Marie Savastano, the family matriarch, passed away peacefully on Saturday morning August 12, 2023, surrounded by her family. Theresa was born and raised on Staten Islander to Sal and Mary Foti, owners of Piazza Bakery & Catering in Port Richmond. She was the eldest of four daughters and is survived by her youngest sister. She married Dominick Savastano Jr. in 1956 and has three children. Both of her sons Dominick and Thomas opened their first restaurant named Bella Mama after Theresa on Morningstar Road. Theresa retired from the Department of Environmental Protection in 1989 and enjoyed trips to Atlantic City, Delaware and Florida with her sisters and cousins. For the full obituary, click here. Rosa Ragone, 98, passed away peacefully at home on Sunday, August 13, 2023. Born in Mola di Bari, a small coastal town in Southern Italy, Rosa was the middle child in a family of three children. She met her husband, Alfredo, following his return to Italy after serving in the Italian Army in World War II and his discharge from a German concentration camp. In 1955, Rosa, Alfredo, and their two eldest children boarded the USS Constitution to immigrate to the United States of America. They settled on 69th Street in Bensonhurst, Brooklyn, before moving to Staten Island in 1983. She loved to visit casinos, cook, do embroidery, paint and crochet; her greatest pride and joy was her family. For the full obituary, click here. Jane Ann Sefcik, 91, a devout woman of faith who served as a church pianist and Sunday school teacher, went home to her Lord Jesus peacefully surrounded by her family on August 12, 2023. Born in St. George, she lived in Richmond Valley for 27 years before retiring in Seaview. Together with her husband, Donald E. Sefcik Sr, she raised two sons and three daughters. After graduating from St. Peters High School in 1948, she attended Fordham University Secretarial School before beginning a career as a secretary at the city Health and Hospitals Corp. in Manhattan, overseeing grants management for funding. A devout Christian, Jane was a member of Faith Assembly of God in Tottenville before she joined Calvary Chapel in Mariners Harbor later in life. For the full obituary, click here. STATEN ISLAND, N.Y. With tears in her eyes and a grateful heart, a teenage girl whod been abandoned at birth in a hospital bathroom returned to Richmond University Medical Center Tuesday to visit the location with the compassionate cardiac technician who cared for her in the days leading up to her adoption. Now a deans list college psychology student, Victoria (who asked that her family name not be used) was discovered in the restroom in 2004 by Claudia (whose name is also being withheld at the familys request). She was found clean, swaddled and completely dressed, placed snugly in a first-floor restroom with a bag of diapers beside her, just a few feet from the lobby of the former St. Vincents Medical Center. On Tuesday, just outside the 10-foot-by-10-foot room, now a utility closet, Victoria, her parents, Angela and Dennis; brothers, Frank and Nick, and other friends and family members, spoke with grateful hearts about that day, and the blessing of having Victoria ultimately join her new family. An awesome and loving person is how Victoria described Claudia, who scooped her up that day and ran to the pediatrics unit just next door with the infant, just four or five days old, in her arms. Her adoptive family, she said, is her support system. Theyve been with me through everything, she said. Tuesday was about closure in a way, Victoria said. About answering questions that have lingered, especially in the two months since she learned the difficult circumstances of her lifes beginning. The biggest part was not knowing, she said during a press event just outside the door of the utility closet. I guess wanting to know more was just the curious part. Not knowing ... I just wanted to see where I was found. The visit was emotional, but in a good way, Claudia said. After discovering baby Victoria 19 years ago, Claudia became attached, visiting her on work breaks, rocking and feeding her during her few days in the busy newborn nursery. After Victorias adoption through the MercyFirst adoption agency (where Dennis and Angelas names sat on a waiting list) Claudia and Angela became friends. Victoria, however, knew her only as a family friend. 22 1 / 22 Biological siblings adopted separately by the same family find they are true siblings NEW DISCOVERY But theres more to the story. Victoria was just one of two beautiful abandoned newborn babies adopted nearly two years apart by Angela and Dennis. Each child had been carefully swaddled and left alone. Frank, 20, had already been embraced by the family nearly two years earlier, having been left in a closed diaper bag on a Mariners Harbor sidewalk in front of the Bellamy Family Group Daycare. After 10 days without a parent claiming him, he was placed in MercyFirst, where he was adopted by Dennis and Angela. And Frank also recently reunited with Sheila Bellamy, whod discovered him that day in 2002 just outside the day-care center still owned today by her mother, Lillie, now 81. Both women attended Tuesdays RUMC event. As each newborn joined the couple and their biological son, Nick, inseparable bonds grew stronger between the three through nearly two decades, and the oldest child kept their individual stories top secret. Nick even had his younger siblings names and birthdates tattooed over his heart. The two adopted siblings thought they couldnt be any closer to each other. Turns out they were wrong. Ancestry.com DNA tests confirmed in July that the two are, in fact, full biological siblings. I am still floored by their results, but I feel everyone wound up where they were supposed to be through some miracle, Mom Angela said. We are blessed with all of our children. We are united as one family, and we will continue to share our respect and love for each other and strengthen our family bond. The two siblings only recently learned that theyd been abandoned as newborns. It happened earlier this summer, when Frank, and then Victoria, asked Mom for more facts. Thats when Angela decided they were finally old enough to know the full stories, and she shared with them the contents of a box shed kept hidden all these years. It contained the clothing they were found wearing, blankets in which theyd been swaddled, and the diaper bag Frank had been tucked into. Though Victoria and Frank knew they had been adopted, theyd been spared the potentially painful details, Angela explained. The word abandoned, thought to be unnecessarily hurtful, had never been uttered, she said. AVOIDING STIGMA Theres a different stigma attached to being dumped on the sidewalk, left behind: You werent wanted, Angela said. Those words and those statements weve never used in our home. We never say, left behind. We never say dumped.' Frank was the first to ask about doing a blood test with Ancestry.com. Hed suspected that he was the baby boy hed read about in a Staten Island Advance clipping hed found from nearly 21 years ago. Angela confirmed that he was, indeed, the child in the article, the one from the diaper bag. Later that night (or early morning, actually), after his sister heard his story, she, two approached Mom for her own details, so she could also do a DNA test. Frank got his results first, and when Victorias arrived with the sibling confirmation, she was excited, her mother recalled. The news that they were full siblings was a bit overwhelming for Victoria and Frank. But in the end, family is family, Frank said. Nothing has changed, he said. My family always has (been) and will be my family blood or no blood. Victoria agreed. Id always wondered if I had any biological siblings, and to be living with my biological sibling my entire life was definitely crazy to figure out, especially at 19 years old. I dont think this will change my relationship with my siblings. Whether theyre biological or not, my brothers have always been my brothers, and that will never change. Angela said she had doubts when Victoria first called her with the unbelievable results. I said no, no, no, youre pulling my leg, she recalled. Amid the smiles, warm embraces, and even the gift of flowers for the ladies from the hospitals CEO, Daniel J. Messina, Angela and Dennis spoke of their luck. THEYRE OUR BLESSING Theyre our blessing, Angela said. They didnt ask for the life they were given at the beginning. Frank expressed some other ideas. My mom said shes lucky to have us, he said. I still think were the lucky ones. They are the blessing. I consider the day I was found the best day of my life because it led me to these people, he told those gathered. Even though this story is about me and my sister, I want to showcase everyone around me for creating such a great life for me and my sister. * * * Victoria and Frank are working together to establish a scholarship fund for children who were abandoned at birth. Those interested in making a contribution can visit the Richmond University Medical Center Foundation page at www.rumcsi.org/about/rumc-foundation/ and use the donate button to make a gift indicating the tribute name as Victoria and Frank. STATEN ISLAND, N.Y. - If you ever find yourself without your cellphone, the public pay phones in the St. George Ferry Terminal wont be much help. There are stand-alone pay phone kiosks on each side of the terminal waiting room. Phones have been removed from some of the kiosks, while other kiosks have been wrapped in blue tarp secured by what looks like duct tape. Public pay phone has been removed from this kiosk in the St. George Ferry Terminal. (Tom Wrobleski/Staten Island Advance) But there are still a number of phones available for use. But I was unable to complete a call when I took the phones for a test drive recently. I tried to dial 411, directory assistance, on one phone. An automated voice asked me to deposit 75 cents, which I did, but the call didnt go through. I did get my money back in the coin return, however. When I tried to call my house, the automated voice said, Please deposit 50 cents for the next 15 minutes. I put the money in, heard an automated Thank you, but this call also failed to connect. This phone kiosk in the St. George Ferry Terminal is covered with blue tarp and what looks like duct tape. (Tom Wrobleski/Staten Island Advance) On another phone, I dialed O, for the operator. The voice said, Please wait one moment. There was a lot of clicking on the line, but no connection. One or two of the phones actually had real live dial tones. Remember those? But most of the phones didnt have a dial tone. With one phone, any coins I deposited came right back through the coin return. The coin slot on another phone was blocked so I couldnt put any coins in at all. I also tried the free calls listed on the phones. Theres one where you can call to get Get Gods Blessing, which offers a live daily prayer; another to call for Social Security info, and others to reach banks or to get a credit card or help finding a job. Free calls listed on pay phones in the St. George Ferry Terminal. (Tom Wrobleski/Staten Island Advance) None of the calls that I tried connected. A few years ago, I actually connected to the Gods Blessing free call in the ferry terminal. Check out the video below to see how that went. The call actually made me feel better. I got a variety of automated error messages when dialing numbers on the ferry phones, including, Were sorry. Due to equipment problems your call cannot be completed at this time. Another call brought an automated voice that said, The number you dialed is not in service. Another said, Were experiencing technical difficulties. Please call back later. Maybe there some trick to getting the phones to work? Interestingly, a number of the ferry phones actually had their own phone numbers visible on them, in a little white rectangle above the dial buttons. So I called a few of those with my cell phone. The terminal phones themselves didnt ring, but following a futuristic-sounding musical chime, an automated voice came on and said, Hello. We can help you find another business in the area since the number you called is not in service. Ill look for you now. That was followed by a kind of robotic chukka-chukka guitar sound. Then the voice said, Press any key to continue. When I did, I was connected to an ad for Frontier High Speed Internet. When I tried again, I heard an ad for DirectTV. But if you dont press a key fast enough, the voice says Goodbye and the call is terminated. Pay phone kiosks in the St. George Ferry Terminal. (Tom Wrobleski/Staten Island Advance) However, if you stay on the line past the ad, which I did later from home, another automated voice asks, What city and state?, which is directory assistance. The voice asked if I was looking for a business, government or residential listing. Or I could search by category. Speaking off the top of my head, I asked for the number for Staten Island Borough Hall. But the number I got was for the Manhattan Eye, Ear and Throat Hospital. When I tried again to get a number in Staten Island, New York, the voice said, Im having trouble finding that. Staten Islanders might find that typical. But when I called again and asked for a Brooklyn number, the call went through smoothly and I got the correct number for the Brooklyn borough presidents office. There are phone numbers visible on some of the phones in the St. George Ferry Terminal. (Tom Wrobleski/Staten Island Advance) Trying my luck with the Staten Island borough presidents office on another call, I instead got the number of a borough Parks Department office. On yet another call, I was given the top three listings that fit my request. All were for Department of Motor Vehicles offices, including one in the Bronx. I reached out to the city Department of Transportation about the ferry terminal phones, but I havent heard back yet. I will update this story if I hear from them. Until then, make sure you have your cellphone with you in the ferry terminal. MORE STATEN ISLAND STORIES FROM TOM WROBLESKI Watch the video: Calling God from a pay phone in the St. George Ferry Terminal Signs of hope for drug-ridden secret staircase in this North Shore neighborhood Hey, Staten Islanders, have you heard about the OTHER Verrazzano Bridge? Thank you for visiting the Daily Journal. Please purchase an Enhanced Subscription to continue reading. To continue, please log in, or sign up for a new account. We offer one free story view per month. If you register for an account, you will get two additional story views. After those three total views, we ask that you support us with a subscription. A subscription to our digital content is so much more than just access to our valuable content. It means youre helping to support a local community institution that has, from its very start, supported the betterment of our society. Thank you very much! The cemetery, which belongs to the medieval period, was taken under control by employees of Salyan Regional Department of the State Service for Protection, Development, and Restoration of Cultural Heritage under the Ministry of Culture, the press service of the agency said, Azernews reports. It is noted that there are different symbols and signs on tombstones of different sizes and shapes - on some tombstones, one can also find inscriptions in the Arabic alphabet. It is emphasized that, given the historical significance, the necessary measures will be taken to include the territory in the register of immovable monuments of history and culture. The Polish infrastructure is too small for Ukrainian business and cannot replace the blocking of ports in the Black Sea by the aggressor, Dmytro Nikolayenko, commercial director of Metinvest, said in an interview with the Polish business publication WNP.PL. The commercial director of the company noted that the metallurgical and mining industry of Ukraine was previously export-oriented: the country exported 80% of metal products and iron ore raw materials. All logistical routes, including the railroad and ports, could handle it. At the same time, he recalled that Metinvest's business has an international dimension. As an international mining and metallurgical group, the company has production facilities not only in Ukraine, but also in Bulgaria, Italy, the UK and the USA, has an extensive sales and distribution network - its products are sold in 95 countries. In addition, Metinvest has 20 offices around the world. "We exported products mainly through the Black Sea ports, such as Pivdenny, which is able to receive the largest capesize class merchant ships, as well as through the ports of Odesa and Mykolaiv. We sent cargo around the world from the Mariupol metallurgical plants Azovstal and Illich Steel Mill. Particularly noteworthy is the sea line from Mariupol to Italy, where our goods were shipped to the rolling mill. Container ships regularly transported slabs (semi-finished metal products) to Italy and the UK. We also sent products from Ukrainian rolling mills to our plant in Bulgaria. It was transported along the Dnipro River, and then along the Black Sea to the Bulgarian port of Burgas," the top manager explained. He noted that the logistics component was well organized in the group, customers were provided with good service in terms of predictable delivery times. The company hired a number of vessels under various forms of charter contracts, such as bareboat (a vessel without a crew) and time charter (a vessel hired with a crew). However, the full-scale war directly affected the group and its vertical integration. Metinvest was forced to stop production in Mariupol, then operational control over the Mariupol enterprises was completely lost. The pokie machine lobby breathed a great big sigh of relief when Chris Minns won the March state election, even though the NSW premier keeps insisting he is committed to the cause of harm minimisation. Loading This week, the independent panel set to oversee the state governments cashless gaming trial was unveiled with a series of insipid media releases. Also this week, the University of Sydney announced its own shiny new Centre of Excellence in Gambling Research, headed by Professor Sally Gainsbury who also sits on the governments independent panel and has disclosed past research funding that came from gaming companies. On that theme, the universitys new research centre was helped out with a generous $600,000 in seed funding from a group called the International Centre for Responsible Gaming, which sounds like a friendly benevolent charity. A little digging by CBD revealed that the centre is actually bankrolled by some of Las Vegas biggest casino operators, such as MGM Resorts International and local outfit pokie outfit Ainsworth. Hell, its logo even looks just like a pokie machine. Director Zachary Wigons Sanctuary is trying very hard to be both thrilling and erotic despite the repeated postponement of the act itself but all that comes through is the effort. Just watching is exhausting. And the thing is, he only has himself to blame since hes written the script for their encounter, and shes following it down to the last sweaty detail. Sanctuary is less about sex than abstinence. Do you want to come? Rebecca the dominatrix asks her desperate client. After he manages a strangled: Can I please? She denies him permission. Rebecca (Margaret Qualley) and her client, Christopher Abbotts Hal, rain a torrent of verbal abuse down on one another before theyre done, and he demolishes quite a lot of furniture. Even so, Wigon and writer Micah Bloomberg, remain curiously intent on having us like these two at least enough for us to consent to spending an hour-and-a-half shut up in their company without so much as a room service waiter to brighten the atmosphere. The more histrionic Sanctuary became, the more detached our writer felt. Credit: Kismet Loading When Hal first shows Rebecca into his hotel room, were encouraged to think that theyre strangers. Wearing a smooth blonde wig and a business suit, she settles herself at a desk and has him sit down to answer what sounds like an employment questionnaire. Then the questions take on a sexual tone, and he has a minor tantrum, accusing her of deviating from the script. It turns out that this is a long-established routine. He supplies her with a script incorporating a series of orders and insults carefully calibrated to bring about his abject humiliation, and she does her best to carry them off with a convincing display of sincerity. Then they break for dinner, a drink and some civilised conversation while he compliments her on her acting abilities. But this time he has a surprise for her. Hes about to take over the family company and the time has come, he has decided, to be done with his desire to play the submissive. Rebecca is being pensioned off with an Audemars Piguet watch that he presents to her in the naive belief that shes going to be grateful. American alternative-rock band, the Killers, has apologised for bringing a Russian drummer on stage during a show in Georgia and for describing fans as brothers and sisters, which prompted boos from the audience. Georgia has a long history of tension with its northern neighbour, exacerbated by Moscows invasion of Ukraine in February 2022 and a subsequent big influx of Russian emigrants escaping their country. The Killers frontman Brandon Flowers was booed in a Black Sea resort in Georgia, after he introduced a Russian fan to play on stage. Credit: YouTube The band, seven times nominated for the music industrys Grammy Awards, performed on Tuesday in the Black Sea resort of Batumi during a European tour. In videos of the concert, frontman Brandon Flowers is seen inviting a fan from the crowd to perform. He initially asks: This guys a Russian, are you ok with a Russian coming out here? before welcoming the man to the stage and playing a song with him. Later, in response to boos from the crowd, Flowers asks you cant recognise if someones your brother ... am I not your brother? Adam Cranston pocketed $6.8 million from a $105 million scheme to defraud the Tax Office and has accepted he faced a relatively long prison sentence but denied he was top of the tree in the conspiracy, his barrister has told a court. Cranston, 36, was found guilty in March of conspiring with others, including his sister Lauren Cranston, to dishonestly cause a loss to the Commonwealth and conspiring to deal with more than $1 million believing it to be the proceeds of crime. Adam Cranston outside the NSW Supreme Court at Darlinghurst during his trial. Credit: Brook Mitchell Using a tiered network of companies, the conspirators skimmed PAYG (pay as you go) withholding tax and GST out of money received from legitimate clients of payroll business Plutus Payroll, and failed to remit $105 million to the ATO between March 2014 and May 2017. The Crown at trial said Cranston splashed cash on luxury cars including a Mercedes and Porsche, a $240,000 deposit and loan repayments for a Cirrus SR22 single-engine plane, and properties at Vacy in the Hunter Region and Miranda and Burraneer in Sydneys Sutherland Shire. When Cheryne El Hawat and her charity AusRelief encountered struggling families who were still reluctant to accept donated food, they found a simple but effective solution: Woolworths bags. For some people, it is simply a matter of pride. They dont want their neighbours, family members or childrens school friends to see them unloading boxes of food aid. Putting it in branded supermarket bags lets them maintain their dignity. Cheryne El Hawat, chief operating officer at AusRelief, won the emerging leader award at this years Sydney Awards. Credit: Brook Mitchell Its a common sentiment heard at food banks and charity drives across Sydney for families who need help, overcoming their own sense of shame or embarrassment is often the biggest hurdle. El Hawat, the chief operating officer at AusRelief, received the Committee for Sydney think tanks emerging leader award on Wednesday night for her role in helping feed the hungry in south-western Sydney and beyond. A man who died in police custody after he was arrested and taken to a watch house south of Brisbane, had previously been behind bars for running down Constable Peter McAulay in a stolen car. McAulay survived the 2018 ordeal after he was hit by teenager Riley OBrien Faulkner in Ipswich, south-west of Brisbane, but suffered fractures to his spine, jaw, eye socket, right arm and leg, and an artery in his arm was also severed. Constable Peter McAulay upon his return to work in 2020. Credit: Queensland Police Service OBrien Faulkner had his sentence increased to five years in detention for grievous bodily harm in 2019 after an appeal by Queenslands attorney-general. He served half a five-year sentence, and was released. In 2021, the police union was critical of the decision to allow OBrien Faulkner into the community, after reports he had stolen another car. On the night of August 16, the Russians attacked Odesa region twice, as a result of a hit in one of the ports of the Danube River, a fire broke out, which has already been eliminated, Oleh Kiper, head of the regional military administration, informed on Wednesday morning. "Russian terrorists attacked Odesa region twice this night with strike drones. The main goal is the port and grain infrastructure in the south of the region," he wrote in his Telegram channel. According to him, as a result of enemy hits on one of the ports of the Danube, warehouses and granaries were damaged. The resulting fires were promptly eliminated by the employees of the State Emergency Service. The head of Odesa region stressed that as a result of the attack, "fortunately, there were no dead or injured." In this regard, he once again urged citizens to heed the danger warnings, go down to shelters and stay in safe places. Under the cover of darkness, a small truck moves along the quiet streets of Balwyn North. It arrives at a home, the men get out, and the sound of a chainsaw rips through the air. Then, a wood chipper grinds to life. Bit by bit, the evidence is fed through it. The noisy scene neighbours in the eastern Melbourne suburb are hearing isnt that of a murder its an illegal tree-removal operation, and business is booming. Illegal tree removals in Balwyn North. Credit: Eddie Jim Over the past year, the City of Boroondara has issued more than $100,000 in fines to homeowners and developers for 200 illegal tree removals. Next door in the City of Stonnington, 22 fines totalling $44,000 have been issued for illegal tree works over the past year. Over five years, 113 fines worth $226,000 were issued. Independent senator and prominent anti-Voice campaigner Lidia Thorpe has called on Anthony Albanese to ditch the referendum because it is doing nothing other than embolden racists and spark division. Thorpe backflipped on her commitment to avoid campaigning for a so-called progressive No and used a speech at the National Press Club on Wednesday to rail against what she labelled a powerless and patronising Voice being pushed by Australians with white guilt and elite Indigenous figures with little connection to grassroots communities. Lidia Thorpe addressed the National Press Club on her 50th birthday. Credit: Mick Tsikas Instead, Thorpe said her black sovereign movement, which she flagged would run candidates at the next election, wanted a treaty led by the federal government. The appropriate level of compensation for Aboriginal people would send Australia broke, she argued, urging the Commonwealth to come to the table to negotiate a settlement. Prime Minister Anthony Albanese said in an interview on Tuesday he was against paying reparations to Indigenous Australians. He has previously said the referendum will not be called off. About a quarter of all Justice Department executives have been made redundant, and further cuts will likely hit the senior branch, as the department faces a corruption watchdog probe into the potential politicisation of the public service. The purge of 49 executive positions at the Department of Justice and Community Safety, where more jobs are expected to be slashed, is part of the Andrews governments plan to cut up to 4000 jobs across the public service. The department has about 200 executives. Then department secretary Rebecca Falkingham oversaw a purge of the Justice Department in 2019. Credit: Oscar Colman The mass redundancies, announced last week, come four years after a culling of senior departmental roles, which was overseen by former department secretary Rebecca Falkingham. Department whistleblowers raised concerns about the job losses in May 2019, which led to the immediate appointment of dozens of senior bureaucrats who had formerly worked with Falkingham at the Department of Premier and Cabinet. The executives were contracted on salary bands ranging from $170,000 to $370,000. River cruising is an easy way to explore, and an agreeable one. You get boutique-hotel amenities without the hassle of packing and moving every day, and glorious landscapes slide by without your having to exert the slightest effort. Credit: Greg Straight To make the most of your holiday afloat, remember youre on a river-cruise ship, and not confined against your will to a floating prison. You dont get sent to the naughty corner if you dont join the organised shore excursions, which can sometimes be little more than bland overviews though this is changing as cruise companies offer more special-interest and active tours. In any event, take a half-day off occasionally for your own adventure, especially when revisiting places youve been before, or pursuing a particular passion. This is easy on river cruises, which often dock within walking distance of town centres. They recounted how they had approached their extraordinary ordeal. There were some moments out there where we were all quite nervous and didnt quite know what the outcome was going to be. But we just banded together, Foote said. I couldnt have been happier having these three with me. Theyre all so strong and every single moment they just knew what to do. We just kind of took charge and followed each other. There were no arguments ever. We were just strong as a unit. Indonesian crew member Fivan Satria remained missing on Wednesday. Credit: Instagram Weisse, 31, also offered thanks to those involved in saving their lives. Its insane the effort that went out, she said. We feel so blessed and so loved. Im beyond words right now. What we went through and experienced was so intense. But we were so proud of ourselves, how we just didnt give up until the last moment. As they recuperated at the surf retreat they had booked on Pinang Island, with eight other friends to celebrate Footes 30th birthday, more details of their misadventure and the plight of Fivan emerged. In an interview with this masthead, Akhmad, 36, said the boat had been hit by waves of at least four metres and when water began to leak in, they grabbed surfboards and put life jackets on and leapt into the water. We floated that [first] night together. It was raining, I didnt have water with me, so I used my shirt and hat to capture the rain, he said. We hoped when the weather calmed down, we could hop back inside and take the water out. But when morning came on Monday, the boat was gone, so they began to paddle west towards Haloban, which by the position of the sun they estimated would be the closest island. By then, Fivan was tiring. The group last saw him about 11am that day. He was on one of the surf bags [with several boards in it], so it was like a mini raft, said Akhmad after being reunited with his wife, Nazariah, and their three-year-old daughter, Sunrise Aprilia Khadijah. I told him to just rest and [that he] did not need to paddle with the rest of us. [I told him] just let the current take him [east] ... we will continue to paddle, and we will look for him once we get help. He said, I am hungry, I am tired. That was the last thing he said before he drifted away. I caught small crabs and asked him to eat them, but he refused to eat them. We all did our best. The foreigners shared food and water with Fivan, we gave him extra life jackets. I tied the extra life jacket to his legs to improve his chances to survive. Resort manager Junardi Akhmad with his wife, Nazariah, and their three-year-old daughter, Sunrise Aprilia Khadijah. The capsized boat was spotted by a privately chartered plane on Tuesday. Akhmad said the weather had been fine when they set out from North Nias for the three-hour journey to Pinang with a second, larger boat which had the other eight Australians in the tour party on board. When the storm arrived, the smaller boat pressed on while the bigger vessel took shelter behind another island. We shouldve done the same, Akhmad said. The captain shouldve decided to wait the weather out [near the island of] Sarang Alu, too. In another video on social media, Foote described the moment waves slammed into the wooden boat on Sunday night. The moment three Australian surfers and an Indonesian crew member were found after 36 hours missing at sea. Credit: Instagram When the first one came in, Jordies like All right guys, this could be serious, he said. I was like All right, sweet, grab me that, grab me something warm, grab me my knife, grab me this. We all started grabbing shit. As the boat began to fill with water, Foote told them: Everybody get the f--- out now. Loading I jumped out, grabbed Steph, pulled her out. She had a life jacket, he said. Then Will dived out, Jordie ran to the front of the boat. The boat started going like this [tilting] and Jordies reaching for board bags. Im going get the board bags, get the board bags. Me and Will climbed back up onto the front, and were all ripping board bags out, as many as we could. While there are grave fears for Fivan, Tonggor Gultom, an official in Nias with Basarnas, the Indonesian National Search and Rescue Agency, said they continued to hold out hope of finding him alive. Obviously, we hope he is safe, maybe stranded on one of the islands, he said. We predict that he is washed to the western side of Sumatra, probably the waters of Aceh Singkil and Sibolga, and we are focusing our search operations there today. Seoul: North Korea says an American soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society. Its North Koreas first official confirmation of detention of Private 2nd Class Travis King, who entered the North while on a tour of a Korean border village on July 18. He became the first American detained in the North in nearly five years. A TV screen shows a file image of American soldier Travis King during a news program at the Seoul Railway Station in Seoul, South Korea, on Wednesday. Credit: AP The Norths official Korean Central News Agency said King told investigators that he had decided to enter the country because he harboured ill feeling against inhuman mistreatment and racial discrimination within the US Army. It said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. Dubai: Women in Iran who do not wear headscarves in public are being targeted in growing numbers under draconian laws with penalties that include making them wash corpses in morgues and undergo psychological counselling for anti-social behaviour, human rights groups say. The punishments highlight a widening crackdown on women and girls, as Iranian MPs vote to review, behind closed doors, new laws that would enshrine penalties on women who defy veil laws. Iran has seen a fierce uprising of women discarding their veils since Kurdish Mahsa Amini died while in custody of the morality police last September. The 22-year-old had been detained for allegedly violating the compulsory veil law. These days, with uncovered women a common sight on Tehran streets, authorities have begun raiding companies where women employees or customers have been seen without the headscarf, or hijab. Credit: AP Masses of women, including prominent Iranian actresses have since been handed prison sentences for breaching the laws or in some cases forced to attend psychological counselling to obtain certificates of healthiness. PHILIPSBURG:--- Today Claudius Buncamper generously lends his support to the group of VWO 5 students, who will visit the Anne Frank Realschule in Ahaus Germany in October of this year. Students of that school had visited Sint Maarten and MPC last schoolyear and the VWO 5 students will pay them a return visit just after the October break. They will first visit university programs in Amsterdam to get a better view of what they want to do after graduation and will then travel to Germany by train. In Ahaus, they will experience how Dutch is taught as a foreign language at the Anne Frank Schule and how solid waste management is handled in Nordrhein Westphalen, Germany. Mr. Buncamper acknowledged the importance of the youngsters broadening their horizons and said that regretfully those opportunities werent offered yet when he went to MPC. He provided his support in honor of his mother, the late Jane Buncamper, who served the education field of Sint Maarten in various roles: as a teacher, principal of the Sundial School, and inspector of education. PHILIPSBURG:--- In the first half of the year 2023, the Sint Maarten Police Force (KPSM) diligently investigated and addressed a significant number of armed robberies that have taken place across the island. From January 1st to June 30th, a total of 49 cases of robbery with violence, targeting both individuals (robbery on persons in public) and businesses, have been reported. These incidents have caused concern within the community of Sint Maarten. The robberies on businesses can be classified into several categories, including robberies in supermarkets, restaurants, and jewelry stores. The Sint Maarten Police Force recognizes the distress and uncertainty that these criminal acts can generate and are pleased to report that several suspects have been apprehended during this period, in connection with their involvement in a number of these robberies. The areas that were mostly targeted by the robbers were Dutch Quarter, Lowlands, and Middle Region. The Sint Maarten Police Force has been actively patrolling and increasing police presence in these regions to deter criminal activities and provide a sense of security to the residents and businesses While the Sint Maarten Police Force has been actively pursuing suspects and responding to incidents, we urge individuals and businesses to prioritize their safety and security. The Police Force strongly recommends implementing necessary safety policies to protect both personal and commercial interests. Maintaining security is a collective effort, and working together ensures a safer environment for all. In recent weeks, during the months of July and August 2023, a concerning trend has emerged involving individuals who are leaving local gentleman's clubs at night. There have been instances where patrons have been accosted and robbed of their belongings after exiting these establishments. The Sint Maarten Police Force requests all individuals frequenting these establishments to exercise vigilance when leaving. Remaining aware of your surroundings can significantly reduce the risk of falling victim to such incidents. The Sint Maarten Police Force remains dedicated to maintaining the safety and security of our residents and visitors alike. We appreciate the cooperation and support of the community in reporting any suspicious activities and providing information that aids in our ongoing efforts to combat crime. By working together, we can create a safer and more secure environment for everyone on the island. KPSM Press Release. PHILIPSBURG:--- Yesterday afternoon, the Coast Guard substation in Sint Maarten notified the Maritime Operation Center (MOC) about a vessel that was sinking to the south of Great Bay. A Metal Shark crew was promptly dispatched to assist the three individuals onboard the sinking vessel. The Coast Guard also received an alert message from the Fort Du France Operation Center, regarding the distress situation. One of the individuals on the sinking vessel contacted the MOC through the emergency Coast Guard line 913, confirming that all three were safe on a life raft. During the call, they spotted the Coast Guard patrol vessel arriving to provide assistance. The three men were safely transferred aboard the Metal Shark, which brought them back to Sint Maarten. DUTCH CARIBBEAN COAST GUARD RELEASE. Real combat experience, the skill that our soldiers have should be a priority in training centers when preparing mobilized people, President of Ukraine Volodymyr Zelenskyy said in a video address on Tuesday. "Yesterday we were in Donetsk region, today - various districts of Zaporizhzhia region, the territory of the Tavria operational and strategic group of troops. I spoke with the brigade commanders and battalion commanders about the needs of our warriors what they really feel on the frontline. Everything the guys talked about will be voiced at the Staff," he said. "Specific needs have been defined: electronic warfare equipment, drones, and medevac armored evacuation vehicles. There are additional organizational issues that were not discussed yesterday, in particular, regarding officer ranks. Training of soldiers is one of the key tasks, we talked about it." Zelenskyy said. According to him, "real combat experience, current challenges and trends on the battlefield, fire and maneuver, the skills that our warriors have and that need to be shared with all brigades and made a priority in training centers, especially when training mobilized soldiers." "Soldiers' training is also the responsibility of every commander at all levels. Motivation of people is important, and it is a direct consequence of how they learn to fight," Zelenskyy said. According to him, "we heard from the battalion commanders about combat use of equipment and weapons provided by our partners. Each such feedback is something we will discuss with the leaders." "And I would like to thank all our warriors who take trophies and put Russian equipment to work for Ukraine. This is not just the rationality of our guys, this is also an important proof of the ability of our heroes to take weapons from the enemy and use them to protect the life of Ukraine," the president said. He also thanked "everyone who helped eliminate the consequences of Russian missile attacks. Yesterday it was in Odesa and Kharkiv region, and today it was in Lviv, Lutsk, Dnipro, Kramatorsk, Cherkasy region, Zaporizhia region and other parts of the country." PHILIPSBURG:--- The Parliament of Sint Maarten has extended the deadline for persons to submit nominations for the President of Parliament (POP) Award. Persons now have until Friday, August 25, 2023, to submit their nominations. The application form can be found on the Parliaments website: www.sxmparliament.org, under the tab Public. The Parliament of Sint Maarten encourages the public to submit nominations for the Annual President of Parliament Award of a youth who has contributed to the community and the country positively whether it be academically, voluntary or in sporting achievements. Any citizen of Sint Maarten who believes that an individual meets the criteria can send the nomination to the Parliament of Sint Maarten. The eligibility criteria are: the candidate must have the Dutch nationality and/or has been legally residing in Sint Maarten for 10-years or more consecutively, and has been registered in the Civil Registry for that period of time; must be between the ages of 15-24 years; has made a worthwhile contribution to the development of the community and the country; these contributions/achievements must have taken place within the last three years; contributions/achievements must have been made while the individual was acting as a private citizen, not as an appointed or elected government official; and must have demonstrated a capacity for leadership and ability to motivate. Nominations can be delivered hardcopy in a sealed envelope addressed to: Annual President of Parliament Award Committee, Wilhelminastraart #1 Philipsburg, Sint Maarten or via email: This email address is being protected from spambots. You need JavaScript enabled to view it. Raw material resources on the seabed Recently, the International Seabed Authority (ISA) had met once again. It was the 28th meeting of the ISA, held in Kingston, Jamaica, the location of the organization, and lasted five days. The seabed has been of interest to resource companies for quite some time, because there are manganese nodules there that contain copper, nickel or cobalt. On the one hand, it is a matter of protecting the marine environment; on the other hand, raw materials that secure the green future are in great demand because they are in short supply. The energy transition requires large quantities of raw materials, but rules for global deep-sea mining are still lacking. But once again, important decisions have not been made by the ISA and its 36 member states. So deep-sea mining of raw materials remains unresolved. Even Germany, by the way, can call exploration areas in the Pacific its own. Electromobility is growing, and so are renewable energies. 2022 is considered a record year in terms of wind, water and solar energy. This gobbles up cobalt, lithium or nickel. According to the IEA, shortfalls in critical raw materials on the supply side are the result. To store green energy, lithium in batteries in particular is a raw material where supply needs to be expanded. That means new lithium deposits are needed. In addition, lithium is an indispensable component in electric vehicles. Hopes for supply are therefore based on the lithium triangle, for example Argentina, because high-quality lithium is available there. So as long as the questions of mining on the seabed have not been clarified and there are fears that possible damage to the seabed may hardly be reversible, the lithium must come from proven sources on land. For example, from Argentina. There, Alpha Lithium https://www.commodity-tv.com/ondemand/companies/profil/alpha-lithium-corp/ is working on the development of two high-quality lithium projects. There is also lithium in mining-friendly Mongolia. ION Energy https://www.commodity-tv.com/ondemand/companies/profil/ion-energy-ltd/ is active there. Two lithium projects are in focus. Both companies are well positioned to meet the increased global demand for lithium. Current corporate information and press releases from Alpha Lithium (- https://www.resource-capital.ch/en/companies/alpha-lithium-corp/ -). In accordance with A34 of the German Securities Trading Act (WpHG), I would like to point out that partners, authors and employees may hold shares in the respective companies addressed and that there is therefore a possible conflict of interest. No guarantee for the translation into German. Only the English version of this news is valid. Disclaimer: The information provided does not constitute any form of recommendation or advice. Express reference is made to the risks involved in securities trading. No liability can be accepted for any damages arising from the use of this blog. I would like to point out that shares and especially warrant investments are fundamentally associated with risk. The total loss of the invested capital cannot be excluded. All information and sources are carefully researched. However, no guarantee is given for the correctness of all contents. Despite the greatest care, I expressly reserve the right to make a mistake, especially with regard to figures and prices. The information contained is taken from sources that are considered reliable, but in no way claim to be correct or complete. Due to judicial decisions the contents of linked external pages are to be answered for (so among other things regional court Hamburg, in the judgement of 12.05.1998 312 O 85/98), as long as no explicit dissociation from these takes place. Despite careful control of the contents, I do not assume any liability for the contents of linked external pages. The respective operators are exclusively responsible for their content. The disclaimer of Swiss Resource Capital AG applies additionally: https://www.resource-capital.ch/de/disclaimer-agb/ Green Shift Expands its Lithium Portfolio with the Acquisition of the Armstrong Project in Ontario, Canada and Provides Corporate Update Green Shift Commodities Ltd. (TSXV: GCOM and OTCQB: GRCMF), (aGreen Shifta, aGCOMa or the aCompanya https://www.commodity-tv.com/ondemand/companies/profil/green-shift-commodities-ltd/ ) is pleased to announce that it has signed a definitive purchase and sale agreement (the aAgreementa) dated August 15, 2023, to acquire (the aTransactiona) an existing option (the aOptiona) to purchase a 100% interest in the Armstrong Lithium Project (the aArmstrong Projecta or the aProjecta). The Project consists of 90 contiguous claims totaling ~1,800 ha, located in the Seymour-Crescent-Falcon lithium belt, ~55 km northeast of the town of Armstrong and ~245 km from Thunder Bay in Ontario, Canada. Highlights Expands GCOMa?s lithium portfolio into the mining friendly jurisdiction of Ontario, Canada. Adds a third project in a known lithium belt, recognized for its recent exploration successes including the development of the nearby Seymour Project owned by Green Technology Metals Ltd. (aGT1a). Potential upside of critical metals a Molybdenum, Copper, Silver with untested exploration upside. Opportunistic acquisition with compelling acquisition terms. Trumbull Fisher, CEO and Director of GCOM commented, aWhile our core focus will remain in South America, we are excited to announce the signing of today\-s Agreement, which brings another promising lithium project into our portfolio, which we expect to quickly advance. We believe that the Armstrong Project will contribute to our presence in a mining-friendly jurisdiction, providing critical mass to our operations. Like our other projects, we see exploration potential and are eager to commence exploration activities. Ontario is an exciting place to be not only for mining but in particular lithium, with the province seeing ~$25B in government subsidies for EV battery plants in 2023 alone, and this being a very low-cost entry into the most prospective lithium exploration belt in the province.a Terms of the Sale and Purchase Agreement Pursuant to the Transaction, GCOM has acquired an existing Option to purchase a 100% interest in the Armstrong Project for consideration comprised of (i) 1,500,000 common shares of GCOM (the aCommon Sharesa) to be issued on the closing date of the Transaction; and (ii) CAD$60,000 in cash, payable within five business days after the date upon which GCOM has first completed one or more equity offerings for gross proceeds of a minimum of CAD$5,000,000 in the aggregate. In order to exercise the Option, GCOM has agreed to assume the remaining obligations under the original option agreement, including: (i) 100,000 Common Shares issuable immediately upon closing of the Transaction; (ii) $15,000 in cash payable on or before November 21, 2023; and (iii) $20,000 in cash payable on or before November 21, 2024. In addition, in respect of the first financing that the Company completes following the exercise of the Option, the Company has agreed to grant the optionor the right to participate in such financing and subscribe for a maximum of 100,000 Common Shares upon the same terms as the financing. Completion of the Transaction is conditional upon the approval of the TSX Venture Exchange (the aTSXVa) and the satisfaction of certain other closing conditions customary in transactions of this nature. The Common Shares issued in connection with the Transaction will be subject to a hold period expiring four months and one day from the date of issuance. There are no findersa? fees payable in connection with the Transaction and each of the vendors of the Option and the optionor is an arms-length party with respect to the Company. About the Armstrong Project The Armstrong Project resides in the Seymour-Crescent-Falcon lithium trend which is known to host thirteen spodumene-bearing pegmatites along a 26 km trend between the South Aubrey and the Falcon East pegmatite occurrences. Located near the town of Armstrong, significant infrastructure exists nearby including an airport, and rail. The Armstrong Project is road accessible and workable year-round. The Project property is within a general area that has been highly focused on the exploration of lithium, however the Armstrong Project appears to have had little or no lithium focused exploration. The neighbouring properties are held by GT1 and Antler Gold Inc. (Figure 2). Both neighboring properties have lithium in drilling and GT1a?s Seymour Project boasts a mineral resource estimate of 9.9 Mt @ 1.04% Li2O, with 5.2 million tonnes @ 1.29 % Li2O and remains open along strike and down dip. (GT1 website Seymour Project) A Previous work on the Armstrong Project includes lake sediment work that confirms the presence of various base and precious metals including gold, silver, platinum, palladium, copper, nickel, cobalt, chromium, lead and Zinc. The Project has seen little work in recent times and requires a systematic sampling and mapping program. While the entire property appears to be prospective, traversing and sampling the dikes and faults are expected to be a focus of the Company. The sharp contrast in the first vertical derivative magnetics (Figure 3) is a plausible location for alteration. Another prospective area shows a cluster of pegmatites immediately to the Northwest of the Project property boundary which may be a focus for further exploration work. Technical Disclosure and Qualified Person The scientific and technical information contained in this news release was reviewed and approved by Peter Mullens (FAusIMM), Executive Chairman of the Company, who is a aQualified Persona in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects. Changes to the Board of DirectorsA The Company also announces that Dr. Richard Spencer has resigned from the Board of Directors in order to focus on other business and personal matters. GCOM would like to thank Mr. Spencer for his contributions to the Company over his 16-year tenure, holding roles such as CEO, Chairman and Director and wish him the best success going forward. A About Green Shift Commodities Ltd. Green Shift Commodities Ltd. is focused on the exploration and development of commodities needed to help decarbonize and meet net-zero goals. The Company is advancing the recently acquired Rio Negro Project in Argentina, a district-scale project in an area known to contain hard rock lithium pegmatite occurrences that were first discovered in the 1960s with little exploration since. The Company is developing the Berlin Deposit in Colombia. Apart from uranium, for clean nuclear energy, the Berlin Deposit contains battery commodities including nickel, phosphate, and vanadium. Phosphate is a key component of lithium-ion ferro-phosphate (aLFPa) batteries that are being used by a growing list of electric vehicle manufacturers. Nickel is a component of various lithium-ion batteries, while vanadium is the element used in vanadium redox flow batteries. Neodymium, one of the rare earth elements contained within the Berlin Deposit, is a key component of powerful magnets that are used to increase the efficiency of electric motors and in generators in wind turbines. For further information, please contact: Green Shift Commodities Ltd. Trumbull Fisher Director and CEO Email: tfisher@greenshiftcommodities.com Tel: (416) 917-5847 Website: www.greenshiftcommodities.com Twitter: @greenshiftcom LinkedIn: https://www.linkedin.com/company/greenshiftcommodities/ In Europe: Swiss Resource Capital AG Jochen Staiger info@resource-capital.ch www.resource-capital.ch Forward-Looking Statements This news release includes certain aforward looking statementsa. Forward-looking statements consist of statements that are not purely historical, including statements regarding beliefs, plans, expectations or intensions for the future, and include, but not limited to, statements with respect to: closing of the closing of the Acquisition; the approval of the TSXV; the completion of future exploration work and the potential results of such test work; the future direction of the Companya?s strategy; and other activities, events or developments that are expected, anticipated or may occur in the future. These statements are based on assumptions, including that: (i) the ability to achieve positive outcomes from test work; (ii) actual results of exploration, resource goals, metallurgical testing, economic studies and development activities will continue to be positive and proceed as planned, (iii) requisite regulatory and governmental approvals will be received on a timely basis on terms acceptable to Green Shift (iv) economic, political and industry market conditions will be favourable, and (v) financial markets and the market for uranium, battery commodities and rare earth elements will continue to strengthen. Such statements are subject to risks and uncertainties that may cause actual results, performance or developments to differ materially from those contained in such statements, including, but not limited to: (1) changes in general economic and financial market conditions, (2) changes in demand and prices for minerals, (3) the Companya?s ability to source commercially viable reactivation transactions and / or establish appropriate joint venture partnerships, (4) litigation, regulatory, and legislative developments, dependence on regulatory approvals, and changes in environmental compliance requirements, community support and the political and economic climate, (5) the inherent uncertainties and speculative nature associated with exploration results, resource estimates, potential resource growth, future metallurgical test results, changes in project parameters as plans evolve, (6) competitive developments, (7) availability of future financing, (8) the effects of COVID-19 on the business of the Company, including, without limitation, effects of COVID-19 on capital markets, commodity prices, labour regulations, supply chain disruptions and domestic and international travel restrictions, (9) exploration risks, and other factors beyond the control of Green Shift including those factors set out in the aRisk Factorsa in our Management Discussion and Analysis dated May 1, 2023 for the fiscal year ended December 31, 2022 and other public documents available on SEDAR at www.sedar.com. Readers are cautioned that the assumptions used in the preparation of such information, although considered reasonable at the time of preparation, may prove to be imprecise and, as such, undue reliance should not be placed on forward-looking statements. Green Shift assumes no obligation to update such information, except as may be required by law. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. The maritime surface drones that successfully attacked the Crimean Bridge, as well as the Russian landing ship Olenegorsky Gornyak and the SIG tanker, are the Ukrainian State Security Service's (SBU) own technical development, its Head Vasyl Maliuk said in an exclusive commentary to CNN. "Sea surface drones are a unique invention of the Security Service of Ukraine. None of the private companies are involved. Using these drones we have recently conducted a successful hit of the Crimean bridge, the big assault ship Olengorskiy Gornyak and SIG tanker," the SBU press service said, citing Maliuk. He said the SBU team, assisted by civil engineers and IT specialists, is working on the development of these drones and their manufacture. "These drones are produced at an underground production facility at the territory of Ukraine. We are working on a number of new interesting operations, including in the Black Sea waters. I promise you, it'll be exciting, especially for our enemies," Maliuk said. Speaking in more detail about special operations, the SBU head said it was his department that was the author of the relevant operational plans and their subsequent successful implementation together with the Naval Forces of the Armed Forces of Ukraine. "We take a balanced approach to each special operation at sea. In fact, we measure seven times - cut off once. But we do it quickly and mobile. We sting the enemy right in the heart," the head of the Ukrainian special service said. The SBU head said the sea drones that damaged the Crimean bridge in July 2023 were named "Sea Baby" at the initiative of the personnel. They are equipped with a warhead of 850 kg. Two separate surface drones were used to destroy the Olenegorsky Gornyak landing ship and the SIG tanker, each of which contained 450 kg of warhead. Maliuk said: all the targets hit by the special service are completely legal in accordance with Ukrainian legislation and international law. He also said Western partners do not participate in these special operations, but actively adopt the unique experience of the SBU. By Kaitlyn Smith New Canadian Media The Indian visa agent charged in Canada for allegedly providing fraudulent college admissions letters to international students to study in the country appeared in Vancouver provincial court on Aug. 8. Brijesh Mishra, 37, remains in custody until his next court date on Aug. 25. In March, New Canadian Media first reported on potentially hundreds of scammed international students facing deportation after spending years, and thousands of dollars, to study and work in Canada. Crowds in the hundreds joined about a dozen international students for more than two weeks in May, parked in a permanent protest against being deported in front of Canada Border Services Agency offices in Ontario. Sean Fraser, who was the federal immigration minister at the time, said on June 16, that the defrauded students would be allowed to stay in Canada. Mishra is accused of allegedly misrepresenting himself as a licensed immigration consultant and offering advice to international students. According to detention review transcripts obtained by NCM, he entered the country in October 2022 until his visa expired on April 16, 2023. His inadmissibility was officially reported four days later, the Immigration and Refugee Board (IRB) immigration division document says. In May, an immigration warrant for Mishra was issued, and six weeks later Canada Border Services Agency officers arrested him in B.C. Two days later he appeared before the IRB. Immigration division member Heidi Worsfold concluded the detention review on June 16 with the possibility of releasing Mishra, but he was told to come back in one week to determine his conditions. The CBSA issued a warrant for his arrest and Mishra appeared in Vancouver provincial court on the same day he was scheduled to return to the IRB to review the conditions of his release from immigration detention. Court documents list five criminal charges from the CBSA under the Immigration and Refugee Protection Act four in Jalandhar, India between 2016 and 2020, and one in Surrey, B.C. when Mishras visa would have expired. By Hamid Jafari New Canadian Media On the last day of August 1990, an advertisement in Persian was published in the North Shore News. It said: Vancouver Pars National Ballet Classes accepting students. This ad started an amazing journey that still goes on today. Now, more than three decades later, Vancouver Pars National Ballet (VPNB) continues this path, teaching ballet and Iranian folk dances in North Vancouver. In 1966, Abdollah Nazemi founded Pars National Ballet in Iran, with a primary focus on classic ballet, while also exploring Iranian folk dances and music. Azita Sahebjam, ballet dancer and the director of VPNB, was a student of Nazemi and began her journey in Pars National Ballet at the age of 9, driven by her mothers passion for art. Nazemi believed that they could use the ballet techniques and tell their stories, through the music, costumes, and tales from Persian literature, drawing inspiration from sources such as Ferdowsis epic poem The Shahnameh, the stories of Sheikh Sadi found int Hikayat-e-Saadi, and the poems of Hafez. Sahebjam recalls practicing with pointe shoes and flat shoes, following various techniques taught by instructors from different countries. After about seven years she started teaching dance to youth and children when she was 16. After the Islamic Revolution in Iran in 1979, however, the academy faced challenges. Dancing in public became illegal for women and girls, and the academy was eventually forced to close. One day, I remember we were in the class when the Revolutionary Guard arrived with machine guns and closed the academy, said Sahebjam. It was a terrifying experience, and they took Abdollah Nazemi with them for about 7 to 10 days. She remembers they were extremely worried, fearing something had happened to him. Luckily, they only got his signature, making him promise not to practice or teach dance anymore, and then they released him. After a few years, he left the country and moved to the U.S.. Sahebjam decided to migrate to Vancouver in the late 1980s, but when she arrived she felt like something was missing within her. I felt a missing piece of my passionate life that I had pursued for so long, she said. It was my identity that I had lost. So, I thought about Iranian folk dances, and I got back to my roots. She established Vancouver Pars National Ballet in 1989 in North Vancouver, following in her masters footsteps to preserve Iranian culture through dance. I still have a connection with Abdollah Nazemi, I always respect and admire him. Before starting, I asked him for permission to use the name Pars National Ballet for my own ballet group, and he trusted me. Abdollah Nazemi is 86 years old now, residing in Isfahan, Iran, for the past 10 years. He has written four books on the subject of dance, according to Sahebjam, but they remain unpublished due to dancing restrictions in Iran. In 1991, Sahebjam received recognition from Canadian Prime Minister Jean Chretien. And now VPNB has a history here stretching back decades, teaching Iranian folk dance to at least two generations. Many people who were once my students have now become moms, and their kids are coming to my class, she said. So, you can figure out how old I am from that! Since the Woman, Life, Freedom movement started in Iran, dancing has become a powerful form of protest in the country despite its illegality. Five Iranian girls were arrested last March and were forced to publicly apologize after posting a video on social media where they were dancing to a Selena Gomez song without wearing hijabs. They dance because dance represents feelings, Sahebjam said. I have great hope for and praise the future of this movement. By Victor Ing, Immigration Lawyer, and Drew Dennis, Legal Assistant As immigration lawyers, we are frequently consulted by Canadian employers about how they can hire foreign workers to fill job vacancies. The generic answer without knowing anything about the employer or the foreign worker is that all Canadian employers can hire foreign workers through the Labour Market Impact Assessment (LMIA) process, which requires an employer to demonstrate that it has a job vacancy and that there are no qualified Canadians or permanent residents who are available to fill it. Every experienced immigration lawyer will tell you that their main goal when advising employers is to avoid the LMIA process, if possible, because the process is notoriously strict and time consuming. The last thing that an employer wants to hear is that it will take many months (oftentimes 4+ months) of advertising and application processing to fill an urgent vacancy, let alone the time it takes for a prospective foreign worker to apply for and obtain a work permit before they can officially start work. Thankfully, the Department of Employment and Social Development Canada (ESDC) has made a recent announcement to facilitate faster processing of LMIA applications for trusted employers. The concept of implementing a trusted employer program to address slow processing times has existed since at least 2016 when a report was presented to Parliament that contained numerous recommendations to improve the LMIA application process. Consistent with those recommendations, on August 8, 2023, ESDC finally announced its intention to launch the Recognized Employer Pilot (REP) with the goal of reducing the administrative burden and red tape associated with hiring foreign workers in Canada. The REP will allow Canadian employers to register with ESDC as a trusted employer to receive preferential processing over the next three years. Beginning in September 2023, employers in the primary agriculture sector will become eligible to register themselves under the REP and all other employers will be invited in January 2024 to register themselves until REP registration closes in September 2024. Under the REP, eligible employers will obtain LMIAs that are valid for up to three years (an increase from the standard of 18 months), while also benefiting from a simplified application process. To be considered an eligible employer, registrants must meet the following criteria: The employer must be known to ESDC, meaning that they must have received a minimum of three positive LMIAs over the past five years for the same occupation they wish to fill; and The occupation they wish to fill must be shortlisted by the government as occupations in which there are known and expected labour shortages. Please see the below link to review the jobs which have been determined to be in shortage in Canada: https://occupations.esdc.gc.ca/sppc-cops/[email protected]?lid=107&fid=64&lang=en Those employers who can demonstrate a history of compliance with the LMIA program will soon be rewarded with a less cumbersome and faster application process, which is designed to help employers meet their staffing needs under more predictable timelines. The announcement of the REP is very encouraging news for Canadian employers who regularly rely on LMIAs to fill their labour shortages. The REP represents a solid step towards improving processing times for Canadian employers who have a long history with ESDC and have proven a demonstrated labour shortage in their industry. Employers take note this is a positive step to assist you in bringing foreign workers to Canada! Victor Ing is a lawyer of Sas & Ing Immigration Law Centre. He provides a full range of immigration services. For more information go to Canadian-visa-lawyer.com or email [email protected]. The American non-governmental organization Defending Democracy Together has launched a campaign calling on Republican lawmakers to continue supporting Ukraine, according to a statement posted on the organization's website on August 15. "A brand-new $2 million campaign called Republicans for Ukraine launches today to persuade GOP voters and elected officials to stand with the United States' Ukrainian ally against Russia," the statement notes. Republican voters will urge the party to support Ukraine directly on camera. Shot from the first person perspective, the campaign will air on cable, network TV, and digitally on YouTube through the end of the year. The authors of the campaign emphasize that their goal is to remind Americans of the importance of supporting Ukraine and not giving up the fight for freedom. The BBC has announced the return of hit drama Showtrial, with a brand new cast and explosive murder investigation. Made by World Productions (Vigil, Line of Duty, Anne) for BBC One and iPlayer, the fictional drama is written and created by Ben Richards.The new Showtrial will star BAFTA award-winner Adeel Akhtar (Sherwood, Ali & Ava, Utopia), Nathalie Armin (Home, Unforgotten, The Batman), and Michael Socha (The Gallows Pole, Chernobyl, This Is England) and will once again centre around a hotly contested criminal trial that divides the nation and takes place in the full glare of the media spotlight.When the high-profile climate activist Marcus Calderwood is left for dead in a violent hit and run, he uses his dying moments to identify his killer - a serving policeman. But who is this unnamed Officer X? What does his own history reveal about the nature of trauma and revenge, and was Marcuss death a careless accident or cold-blooded murder?From the victim's last breath to the jurys final verdict, Showtrial takes us into the worlds of the charismatic and cocky officer Justin Mitchell (Michael Socha); Sam Gill (Adeel Akhtar), an anxious defense solicitor with a reputation for winning lost causes; and Leila Hassoun-Kenny (Nathalie Armin), a rigorous CPS lawyer leading the case against the accused.As public outrage reaches fever-pitch, Showtrial questions what happens when a trial is dominated by contentious issues and whether the truth is ever clear cut. Is a fair trial possible when tensions are riding so high?Showtrial creator and writer Ben Richards says: I am very grateful to the BBC for the opportunity to explore another sensational trial which I hope will be just as entertaining as our first outing. I love writing Showtrial and Im honoured to have a cast of such high quality to deliver it.Adeel Akhtar says: Im a big fan of Bens writing and so excited to be given the opportunity to join the second series of a brilliant show.Nathalie Armin says: Im thrilled to be a part of this brilliant story. Leila is a fascinating role and I cant wait to bring her to life.Michael Socha says: Loved series one! I cannot wait to get into the shoes of a character with such depth and ambiguity.Simon Heath, CEO, World Productions says: Bens sharp, timely and provocative scripts have once again attracted a stellar cast to this new season of our Showtrial anthology. I cant wait to see how Adeel, Nathalie and Michael bring to life Bens compelling triumvirate of lead characters.Lindsay Salt, Director of BBC Drama says: "We're so excited to once again join forces with Ben Richards and World Productions to bring a new unmissable Showtrial to BBC One and iPlayer. With Adeel, Nathalie and Michael leading the cast, and a brand new central mystery to be played out in front of the nation, Showtrial will have viewers hooked from the start."Showtrial (5x60) is created and written by Ben Richards, directed by Julia Ford (Everything I Know About Love, Safe) and produced by Ken Horn (Line of Duty, The Moorside). Executive producers are Simon Heath and Emma Luffingham for World Productions (an ITV Studios company), with Nawfal Faizullah for the BBC.Showtrial is produced with support from Northern Ireland Screen, in association with ITV Studios which will also handle international distribution.Filming on Showtrial begins in November in Belfast, and further casting will be announced in due course. Series one is available to stream now in full on BBC iplayer. S ome cash point customers were able to withdraw more cash than they had in their accounts, thanks to a glitch at the Bank of Ireland on Tuesday. Large queues built up at some of the banks ATMs, as messages on social media highlighted the error and encouraged people to withdraw sums that exceeded their balances. An Garda Siochana said it was aware of an unusual volume of activity at some cash points across the country. Bank of Ireland has since apologised after the technical issue, which had been resolved by Wednesday. It informed customers who withdrew more cash than was in their accounts that this would appear as an overdraft, and encouraged those who find themselves in financial difficulty as a result of the fault to get in touch. In a statement on Wednesday, the bank said: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. Bank of Ireland was fined 24.5 million euro (about 21 million) in 2021 by the Central Bank of Ireland over failures to have a robust framework to ensure continuity of services in the event of a significant IT disruption. Asked about the outage on Tuesday, a spokesman for the Central Bank said it continues to monitor the situation regarding the availability of certain services provided by Bank of Ireland. We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers, and that it is doing all it can to ensure customers expectation of a high-quality, uninterrupted service is met. Customers should contact Bank of Ireland in the first instance if they have concerns about their service. For more information, impacted customers should contact Bank of Ireland on is 0818 214 365 or visit www.bankofireland.com. B illionaire Silicon Valley investor Peter Thiel has a great question he puts to people hes interviewing. Tell me, he asks, something thats true that almost nobody agrees with you about. I promise Im not fishing for a job with Thiel, but heres how Id answer. When I started my company Second Home in Spitalfields in 2014, I was convinced that as we created spaces for entrepreneurs and cultural events, we should refurbish and reuse existing buildings, and try not to go into shiny new developments. In a sense, this was bad for my business, because brand new corporate developments tend to be in the choicest locations, right next to transport hubs. But I just felt something to be true that not many people agreed with at the time which is that the whole system for rating buildings totally understated the true environmental impact of new developments. Let me explain. A typical new metal and glass office building in London may well be rated as excellent for energy efficiency because its well insulated and doesnt let much heat escape. The construction industry produces around 40 per cent of the UKs total emissions and two thirds of its waste What this kind of rating completely and deliberately excludes is anything about the carbon emissions involved in making the perfectly good old building that got knocked down to construct this supposedly environmentally friendly new structure. And thats where the numbers get scary. The construction industry produces something like 40 per cent of the UKs total emissions and roughly two-thirds of all the waste generated annually. Thats not a surprise when you see that more than 50,000 buildings are demolished each year many of which could simply have been retrofitted, instead of being torn down. The key point is that up to half of the lifetime carbon emissions of a building come during construction meaning many new structures will take decades to pay back their carbon debt by saving more emissions than they created. That means that the current environmental ratings for new buildings are a sustainability scam and should be ignored until theyre overhauled. Because Im not saying we shouldnt have any new buildings its that we ought to be able to see their true environmental impact, and we could be reusing far more existing spaces than we currently do. Luckily these days Im a little less isolated in thinking this way. Will Hurst editor of the Architects Journal is doing a brilliant job of campaigning on this issue, and pushing for change. As Hurst rightly points out, refurbishing old buildings isnt just good for the planet its also positive for the architectural heritage of our cities, with more characterful and historic places protected. But sadly most office tenants still assume that an energy efficient sticker on the side of a building means its somehow sustainable or good for the environment. And politicians continue to insist that you pay zero per cent VAT if youre constructing a new building, but you get hit with 20 per cent VAT if you refurbish an old one, which obviously creates a massive financial incentive to tear buildings down. Thats exactly the opposite of what we need to do to hit our zero-carbon goals or make London more liveable and beautiful. So sadly Im still in a minority on this issue. But maybe someday most people will come to see the truth: the best thing for the environment is to make do and mend. Phoebe Waller-Bridge / Dave Benett Happy birthday, Choose Love Happy eighth birthday to one of the most energetic and inspiring groups in the capital refugee charity Choose Love. Back in 2016, three Londoners Josie Naughton, Dawn OPorter and Lliana Bird were so moved by the sad scenes in the refugee camps in Calais that they launched a fundraising campaign on social media, and used the money to take a van full of supplies to France. Within weeks, these Londoners and their friends were the biggest providers of aid to displaced people in Calais and Choose Love was born. Eight years later, theyhave raised more than 100 million in donations, served 5.5 million hot meals and handed out more than a million items of clothing. Along the way theyve been championed by the glitziest set of celebrities outside a Bafta red carpet everyone from Harry Styles to Phoebe Waller-Bridge has been spotted in an iconic (Katharine Hamnett-designed) Choose Love top. But for me, whats best about the charity is how it shows Londoners dont stand idly by at a time of crisis. To borrow a phrase, we choose love. Rohan Silva is the founder of Second Home As result of Russian shelling of Donetsk region, four killed, seven injured administration The Russian occupiers continued shelling settlements in Donetsk region over the past day. As a result of the shelling, four civilians were killed and seven were injured, Head of Donetsk Regional Military Administration Pavlo Kyrylenko said. "Two people were injured in Horlivka direction in Toretsk community in Pivnichny, five households and an administrative building were damaged; a household was damaged in Druzhba. In Kostiantynivka, the enemy fired four volleys from Smerch nine houses, a gas pipeline and two power grids were damaged. In Chasiv Yar community, four houses were damaged. In Rai-Oleksandrivka of Mykolaiv community, several houses were damaged," Kyrylenko said in his Telegram channel. In Lysychansk direction in Siversk, one person died and three were injured, the administrative building was destroyed, two houses were damaged. In Lyman community, one person died in Yampil and one was wounded in Torsk. In Volnovakha direction, an outbuilding in Bohoiavlinka was damaged, the Russian occupiers fired at Maksymivka and Vuhledar. In Donetsk direction in the morning, the Russians fired from tanks on two streets of Avdiyivka. In Kurakhiv community, an agricultural enterprise was fired from Uragan missiles. Y esterday Professor Richard Dawkins penned a comment piece for the Standard. Titled How can we have a proper debate when we no longer speak the same language?, the piece argued that one of the key difficulties at the heart of the trans debate is a lack of coherent agreement about the meaning of certain words, such as violence. The article, predictably, drew support and criticism from every quarter. But it was by no means Dawkins first foray into the trans debate, nor into contentious topics. The academic is extremely at home with controversy: as a biologist he has argued that a belief in a God is essentially a delusional practice, which has ruffled the feathers of many religious communities globally. He has taken particular aim at the Christian faith of his upbringing, remarking that somebody as intelligent as Jesus would have been an atheist which has made him both a hero and an enemy to people around the world. He has a long history of arguing for free speech, too, touching on the problems around tacit censorship in liberal democracies and universities. He has argued that labelling children as being part of a certain religion is a form of child abuse, as children are too young to be able to know their views on such complex issues as God and the universe. It is evil to describe a child as a Muslim child or a Christian child, he once said. I think labelling children is child abuse and I think there is a very heavy issue, for example, about teaching about hell and torturing their minds with hell. Its a form of child abuse, even worse than physical child abuse. So who is Dawkins, one of the worlds greatest living scientists and thinkers? And what has made him such a controversial figure? Dawkins career and personal life Born in Nairobi in the Forties, when Kenya was still under British colonial rule, Dawkins moved back to England with his family when he was eight years old. His father, an agricultural servant, was from landed gentry and Dawkins grew up on a 210 acre country estate in Oxfordshire which had been in the family since the 1720s. In interviews five decades later, Dawkins still speaks about how the wonder of the African landscape haunted him as a child. Dawkins, now 82, was brought up as a Christian, went to a protestant school, and was confirmed. But then, half way through his teenage years, the theory of evolution became a problem for him: It was when I realised that Darwinism was a far superior explanation that pulled the rug out from under the argument of design. And that left me with nothing, he said to The Guardian. It was a very positive feeling. Darwinism is a very beautiful, very positive explanation and the world suddenly starts looking a lot more exciting. He studied zoology at Balliol College in Oxford, and stayed on and gained a Doctor of Philosophy. He then went to teach at the University of California, Berkeley, before returning to Oxford to work as a lecturer. He became a fellow of New College in the city in 1970 and has led a predominantly academic life. He became the Simonyi Professor for the Public Understanding of Science at Oxford in 1995, a position which he held until 2008. When he stepped down, he said he planned to write a book for children that wasnt based on anti-scientific fairytales. I think looking back to my own childhood, the fact that so many of the stories I read allowed the possibility of frogs turning into princes, whether that has a sort of insidious affect on rationality, Im not sure, he said. Perhaps its something for research. In 2006, he founded the Richard Dawkins Foundation for Reason and Science to to promote scientific literacy and a secular worldview. The foundations missions are teaching the value of science and advancing secularism. In June he launched a new a podcast, The Poetry of Reality with Richard Dawkins, which discusses a wide-range of topics, including transgenderism and aliens. He has been married three times, most recently to actor Lalla Ward (the ex-wife of Doctor Whos Tom Baker), who illustrated many of his books. They divorced after 24 years of marriage in 2016. Dawkins has one child, a daughter. His works and their claims Dawkins was catapulted from scholarly biologist to international star in 1976 when his book, The Selfish Gene, was published. The book looked at the role of genes in evolutionary biology, arguing that genes are programmed to ensure their survival. It put forward an evolutionary argument for altruism, but also made human beings out to be mere vessels for their genes ambitions: Sam Beckett couldnt have come up with a more depressing worldview, said The Guardian. It sold millions of copies worldwide, was translated into over 25 languages, gave us the word meme, and made Dawkins a household name. Dawkins has published 17 subsequent books, the most notable of these being The Blind Watchmaker (1986), a further explanation of his views on natural selection; A Devils Chaplain (2003) a collection of essays on everything from pseudoscience to terrorism, and The God Delusion (2006), an all-guns-blazing take down of God and the delusion of those who believe in one. He is seen as a figurehead of the New Atheists, a group of academics and intellectuals with a severely critical view on religion which includes Sam Harris, Christopher Hitchens, Daniel Dennett and Ayaan Hirsi Ali. The term originates from a 2006 Wired article written by Gary Wolf, in which Wolf said: The New Atheists will not let us off the hook simply because we are not doctrinaire believers. They condemn not just belief in God but respect for belief in God. Religion is not only wrong; its evil. Now that the battle has been joined, theres no excuse for shirking. His arguments against religion have come to be regarded by many as a crusade, and over the course of his career Dawkins has lost many of the early supporters of his less explicitly anti-religious work. His words on religion have been less than measured: in The God Delusion Dawkins argued that a belief in God was pernicious; in the past he has said that religion is a betrayal of the intellect and a betrayal of all thats best about what makes us human. But Dawkins is in the minority: in 2018, 84 per cent of the global population still identified with a religious group. Christians still form the worlds largest group with 2.3 billion believers, with Muslims being the second largest group, with 1.8 billion adherents. The scientists issue with people who take a moderate approach to religion is that they make the world safe for the extremists. Im sure theyd be horrified, he said at the Edinburgh book festival about this accusation. Nevertheless it could be true. As a result of his beliefs, Dawkins has been accused of being a fundamentalist atheist, though others would disagree. In an interview with The Guardian he explained, I once wrote that anybody who believes the world is only 6,000 years old is either ignorant, stupid, insane or wicked. Now, that sounds aggressive, that sounds fundamentalist, that sounds fanatical, but if you actually analyse it, its inescapable. Beliefs and controversies Dawkins is extremely vocal on a wide-range of issues particularly those related to truth believing that a quest for veracity is a central responsibility of being a scientist. When he was working in California in the Seventies, he joined protests against the American war in Vietnam, and remains left-leaning to this day. In his Standard article published yesterday, he said, No doubt I shall be labelled right-wing for writing this article and thats the most unkindest cut of all. He has tended to vote for Labour or the Liberal Democrats throughout his life, and was part of the New Statesmans 2009 project, 20 ways to save Labour: Stop toadying to Muslims and other faith communities as part of a general abolition of all religious privilege, he said in the project. He has pro-choice views, is a supporter of animal rights, is pro-LGBT rights, and has generally backed the feminist movement. However, he has been inconsistent. He once tweeted, If you want to be in a position to testify & jail a man, dont get drunk. He has also tweeted: Date rape is bad. Stranger rape at knifepoint is worse. If you think thats an endorsement of date rape, go away and learn how to think. His vitriol against religion has inevitably made him an enemy of many. In 2008, after he pointed out mistakes in the Turkish creationist books The Atlas of Creation his website was banned in both Turkey and Pakistan; he believes so strongly that educating children according to a religion is a travesty that he once argued that sex abuse in the Church, unpleasant as it is, may do less permanent damage to the children than bringing them up Catholic in the first place. He has also doubled down on the trans debate, in part because it is inextricably linked with the debate around free speech. In 2015, he wrote: Is trans woman a woman? Purely semantic. If you define by chromosomes, no. If by self-identification, yes. I call her she out of courtesy. As mentioned in yesterdays article, his 1996 humanist of the year title was removed by the American Humanist Association in 2021 after they deemed some of his arguments, to demean marginalised groups, an approach antithetical to humanist values. But has also pushed further with his ideas. In 2013, he sparked outrage on Twitter when he said: All the worlds Muslims have fewer Nobel Prizes than Trinity College, Cambridge. They did great things in the Middle Ages, though, he added. In the midst of the backlash he tweeted: A statement of simple fact is not bigotry. And science by Muslims was great in the distant past. At the time, Caitlin Moran said: Think its time someone turned Richard Dawkins off and then on again alluding to The Selfish Gene where Dawkins made humans out to be robot-like. Faisal Islam, Channel 4s News Economics Editor at the time, called the remark a spurious use of data. Owen Jones said: How dare you dress your bigotry up as atheism. You are now beyond an embarrassment. In 2017, a radio station in California cancelled a book event they had with him, saying that he had, offended and hurt so many people. In 2013, during a Cambridge Union debate with the Archbishop of Canterbury Dawkins also said: If I were a cultural Muslim, I would have something to say about that faiths appalling attitude to women and various other moral points, which once again caused a wave of animosity. Dawkins has also described Islam as the most evil religion in the world ... of course that doesnt mean all Muslims are evil, very far from it. Individual Muslims suffer more from Islam than anyone else. As a result of this belief, in 2018 he planned to make his books obtainable by free download in Arabic, Urdu, Farsi and Indonesian in response to what he saw as a stirring towards atheism in some Muslim countries. At the time, he said that an unofficial Arabic-language pdf had reportedly been downloaded as many as 13 million times. This week he published an article on his Substack about the accusations of Islamophobia against him: Im frequently accused of Islamophobia, but Im not Islamophobic or Muslimophobic. I see Muslims as victims of their own religion, he tweeted. Twitter is known for making its users words come across as sharper, less nuanced and more aggressive. After all, no subtle argument can be debated 280 characters at the time. People comment on a whim, and make outrageous and combative statements they never would dream of saying to anybody face to face. Dawkins seems to be a victim of this: foreseeably, many of the comments he has made on the platform have come to undermine his more thoughtful academic work. Although he has denied enjoying the backlash that is often directed towards him, he has released several videos where he reads out his hate-mail. In one published on the Richard Dawkins Foundation for Reason & Science YouTube channel he reads in front of a log fire. I dont mind being disliked by complete idiots, he has said. D epp v Heard: one of the most talked about court cases in recent Hollywood history has been made into a new documentary thats about to debut on Netflix. The film follows the drawn-out battle between former couple Amber Heard and Johnny Depp. The difference with this case was that cameras were allowed in the courtroom. Streamed for millions of viewers from Fairfax County Court in Virginia, the six-week case was a spectacle full of memorable court outfits and jaw-dropping testimonies. Exploring both sides of the explosive testimonies, the upcoming Netflix show aims to unlock how this narrative has been shaped by public opinion. So when can we expect the new series to hit our screens? What is the Netflix show about? Amber Heard appeals chilling verdict in Johnny Depp US defamation trial (Yui Mok/PA) / PA Archive Directed by Emma Cooper, the new three-part Netflix series claims to take a neutral view of the trial, while exploring how public opinion started to shape reality. It also addresses the role that social media played in shaping these public opinions and even potentially shaping the outcome. Its a curious element of the human mind and brain that we observe the same scenarios differently, and have different perspectives, Cooper said, according to a Netflix press release. I simply wanted to put forward that these two people passionately believed their own truths, but those truths were not interlocking. They were not the same. The show will combine over 200 hours of trial coverage from news and TikTok sources to explore this story. When is it released? Depp v. Heard was released on Netflix outside of the U.K. on August 16. The actor said his goal was to reveal the truth, regardless of the outcome (Yui Mok/PA) / PA Archive Can you watch Depp v Heard in the UK? Depp v Heard was actually aired on Channel 4 earlier this year. While there is no official release date for UK audiences that want to watch the show on Netflix, it is currently available for streaming through Channel 4. What happened in the Johnny Depp v Amber Heard case? Depp was awarded $15million in damages following the trial (Jonathan Brady/PA) / PA Wire Depp and Heard met in 2011 on the set of their shared film The Rum Diary and began dating shortly afterwards. They married in 2015 but split and divorced a little over a year later. The saga that eventually led to their court case began in 2018 when Heard wrote an op-ed in which she implied that she was a victim of abuse. Although she didnt name her ex-husband in the article, Depp launched a lawsuit in 2019 arguing that he had been boycotted in Hollywood. Heard then filed a counterclaim for defamation against Depp worth $100 million, accusing him of a smear campaign. In the six-week court showdown, the jury heard many accounts of incidents that occurred while the pair were together. This included claims of violence as well as claims that Heard had defecated in his bed. In May 2022, a jury awarded Depp $15 million (12.4 million) in damages. S ome hotels you go to for the food. Others, for the spa. Some stays are all about the service, the location or the great value. But at these bucket-list properties, you check in for one thing in particular: remarkable views. Whether youre seeking to wake up to city, desert or one of the worlds most famous natural wonders, they deliver once-in-a-lifetime, Insta-perfect panoramas. Word to bookers: in some cases all rooms have great views; in other cases its just certain categories. So to avoid disappointment confirm with the hotel before booking that your chosen room definitely delivers the goods. Bulgari Hotel Tokyo, Japan All of Tokyo is at your feet when you check into the luxe Bulgari Hotel / Bulgari Hotel Tokyo Among Tokyos sea of skyscrapers, great room views are not just about getting high but about bagging a prime location. The recently opened Bulgari Hotel, occupying the 40th to 45th floors of the Tokyo Midtown Yaesu building, is bang in the citys heart near the Imperial Palace, Tokyo Station and Tokyo Skytree so you can absorb the city highlights in detail while kicking back in the designer plushness. On clear mornings spot the distant silhouette of Mount Fuji and see the rush of commuters on train platforms below; by night, enjoy the mesmerising glow of a million city lights. From 1,090, bulgarihotels.com InterContinental Bora Bora Resort Thalasso Spa, French Polynesia Tropical views go into overdrive at InterContinental Bora Bora / InterContinental Bora Bora Resort Thalasso Spa Paradisical postcard perfection: thats whats served up at this sublime overwater resort on the idyllic French Polynesian isle of Bora Bora. The best villas overlook the crystal-clear, reef-dotted lagoon, its shallows running all the way up to the lush Otemanu peak at the centre of the island. Each rustic-glam space has a broad deck with loungers and steps leading into the water, so you can plunge in at will or set off in a borrowed kayak for a spin over the tropical fish-flecked turquoise. Or just take in the whole remarkable scene from bed via floor-to-ceiling windows. From 1,086, ihg.com The Oberoi Amarvilas, India Toast to the Taj at the Oberoi Amervilas / The Oberoi Hotels and Resorts The iconic Taj Mahal is typically overwhelmed with a frenetic rush of tourists, but The Oberoi Amarvilas lets you experience Indias most famous building from a place of sublime serenity. While others day trip in and out of Agra to box-tick, you can sit back with a drink on your private terrace just 600m away and contemplate the white-domed figure over an entire evening. Dressed in dark woods and opulent fabrics, each of the rooms come with a regal splendour befitting of the locale. From 361, oberoihotels.com Sonnwies Dolomites, Italy Even bathrooms can come with a prime view at family resort Sonnwies / Georg Roske / Sonnwies For design-forward families seeking an ace room with a view, this stay in the Italian Dolomites delivers up rambling panoramas of forested mountains in summer and a frosty winters wonderland when ski season descends. Rooms sleeping two adults and two children are dressed in natural pine to reflect the outdoors, and some come with roaring fires and spa facilities like private whirlpool and sauna. If you can tear yourself from the comfort of your cocoon, the views continue from the outdoor pool and grounds. From 536, sonnwies.com Hotel Cafe Royal, London Suites at Hotel Cafe Royal have the most-London views ever / Hotel Cafe Royal Whats the most iconic view in London? Piccadilly Circus, of course. While its certainly a splurge to book into such a luxe hotel in your home city, theres something indescribably thrilling about throwing open the curtains of your suite to double-deckers and black cabs circling the iconic roundabout. Some of Hotel Cafe Royals view rooms (which are well-soundproofed, by the way) give priority to Regent Street with its bustling shoppers and others focus down towards majestic Pall Mall. With so much to take in, you definitely wont be needing that TV. From 900, hotelcaferoyal.com Strawberry Hill, Jamaica Breathe in mountain air, and landscapes, at Strawberry Hill / Strawberry Hill Think of Jamaica and surf-lapped beaches probably pop to mind, but Strawberry Hills dramatic setting is a whole other prospect: lush island interior. Perched high above the capital of Kingston in the wilds of the Blue Mountains, lined with coffee trees, palms, waterfalls and tropical fruit trees, this clapboard collection of cottages still retains its old-world air from the time when Bob Marley lived here. Rise from your four-poster bed and open your shutters to birdsong and the sight of mist lifting from verdant treetops. From 245, strawberryhillhotel.com One & Only Reethi Rah, Maldives Enjoy an eye-popping breakfast on your terrace at One & Only Reethi Rah / One & Only Reethi Rah Azure, turquoise, cyan youll soon run out of words to describe the kaleidoscope of blues outside your window at this indulgent Maldivian resort. Blending Robinson Crusoe-style castaway spirit with unadulterated luxury, the islands MO is chill-out, with many guests spending all day in their private villas enjoying the natural panorama. Choose from overwater rooms, where the view is endless surf, or get one set on icing sugar sands to enjoy a delicious contrast in tones. From 1,570, oneandonlyresorts.com 1 Hotel Brooklyn Bridge, USA Manhattans skyline is served up on a plate at 1 Hotel Brooklyn Bridge / 1 Hotel Brooklyn Bridge Few skylines are as fabulous as New Yorks. And 1 Hotel gives you full 24/7 access to it, with rooms gazing across the East River from Brooklyn Bridge Park to Manhattans ridge of steel and glass. Closer at hand, the stony figure of Brooklyn Bridge itself rises up in the foreground, cutting across the blue that you can see from your bed. Meanwhile, reclaimed woods and lush plant life inside your room provide a natural vibe to contrast the wilds of the urban jungle. From 354, 1hotels.com Kapari Natural Resort, Greece High above Santorinis caldera at Kapari Natural Resort / Kapari Natural Resort Few holiday moments beat kicking back with a glass of ice-cold white wine and watching the sun set over Santorinis dramatic sunken caldera. Its an experience you can have on repeat when you book into this clifftop pile in Imerovigli, where west-facing rooms overlook the electric Mediterranean Sea as well as the humped remnants of the semi-submerged ancient volcano. Simple, stripped-back decor and whitewashing within keeps eyes firmly fixed on the main event, even long after your vinos been polished off. From 246, kaparisantorini.gr Pullman Paris Tour Eiffel, France Oh la la! Balcony at Pullman Paris Tour Eiffel / Pullman Paris Tour Eiffel Morning coffee time gets properly pinch-me when you wake up to a full frontal of the Eiffel Tower. Set at the base of Gustav Eiffels 330m masterpiece just far enough away to appreciate its scale the Pullman makes the most of its situation with room balconies and big windows. The restaurant delivers views too, alongside cote de boeuf or John Dory a la plancha. Come for dinner and you can appreciate the towers evening light show, where for five minutes each hour it sparkles like a sequinned evening gown. From 450, pullmanparistoureiffel.fr Al Maha, a Luxury Collection Desert Resort & Spa, Dubai Contemplative desertscapes at Al Maha / Al Maha, a Luxury Collection Desert Resort & Spa Sometimes the austere views are the most impactful of all. Set among the dusty desert outskirts of Dubai, Al Mahas tented suites look over russet-hued sands dotted with shrub and the gentle curve of distant dunes. Keep eyes on the horizon for any roaming oryx antelope this is the largest protected land area in the Gulf for wildlife and when temperatures clime, cool off with a dip in the refreshing pool. From 825, travel/dxbam-al-maha-a-luxury-collection-desert-resort-and-spa-dubai/?hybridAEMFallbackRedirect=true">marriott.com Arctic TreeHouse Hotel, Finland Snowy landscapes on show at Arctic TreeHouse Hotel / Arctic TreeHouse Hotel For pure white winter magic, these treehouse-inspired villas in Santas icy stomping ground of Rovaniemi pull out the stops. White-washed interiors face broad floor-to-ceiling glass windows overlooking a snow-dusted landscape of forest; furry chair accents and hints of copper lend a sense of warmth against the frozen expanse. Many rooms are large enough to sleep a family and some have kitchenettes, so you can curl up with a mug of homemade hot cocoa and simply watch the snowflakes drifting down. From 222, arctictreehousehotel.com Four Seasons Resort and Residences Napa Valley, USA The perfect view for wine lovers: ripening Cabernet Sauvignon / Four Seasons Resort and Residences Napa Valley For oenophiles, what sight is more welcome than ripening grapes? At Four Seasons Napa Valley which happens to have its own winery, Elusa, right on site balconied or terraced rooms overlook acres of manicured Cabernet Sauvignon vines. Choose from a vantage point taking in the historic barn and pool, or one overlooking the rambling Palisade mountains; both provide vineyards so close you can practically reach out and touch them. From 944, fourseasons.com Grand Hotel Villa Serbelloni, Italy Lake Como awaits outside your window at Grand Hotel Villa Serbelloni / Grand Hotel Villa Serbelloni The stately gilded interiors of this historic Lake Como hotel hold plenty of appeal but nothing can beat whats outside just its windows. Perched perfectly at the very tip of Bellagios waterfront, where the lake splits in two directions, Grand Hotel Villa Serbelloni provides unobstructed views to the water, surrounding green hills and puttering wooden boats puttering. Let the breeze waft in while you contemplate plans for dinner perhaps a multi-course feast with rare Italian wines in the elegant waterside restaurant. From 500, villaserbelloni.com Longitude 131, Australia Longitude 131 is a once-in-a-lifetime splurge / Longitude 131 Uluru is one of the worlds most remarkable natural wonders, and this glam all-inclusive stay puts Ozs big red rock front and centre. Tented villas overlook the majestic beauty of its figure, with outdoor day beds with roaring firepits letting you sleep out under the stars if desired. Inside, indigenous artworks and stuffed complimentary mini-bars attract attention. If youve got real cash to splash, larger Dune Pavilions boast private plunge pools, fireplaces and views to both Uluru and nearby sister rock formation Kata Tjuta, too. From 1,938, longitude131.com.au Festival dressing has been an enigma from time immemorial. Follow the High Priestesses of Glasto, Kate Moss and Sienna Miller, and double down on boho-chic, but risk washed-up hippie results; adopt a neon-lycra catsuit, and attract the most unflattering attention; or buy one of those naff sequin bombers from an on-site stall, embarrass yourself in it once, and let it sit in a landfill site forevermore. And thats just for us regular folk. How does a princess navigate the sartorial trap doors of dressing for a booze-fuelled, techno rave fest? Its a question no one had pondered until news broke that the Princess of Wales had made an unexpected appearance at the boarding-school-boy-romp-of-a-festival Houghton at the weekend. Far from the expected spot of a royal sighting, it is famed for being the UKs only 24-hour thanks to its continuous sets on the stages. Now, we have our answer as to how Kate really does mufti, thanks to a sneaky onlooker and a photograph currently setting X (Twitter) alight. Passing on the perennial festival favourite Hunter wellies, the princess opted for a worn-in pair of flat, white Veja Campo trainers the sustainable sneaker of choice for her and 40-something women the country over. With them, bare ankles and spray paint skinny black jeans. Allegations have been levelled that these are in fact (deep breath) leggings, but the shadow of a belt buckle looks to have saved her from the fashion police on this occasion. Party Princess: Catherine, then Duchess of Cambridge, shows off her more sparkling side at a special reception hosted by the Governor General of Belize in 2022 / Getty Images Just as tight was her upper half. A body-con, long-sleeved top with a boat neckline slipping to her shoulders allowed for the exposure of defined clavicles, and acted as a foil for a knocking pair of dangling gold, circular earrings. Completing her jewellery accoutrements was a wafer-thin chain and little pendant, a bracelet and most amusingly a festival wristband. It appears royalty must flash a wrist to security to gain entry, like anyone else. This personal insight into the princess OOO style begs a number of questions. Was this monochrome get-up really her look of choice for dinner with friends, the Marquess and Marchioness of Cholmondeley, David Cholmondeley and Rose Hanbury, on their Houghton Hall estate, before the group was lured out into the masses? Or did she shrug off a shimmering The Vampires Wife gown to dress down a la LA celebrity, incognito mode? The real head-scratcher, though: who on earth are the Cirencester farmer types she is pictured trapped chatting with? A US soldier has been jailed for raping a British schoolgirl after sending sexual messages to her over social media from his base in Suffolk. Dennis Rocha, 18, drove 140 miles from his base at RAF Mildenhall in Suffolk to meet up with the schoolgirl, aged just 12 at the time. Swindon Crown Court heard Rocha added the victim on Snapchat on April 8 and, despite knowing the victim was underage, turned the conversation sexual before arranging to pick her up in Wiltshire the following day. He raped the girl in his car with the victim telling her mother, who reported it to the police. Rocha was arrested, charged and remanded in custody. Investigating officer DC Amanda Lord, of the Child Abuse Investigation Team, said: Rocha instigated this encounter with the victim despite knowing she was underage. He knew what he was doing was illegal and had ample opportunity to cease contact with the victim, but continued and took advantage of her for his own gain. We take offences like these incredibly seriously and we will always look to protect children from predators such as Rocha and ensure perpetrators of sexual offences are put before the courts. Id also like to commend the victim for her bravery and courage in speaking to us. He pleaded guilty to two counts of raping a child under 13 and was given a sentence of six years and eight months today. A one-year licence extension period was also imposed, and Rocha will also be subject to an indefinite sexual harm prevention order and must sign the sex offenders register on release. T he founder and organiser of the Leeds Festival has told an inquest he does not believe unaccompanied 16 and 17-year-olds should be banned from the event despite the death of a teenager who took ecstasy at last years event. Melvin Benn had to compose himself as he became emotional shortly after he began giving his evidence on Wednesday at the inquest into the death of 16-year-old David Celino. He told Davids parents, who were sitting just a few feet in front of him at Wakefield Coroners Court, of his genuine sorrow at their sons death. Mr Benn, who is managing director of Festival Republic, became visibly upset and had to take a few moments to compose himself before he revealed that he had lost a nephew to drugs. He told the court that Davids death had taken an emotional toll on myself and all of my staff, no question about that. The inquest has heard that David had gone to the festival, which attracts more than 90,000 people every summer to Bramham Park, near Leeds, with five friends from their homes in Worsley, Greater Manchester, in August last year. He became ill after taking ecstasy, which his group bought from a dealer in the Camping Plus area of the festival, and died after being taken to hospital in Leeds. Earlier this week, Davids father, Gianpiero Celino, told the inquest of his concerns that unaccompanied youngsters are left prey to drug dealers who operate like the child catcher in Chitty Chitty Bang Bang due to lax security. Mr Benn told the hearing on Wednesday: Itll be no surprise to know that I dont believe that there should be a bar on 16- or 17-year-olds. He agreed there is no such prohibition at any major festival in the UK, including Glastonbury, and the coroner discussed with him some of the practical difficulties of such a move. He said he believes 16-year-olds should be able to buy alcohol but the current law prohibiting alcohol sales for under-18s is rigidly applied at the festival. Mr Benn was asked by senior coroner Kevin McLoughlin about Mr Celinos belief that predatory drug dealers targeting teenagers is a foreseeable risk. He replied: Somehow Mr Celinos evidence really pulled it into focus. It struck home. Mr Benn, who also organises the Reading Festival and a number of others around the country, said: They (teenagers) do have naivety. They do have a false sense of security. And that was put into focus by Mr Celino. I have never had that focus. Its never been quite as clear as it was yesterday. Mr Benn said this years festival, which starts next week, will have a much more visible security and help presence. The inquest has heard that it is estimated around 20% of festival attendees are 16 and 17-year-olds and Mr Benn said he was putting more sophisticated systems in place this year to give an accurate picture of this data, using ID and wristbands. He said he believed the provision of AIR (Assistance, Information and Response) Hubs at the festival, staffed by volunteers rather than security staff, was the best way to provide support to youngsters. Mr Benn said these had been introduced as a direct response to Davids death and all festival-goers should be within 200m of a hub. But Mr Benn told the inquest: I do not think drugs are any more freely available at festivals than they are in society generally. Under questioning from Mr and Mrs Celinos barrister, Sarah Barlow, Mr Benn said he did not see what else he can do make sure attendees are aware of the risks around drugs at the festival, but he said he would welcome talking to the family about their thoughts on the matter. Im always open to ideas, he told the hearing. Im not sure what more I could be doing. Asked by Festival Republics barrister Paul Greaney KC, Mr Benn said it was impossible to stop drugs getting onto the festival site. He said searches were targeted because it was practically impossible to properly search everyones bags, tents and sleeping bags for drugs. Mr Benn was asked about whether he had considered introducing so-called front-of-house testing, so drug-users can find out what is in the substances they have bought. He said that the Home Secretary has prohibited this from taking place at festivals, adding that he believed it can give people the wrong idea that their drugs are safe to take. The coroner allowed a break in proceedings on Wednesday afternoon to allow Mr Benn to meet with Davids parents before he had to leave Wakefield. Detective Chief Inspector Philip Jackson told the court he also investigated the death of 17-year-old Anya Buckley, from Oldham, who died after a drug overdose at Leeds Festival in 2019. He said the circumstances were different to Davids death and that investigation led to the dismantling of an organised crime group in Oldham. Mr Jackson agreed that an opportunity was missed when officers did not immediately search for the dealer who supplied David and his friends but he said officers priority on the night was the teenagers welfare. The inquest heard that Festival Republic had drafted in retired West Yorkshire Detective Chief Superintendent Nick Wallen who led the investigations into the murders of MP Jo Cox and school teacher Ann Maguire to draw up its revised security plan for the festival. A 40-year-old man found dead in a property last week was stabbed more than 100 times in a frenzied attack, a court has heard. Osman Abshir, 28, is accused of the murder of Fuad Saman, whose body was discovered at a house on Casselden Road in Harlesden, north-west London, late on Thursday night after police were called following concern for his welfare. Police forced entry into the property and found the victim with head injuries. He was pronounced dead at the scene. A post-mortem examination carried out on Saturday established his cause of death as blunt and sharp force injuries to the head. Abshir, from Brent in north-west London, was charged with Mr Samans murder on Sunday. He appeared at the Old Bailey on Wednesday, where he did not enter a plea. The court was told Mr Saman was stabbed in the head and lower torso in what was described by the prosecution as a frenzied attack. A pathologist has taken the preliminary view that several of the injuries were inflicted after Mr Saman died, the hearing was told. Abshirs defence barrister Anastasis Tasou did not make an application for bail. Judge Mark Dennis KC remanded him in custody and he will appear at the same court on November 7, when he is expected to enter a plea. He is expected to stand trial for two weeks from June 17 next year. A group of French tourists have been arrested in Magaluf after a British teenager alleged she was raped. The French and Swiss nationals were arrested last Monday, reported Spanish publication, Ultima Hora. Citing sources at the Calvia Civil Guard, the paper reported that an eighteen-year-old woman met the tourists at a party where they took her back to their hotel. They are then alleged to have raped her, filming the attack on their phones. The woman later escaped onto the street where a security guard saw her crying and phoned the police. A medical examiner is said to have found grip marks on the womans arms. The alleged victim described the suspects to officers and six men were arrested. All those arrested are aged in their twenties. Photographs of the alleged suspects showed one man holding his head down as he was led into court in the Mallorcan capital of Palma for a court hearing this week. Magaluf, a large holiday resort on the Spanish island of Majorca, is popular with British tourists. A lmost three-quarters of unpaid carers in London are taking on the bulk of care responsibilities for people with dementia, according to a survey. A poll by the Alzheimers Society found that 74 per cent of carers in Greater London and Berkshire classed themselves as primary carers, meaning they take on the majority of caring responsibilities for their loved one. Respondents spent an average of four working days (31.5 hours) a week providing essential practical and emotional support. Nearly four in ten (39 per cent) said they felt they had lost their identity. Liz Trout, 70, from South Croydon, saw her own world shrink when her husband Eric was diagnosed with mixed dementia in 2016. She said: It used to be that Eric made all the decision but that changed after his diagnosis. It felt as though he was handing all of the responsibility over to me, and it was really full on. There wasnt a single aspect of his life that I wasnt involved in. I became his comfort blanket and that made it very difficult to leave him alone. I managed to get him into a day centre for three hours once a week to give myself a bit of respite, and when he saw he again hed think Id been gone for two weeks. Mr Trout sadly died in hospital, aged 90, after contracting Covid, but Ms Trout had kept her promise to care for him right up until the end. She added: He had asked me a long time ago to promise that Id never put him in a care home, so I never did. But caring for a loved one with dementia is incredibly hard. Id do so much for him and then he would look at me and say remind me who you are. I felt so desperate at times that Id just sit in the kitchen and cry. Alzheimers Society surveyed 1,003 unpaid current and former carers of people with dementia from across the UK. Nationally, more than a quarter (28 per cent) said they are worse off from a financial perspective due to their caring responsibilities, while 16 (per cent) said they are having to use savings. Almost a quarter said they have had to cut back on luxuries (23 per cent), or hobbies and leisure time (22 per cent) to manage their finances. Alzheimers Society said it is calling on the Government to take clear action to introduce a sustainable funding model, which pools the risk of care costs to provide people living with dementia with easy and timely access to personalised care. It said this must be supported by an adequately resourced and trained social care workforce, and there should be better recognition of unpaid carers, coupled with improved dementia-specific support and breaks. Actress Anne-Marie Duff, whose brother was diagnosed with dementia in his 40s, paid tribute to the charitys work and described unpaid carers as heroes. She said: Alzheimers Society is a lifeline through some of the hardest and most frightening times and a voice to thousands of families who are living with dementia. I know first-hand the impact of caring for a loved one with dementia, after my brother Eddie was diagnosed with dementia in his early 40s. Unpaid carers exemplify what it means to be kind and compassionate quite simply, unpaid carers are heroes. The charitys chief executive, Kate Lee, said: There are so many family members and friends providing care around-the-clock to keep their loved ones tethered to the world. This vital care can be incredibly rewarding but comes at a cost often coping with changes to behaviour and carrying out daily tasks many of us take for granted. A Government spokesperson said: Unpaid carers play a vital role in the lives of their family and friends, which is why we have increased Carers Allowance and the earnings limit for people receiving it by nearly 40 per cent since 2010. Many carers who are in work and receiving Carers Allowance will also be receiving Universal Credit which includes a carer element worth more than 2,000 a year. A six-time Tory London mayoral hopeful is in the running to be a Conservative MP candidate in one of Britains most marginal constituencies. Andrew Boff, chairman of the London Assembly, is up against two others to stand as the partys candidate in Dagenham and Rainham at the next general election. The seat was held by Labours Jon Cruddas with a majority of just 293 in the 2019 election, and Mr Cruddas has announced he will not be re-standing. Mr Boff, who has unsuccessfully run to be the Tory candidate for London mayor in every election except 2012, is up against Sam Holland - a member of Bicester Town Council in Oxfordshire - and Samia Hersi, a campaigner for the party who works in public affairs. Id actually been asked by members of the local association to stand, Mr Boff told the Standard. I thought about it and I thought it made sense - and I tend to want to do what people have asked. I know the area. I live in Barking and Dagenham, and it seemed sensible. Weve got to rescue the local residents from a Labour party that doesnt listen to people. The western part of the constituency falls within the borough of Barking and Dagenham - which has a Labour-run council - while the eastern portion is in Havering, run by a coalition of the Havering Residents Association and Labour. Asked whether he thought the seat was winnable, given Labours position roughly 20 points ahead in the national polls, Mr Boff said: Its not the general election at the moment, and well be very much focusing on what matters to people - if Im the candidate - to people who walk out their door and they see the world around them, and they want improvements. They want improvements in safety, in the environment, they want to stop being ignored by a council that doesnt pay any attention to them and they ultimately want a change. Im quite confident that if Im selected as the candidate, well win. Mr Boff said he expected to hear from the local Conservative association in early September whether hes been selected. He previously stood for Parliament in 1992, when he unsuccessfully attempted to hold Hornsey and Wood Green for the Conservatives. He served as the leader of Hillingdon Council from 1990 until 1992, and twice stood for the European Parliament. He has sat on the London Assembly since 2008, having been elected as a London-wide member four times. Labour is standing local councillor Margaret Mullane to replace Mr Cruddas. Mr Boffs colleague on the London Assembly, Emma Best, ran to be selected as an MP candidate earlier this summer in Broxbourne, Hertfordshire, but party members opted instead for local council leader Lewis Cocking. The Conservative candidacy for the seat, which borders Greater London, had become vacant after Tory MP Sir Charles Walker announced his intention last year to stand down at the next election. First ship leaves port of Odesa along corridor for civilian ships established by Navy since war start The first container ship JOSEPH SCHULTE (Hong Kong flag) used the temporary corridor established for civilian ships to/from Black Sea seaports, the Ministry of Communities, Territories and Infrastructure Development (Ministry of Restoration) reported on Facebook on Wednesday. "The container ship JOSEPH SCHULTE is moving along the temporary corridor. On July 16, the container ship, which has been in the port of Odesa since February 23, 2022, left the Vorontsov lighthouse and is heading for the Bosphorus. There are more than 30,000 tonnes of cargo (2,114 containers), in particular, with food on board," Oleksandr Kubrakov, Minister of Communities, Territories and Infrastructure Development of Ukraine, wrote on Facebook. He noted that the corridor, first of all, will be used for the evacuation of ships that were in the Ukrainian ports of Chornomorsk, Odesa and Pivdenny at the time of the full-scale invasion of the Russian Federation. According to navigation order of the Navy of the Armed Forces of Ukraine No. 6, temporary routes for the movement of civilian ships to/from the Black Sea seaports of Ukraine began to operate from August 8, 2023. Kubrakov recalled that Ukraine proposed this route in its appeal to the International Maritime Organization (IMO). "The IMO Council recognizes Ukraine's international right to free commercial navigation and calls on Russia to stop any threats and adhere to international conventions," Kubrakov stressed. The Deputy Prime Minister also recalled that the last time the ship left the port of Odesa was on July 16 as part of the Grain Initiative. After the failure of the Grain Deal, Russia began to use systemic air attacks on port infrastructure to stop Ukrainian agricultural exports. At the same time, information speculations and attempts at provocations in the Black Sea were observed in order to influence the movement of ships to Ukrainian ports. A member of staff at The British Museum has been sacked after a number of items of gold jewellery some more than 3,000 years old vanished from a storeroom. Scotland Yard are investigating with the landmark museum taking legal action against the suspect as they launch a vigorous search to retrieve the missing artefacts. The matter is also under investigation by the Economic Crime Command of the Metropolitan Police. A British Museum spokesperson said the majority of the missing items were small pieces kept in a storeroom belonging to one of the collections. They include gold jewellery and gems of semiprecious stones and glass dating from the 15th century BC to the 19th century AD. They stressed none had recently been on public display, and they were kept primarily for academic and research purposes. Scotland Yard confirmed they were assisting the investigation but no arrests have been made so far. George Osborne, Chair of the British Museum, said: The Trustees of the British Museum were extremely concerned when we learnt earlier this year that items of the collection had been stolen. The Trustees have taken decisive action to deal with the situation, working with the team at the Museum. We called in the police, imposed emergency measures to increase security, set up an independent review into what happened and lessons to learn, and used all the disciplinary powers available to us to deal with the individual we believe to be responsible. Our priority is now threefold: first, to recover the stolen items; second, to find out what, if anything, could have been done to stop this; and third, to do whatever it takes, with investment in security and collection records, to make sure this doesnt happen again. This incident only reinforces the case for the reimagination of the Museum we have embarked upon. Its a sad day for all who love our British Museum, but were determined to right the wrongs and use the experience to build a stronger Museum. Hartwig Fischer, Director of the British Museum, called the incident highly unusual. He added: I know I speak for all colleagues when I say that we take the safeguarding of all the items in our care extremely seriously. The Museum apologises for what has happened, but we have now brought an end to this and we are determined to put things right. We have already tightened our security arrangements and we are working alongside outside experts to complete a definitive account of what is missing, damaged and stolen. This will allow us to throw our efforts into the recovery of objects. A Scotland Yard spokesman added the force has been working alongside the British Museum. He said: There is currently an ongoing investigation there is no arrest and enquiries continue. A serial slum landlord has been banned from letting out properties after repeatedly exploiting vulnerable residents. Jaydipkumar Rameshchandra Valand, 48, has been handed Brent Councils first ever bannning order, following multiple housing-related offences in the borough. Valand was one of four landlords found guilty of raking in 360,000 by packing up to 40 tenants into a four-bed semi-detached home in Napier Road, Wembley, back in 2018. Enforcement officers discovered one of the Napier Road tenants living in a lean-to shack made out of pallets and tarpaulin, with no lighting or heating. He was slammed with a 5,000 confiscation order, but later went on to exploit more vulnerable tenants. Last January, he was found guilty of breaching housing regulations at a licensed house in multiple occupation (HMO) property in Wembley Hill Road. Enforcement officers discovered fire safety violations, accumulating waste, disrepairs and unhygienic conditions under Valands management. Meawhile, he pocketed 1,400 a month from his tenants, who were living in unsafe conditions without tenancy agreements. He was fined 30,000 and ordered to pay 3,347 in costs by Willesden Magistrates Court. Valand also declared that he didnt own a business in the UK, but Brent officers were able to demonstrate in court last year that this was not true. He was ordered to pay in fines and costs 6,190 for this lie. One of the properties on Napier Road / Brent Council A judge has now banned Valand, who lives with his family in Swinderby Road, Wembley Central, from letting out houses in England and engaging in any sort of property management work in the country for the next five years. Cllr Muhammed Butt, the leader of Brent Council, said: This is the first ban we have issued since Brent was given the powers in April 2018 to ban serial rogue landlords under the Housing and Planning Act 2016. If Jaydipkumar Valand breaches his five-year ban, he will face a prison sentence. Brent Council takes a zero-tolerance policy against rogue landlords such as this, and we will use everything in our powers to hold them to account to safeguard our vulnerable residents. The new selective licensing scheme that we introduced on August 1 is part of our commitment to protecting renters rights and securing a decent standard of living for all residents. Landlords who rent out properties in Dollis Hill, Harlesden & Kensal Green and Willesden Green are now legally required to have a property licence. R ail commuters face an average 300 hike in the cost of annual travel in and out of London despite a Government pledge to cap next years fare increases, passenger groups warned on Wednesday. The average cost of a season ticket, based on 40 popular commuter towns to the capital, would increase from 5,052 to 5,350 if Marchs 5.9 per cent rise was replicated next year, the Campaign for Better Transport said. It joined the Lib-Dems and Greens in calling for a freeze on rail fares mirroring the freeze in fuel duty granted to motorists. The RPI rate of interest for July, the traditional starting point for calculating annual rail increases, was announced today as being nine per cent, down from 10.7 per cent in June and markedly lower than the 12.3 per cent for July last year. The Department for Transport said it would not increase next years fares by as much as the RPI rate to protect passengers from cost of living pressures. It said the increase would again be delayed from January until March. Liberal Democrat Leader Ed Davey said: If the Government hikes rail prices yet again, it will be hammering train passengers commuting into London. It would be scandalous to see these price rises not only in the middle of a cost of living crisis, but also as the Government plans to close thousands of ticket offices. Transport for London, which sets Tube and bus fares, expects to align its fares with the rail fare increase but said Mayor Sadiq Khan would take the final decision. Passengers will remain in the dark for several months about the size of the increases, with announcements unlikely until nearer December. Last year the DfT limited the rail increase to 5.9 per cent, which was copied by Mr Khan for TfL services. A similar rise next year would see 27 rail season tickets to London rise above 5,000, 10 exceed 6,000 and take an annual ticket between Southampton and London to 7,218, according to the Campaign for Better Transport. TfLs current funding deal with the Government requires it to impose a four per cent increase, or to mirror the rail rise, whichever is greater. In subsequent years, its planning assumption is for fares to increase by RPI+1. TfL has succeeded in increasing its income from other sources, meaning that fares should account for 65 per cent of its cashflow this year, down from 72 per cent. Passenger numbers have reached 89 per cent of pre-pandemic levels. A TfL spokesperson said: Both the Mayor and TfL are committed to keeping public transport as accessible and affordable as possible and no final decisions on the fares package for 2024 have been made. Treasury Chief Secretary John Glen today rejected calls to freeze rail fares next year. When youre in opposition, you can say lots of things that are very attractive, he told Sky News. When youre in government youve got to take tough decisions within the envelope of expenditure that we have. T he refusal of three Tory county councils to allow Ulez warning signs to be erected on London approach routes could result in an increase in road collisions, it was claimed on Wednesday. Transport for London (TfL) issued the safety alert as it claimed that Hertfordshire, Kent and Surrey county councils had no legitimate basis for continuing to prevent it from erecting the signs. TfL fears that drivers could perform unsafe manoeuvres, such as U-turns, if they suddenly realise they are about to cross into Greater London. It named three locations where it believes the lack of advance warning signs could potentially result in an increased risk of collisions. These are the Stanwell Moor Road approach to the roundabout with the Southern Perimeter Road of Heathrow airport in Surrey, Hewitts roundabout in Kent, and the A411 approach to Stirling Corner roundabout in Hertfordshire. A spokesman for London Mayor Sadiq Khan said: These councils outside London are not fulfilling their responsibility to ensure their residents and other motorists have all the information they need to avoid driving into the Ulez inadvertently. The London-wide ultra low emission zone, which goes live in less than a fortnight, on August 29, largely follows the Greater London boundary. Highways England has allowed warning signs to be erected on all motorways into London, including the M20 from the Channel Tunnel. Slough borough council has also allowed the signs. Drivers of non-compliant vehicles will have to pay 12.50 a day or will be sent a 180 penalty. However it is likely that first offenders will receive a warning letter rather than a fine as part of a soft expansion of the clean air scheme in its first few weeks. TfL has responded to more than 200 instances of its 2,750 new enforcement cameras being vandalised by so-called Blade Runner vigilantes by concealing their wires within a protective metal box. More than 95 per cent of vehicles in the current Ulez zone comply with the emissions rules meaning they are exempt from the charge. TfL said the opposition from the three county councils would not stop the Ulez expansion going ahead. TfL said county councils had a legal duty to secure safe and convenient movement of traffic on their own roads and between other road networks. It has offered to cover the cost of erecting the signs and has urged the councils to do the right and responsible thing. Last month the High Court comprehensively rejected a bid from five Tory councils, including Surrey, to have the Ulez expansion declared unlawful. A TfL spokesperson said: We have been trying to work collaboratively with the councils surrounding London to ensure that their residents have as much information and warning of the Ulez boundary as possible, but so far this has only been possible with Slough Borough Council. The refusal of the other authorities to work with us means that their residents will not have as much information as we would like as they are driving towards the zone. A spokesman for Hertfordshire county council said: The expansion of the Ulez zone... to Hertfordshires borders will price some of the lowest paid in our county off the roads. No amount of signage will change the fact that our residents and businesses face a 12.50 penalty for travelling into the capital. A Kent County Council spokesperson said: We fully understand and appreciate our obligations as a highways authority, and the safety of road users is always our priority. We stand by our decision not to adopt Ulez signage for as long as there is no mitigation to minimise the impact of the expansion of the scheme on Kent residents. KCC is committed to the aim of improving air quality, but this must go hand-in-hand with appropriate mitigations, including better availability of public transport something the Mayor is looking to reduce for non-Londoners with the withdrawal of the day Travelcard. More recently we note that the Mayor of London is potentially looking to raise more money through the potential introduction of tolls at the Blackwall Tunnel. This is another indication that he has no consideration for the impact it could have on Kent residents and businesses to be further financially penalised. F ive children were dramatically led to safety by firefighters after a mattress was set on fire blocking a north London towerblocks staircase to safety. Two adults were also rescued after the fire ignited on the second-storey stairwell in a block of flats in Parkland Road, Wood Green on Tuesday. Twenty-five firefighters rushed to the five-storey block giving guidance to terrified residents until they could make entry. Firefighters wearing breathing apparatus led five children and two adults to safety wearing smoke hoods. A London Fire Brigade (LFB) spokesman said: Fire escape hoods provide members of the public with up to 15 minutes protection from four of the main fire gases (carbon monoxide, hydrogen cyanide, hydrogen chloride and acrolein) and can be worn by conscious or unconscious people. If more than 15 minutes protection is required then another hood can be given to each wearer. They do not provide oxygen but temporarily filter toxic smoke to make breathing easier. The Brigade was called at 12.11pm and the fire was under control by 4.37pm. Fire crews from Hornsey, Kentish Town, Holloway and Edmonton fire stations attended the fire. The force added the cause of the fire will be investigated. A fter his holiday in California, Rishi Sunak dropped into the University of Cambridge yesterday, not, in his words, as the prime minister, but as a Hindu. He was visiting Morari Bapu, below, an Indian spiritual leader, during the nine-day Ram Katha recital he is currently conducting. At the event in Jesus College, Sunak received religious gifts and spoke about the importance of faith in his day-to-day life. I am proud that a golden Ganesh sits gleefully on my desk at 10 Downing Street, he said, adding, faith gives me courage, strength and resilience to do the best that I can for our country. He said he has been overwhelmed by the love and support of the British people. But some Cambridge dons were not very supportive. Nicholas Guyatt, a history professor at the college where the event took place, took to Twitter to express his anger. Fellows/students were given no notice that Rishi Sunak was going to appear, he said. The event was presented to us as wholly religious and apolitical; had we known he was coming, many of us would have protested the endless shameful actions of his wretched government. While Sunak is Britains first Hindu PM, Sir Keir Starmer will be the countrys first openly atheist PM if he is elected next year. When he swore allegiance to the new King last September, Starmer chose a form of words avoiding any reference to God. Critics misrepresented on Jordan Petersons blurb Jordan Peterson / Toronto Star via Getty Images Jordan Peterson, the most famous psychologist since Sigmund Freud, regularly sparks debate and divides opinion. But not according to his UK publisher. Penguin has plastered his latest paperback with sparkling reviews and some of the critics who are quoted question how accurately their words have been arranged. James Marriott of The Times noted the incredible work from Jordan Petersons publisher in turning his review the most negative thing I have ever written into praise for a philosophy of the meaning of life. In the review Marriott described a philosophy of the meaning of life that is bonkers. Johanna Thomas-Corr, literary editor of the Sunday Times, also quoted, called it a gross misrepresentation of her review and said Penguin should rethink the blurb. In fairness, publishers often quote selectively to give the best impression. This time they were caught out. I feel bad for whichever hapless intern was responsible, said Marriott. Self Esteem is vindicated after drama school rejections Self Esteem / Dave Benett Musician Rebecca Lucy Taylor, better known by her stage name, Self Esteem was once desperate to get into drama school to become a musical theatre actress. Now, 18 years on, she will play Sally Bowles in Cabaret at the Kit Kat Club. She revelled in her glory on Twitter: Keep thinking about how it cost a fortune to audition for drama schools and my dad would have to drive me down to London and how shite it felt to be told no over and over, she wrote. Self Esteem follows in the footsteps of actors Maude Apatow and Aimee Lou Wood to star in, according to her, the greatest production on the west end. Late but worth the wait. P rime Minister Rishi Sunak has said he is committed to reducing carbon emissions as he ruled out the suggestion of a referendum on the pledge to have a net zero economy by 2050. Mr Sunak said the target could be achieved in a proportionate and pragmatic way without stinging the publics pocket. Conservative success in last months Uxbridge and South Ruislip by-election, which saw local campaigners tap into anger over the expansion of the ultra low emission zone (Ulez), has led some backbench MPs to call on the Government to review other green policies. Since the shock win in Boris Johnsons former seat, Mr Sunak has signalled he is on the side of motorists and used a visit to Scotland to announce plans to grant more than 100 new licences for oil and gas extraction in the North Sea. I think most people are committed to getting to net zero, but getting there in a proportionate and pragmatic way The Tory Party leader has insisted that, despite concerns raised by the likes of former Conservative environment minister Lord Goldsmith about the Prime Ministers green ambitions, he is working towards the 2050 net zero target. Speaking to ITV News in Leicester on Wednesday, Mr Sunak said: Im committed to net zero. I have two young daughters, I care about the environment that we I leave them. My job is to leave it in a better state than I found it. But I think the path to net zero has got to be one that we tread carefully, that we bring everyone along with us on that journey, and we make that journey in a proportionate and a pragmatic way. So, my view is Im committed to it. We will get there. We will bring people along with us as we do, we will not unnecessarily burden them with extra hassle or extra cost as we do it. That is my overall approach to net zero. When asked whether he would consider putting the 2050 deadline to a public vote, Mr Sunak appeared to rule it out, saying he thought there was agreement on it. I think most people are committed to getting to net zero, but getting there in a proportionate and pragmatic way, he continued. That seems to me that common-sense approach to doing this, I think that has broad support. Mr Sunak was also asked about Greenpeace targeting his constituency home while he was away on holiday in the US with his family. A group of demonstrators scaled the Sunaks Grade II-listed constituency manor house in Richmond, North Yorkshire, to protest against his oil and gas licences announcement. The activists draped an oil-black fabric from the roof, staying there from about 8am to 1.15pm, when they were arrested and later bailed as part of an ongoing investigation by North Yorkshire Police. The Prime Minister suggested he agreed that it should not have been possible for protesters to get to his Yorkshire home, but said it wouldnt be right for him to comment further following the arrests. Mr Sunak said he had given the police additional powers to crack down on these kinds of eco extremists, these eco zealots, the Just Stop Oil and others who are disrupting all these sporting events over the summer in particular. T he Central Bank of Ireland is seeking a full account of a glitch which saw Bank of Ireland customers withdrawing or transferring more money than was in their accounts. Minister for Finance Michael McGrath said he also wanted to know why it happened and what would be done to prevent a repeat. Bank of Ireland (BOI) said the incident was down to a technical issue with its services and not a cyber attack. It said its app and and online services are working again on Wednesday and any transfers or withdrawals taken out during the outage will appear in their accounts during the day. The bank has informed customers who withdrew more cash than was in their accounts that this would appear as an overdraft, and encouraged those who find themselves in financial difficulty as a result of the fault to get in touch. It comes after large queues built up at the banks ATMs in parts of the country on Tuesday and messages on social media encouraged people to withdraw cash despite not having funds in their accounts. An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. Mr McGrath said his officials have been in contact with the Central Bank of Ireland and Bank of Ireland. Given our growing dependence on technology for the delivery of financial services, I have asked my officials to engage with the Central Bank on its assessment of the robustness of this Bank of Ireland incident, and more broadly the robustness of the technology systems used by regulated, customer-facing financial service providers here in Ireland, and whether any further steps are required to reduce the risk of outages that impact on customers, he said. From my point of view as Minister for Finance, financial service providers have to do whatever is required to ensure continuity of service for their customers. This is vital for the normal functioning of our society and our economy. Disruption to banking services can have a significant effect on peoples personal lives and on the running of businesses. Customers rightly have an expectation of a high quality of service and to be able to have uninterrupted access to services. The Central Bank said it set out to get a full account of outages whenever they occurred in the financial system. We expect this process will include understanding the root cause of the recent service disruption and what BOI is doing to avoid further disruption for BOI customers, it said. Where issues occur which impact on customers, we expect banks to rectify the issues urgently. We require banks to put things right where they have made errors or cause customer harm. Earlier, Bank of Ireland said: Yesterday a technical issue impacted a number of Bank of Irelands services. Our teams restored these services overnight and all services are available to customers this morning. Overnight payments to accounts may appear throughout the day. We are aware that the technical issue meant some customers were able to withdraw or transfer funds above their normal limits. These transfers and withdrawals will be applied to customers accounts today. We urge any customer who may find themselves in financial difficulty due to overdrawing on their account to contact us. We sincerely apologise for the disruption this outage caused we know we fell far below the standards our customers expect from us. Bank of Ireland was fined 24.5 million euro (about 21 million) in 2021 by the Central Bank of Ireland over failures to have a robust framework to ensure continuity of services in the event of a significant IT disruption. Asked about the outage on Tuesday, a spokesman for the Central Bank said it continues to monitor the situation regarding the availability of certain services provided by Bank of Ireland. We are engaging with Bank of Ireland to ensure that any issues and errors identified are resolved for customers, and that it is doing all it can to ensure customers expectation of a high-quality, uninterrupted service is met. Customers should contact Bank of Ireland in the first instance if they have concerns about their service. For more information, impacted customers should contact Bank of Ireland on is 0818 214 365 or visit www.bankofireland.com. B ank of Ireland has warned customers that money withdrawn from their accounts while its online and app services were down will still be debited from their accounts. It comes amid reports of some users being able to withdraw money beyond what they expect to be in their account. An Garda Siochana said it was aware of an unusual volume of activity at some ATMs across the country. It said it was also aware of issues relating to banking services on Tuesday. In a statement to the PA news agency, a Bank of Ireland spokesman said: We would like to remind customers that if they transfer or withdraw funds including over their normal limits this money will be debited from their account. While we are conscious customers may not be able to check their balance at this time, they should not withdraw or transfer funds if they are likely to become overdrawn. An Garda Siochana also said it would remind people of their personal responsibility in carrying out their personal banking. A spokesman for banking technology firm Revolut told PA it was looking into claims that excess amounts of money had been transferred into customers accounts from Bank of Ireland. Earlier, Bank of Ireland said it does not have an estimated time for when its mobile app and web service 365Online will be restored. Upon opening the app, users are informed they are unable to connect while the website is also unavailable. The bank has told customers that its technical team is working to rectify the difficulties as a high priority. Customers reported having no access to their accounts for hours and being unable to transfer money for important payments. Apologising to users, Bank of Ireland said: We are aware that customers using our mobile app and 365Online are currently experiencing difficulties. We are working to fix this issue as quickly as possible and apologise for any inconvenience caused. The bank also acknowledged that some customers were having difficulties withdrawing cash from ATMs. Shortly before 10pm on Tuesday, the bank added that it did not have an ETA for the restoration of services. The Russian Federation is considering scenarios of provocations, including at its nuclear facilities, in order to blame Ukraine for this, said Andriy Yusov, a representative of the Main Intelligence Agency of the Ministry of Defense of Ukraine. Commenting on the data of the Center of National Resistance about the preparation by the Russians of a provocation at the Kursk nuclear power plant in the Russian Federation, Yusov confirmed that there is such information. There is such information, and it has already been made public, including by the Ministry of Defense. Yes, the enemy considers a number of provocations as a scenario of actions, including at its nuclear facilities. What is the purpose for this - to blame Ukraine, to provoke, to mobilize additionally internal public opinion, which from time to time wobbles and differs even from ruscist propaganda, Yusov said on the air of the national telethon on Wednesday. The Defense Ministry stressed that terrorist attacks and provocations against its own civilian population are a signature feature of the Putin regime and there is nothing particularly surprising here. Therefore, such provocations on the territory of the Russian Federation by the Putin regime are possible, Yusov said. D etectives investigating criminality linked to a major PSNI data breach have arrested a man on suspicion of collection of information likely to be of use to terrorists. The 39-year-old man has been detained after he was arrested following a search in Lurgan, Co Armagh. He is being questioned by detectives at Musgrave serious crime suite in Belfast. Detective Chief Superintendent Andy Hill said: We are working tirelessly to address the risk posed to officers and staff. Todays search operation, and subsequent arrest, is just one piece of a large-scale operation. We will continue in our efforts to disrupt criminal activity associated with this Freedom of Information data breach and to keep communities, and our officers and staff who serve them, safe. Last week, the Police Service of Northern Ireland (PSNI) revealed a document had mistakenly been shared online in response to a Freedom of Information request which included the names of about 10,000 officers and staff. Details released included the surname and first initial of every employee, their rank or grade, where they are based and the unit they work in. This week, PSNI Chief Constable Simon Byrne said he believed the information was in the hands of dissident republicans. It followed the posting of documents from the leak on a wall near a Sinn Fein office in Belfast. Many officers have expressed concern for their safety in Northern Ireland, where police are under threat from terrorists with the current level of threat assessed as severe, meaning an attack is highly likely. Earlier this year, dissident republicans were blamed for the attempted murder of senior PSNI detective John Caldwell. Meanwhile, the Catholic Primate of all Ireland, Archbishop Eamon Martin, said he had spoken to Mr Byrne on Wednesday to express his concerns about the data leak. He said: In recent days I have spoken to several families and relatives of Catholic PSNI members. I wish to state my unequivocal support for all those who serve as police members or civilian support workers for the PSNI. I am in no doubt but that the future of peace and prosperity across the island of Ireland will depend upon respect and support for policing I have previously encouraged young people from the Catholic community to consider a career in policing. Today I reiterate this sentiment. For that reason I also call on everyone in the community to reject entirely those who would intimidate or threaten the courageous women and men including those from the Catholic community who selflessly choose this noble vocation of policing. He added: I am in no doubt that the future of peace and prosperity across the island of Ireland will depend upon respect and support for policing. Today I assured the Chief Constable that all members of the PSNI, and their families, are in my prayers at this time. P ictures have been released showing the last of this years osprey chicks taking flight at the northern stronghold of the bird of prey. A total of 11 chicks born by Kielder Water, in Northumberland, have fledged, Forestry England experts said. Recent wet weather delayed the birds from making their first flight. The video, taken from a nest camera, shows Gilsland take to the skies for the first time, watched by two of her siblings who track her progress as she makes a 30-second circuit of the nest. Its amazingly satisfying seeing them take to the air and do a circuit of their tree-top nest The endangered bird first bred in the 63,000-hectare forest in 2009 after a gap of at least two centuries. The Osprey Project at the forest has now seen a remarkable 114 chicks fledge over 15 consecutive years of breeding. Joanna Dailey, osprey co-ordinator with Forestry England, said: Its a big boost to conservation efforts given that the species was extinct in England for almost all of the 20th century. Because we have high-definition cameras on many of the nests we can follow the story as chicks pluck up courage to take their first flight. Tell-tale signs include wings being energetically flapped and hovering. Its amazingly satisfying seeing them take to the air and do a circuit of their tree-top nest. Once they have made their first flight, the youngsters will be taught to hunt by their attentive parents. They need to get stronger and build enough body fat within weeks before they begin a 3,000-mile journey to sub-Saharan Africa. Duncan Hutt, director of conservation at Northumberland Wildlife Trust, added: Its no accident ospreys have returned to Northumberland in such strength. Its the result of long-term planning, teamwork and sensitive habitat management. Its a magnificent bird which is back where it belongs. T he Russian espionage threat has escalated sharply since Vladimir Putins invasion of Ukraine, experts warned on Wednesday, after it was revealed that three Bulgarians have been arrested under the Official Secrets Act. Orlin Roussev, 45, Bizer Dzhambazov, 42, and Katrin Ivanova, 32, who are suspected of spying for the Kremlin, have been charged with possession of false identity documents with improper intention. They were allegedly holding 34 IDs including passports, some suspected to be fake. Professor Anthony Glees, a security expert at the University of Buckingham, said any Russian recruitment of Bulgarian nationals as spies should be of serious concern to Britain. Theyre not doing what they were previously doing, sending Russians over to the UK, he told TalkTV. So they appear to be recruiting if these people are Bulgarians people who are EU members who we would regard as our friends. The Russian threat facing this country has been extremely serious. Im not surprised about it. He added: Weve got MI5, a world-class security service, but it spends 90 per cent of its time roughly on terrorism, on Islam and Right-wing terrorism, yet espionage is a major threat. Security sources have disputed the 90 per cent figure, however, and insist that MI5 spends significantly more of its resources on tackling hostile states. The Met police said the trio were arrested in February with two other people, who have not been charged, and released on bail pending an Old Bailey trial. Roussev lives in Great Yarmouth while Dzhambazov and Ivanova shared an address on Harrow High Road where neighbours described them as a couple known as Max and Kate who fitted well into north London suburban life for a decade. The pair were said to enjoy regular full English breakfasts at a local cafe and baked cakes and pies for their neighbours. Simon Corsini said the pair were regular customers at his cafe, Ginos, near their rented one-bedroom flat. He said the couple did not stand out in Harrow, adding: No one would give them a second glance. He added: It is not something that you would expect, and it is quite a shock. I cant say that I am worried. There have been three stabbings in Harrow and that worries me more. The couple were said to be well known among Londons Bulgarian community as they ran an organisation helping migrants to familiarise themselves with British life. All three Bulgarians also had links to a flat in west London not far from the RAF Northolt military base on the A40, the Daily Telegraph reported. The base is often used for flights by members of the Royal Family, Government ministers and foreign leaders. Neighbours in Great Yarmouth, where Roussev lived, said they could remember security personnel turning up there in February. One, Moira Scott, said: There was a big crime scene tent outside the door of the Haydee and there were a load of plain police vans with blacked-out windows. My first reaction was that someone had been murdered. There was a lot of men dressed in black clothes with their faces covered, coming and going. They had balaclavas on as if they didnt want anyone to recognise them, which I thought was a bit weird. I couldnt see their faces. Kremlin critic Bill Browder said the Russian espionage threat had escalated under the leadership of former KGB spymaster Vladimir Putin, and was growing more acute since his invasion of Ukraine. I think one of the most important countries for him is the UK because he and so many of the other people around him have assets and investments and other things hidden in the UK, Mr Browder said on Times Radio. All MI5 resources were focused on Islamic terrorism and none were focused on Russian terrorism. And it turns out that Putin is just as much of a terrorist as Osama bin Laden. And I can promise you that the Russians do a much better job of flushing out our spies than vice versa. In the financial year to March 2021, MI5 spent 16 per cent of its budget on hostile state activity including by Russia. In his annual assessment of threats last year, MI5 director general Ken McCallum highlighted the Russian threat both before and since the war in Ukraine. The UK has led Western expulsions of Russians since deporting 23 Russian diplomats following the 2018 Salisbury poisonings. A lgeria has banned the Barbie movie almost a month after it was released in cinemas in the North African country. Local media reported that Algerias Ministry of Culture and Arts notified cinemas in Algiers, Oran and Constantine to immediately" pull the movie. Neither the ministry nor the Algerian Audiovisual Regulatory Authority commented on the apparent order or provided a rationale for it. However, a source told the Reuters news agency that it was withdrawn because officials believed the movie promoted homosexuality and did not comply with Algeria's religious and cultural beliefs. The movie contains no overt references to LGBTQ+ rights. Barbie opened in some Algerian cinemas last month, but the films distributors have since removed the Hollywood blockbuster from their screening schedules starting this week. It comes after authorities in Kuwait and Lebanon banned the live action movie based on the American fashion dolls for allegedly threatening conservative values. Some people in Algeria protested the cancellation on social media, posting their complaints along with the hashtag: #IAmBarbie. Others denounced it as censorship" and bigotry. The Barbie film is a threat to morality, they say, writer Leila Belkacem posted on her Facebook page. What morality are they talking about when the censors gorge on porn films at home? Arent you tired of dragging the country into your dark delusions?" Speaking to the Associated Press news agency, Fatima Ait Kaci, a retired teacher, said she had promised to take her two granddaughters, who were visiting from Canada, to see the movie. She said she heard what had happened to the movie only when they arrived at the Riadh El Feth cinema in Algiers and discovered another film showing in the same time slot. Algerian authorities dont have the courage to take responsibility for this shameful act of censorship," she said. Last week, the countrys Audiovisual Regulatory Authority temporarily" suspended all programming by private TV channel Es Salam for allegedly broadcasting in an irresponsible and unprofessional manner, a program containing scenes contrary to the precepts of Islam and the way of life of Algerian society. The Warner Bros. film has grossed over $1 billion (784.6m) worldwide since its release. A senior Nato official has sparked a backlash in Ukraine after suggesting it could give up land to Russia in exchange for membership. Speaking at an event in Norway on Tuesday, Stian Jenssen, chief of staff to the Nato secretary general, suggested that a possible peace deal could include Ukraine giving up seized territory. In remarks reported by the Guardian, Mr Jenssen said: I think that a solution could be for Ukraine to give up territory, and get Nato membership in return. However, he stressed that any peace deal would have to be acceptable to Ukraine and that it was simply a proposed option being discussed in diplomatic circles. Kyiv has insisted that there cannot be peace without Russia withdrawing from its territory and a return to its pre-2014 borders, which would also include the annexed territory of Crimea. Mykhailo Podolyak, a senior adviser to Ukraines president, Volodymyr Zelenskiy, said on Twitter: Trading territory for a Nato umbrella? It is ridiculous. That means deliberately choosing the defeat of democracy, encouraging a global criminal, preserving the Russian regime, destroying international law and passing the war on to other generations. The defence alliance attempted to draw a line under the suggestion on Tuesday night, saying that its position had not changed. We will continue to support Ukraine as long as necessary, and we are committed to achieving a just and lasting peace. The position of the alliance is clear and has not changed, a spokesperson said. Mr Jenssen is a close aide to Natos top official, Secretary General Jens Stoltenberg. He added to Norwegian newspaper VG: Im not saying it has to be like this. But that could be a possible solution. On Wednesday, Ukrainian officials claimed another milestone in Kyivs grinding counteroffensive, with Deputy Defense Minister Hanna Maliar saying troops have retaken a village in the eastern Donetsk region. The village of Urozhaine is near Staromaiorske, a hamlet that Ukraine also claimed to have recaptured recently. Ukraine appears to be trying to drive a wedge between Russian forces in the south, but it is up against strong defensive lines and is advancing without air support. U krainians released from Russian captivity allege they were subjected to torture, including beatings and electric shocks, as well as deprived of food and medical treatment. Both men and women held at a detention site in Taganrog, a port city in southwestern Russia, said they were repeatedly beaten, including in the kidneys and chest, and given electric shocks in daily interrogations. The testimonies from a dozen ex-detainees released in prisoner swaps were gathered in a BBC News investigation published on Wednesday, in what could amount to serious violations of international humanitarian law. Russia has previously denied torturing or mistreating captives, but did not respond to requests to comment. Captives are constantly left under-nourished, and those who are injured are not given appropriate medical assistance, with reports of detainees dying at the facility, the report also said. Artem Seredniak, a senior lieutenant, had already been in Russian captivity for four months when he and about 50 other Ukrainians were transferred to Pre-Trial Detention Facility Number Two in Taganrog last September. Russian guards pummelled Seredniak with shocks from an electric stun weapon in his back, groin and neck, he said. Thats how they worked on everybody, he said. They hammered you like a nail. More than 2,500 Ukrainians have been released since the start of Russias invasion but up to 10,000 others are believed to remain in Russian custody, according to human rights groups. In March, a report by the Office of the United Nations High Commissioner for Human Rights (OHCHR) said Russia had failed to ensure the humane treatment of prisoners, with strong patterns of violations. The Russian government has not allowed any outside bodies, including the United Nations and the International Committee of the Red Cross, to visit the Taganrog facility which before the war was used exclusively to hold Russian prisoners. R ussia wants to achieve self-sufficiency in attack drones rather than relying on Iranian imports to support its war in Ukraine, UK military chiefs said on Wednesday. The assessment came as the US administration pushes Iran to stop selling the drones to Russia as part of a broader effort to de-escalate tensions between Washington and Tehran, the Financial Times reported. Russia has almost certainly started to deploy domestically produced one-way attack Uncrewed Aerial Vehicles (OWA-UAVs) based on Iranian Shahed designs. Russian forces have been importing Iranian-made systems since September 2022, Britains Ministry of Defence said. Indigenous manufacturing will likely allow Russia to establish a more reliable supply of OWA-UAVs. The performance of these weapons has been variable and Ukraine has proved effective in neutralising the majority of incoming OWA-UAVs, it said. Russia likely aims for self-sufficiency in OWA-UAVs in the coming months. However, in the interim, Russia remains reliant on components and whole weapons from Iran, primarily shipped via the Caspian Sea. According to the FT report, the United States is also trying to persuade Iran to stop selling spare parts for the unmanned aircraft to Russia. The newspaper cited an Iranian official and another person familiar with the talks. The two sides have also been bidding to revive talks over Irans nuclear programme, and on a possible prisoner swap. While Russian drones have pummelled Ukraine, several unmanned aerial attacks have also struck the Moscow region in recent weeks, although Ukraine has been coy about claiming responsibility. Russian air defence systems destroyed three Ukrainian drones early on Wednesday over the Kaluga region north of Moscow, Russias defence ministry said. There were no casualties and no damage in the attempted attack, it said. Grain silos and warehouses were meanwhile damaged in overnight Russian drone attacks at one of Ukraines Danube river ports. Russian terrorists attacked Odessa region twice last night with attack drones. The main target is port and grain infrastructure in the south of the region," Odessa Governor Oleh Kiper said. He did not specify which port was attacked. Ukraine has two main ports on the Danube River which have both been attacked before - Reni and Izmail. N orth Korea has claimed that a US soldier who crossed the Korean border did so after becoming disillusioned with racism in the US Army. Private Travis King ran into the North while on a civilian tour of the Joint Security Area (JSA) on the heavily fortified border between the two Koreas, in July, and was detained. In North Koreas first official confirmation that it held King, state media said: During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harboured ill feelings against inhuman maltreatment and racial discrimination within the US Army. He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society." The North Korean state media channel said King was kept under control by soldiers of the Korean Peoples Army after his crossing and the investigation is still active. American soldier Travis King during a news program / AP Kings uncle, Myron Gates, told ABC News in August that his nephew was experiencing racism during his military deployment, and that after he spent time in a South Korean jail, he did not sound like himself. US officials have said they believe King crossed the border intentionally, and have declined so far to classify him as a prisoner of war. A Pentagon spokesperson said it could not verify Kings alleged comments and said it was focussed on bringing him back. The US, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. The soldiers family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. Shes a mom worried about her son and would be grateful for a phone call from him," the family said in a statement. Lastly, she has been in touch with the Army this evening and appreciates a [US Defence Department] statement that it remains focused on bringing Travis home." King, who joined the US Army in January 2021, is a cavalry scout with the Korean Rotational Force, which is part of the US security commitment to South Korea. However, he faced two allegations of assault in South Korea, and eventually pleaded guilty to one instance of assault and destroying public property for damaging a police car. He was due to face more disciplinary measures when he arrived back in the United States. King had finished serving military detention and had been transported by the U.S. military to the airport to return to his home unit in the United States. Instead, he left the airport and joined a tour of the border area, where he ran across despite attempts by South Korean and US guards to stop him. C hronographs are nothing new. In fact, this super-accurate piece of precision engineering was invented back in 1816 by French watch-maker Louis Moinet for working together with astrological equipment, while it was first marketed as a wearable chronograph in 1821 by Nicolas Mathieu Rieussec. His boss, King Louis XVIII, commissioned him to create a timepiece the monarch could use to record the lap time of his horse races. And it wasnt long before this new technology was refined further and mass-produced for use by the military to time artillery strikes and sportspeople to record personal bests. But at this point, chronograph functionality was still encased in clunky boxes rather than wrapped elegantly around wrists. It wasnt until 1913 when Swiss maker Longines developed one of the earliest wearable chronographs, followed by Breitling and the best of the rest (Patek Philippe; Omega; Rolex; Tag Heuer; and Zenith, among others). Then, it became a firm favourite with old-school Hollywood heavyweights such as Steve McQueen and Paul Newman, who helped raised its status, while more recently modern-day superstars like Bradley Cooper and Dwayne The Rock Johnson have sustained its profile. Lewis Hamilton, always fashion-forward, is also a big fan. You can spot a chronograph fairly easily: two or three sub-dials are usually displayed on the main dial in a harmonious arrangement featuring an independent sweep second hand. It can be started and stopped, as well as returned to zero, via two pushers without interfering with the traditional watch element. Clever, right? While function certainly trumped form for the first iterations of chronographs, aesthetics have finally caught up with practicalities, meaning theres a style to suit every taste, sportsperson or otherwise. Chronograph watches have maintained enduring popularity due to their timeless appeal, versatile functionality and the craftsmanship associated with them, Zoe Nicholls, senior PR manager at Rotary Watches, explains. In recent years, they have evolved in several ways to cater to modern demands while preserving their classic essence. At Rotary Watches, we have enhanced design elements to accommodate contemporary tastes, as well as paying careful attention to improving the quality of our chronographs via the use of high-grade materials. From dressy to sporty, we round up the best-looking and most-accurate chronographs out there, sure to help with punctuality and panache in equal measure. Stop the clock and shop the best chronograph watches below T he wellness world loves a trendy ingredient and right now thats mushrooms. The shroom boom is officially underway and that means putting fungi into everything, including our morning cup of coffee. So what is mushroom coffee, you ask? Keep in mind were not talking about the kinds of mushrooms that you cook with or the ones that will make you hallucinate. This is coffee blended with medicinal or functional mushrooms. The most popular are lions mane, chaga, cordyceps and reishi. Why should I swap this with my regular cup of Joe? One of the best things about mushroom coffee is that it typically contains half the amount of caffeine than regular coffee. This means youre less likely to experience jitters, anxiety and the dreaded caffeine crash. Medicinal mushrooms are also thought to have a legion of positive health effects. Certain mushrooms are adaptogenic, which means they support the body in dealing with stress and fatigue, says nutritionist Kim Pearson. Chaga mushrooms are rich in antioxidants and have immune and cardiovascular health benefits, while lions mane is understood to have cognitive health benefits and may improve concentration and memory, she adds. Fancy giving it a try? Shop the best mushroom coffees below. Naturya Superfood Latte Coffee Naturya Think of this product as a healthy alternative to those Starbucks syrup-laden lattes. Naturya Superfood Latte Coffee is a Fairtrade blend of coffee infused with coconut milk, reishi mushroom powder, calcium from seaweed and 450 million live bio cultures. Simply mix three tablespoons with hot water for a perfectly sweet, creamy and indulgent-tasting cup of goodness. This would also be great added to any smoothie. Buy now 7.20 , Ocado {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} London Nootropics Flow Coffee London Nootropics Packaged in a sleek, letter box-friendly box, this coffee wins points on the style front. The ingredients are a mix of barista-style coffee, dual-extracted lions mane and Rhodiola rosea (a medicinal plant traditionally used to reduce anxiety and fatigue). Flavour-wise, this one is smooth and well-rounded. Buy now 15 , London Nootropics {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Four Sigmatic Instant Coffee with Lions Mane Four Sigmatic This Finnish-American brand was the first to bring mushroom coffee to the masses. This particular blend features organic instant coffee with lions mane and chaga extract. Expect a medium-roast coffee with sweet chocolate and caramel notes. We particularly love that it comes in single-portion sachets, so you can enjoy it at home or when youre out and about. Buy now 11 , Ocado {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} DIRTEA Mushroom Coffee Superblend DIRTEA Ready to go all-in on the functional fungi craze? DIRTEAs Mushroom Coffee claims to be the purest and most potent on the market. It combines 100 per cent arabica coffee with 1,000mg of pure lions mane, chaga and cordyceps mushrooms, plus maca for digestion and ashwagandha for stress. The taste profile is full-bodied and smoky with nutty undertones. We found it had the earthiest flavour of the bunch, but it does mellow after a few sips. Buy now 39.99 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Rheal Shroom Coffee Rheal Made using Colombian instant arabica coffee and 600mg of mushroom extracts (lions mane, chaga and cordyceps), this option promises to bring calmer energy and better focus. It has much less caffeine than your regular cup of Java, so it would be ideal for anyone looking to cut back without going cold turkey. Plus, its worth noting that the company plants a new tree when you make a purchase. Buy now 24.50 , Rheal Balance Lions Mane Mushroom Coffee Balance Prefer French press coffee over instant? Balance Coffee is one of the only brands in the UK to supply a ground coffee-mushroom mix. The formula features speciality grade coffee and 100 per cent naturally sourced organic lions mane dual extract. Yielding a rich and chocolatey brew (with zero mushroom taste), its the obvious choice for the discerning coffee connoisseur. Buy now 18.69 , Balance Coffee {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Cheerful Buddha Reishi Mushroom Superfood Coffee Blend Cheerful Buddha This pick was created with your immunity in mind. The star ingredient in Cheerfuls Buddhas unique coffee powder is reishi mushroom, which is said to have antioxidant and immune-boosting properties. Its also packed with organic MCT coconut oil, ashwagandha and cacao - all things that can help you stay at your peak. Buy now 13.95 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} As a result of the Russian shelling of Mezhivska merged territorial community (Dnipropetrovsk region), an 18-yearold boy was fatally wounded, four more people were injured, among them a two-year-old child, said head of the Regional Military Administration Serhiy Lysak. "Russian terrorists killed an 18-year-old boy. He was injured due to an enemy strike on Mezhivska hromada, in Synelnykove district. Doctors tried to save the young man to the last. We did everything we could ...," he wrote on the Telegram channel on Wednesday. According to him, four more people were injured, among them a child. "The girl is only 2 years old! Now she is in a satisfactory condition, she will be treated on an outpatient basis." "The attack on the area occurred after lunch. Now an agricultural enterprise is still burning there. The fire covered more than 400 square meters," Lysak said. W hether youre planning on jetting off for a family holiday, solo travel adventure or business trip abroad, having the right travel gadgets is an absolute must, and they dont come more essential than a reliable plug adaptor to keep your electronic goods at maximum battery level. Despite being a crucial part of every holiday, these adaptors can sometimes be the most problematic part of packing. If we arent rummaging in a box of unlabeled and temperamental plugs, were frantically attempting to figure out what adaptor works in what country - no easy feat considering there are 15 different types of plugs in the world. Thankfully, this stress will now be a thing of the past as weve completed a deep dive into the world of travel adaptors to bring you the creme de la creme. Forget the basic stuff, many plugs now work in more than one region thanks to the changeable output pin. Even more impressively, you can charge multiple devices at once using a single adapter - no more picking between charging your phone, laptop, tablet or camera (its like asking us to pick our favourite child). If youre not sure what adaptor you need for your next holiday, weve created a handy guide below. USA, Canada, Mexico & Japan: type A - two flat parallel pins, or type B - two flat parallel pins and a round earth pin type A - two flat parallel pins, or type B - two flat parallel pins and a round earth pin Europe: type C - two rounded pins type C - two rounded pins India: type D - three large round pins in a triangular configuration type D - three large round pins in a triangular configuration Australia: type I: three flat pins in a triangular pattern Top tip : always buy them in advance. Not only will you be able to save a fair bit of cash (airport adaptors are always astronomically priced), but youll also be able to purchase something far more advanced than a standard single adaptor. Bid farewell to low battery life when youre away, and say hello to your new travel best friend. Shop our top recommended travel plug adaptors below. W hy shouldnt we be using PVC yoga mats when there are so many around? Theyre great for grip, arent they? Theyre affordable. And they take a really long time to wear through. So what if theyre too expensive and impractical to recycle? Or that they take hundreds of years to decompose when thrown out? Who really cares that dioxin, a highly dangerous toxic chemical, is released if they are incinerated instead? It doesnt matter all that much does it? If you are suspiciously eyeballing your yoga mat right now, terrified of what it might be made from, we dont blame you. But thankfully, there are now a number of eco-friendly options to choose from. Whilst we dont recommend you chuck out your old PVC mat to buy one, perhaps you could retire it from yoga and find another use for it just to stop you from glaring at it as you attempt your next downward-facing dog. What makes a yoga mat, eco-friendly? The answer is simple: if it has been made from natural materials. The super eco-friendly ones use materials that are sustainably sourced, and for full marks, the mats should really come in recyclable packaging as well. We should be trying, in every area of our lives, to think about our impact on the planet, says yoga expert Nadia Narain. "I use Jade Yoga mats. They are environmentally friendly and not slippery, which is usually the main problem with such mats. Jade Yoga also plant a tree for every mat they sell, and the mats last a good while too." If you care about the environment and are in need of a new yoga mat or looking to buy your very first check out our edit of the ten best eco-friendly mats on the market. Liforme Original Yoga Mat Liforme Material: Natural Rubber base and Liformes unique eco-polyurethane top surface Thickness: 4.2mm Determined not to use toxic inks, Liformes alignment lines are not painted onto the mats but etched, and through the use of an innovative heat-bonding process to stick top and bottom together no toxic glue has been used in their design. Offering fantastic grip, they are fully recyclable and not dressed in plastic on arrival so if its a totally eco-friendly mat youre looking for, this should be a hot contender. Buy now 114.95 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Jade Yoga Elite S Mat Jade Material: Natural rubber Thickness: 3/16 Jade mats are sustainably made using natural rubber, which has been sourced from rubber trees. Worried about cutting trees down? As Nadia explains above, Jade Yoga plant a tree for every mat sold in fact, over 1,700,000 trees have been planted so far. Designed for serious yogis, Jade Yoga Elite mats are suitable for vigorous practice, which means they would be well-suited to Ashtanga practitioners. Their extra thick top layer means they can be used time and time again, and best of all, there is not one spec of PVC or EVA in them either. Their flat surface offers a great grip as well. Buy now 92.95 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Manduka eKO Yoga Mat 5mm Manduka Material: Natural rubber Thickness: 5mm Mandukas eKO range is well-known amongst eco-friendly yogis, and its eKO Yoga Mat 5mm would make a fine investment. Available in 180cm x 66cm or the slightly longer 200xm x 66cm, yoga teachers contributed to its four-year development process which means it has been tried and tested by the experts. Made from biodegradable natural tree rubber (not from the Amazon), the mats are treated by non-toxic foaming agents, which means they are softened without the use of harmful chemicals. Eschewing straight synthetic polyester, Manduka combines polyester with natural cotton to reinforce these mats mats which are 99 per cent latex free. In terms of performance, they are excellent vis-a-vis grip, thanks to Manukas specialist Tri-Layer Technology. Their top layer repels moisture to stave off bacteria, whilst their bottom delivers sufficient cushioning. And when it comes to looks, well, they are offered in some truly vibrant hues, like esperance marbled and acai midnight. Whilst discolouration may happen over time, this is often the case with natural rubber and really, it just means youre putting your mat to good use. Buy now 87.39 , Manduka {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Liforme Purple Earth Mats LIFORME Material: Natural sourced sustainable rubber Thickness: 4.2mm Made from natural rubber, these mats feature Liformes specially designed eco-friendly foam, eco-polyurethane, which means they are crafted without the use of any toxic materials, or PVC either. Furthermore, not only are they biodegradable, Liforme actually reveals how long they will take to decompose: between one and five years in a landfill. Determined not to use toxic inks, Liformes alignment lines are not painted onto the mats but etched, and through the use of an innovative heat-bonding process to stick top and bottom together no toxic glue has been used in their design. Offering fantastic grip, they are fully recyclable and not dressed in plastic on arrival so if its a totally eco-friendly mat youre looking for, this should be a hot contender. Buy now 110 , Liforme {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Complete Unity Yoga Green Eco-Yoga Mat Complete Unity Material: Natural tree rubber Thickness: 2mm On the cheaper end of the spectrum, this mat is made from sustainable plant-based materials, in the UK. Composed of natural jute fibre which is encased in 100% natural rubber (which, alas, does contain latex), it is biodegradable, produced ethically and comes in three colours: green, natural and grey. Its also lightweight so great to take on holiday plus it boasts incredible grip on top and bottom, the latter, due to its rubber underside. And speaking of which, its this rubber underside which gives the mat its double-sided use. CUY suggest using the jute side for yoga asana and flipping the mat over to use the textured rubber base for meditation. It delivers enough support for general use and has been designed as non-slip too. A good all-rounder. Buy now 63.99 , Complete Unity {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} OHMAt 7Chakra ohmat Material: Natural rubber Thickness: 3mm No latex, no silicone, no toxic glue phew! Made from 100% natural and sustainable materials, these mats were designed by Dutch yoga teacher Debbie, who knows what the yogis want. Boasting an absorbent microfibre layer, which covers the biodegradable rubber body, it soaks up sweat during practice meaning these mats make a sound choice for those who enjoy hot yoga. Cleaning them is easy as theyre machine-washable on a wool programme. Or, as Debbie suggests, they can be hand washed in the shower with natural soap though avoid wetting the rubber too much as this can affect its sturdiness. These little numbers also look rather good. Adorned with unique water-based ink designs, even their colourings are eco-friendly. Buy now 94.26 , Ohmat {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Pro Cork Yoga Mat Corkspace Material: Cork Thickness: 4mm Is there anything more annoying than a yoga mat that curls up at the ends? If ever there were a reason to go for this Cork Pro Yoga Mat, that would surely be it. Made from Portuguese cork and natural rubber, its strong construction means it lays out completely flat, so from a purely non-irritating perspective, this one gets the thumbs up. Its non-slip, 100 per cent sweatproof and, wait for it, since cork is naturally antimicrobial, it eliminates bacteria and odours a treat. Its eco-friendly credentials include the fact it is biodegradable and that its components have been sustainably sourced. Finally, its packaging is fully recyclable too and no, its not covered in plastic. When it comes to fulfilling all eco-friendly criteria, this one aims high. Buy now 52 , Decathlon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Yogamatters Eco Everyday Yoga Mat Yoga Matters Material: Natural Tree Rubber Thickness: 4mm As their name suggests, these mats are suitable for everyday use plus they have been cushioned for comfort. With a textured surface, they offer sound grip so you can expect a non-slip experience. Made from sustainably harvested natural tree rubber and heres a bonus recycled materials, they are themselves also recyclable. Plus theyre biodegradable. They are 99 per cent latex free, contain no nasties like toxic dyes or chemicals and they come in five bold colours, from grape to flame orange. If youre just starting out at yoga, and wannabe as earth-friendly as possible, look to one of these. Buy now 60 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Yogi Bare Paws Light TRAVEL Yoga Mat 2mm - Extreme Non Slip Grip Mat Yogi Bare Material: Natural rubber Thickness: 4mm Extreme grip says it all really. These mats are for those in need of it. Complete with non-slip technology, they are crafted from natural rubber and PU thats polyurethane which means, yes, they tick the eco-friendly box. What stands out in particular about this mat is its slick design. With a large paw print in the middle, it has a clear environmentally-friendly feel, and although it comes in a range of colours, we think the grey version is particularly smart. Weighing 2.5kg its on the heavier side, but for the fantastic grip it offers, its worth lugging around. Buy now 74.95 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Yoga Studio Cotton Rugs Yoga Studio Material: Organic cotton Thickness: n/a Those wanting authentic yoga gear might look to buy a natural organic cotton rug, woven through traditional methods. These sustainable Yoga Studio mats have been crafted in India the birthplace of yoga and since theyre made of cotton, you dont have to worry about PVC-anything. The fabric absorbs sweat, which makes these rugs an excellent choice for hot yoga practitioners or those yogis who perspire a fair bit indeed, their grip improves as they get wetter. Yet, because theyre made entirely of cotton they dont have great grip on the underside, which means they are liable to slide around a bit. Still, they come with tassels and are by far the most elegant of the options on our list. And since they fold easily, they make a good travelling mat too. Buy now 26.99 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Yoga Design Lab, Combo Mat Geo (EU) Yoga Design Lab Material: 100% natural tree rubber, with suede microfiber made from recycled plastic Thickness: 3.5mm Yes, yes they contain the dreaded plastic, through their absorbent suede microfibers which have been bonded with the 100 per cent natural tree rubber body however, do not panic people, because this plastic has been recycled! From plastic bottles! Hurrah! These mats provide good support, by way of cushioning and grip, indeed, the latter improves with water (and by that we mean, sweat). Using water-based inks for colouring, they are recyclable and biodegradable, and have no odour so theres no rubbery smell when you open them up for the first time. They come with a carrying strap, so theyre easy to transport, and you can wash them in the machine on a cold wash as well. A worthy contender. Buy now 74 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Y ou dont realise just how much difference a good kitchen knife makes until you dont have one to hand. Trying to quickly chop vegetables or meat becomes a much longer process when youre having to really put some force behind the motion. The best kitchen knives take away all of the stress from food preparation, allowing seamless chopping no matter what youre cooking up. Looking to stock up on a new kitchen knife but not sure where to start? There are a few different factors youll want to keep in mind when knife shopping. The length of the blade, the shape and size of the handle, the material used and the process of crafting the knife in the first place. While most kitchen knives are made from stainless steel, youll find premium offerings mixing the steel with carbon. The benefit? A sharper cut with a longer life span. This means less time spent sharpening your knives and more time spent cooking up your favourite dishes, one satisfying chop at a time. A longer blade length gives you more room for chopping larger ingredients, but may not be appropriate if youre looking for something to chop onions, chillis or smaller produce. A larger knife also leaves more room for accidentally chopping things that shouldnt be chopped, like precious fingers or the space where your chopping board ends. The best option? A selection of knives of differing lengths allows you to easily prepare all kinds of ingredients in one go. Weve rounded up all of the best kitchen knives to buy for your kitchen and prep like a pro. Shop the edit below EUNA Store Boxed Set Japanese Knives EUNA Japanese knives are regarded as the creme de la creme when it comes to a slick (and sharp) finish during food preparation and this five-pack ensures youve got a knife ready for every opportunity in the kitchen. A great choice for stocking up on all of the essentials in one sleek go, the EUNA Store Boxed Set Japanese Knives features an 8" Slicer Knife, an 8" Chef Knife, a 7" Santoku Knife, a 5" Serrated Utility Knife and a 3.5" Parer Knife. Buy now 63.99 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Lakeland Select-Grip Japanese Steel Chefs Knife 20cm Lakeland Lakeland is a go-to for great quality kitchen essentials that wont break the bank and it really shines when it comes to the best kitchen knives. The Lakeland Select-Grip Japanese Steel Chefs Knife features a 20cm blade and a deliciously sharp finish; perfect for chopping everything from carrots to potatoes and everything in between (except your fingers). Made using an ice-hardened Japanese stainless steel blade with a soft-grip handle, its a kitchen staple that youll reach for every day. Buy now 35.99 , Lakeland {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Global G-2 Stainless Steel Cooks Knife Global At first glance, you may think the Global G-2 Stainless Steel Cooks Knife 20cm Blade is a little more spenny than youd usually pay for a knife. But this renowned brand makes some of the best kitchen knives around and is known for its top-notch quality in the food preparation world. This is a knife that youll sharpen once and never again - one that refuses any blunt edges no matter how much you use it. If you value a sharp slice, this is the best option out there. Buy now 100 , Harts of Stur {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} HOBO 15-Piece Japanese Knife Set Kitchen Knife Set with Wooden Block Hobo If youre a true kitchen pro, you probably wont be satisfied with just one knife. So, if youre looking to go all out with a wide selection for all kinds of cooking adventures, look no further than HOBOs 15-Piece Japanese Knife Set Kitchen Knife Set complete with a stately wooden block. Each blade within the set is forged with hand-polished edges and precise tempering, making it a premium selection that covers pretty much every need, no matter what youre cooking up next. Buy now 82.98 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Dunelm Soft Grip 20cm Chef Knife Dunelm Dunelms Soft Grip 20cm Chef Knife gets the job done without any of the unnecessary bells and whistles. Almost definitely the most budget-friendly kitchen knife around, it does everything you need (e.g. cuts your food smoothly) without costing more than the price of a cup of coffee. If youre stocking your kitchen on a budget, this is a knife that promises to earn its keep. Buy now 3 , Dunelm {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Zwilling Pro chefs knife 26cm Zwilling Zwilling is the Hugh Grant of the kitchen knife world and, if youre looking for top quality that never fails, the Zwilling Pro chefs knife is a staple youll appreciate again and again. With its triple-riveted handle and a full tang, this knife has a 26cm blade and is designed for optimum balance and safe handling. Ever dreamt of being one of those chefs who effortlessly slice vegetables into whisper-thin pieces? This is the tool thatll take you there. Buy now 120 , Selfridges {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} John Lewis Professional Chefs Knife John Lewis John Lewis Professional Chefs Knife is a multi-purpose chefs knife that tackles all of the jobs in one swift movement. Its versatile size and ergonomic design mean this knife cuts through fruits and vegetables just as effortlessly as it cuts meat or seafood. Durable design elements include a tough stainless steel blade and a Micarta handle, both of which combine to ensure smooth food preparation, no matter how much of a hurry youre in to get dinner on the table. Buy now 40 , John Lewis {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Victorinox D828 Santoku Knife Victorinox The Victorinox D828 Santoku Knife may sound like a Japanese knife but its actually Swiss in origin. A knife that takes all of the stress out of quickly chopping ingredients, it features a broad construction that makes slicing through the toughest of produce effortless, without any clunky, uneven vegetables at the end. The blade also has a fluted edge that prevents food items from sticking to the blade, allowing you to quickly finish all of your chopping tasks without any interruptions. Buy now 38.52 , Amazon {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} Wusthof Chef Knife 20.3cm Wusthof Wusthof is another popular kitchenware brand and provides a great range of premium knives for those who only want restaurant-worthy results when it comes to ingredient prep. The Wusthof Chef Knife is a great all-rounder that can be used for chopping, mincing, slicing and dicing. For those who appreciate the little details, this knife has undergone precision forging with a 38-step process, which promises a blade thats sharper with twice the edge retention than your standard option and its ready to go straight from the box. Buy now 124.78 , Nisbets {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} ProCook Professional X50 Micarta Chefs Knife ProCook ProCooks Professional X50 Micarta Chefs Knife is a brilliant mid-range option for those who want the cleanest cut possible without spending a fortune on one single knife. Made from the finest German X50 stainless steel, this knife also contains carbon for a supreme cutting edge. That extra carbon is responsible for the knifes longer lifespan, promising a smooth process that only requires a very occasional sharpening. Buy now 24.99 , ProCook {{#hasItems}} Price comparison {{/hasItems}} {{#items}} {{ merchant }} {{ price }} Buy now {{/items}} {{#hasItems}} {{/hasItems}} B ritish veteran actress Anne Reid said she was pigeonholed into playing domestic roles despite her public school education as she was considered to be very downmarket. The 88-year-old said she absolutely loves playing Lady Denham, the matriarch of Sanditon, in the hit ITV period drama series based on the unfinished Jane Austen novel of the same name, which returns for its third and final series on Thursday. However during her career, Reid was never being considered to be aristocratic, she said. Appearing on Good Morning Britain, Reid said: Its glorious playing the Lady of the manor because I play domestic people, they always thought of me as being domesticated which Im not. They used to think I would act better if Ive got a pan in my hand because in Upstairs, Downstairs I was in the kitchen. So actually to be aristocratic, I dont know whether people think Im not, but there are some people in this business, you get put into a slot. I mean Sir Derek Jacobi, who actually grew up in a tobacconist shopI actually went to public school because my father was abroad, but he (Sir Derek) was always considered to be aristocratic and Im always considered to be very down market. Reids next role will see her play a pensioner in BBC true life crime drama series The Sixth Commandment, which explores the deaths of Peter Farquhar and Ann Moore-Martin in Maids Moreton, Buckinghamshire. Speaking about co-star Eanna Hardwicke in the role of sadistic church warden Benjamin Field, Reid said: He was very convincing that he was in love with me. Hes a really good actor, hes not a bit like that. Hes very spooky (in the series), I want to see him do some high comedy now. In 2019, Field was jailed for at least 36 years following a campaign of physical and mental torture. Reid described the four-part series director Saul Dibb as wonderful, saying: Its very difficult to explain to somebody whos never actually worked in the studio what a difference it makes to have somebody who supports you. Talking about acting, she added: I think its overrated, I dont find it terribly hardI would say I could teach anybody to act in an hour. I wanted to be a dancer. I was dance mad, I danced every night when I was a little girl and when I was 11, my parents went abroad and I was sent to boarding school and the dancing stopped. I think thats when I started to put weight on, having danced every night of the week and Saturday mornings. S taff at the Irish national broadcaster are gravely disturbed by the findings of a report into financial practices at RTE, according to a group of trade unions. The highly anticipated report found it is very plausible that fees paid by RTE to its star presenter Ryan Tubridy were underdeclared by 120,000 euro from 2017-2019 to keep his publicly stated earnings under the 500,000 euro mark. The executive of the RTE Trade Union Group (TUG) said the report gives rise to a number of questions about corporate governance failures as well as the role of auditor Deloitte. I think this is an arrow through the heart of the relationship between management and staff The TUG said there were also questions about how the national broadcaster engaged with its own workers, trade unions and the board. A spokesman said: Trust is the cornerstone of good governance. It is also at the heart of the relationship between management and unions. We hope that the reviews on corporate governance and HR established by the government will provide the framework for dealing with the fundamental issues in the report. The trust that was shattered will not be easy to rebuild and trade unions will judge RTE by the actions and attitude of the management and board of RTE from today. My door is always open Seamus Dooley, the Irish secretary of the National Union of Journalists, told RTEs News At One radio programme that his worst suspicions had been confirmed by the report. I think this is an arrow through the heart of the relationship between management and staff. He said there had been a deliberate attempt to conceal the truth. Mr Dooley said: I walked the short journey across the campus here and people are in a state of complete anger. Chairwoman of the RTE board Siun Ni Raghallaigh told the same programme: I would hope that staff would now see the changes that particularly the board has instigated and wants to bring about. I have said to staff and I have met the trade union group and Ive said: My door is always open. I would hope that they will see, gradually, the change in culture and the openness and transparency that we want to bring into the organisation and I have a lot of faith in our new director-general Kevin Bakhurst and his team that they will carry through on that. G ame of Thrones (GOT) actor Darren Kent has passed away at the age of 36, on Friday, August 11. Kent starred in a 2014 episode of the popular series, where he played a grieving father - Goatherd - taking his dead child to Daenerys Targaryen. Game of Thrones have experienced devastating blows, with significant losses both on-screen and off-screen. Here are all the stars who have died since starring in the successful series. Darren Kent via Carey Dodd Asscociates He made an appearance in Game Of Thrones in 2014 as Goatherd, a sad father who was taking his deceased child to visit Emilia Clarke's Daenerys Targaryen, Mother Of Dragons. He has had TV appearances in EastEnders, the Les Miserables TV miniseries, the most current ITV drama Malpractice, and he made a fleeting appearance in the recently released Dungeons & Dragons: Honour Among Thieves movie. Kents death was announced by his agency, Carey Dodd Associates, who wrote: It is with deep sadness we have to tell you that our dear friend and client Darren Kent passed away peacefully on Friday. His parents and best friend by his side. Our thoughts and love are with his family in this difficult time. RIP my friend. Kent suffered with osteoporosis, arthritis and an extremely rare skin disorder in his early career. No official cause of death was given. Max von Sydow ALBERTO PIZZOLI/AFP via Getty Images The Swedish-French actor who played the Three-Eyed Raven in the GOT series died on March 8, 2020 at his home in Provence, France at age 90. As well as the popular series, Max Von Sydow starred in 11 films directed by Ingmar Bergman, typically playing a tormented protagonist. In Pelle the Conqueror (1987), the imposing von Sydow played a Swedish father who immigrates to Denmark in order to better himself and his son's life. This role earned von Sydow a nomination for best actor at the Academy Awards, which is unusual for a performance in a non-English language film. The gloomy drama, directed by Bille August, took both the foreign-language Oscar and the Palme d'Or at Cannes. Diana Rigg / Geraint Lewis/REX/Shutterstock Diana Rigg who played the feisty Olenna Tyrell, matriarch of House Tyrell passed away on September 10, 2020, after being diagnosed with cancer in March the same year. She played Emma Peel in The Avengers (19651968), Countess Teresa di Vicenzo, James Bond's wife in On Her Majesty's Secret Service (1969), Olenna Tyrell in Game of Thrones (20132017), and Medea's main role in the West End and Broadway productions of the play in 1993 and 1994, respectively. Peter Vaughan HBO Peter Vaughan was the actor behind Maester Aemon, who died on December 6, 2016 of natural causes. Other than GOT, he is perhaps best known for his role as Grouty in the sitcom Porridge and its 1979 film adaptation. Neil Fingleton / PA Neil Fingleton died on February 25, 2017, at the age of 36, at his home in Gilesgate. The cause of death has been reported as heart failure. He portrayed Mag the Mighty, a large wildling, in Game of Thrones. Other actors: J ohn Barrowman quit his appearance at Geekd Con to be with his mother in intensive care. The Doctor Who star, 59, posted a video of himself on X, formerly known as Twitter, in which he told fans he was no longer appearing at the Louisiana convention this weekend, as he would be staying by his elderly mother Marions side. Barrowman, who spent lockdown with his parents at his home in Palm Springs, said: "Hey everybody this is a message to everyone who is heading to Shreveport, Louisiana for GeekdCon. Unfortunately, Im gonna have to cancel this weekend. My mother is still in intensive care, and I feel its only appropriate that Im here with her and my dad and the rest of the family. "So I hope you understand, again go and have a great time theres lots of guests coming to have a wonderful Con." He continued: Support the LGBTQIA+ community, make some videos to tell my Mum to get better. I would love that and sport those flags and all the rainbow flags and post those videos so I can watch them. Im sorry I was looking forward to coming but unfortunately circumstances mean that I cant so lots of love to everybody and have a great great time." He captioned the video: "Due to my mothers illness I am not going to be able to make it. Unfortunately she is still in Intensive Care. As always spread the #lgbtqia+ love and make the con a huge success. Have lots of fun ConFamily. I want to see pictures! The actor celebrated Marions 86th birthday alongside his father when they stayed with him during lockdown in 2020 / John Barrowman/instagram "Hopefully I will be with you next year. Lots of love and thanks for understanding. Jb." Geekd Con is an annual fan convention held in Shreveport, Louisiana and is one of the largest Comic book conventions in the state. Last week the actor, who plays Malcolm Merlyn in The Flash TV series, revealed that Marion had been rushed to hospital on Thursday, and that he would have to pull out of Huntington Comic & Toy Con. He wrote: My mother was rushed into the hospital last night and she is not very well. I think its only right that I stay with my family at this time. He has yet to confirm what illness Marion is dealing with. E astEnders star Bobby Brazier has given younger brother Freddie a taste of the limelight with rare Instagram shots of the youngster. Brazier, 20, who has started training for his appearance as a contestant on Strictly Come Dancing, which is set to return this autumn, almost never shares images of his family members including father Jeff Brazier. But in recent times, hes been introducing Freddie, 18, to his fans in what some believe is a bid to build the teenagers profile and potentially get him to follow in his footsteps. He recently posted a selfie of the pair enjoying a day out and captioned the snap: Quick Fred, let me get a picture for nan. Chant and be happy. Another image which was posted some weeks ago showed the brothers, whose mother is the late reality star Jade Goody, all smiles as they sat at a table together. He wrote: "Do what makes you happy and calm and peaceful and connected and grateful and in love. Even if some people dont understand." While Bobby is very active on social media, Freddie is less keen and has only posted three images, the last being in October 2022. Bobby has become an overnight star landing modelling gigs with big designer brands and bagging a role in EastEnders last year. Jeff Brazier with sons Bobby (L) and Freddie (R) in 2005 / Getty Images He made his modelling debut at Milan Fashion Week in 2020 where he took to the catwalk for Dolce & Gabbana. A month later he was pictured on the red carpet for Tommy Hilfigers London Fashion Week show. Freddie, meanwhile, has been largely out of the spotlight with his last touch of fame being in 2021 when he made his modelling debut for HERO magazine. Their TV presenter father has previously shared his worries about Bobbys fame. He told The Mirror: "As this particular parent who obviously not only had his own difficult experiences but also can cite many occasions when his mum went through the same. Rising star: Brazier on EastEnders set with Shane Richie (L) and Clay Milner Russell (R) / BBC/Jack Barnes/Kieron McCarron "I think that I do enough worrying on behalf of both me and his mum when it comes to what he might be subject to. "I just hope that everyones kind, I hope that he looks after himself and makes good decisions and has always been for him to have a skill and a talent to develop those things." Strictly Come Dancing returns this autumn on BBC One. Loading.... Nasas James Webb Space Telescope has discovered one of the universes oldest galaxies, formed a mere 390 million years after the Big Bang. The University of Texas at Austin team, led by Steven Finkelstein, used the $10 billion telescopes spectroscopic analysis capabilities to determine the galaxys age. Scientists say Maisies galaxy - named after Professor Finklesteins daughter - is one of the four earliest confirmed galaxies ever seen, after examining its chemical makeup, heat output, brightness and movement. Archaeologists have been using LiDAR-equipped drones to shed new light on one of the bloodiest battles of the Second World War - the Battle of the Bulge. Tech & Science Daily podcast speaks with Dr Birger Stichelbaut, a conflict archaeologist at Ghent University, who explains what they found around the Ardennes forest in Belgium and Luxembourg. Snapchats artificial intelligence-powered chatbot is raising a few eyebrows after the tech appeared to go rogue. Users report My AI posting a strange story to its profile and then being unresponsive to text chats, followed by the same reply of Sorry, I encountered a technical issue. Plus, vitamin D hope to cut cancer, vaping link to bronchitis wheezing, demon particle superconductor, Pink Floyds brain waves and Harrison Fords snake honour. Listen above, and find us on your Spotify Daily Drive or wherever you stream your podcasts. On Monday, August 21, at 13.30, the press center of the Interfax-Ukraine News Agency will host a press conference on the subject: "Ukrainian Citizens' Identity: Change Trends" on the results of a survey conducted by the sociological service of the Razumkov Center on May 23-31, 2023, using a face-to-face method, in all regions of Ukraine except for the temporarily occupied territories of Donetsk, Zaporizhia, Luhansk, Kharkiv, Kherson regions, Crimea and the territories where hostilities take place. Some 2,020 respondents were polled. The margin error of the selection does not exceed 2.3%. The survey was conducted within the framework of the MATRA Program project funded by the Embassy of the Kingdom of the Netherlands in Ukraine. Participants include President of the Razumkov Center Yuriy Yakymenko, Deputy Director of the sociological service of the Razumkov Center Mykhailo Mischenko, Scientific Advisor on Legal Issues of the Razumkov Center Petro Stetsiuk, Head of the Department of Political Institutes and Processes of the Kuras Institute of Political and Ethnic Studies of the National Academy of Sciences of Ukraine Halyna Zelenko (8/5a Reitarska Street). The event will be streamed on the YouTube channel of Interfax-Ukraine. Admission of journalists requires registration on the spot. K idneys from pigs provided life-sustaining kidney function after being transplanted into a brain-dead patient, researchers have found. Kidneys were first transplanted from a genetically modified pig to a human in 2022, and scientists have gone a step further by showing they can support kidney function in someone for a week. The development advances the promise of transplantation from one species to another, known as xenotransplantation, as a therapy to potentially cure end-stage kidney disease, researchers say. They add that it also addresses a critical worldwide kidney organ shortage crisis. It has been truly extraordinary to see the first-ever preclinical demonstration that appropriately modified pig kidneys can provide normal, life-sustaining kidney function in a human safely... University of Alabama at Birmingham (UAB) transplant surgeon scientist Jayme Locke is director of the universitys Comprehensive Transplant Institute in the Marnix E Heersink School of Medicine and lead author of the paper. She said: It has been truly extraordinary to see the first-ever pre-clinical demonstration that appropriately modified pig kidneys can provide normal, life-sustaining kidney function in a human safely and be achieved using a standard immunosuppression regimen. The kidneys functioned remarkably over the course of this seven-day study. We were able to gather additional safety and scientific information critical to our efforts to seek FDA (Food and Drug Administration) clearance of a Phase I clinical trial in living humans and hopefully add a new, desperately needed solution to address an organ shortage crisis responsible for tens of thousands of preventable deaths each year. The findings come 19 months after last years ground-breaking UAB xenotransplant research study in which genetically modified pig kidneys were successfully transplanted into a recipient after brain death. The new study was conducted using the Parsons Model, a human brain death model developed at UAB to evaluate the safety and feasibility of pig-to-human kidney transplants, without risk to a living human. The procedure is named after Jim Parsons, an organ donor whose family donated his body to advance xenotransplant kidney research. This led to the first clinical grade pig kidney transplant into a human and helped pave the way for future pig kidney-to-living human transplantation. The current research was conducted on a 52-year-old man not named at the request of his family who indicated to them that he wanted his body donated for research. The patient had high blood pressure and stage 2 chronic kidney disease. As part of the study, the man had both his kidneys removed and dialysis stopped, followed by a transplant with 10 gene-edited pig kidneys after he had been successfully cross-matched. The transplanted pig kidneys made urine within four minutes, producing more than 37 litres in the first 24 hours. Were very hopeful that these data will provide further evidence that xenotransplantation is a viable and achievable solution to the organ shortage crisis The pig kidneys continued to function as they would in a living human for the entirety of the seven-day study, the researchers said in the Jama Surgery journal. They added that the organs were still viable at the time the study was concluded. The kidneys came from a pig maintained in a disease-free facility. They were flushed and packaged using the same operating procedures used in human-to-human transplantation. They were also transported to the transplant centre and transplanted in the same way a human transplant. Dr Locke said: At each of those steps, we were able to test that we do have the correct standard operating procedures in place and that were able to operationalise this in a meaningful, safe way. Thats the ultimate goal. We want to achieve xenotransplant in a safe and efficacious way. Were very hopeful that these data will provide further evidence that xenotransplantation is a viable and achievable solution to the organ shortage crisis that causes thousands of preventable deaths each year. The gap between supply and demand is that vast. Researchers say gene editing in pigs to reduce immune rejection has made organ transplants from pigs to humans possible, an advancement that could offer help to thousands of people who face organ failure, disease or injury. The kidneys used in the UAB studies came from pigs with 10 genetic modifications to make the organs suitable for transplant into a human body. According to Kidney Care UK, more than 70,000 people are being treated for kidney failure. Around 3,000 kidney transplants take place annually but 5,500 people are still waiting. Eight out of 10 people on the transplant list are waiting for a kidney, and the average wait is about two and a half years. Every day one patient will die while waiting, the charity says. The American studies are supported by United Therapeutics Corporation, which awarded a grant to UAB to launch the xenotransplantation programme. Revivicor, a subsidiary of the company, provided the genetically modified pig that was the source of the xenotransplant kidneys, trademarked UKidney. GE has highlighted the importance of unified, global action for industry to combat climate change and has cited the emergence of the UAE as a leading influencer of the worlds sustainability efforts. In its annual Sustainability Report, GE showcased progress to date on its sustainability and diversity, equity, and inclusion (DEI) programmes. The report, which underlines GEs commitment to address the most pressing sustainability needs of the world, revealed that the company invested $4.2 billion in R&D activities in 2022 to advance energy efficiency and drive transformative action. Coming back to the UAE, GE cited the nations efforts in operating the first flight in the Middle East using 100% Sustainable Aviation Fuel (SAF) in one of its two GE Aerospace GE90 engines, the report emphasised the UAEs pioneering efforts. Also mentioned is GE Vernovas support for the UAE Net Zero by 2050 Strategic Initiative through an agreement with Emirates Global Aluminium (EGA) to upgrade four existing GE 9F gas turbines and reduce greenhouse gas emissions by up to 74 thousand tonnes annually. UAE's key role As host of the upcoming 28th Conference of the Parties to the United Nations Framework Convention on Climate Change (COP28), the UAE is playing a key role in shaping the climate discussion and GE is proud to be a supporting partner. Commenting on the report, Dr Dalya Al Muthanna, President UAE and Global Head of Operations & Strategy, GE International Markets, said: Our deeply embedded sustainability priorities have led to tangible progress in 2022. Our integrated solutions are helping to drive the transition to a cleaner energy future and define flight for the next generation. The 2022 GE Sustainability Report demonstrates the meaningful role GE is playing globally to advance innovation, foster collaboration and create long-term value to not only shape a better future for the company but also contribute to a more sustainable world. In the Mena region, the global report cited work GE has supported in both the UAE and Egypt as tangible examples of how the company is working toward a more sustainable tomorrow. Last November during COP27 in Egypt, GE Vernova supported the countrys decarbonisation initiatives by running a gas turbine on hydrogen-natural gas blended fuel for the first time for the African continent. The company also signed an agreement with Egyptian Natural Gas Holding Company and Seasplit Technologies for the industrial decarbonisation of the Gulf of Suez, and pursued decarbonisation of select operating downstream facilities in the country. Company spin-off Meanwhile, as GE becomes two separate, industry-focused companies in 2024, having successfully completed the spin-off of GE HealthCare earlier this year, sustainability remains at the core of the GE legacy. By leveraging leading technology, GE's portfolio of energy businesses, now called GE Vernova, helped to generate approximately 30% of the worlds electricity; and in aviation, nearly three billion people flew in airplanes powered by GE Aerospace or partnership engines. Committed to electrification and decarbonisation in parallel, GE Vernova continues to meet the rising global demand for more sustainable, reliable, and affordable electricity, while working toward the decarbonisation of various industrial sectors. Through the deployment of onshore and offshore wind turbines, highly efficient gas turbines, next generation nuclear, as well as advanced technology to modernise and digitise electric grids, GE and its customers are working on decarbonising smartly and efficiently. In the aerospace sector, a plane equipped with a GE Aerospace or partnership engine took to the skies every two seconds last year. The companys development of the next suite of engine technologies with advanced aerodynamics, engine architecture, and materials technology have led to reduced GHG emissions and substantial improvements in fuel efficiency. 100% sustainable fuel GE Aerospaces continued support for industry initiatives to approve and adopt 100% Sustainable Aviation Fuel (SAF) will also be vital to meet the decarbonisation goals of the airline industry and pave the way for a more efficient future of flight. The comprehensive report also underlines that GEs progress in driving positive environmental and social impact around the world is being achieved through the companys strong focus on DEI. The companys workforce is comprised of teams from around 170 countries, territories, and regions. Two of four GE Board Chair positions are currently held by women, and ongoing efforts are under way to engage diverse talent and foster an inclusive culture, promote gender equality, and advance opportunities for underrepresented communities.-- TradeArabia News Service BAKU, Azerbaijan, August 16. Efforts to restrict military support for Azerbaijan were being orchestrated by representatives of the US Congress, Hikmet Hajiyev, Assistant to President of Azerbaijan, said in an interview with Politico, Trend reports. As he pointed out, that efforts to restrict military support for Azerbaijan were being orchestrated by representatives of Congress who actually represent the Armenian lobby and arent thinking about their own national interest. He added that such actions could be detrimental to the efforts of the US and its allies in trying to secure a lasting peace. Blog Archive Apr 2010 (22) May 2010 (25) Jun 2010 (8) Jul 2010 (12) Aug 2010 (18) Sept 2010 (19) Oct 2010 (29) Nov 2010 (30) Dec 2010 (18) Jan 2011 (13) Feb 2011 (21) Mar 2011 (23) Apr 2011 (19) May 2011 (31) Jun 2011 (36) Jul 2011 (46) Aug 2011 (26) Sept 2011 (12) Oct 2011 (15) Nov 2011 (17) Dec 2011 (7) Jan 2012 (18) Feb 2012 (4) Mar 2012 (12) Apr 2012 (17) May 2012 (10) Jun 2012 (21) Jul 2012 (8) Aug 2012 (15) Sept 2012 (7) Oct 2012 (17) Nov 2012 (20) Dec 2012 (10) Jan 2013 (58) Feb 2013 (59) Mar 2013 (60) Apr 2013 (98) May 2013 (134) Jun 2013 (203) Jul 2013 (292) Aug 2013 (350) Sept 2013 (363) Oct 2013 (347) Nov 2013 (374) Dec 2013 (437) Jan 2014 (543) Feb 2014 (473) Mar 2014 (525) Apr 2014 (526) May 2014 (470) Jun 2014 (408) Jul 2014 (472) Aug 2014 (522) Sept 2014 (440) Oct 2014 (471) Nov 2014 (496) Dec 2014 (535) Jan 2015 (535) Feb 2015 (520) Mar 2015 (578) Apr 2015 (657) May 2015 (679) Jun 2015 (673) Jul 2015 (728) Aug 2015 (803) Sept 2015 (922) Oct 2015 (919) Nov 2015 (798) Dec 2015 (789) Jan 2016 (781) Feb 2016 (834) Mar 2016 (929) Apr 2016 (864) May 2016 (945) Jun 2016 (1044) Jul 2016 (880) Aug 2016 (1034) Sept 2016 (966) Oct 2016 (918) Nov 2016 (854) Dec 2016 (884) Jan 2017 (879) Feb 2017 (777) Mar 2017 (896) Apr 2017 (872) May 2017 (850) Jun 2017 (851) Jul 2017 (971) Aug 2017 (1040) Sept 2017 (998) Oct 2017 (1144) Nov 2017 (1046) Dec 2017 (838) Jan 2018 (873) Feb 2018 (769) Mar 2018 (885) Apr 2018 (808) May 2018 (827) Jun 2018 (820) Jul 2018 (840) Aug 2018 (854) Sept 2018 (844) Oct 2018 (851) Nov 2018 (870) Dec 2018 (912) Jan 2019 (919) Feb 2019 (827) Mar 2019 (957) Apr 2019 (913) May 2019 (1007) Jun 2019 (934) Jul 2019 (949) Aug 2019 (936) Sept 2019 (910) Oct 2019 (920) Nov 2019 (874) Dec 2019 (908) Jan 2020 (941) Feb 2020 (848) Mar 2020 (898) Apr 2020 (848) May 2020 (822) Jun 2020 (787) Jul 2020 (819) Aug 2020 (858) Sept 2020 (841) Oct 2020 (873) Nov 2020 (811) Dec 2020 (780) Jan 2021 (765) Feb 2021 (716) Mar 2021 (819) Apr 2021 (805) May 2021 (815) Jun 2021 (824) Jul 2021 (830) Aug 2021 (832) Sept 2021 (791) Oct 2021 (754) Nov 2021 (683) Dec 2021 (693) Jan 2022 (694) Feb 2022 (654) Mar 2022 (740) Apr 2022 (745) May 2022 (748) Jun 2022 (701) Jul 2022 (704) Aug 2022 (702) Sept 2022 (699) Oct 2022 (737) Nov 2022 (718) Dec 2022 (692) Jan 2023 (662) Feb 2023 (611) Mar 2023 (692) Apr 2023 (652) May 2023 (696) Jun 2023 (701) Jul 2023 (742) Aug 2023 (476) Border traffic: More than 177k people entered Romania on Tuesday, 15,521 of them Ukrainians The General Inspectorate of the Border Police informs that, on Tuesday, 177,430 people entered Romania through the border crossing points, of whom 15,521 were Ukrainian citizens, told Agerpres. According to a press release sent to AGERPRES on Wednesday, 365,800 people, Romanian and foreign citizens, and over 89,800 means of transport have passed the control formalities through the border crossing points nationwide, both on their way in and on their way out. There were 177,430 people entering Romania, of which 15,521 were Ukrainian citizens. Thus, starting on February 10, 2022 (pre-conflict period), 5,586,825 Ukrainian citizens entered Romania. In their areas of operation, the crossing points and the "green border," the border police detected 55 illegal acts (20 felonies and 35 misdemeanors) committed by both Romanian and foreign citizens, with the value of the fines applied amounting to RON 76,400. Goods were seized for confiscation in the amount of approximately RON 23,700. On Tuesday, 19 foreign citizens who did not meet the conditions stipulated by the law were not allowed to enter the country and 29 Romanian citizens were not allowed to leave for various legal reasons. FamMin Intotero: I want the administrative reform to be completed as soon as possible Minister of Family, Youth and Equal Opportunities Natalia Intotero on Wednesday said that she wants the administrative reform to be completed as soon as possible, and for young people to embark on a career in the public administration, told Agerpres. "I want the administrative reform to be completed as soon as possible so that we can somehow open the doors to all of you who want to embark on a career in the central public administration or in the local public administration," Intotero told the Forum of Romanian Students Everywhere. She added that "every young person from the country, every young person of Romanian origins from outside the country is an ambassador of Romania." In his turn, Minister of Research, Innovation and Digital Transformation Bogdan Ivan talked about direct collaboration with the World Bank over reforms. "The way we design the administrative reorganisation and the creation of a simpler and more efficient state, the way we design the research institutes, the way we correlate them with the private market, with know-how transfer to the private market will be done together with the best specialists of the World Bank," said Ivan. Laszlo Borbely, coordinator of the Department for Sustainable Development within the Romanian government, also participated in the event opened by Prime Minister Marcel Ciolacu. Germany is Romania's most important trading partner, with bilateral trade worth 40.68 billion euros in 2022, up 17 percent, informs a press release of the Ministry of Economy, Entrepreneurship and Tourism (MEAT). The relevant minister, Stefan-Radu Oprea, met on Wednesday with the ambassador of the Federal Republic of Germany in Bucharest, Peer Olav Gebauer. The dialogue focused on boosting bilateral economic cooperation, aimed both at attracting German investments in Romania and encouraging national companies wishing to initiate and develop projects in Germany. During the meeting, issues related to the identification of optimal formats for the numerous working sessions and bilateral institutional meetings to be held in the coming period between the two countries were discussed. "At present, Germany is Romania's most important trading partner, the very good trade relations between Romania and Germany also being demonstrated by the 17 percent increase in the value of bilateral trade in 2022, compared to the previous year, reaching 40.68 billion euros. In the same period, Germany was the first destination for Romanian exports, with a share of 20 percent of Romania's total exports. At the same time, Germany is Romania's second largest source of foreign direct investment, with over 25,000 investing companies, mostly in high-tech industrial sectors," the press release states. On the next agenda are the Romanian-German Business Forum in Berlin (28-29 September), the Romania-Bavaria Joint Governmental Committee (14-15 September) and the Romania-Baden Wurttemberg Joint Governmental Committee. At the same time, the Romanian minister stressed that the defence industry is a priority, which is why efforts are currently being made to draft legislation to support the transfer of technology to Romanian defence companies and to facilitate collaboration with foreign investors. At the end of the meeting, Ambassador Peer Gebauer thanked Romania for its cooperation in the Security Corridors, which ensure the transit of Ukrainian cereals, essential for global food security. In this context, minister Oprea mentioned that continuous efforts are being made to expand the capacity of the Port of Constanta, as the flow of goods has been steadily increasing since the outbreak of the conflict in Ukraine. The Romanian state won at the international arbitral tribunal in Washington where Alpiq's action against Romania was definitively rejected, the stake being 450 million dollars, the Ministry of Energy announced on Wednesday. "On August 11, 2023, an ad hoc committee appointed in accordance with the rules of the International Center for the Settlement of Investment Disputes in Washington (ICSID) issued the decision rejecting the action for annulment filed by Alpiq AG Switzerland (Alpiq) against the Romanian State, represented in the process by the Ministry of Energy. With this solution, the decision of November 9, 2018 was upheld, by which Alpiq's claims were rejected in their entirety, considering Alpiq's request to receive compensation worth about 450 million dollars unfounded for the termination of the energy sales-purchase contracts of the traders with SPEEH Hidroelectrica," the press release says, agerpres reports. The decision issued by the ad hoc committee, made up of three arbitrators, obliges Alpiq to pay the court costs and marks the definitive settlement of the arbitration dispute in favor of the Romanian State. "We welcome the final decision of the international arbitral tribunal in Washington, ICSID, which brings Romania a well-deserved victory more than eight years after the start of the arbitration procedure. The Romanian state behaved correctly and respected its obligations without violating the rights of the Alpiq investor, and the solution pronounced in Washington certifies this fact. We would like to thank the teams of lawyers from Zamfirescu Racoti Vasile & Partners and Tanasescu Gavrila & Asociatii for the legal support provided during this complex arbitration process," said the Energy minister Sebastian Burduja.The Ministry reminds that, through the arbitration action Alpiq AG v. Romania (ARB/14/28) promoted before the ICSID arbitral tribunal and initiated on November 17, 2014, the parent company of the energy traders Alpiq requested that Romania be obliged to pay over 450 of millions of dollars in damages, claiming violations of its rights related to the opening of the insolvency procedure of SPEEH Hidroelectrica SA and the termination of the energy sales-purchase contracts concluded by Alpiq traders with SPEEH Hidroelectrica SA. Alpiq had stated that Romania disregarded its rights guaranteed by the bilateral investment treaty between Romania and Switzerland (BIT, 1993) and the Energy Charter Treaty (ECT, 1994), citing, among others, reasons such as the expropriation of the investment and the violation of the state's obligation to grant fair and equitable treatment. The structures of the Ministry of National Defence (MApN) monitoring the airspace "have not identified any military threats" to the national territory or territorial waters of Romania, the MApN informed on Wednesday, regarding the attacks carried out on the night of Tuesday to Wednesday by the armed forces of the Russian Federation against the infrastructure of the Ukrainian ports of Izmail and Reni. "With regard to the drone attacks carried out last night against the infrastructure of the Danube ports of Izmail and Reni, located near Romania's borders, the structures of the Ministry of National Defence that monitor the airspace have not identified any military threat to the national territory or territorial waters of Romania," MApN said in a press release sent to AGERPRES. The Ministry announces that it has reinforced the vigilance measures established according to national and allied plans and is constantly monitoring the national land, sea and air space in cooperation with NATO structures, acting to strengthen defence on the entire Eastern Flank and deter any aggression against allied territory. "The Ministry of National Defence strongly condemns the repeated attacks by the armed forces of the Russian Federation against areas inhabited by the civilian population and elements of Ukraine's critical infrastructure. The war of aggression waged by Russian forces against Ukraine is a blatant violation of international law," the release said PM Ciolacu: No fiscal adjustment measure will affect youth or cultural activities Prime Minister Marcel Ciolacu said that no fiscal adjustment measure envisaged by the Government will affect youth or cultural activities, stressing, however, that the state can no longer support "such a dense infrastructure." The head of the executive was asked on Wednesday at the Victoria Governmental Palace, during the opening of the Overseas Students Forum, if the administrative reform and fiscal adjustment measures will affect the youth, education and culture sectors. "I think there are three different things. One, we are talking about a reform in administration (...), we are talking about some fiscal adjustment measures necessary for Romania to overcome this end of the year (...) and to fit into certain financial deficits. We will try, through dialogue with the European Union, because it is a partnership between the member states and the European Commission, (...) it is normal that the things we signed two years ago that represented the priorities of the Commission, the European Union, of Romania, two years ago, have changed. And there is another approach, the one concerning the measures to fight tax evasion, Romania being one of the countries with the highest tax evasion, the lack, first and foremost, of digitisation of ANAF [National Agency for Fiscal Administration]. I ask you something else: how was it possible that Romania's biggest post-December programme, the PNRR [National Recovery and Resilience Plan], did not have a single euro allocated to the youth? How was it possible that in the PNRR the former government did not include any programme or reform for the youth? What I can assure you: we will try in this negotiation with the European Commission, which is until August 31, to include some projects for the youth and remedy this. And what I can promise you very clearly is that no fiscal adjustment measure will affect youth or cultural activity," said Marcel Ciolacu. The Prime Minister added that it is "unacceptable" that Romania has "the most credit release authorities in the world." "We cannot have the most credit release authorities in the world. This is unacceptable. You cannot. Every library in Romania, every theatre, smaller or bigger, every agency smaller or bigger, we are all credit release authorities. The role of a theatre director is to lead cultural activity. The role of a head of a youth agency is to lead the youth activity, not to lead a subordinate structure providing support services of the size of the National Theatre, a provincial theatre. We are mistaking things. And then we come and say: 'Sir, the director of the National Theatre must have the same salary as me, as the director of the provincial theatre.' What kind of world do we live in? (...) One thing I said very clearly. I don't want so many credit release authorities. Not everyone has to make public acquisitions. They can be done centrally at county level, by the county council. To buy a pencil you need an economic director, you need a legal head, you need a procurement specialist, it's not easy, you need to make specifications. (...) No. This is communist infrastructure. We live in different times. Those times are over. Nobody touches cultural and youth activities. I really don't think that the Romanian state can support such a dense infrastructure," Ciolacu said. BAKU, Azerbaijan, August 17. Armenia's actions are nothing but a pre-planned political hypocrisy, and its appeal to the Security Council is part of a months-long campaign to manipulate and mislead the international community, Azerbaijan's permanent representative to the UN Yashar Aliyev said at a meeting of the organization's Security Council, Trend reports. "If Armenia had been sincere in its desire to help, it would have done that immediately. Recently, a few days ago, this really became possible practically thanks to the active participation of international actors, including some members of the Security Council. This did not happen only because of the refusal Armenia," he said. Following the tripartite statement dated November 10, 2020, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. A meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. PM Ciolacu: Romania can develop to its true potential by encouraging young people to get involved Romania can develop to its true potential only by encouraging young people to get involved, by promoting and supporting their projects and actions, according to Prime Minister Marcel Ciolacu, told Agerpres. Ciolacu on Wednesday joined other ministers at the Government House in the opening event of the 3rd edition of the Forum of Romanian Students Everywhere. "It is a great honour to me to participate today in a project of such scope, organised in such a complex partnership between youth organisations. Let me welcome on this occasion the alliance among the Union of Romanian Students, the UN Youth Association in Romania, the Federation Medical Students Associations of Romania and the League of Romanian Students Abroad. At the same time, I am glad that the Forum of Romanian Students Everywhere is becoming a tradition for the government of Romania. Because Romania can develop to its true potential only by encouraging young people to get involved, by promoting and supporting your projects and actions! That's why you can rest assured of my full support!" said Ciolacu. He pointed out the need for a partnership between generations that would allow young people access to the government's decision. "Your access to the government's decision is a necessity, and your access to the information is also a necessity. To get the information, there must be a partnership. For us to have your feedback and your vision, there must exist this partnership," said Ciolacu. He added that at this moment Romania needs "a quick administrative reform." "The Romanian society has evolved enormously in recent years, but so have the demands of the Romanians and of you. That's why we need well-trained young people in administration. Young people who can set things in motion, dynamic young people. If possible, at the same speed at which you actually operate, day in and day out. Our big problem now is not how much we develop. Government outlays for strategic projects, both from European funds and from funds from the national budget, are vital in the field of energy , road infrastructure, agriculture. That shows us very clearly the size of Romania's growth. It is for the first time in Romania's history that we have a budget based on programmes and we have allocated 7% of GDP for direct investments. Romania's big problem, on the other hand, and our personall - whether in the central administration, local or civil society or in school - is the speed at which we develop. The war in Ukraine has changed the pace and will continue to change it, and I think that things need to change. We have no more time to waste. It is obvious that we have to find solutions to speed it up," said Ciolacu. PM Ciolacu: Tax exemption elimination to be done gradually, no changes on anything working Prime Minister Marcel Ciolacu said that the elimination of tax exemptions, a measure considered by the Government in beneficiary sectors such as construction, IT, agriculture, food industry, will not be "brutal," as the Government does not want to ruin activities that are functioning, told Agerpres. He thus answered Wednesday at the Victoria Governmental Palace to a question from a participant at the Forum of Romanian Students Abroad, a dental medicine student in Oradea, concerned about the prospects for young people who want to open a business after completing their studies in areas such as health or IT. "We have ongoing programmes. Right now it's Start-Up Nation, it's in its I don't know which year. We are now trying to give a side to it also for Romanians who are abroad, to have access to this platform (...) All these programmes continue. Micro-enterprises - there is only one different approach of the Commission - the fact that they have become a kind of offshore within a state. You can't have offshore taxation on micro-enterprises, and nonetheless solve the problems, the first five problems: living without poverty, without hunger, health, education, infrastructure. So something somewhere is not working. And the Commission said: we understand, let's see gradually, how to remove these exemptions, but they... the discussion and the removal of exemptions is not a brutal one, that could spoil the activity. However, HoReCa, micro-enterprises... We're coming out of a pandemic period, when they were supported with budget money to get through that year and a half of no activity. There were closed hotels, closed restaurants, closed cinemas, closed theatres. Then, at that time, the Romanian state came with a lot of funds. They have returned, it seems to me, up to almost 6% of GDP to this area. Nobody will change anything that is working," said Ciolacu. Romania, 6th in the world in terms of business services industry (report) Romania ranks 6th in the world in terms of business services industry and 2nd in Europe, after Poland, according to a report of a professional association, told Agerpres. Currently, the number of employees in the business services industry is greater than 2% of the economically active population of the country. "Romania still has many advantages to offer to investors in the business services industry. Among them is a timely cost of business development and labour, even in the IT area, with a 20% lower difference compared with Poland. The highest number of foreign languages in all Central and Eastern European countries is spoken in Romania, which is seen as one of the most important advantages for the diversification of services in business services (according to the latest ABSL Romania study, 23% of companies perform activities in 11-15 foreign languages, and 15% of companies have between 16-20 foreign languages). Also, expertise in technology is an advantage," according to Nicoleta Apetrei, ABSL deputy chair. The business services sector has continued to grow globally at an average of 6% over the past two years. After Asia, where approximately 45% of the total number of companies is found, Central and Eastern Europe ranks second in the world in terms of regions where this industry is developed, with approximately 31% of companies. "The centres of excellence developed in the last two years come with solid expertise in areas such as IT, finance and accounting, procurement, still making Romania a preferred destination for services that generate high added value. But Romania is in direct competition with countries from the CEE region - especially Poland and Hungary - in terms of available grants, tax incentives and support to the business services industry. While Romania provides support through tax incentives and employment policies, Poland and Hungary provide a set of measures that may seem more comprehensive, which includes supporting the purchase of equipment and a higher total value of the grant - EUR 100 million in Poland vs EUR 45 million in Romania," according to the report. According to ABSL, the difference is that Poland "has seven industries of interest on the list, with business services occupying a leading place in the ranking. In Romania, tax incentives are granted for production, research and development, and IT." "Romania's competitiveness on the business services market is influenced by many factors including the performance of the educational system, a large number of foreign language speakers, IT knowledge, tax policies, the cost of daily living, the cost of labour, etc. All these converge into one point: the human resource, which if we lose, this sector will not be able to develop," according to ABSL Deputy Chairman Catalin Iorgulescu. ABSL says that 70% of the companies estimate that they will witness an increase in turnover in 2023 and 94% of companies estimate that Romania offers numerous investment opportunities in the sector. The most recent data show that the revenues generated by the industry for business services were EUR 9 billion. Also, the contribution of this sector to GDP in Romania is higher than the European average in recent years. The Association of Business Service Leaders in Romania (ABSL) is a leading organisation that represents the business services sector, bringing together over 80 such companies operating in Shared Services Centres (SSC), Business Process Outsourcing (BPO), Information Technology Outsourcing (ITO), Research and Development (R&D). Save Romanian Union (USR) submitted on Wednesday an official request for Parliament to convene an extraordinary session between August 17 and September 1 to review the draft law on special pensions. According to a USR press release, the postponement of the re-examination of the bill in Parliament is "a clear signal that the Social Democratic Party (PSD) and the National Liberal Party (PNL) do not want, in fact," to abolish special pensions, agerpres reports. The USR request points out that more than a week has passed since the publication of the reasoning of the Constitutional Court of Romania (CCR) decision No. 467/2023 and "urgent re-examination" of the bill on special pensions is required. Any delay in the adoption of a law eliminating special pensions "puts at risk" access to billions of euros from the National Recovery and Resilience Plan (PNRR), the release reads. USR demands that, when the extraordinary session is convened, the standing bureaus should also establish a clear work programme for the committees notified on the law.On August 2, USR Chairman Catalin Drula accused the PSD and PNL of "saving special pensions with the Constitutional Court's hand" and called for the convening of an extraordinary session of Parliament between August 7 and September 1 to urgently discuss the law declared unconstitutional by the CCR.On August 3, Prime Minister Marcel Ciolacu said that Parliament would be convened in extraordinary session to amend the Special Pensions Law in accordance with the CCR objections.The Constitutional Court of Romania has decided to send back to Parliament the law amending the special pensions, after some articles were declared unconstitutional. The decision was announced by the President of the CCR, Marian Enache. He said that the law is constitutional as a whole, but there are also unconstitutional aspects. President Joe Bidens administration wants to crack down on private arrangements among some hospitals to reimburse themselves for taxes that help fund coverage for low-income people. It contends the practice violates federal law. Federal regulators say these arrangements appear designed to redirect Medicaid dollars away from facilities that treat the poorest patients to those that provide fewer, or even no, Medicaid-covered services, according to a proposed enforcement plan released May 3 by the Centers for Medicare and Medicaid Services. The practice is typically orchestrated by the lobbying groups that represent hospitals in state capitals and is often kept secret. Not even federal regulators know how widespread it is, although programs operate in at least a few states, including Missouri and California. Its also the subject of a Texas lawsuit that could block the federal governments proposal. It does seem like these associations are finding a way to distribute the money in a really weird way, said Joshua Gordon, the director of health policy for the Committee for a Responsible Federal Budget in Washington, D.C. But without the transparency, we dont exactly know whats going on. Previous efforts to block these payback arrangements have gone nowhere in the face of opposition from the powerful health care industry and state health officials who fear that clamping down could result in less money for Medicaid, the joint state-federal health insurance program for low-income people. Several Medicaid experts predicted the latest proposal could meet the same fate, or face immediate court challenges if adopted. The federal governments sweeping and contentious proposal would require states to police hospitals, nursing homes, and other health care providers to ensure they made no private agreements to redistribute Medicaid dollars. Public and private hospitals argue CMS has no jurisdiction to regulate private transactions and has overstepped its legal authority. Together with state health officials from around the country, they warn the move could strip billions of federal dollars from Medicaid and threaten safety-net coverage for 94 million low-income people. Texas alone could lose $6 billion a year, according to Texas Health and Human Services. KFF Health News attempted to interview state health leaders and hospital association officials around the country, but they declined to comment or did not respond to repeated calls and emails. The federal governments proposal is part of a broader Medicaid financing package, and it resurrects a long-standing effort by administrations of both parties over the years to rein in Medicaid spending which ballooned to $734 billion in 2021. In this case, regulators are targeting what are known as provider taxes, which states are increasingly imposing on hospitals, nursing homes, and other health care providers to help states pay for their share of the Medicaid program. The more provider taxes states levy, the more money they can also get in federal funding. These taxes are a critical source of revenue that all states except Alaska rely on for their Medicaid programs and to get federal matching Medicaid dollars. They account for 17% of state Medicaid funding in 2018, according to a 2020 report by the Government Accountability Office, which called for more transparency in how the money is collected and spent. In California, hospitals have redistributed provider tax funds since 2009. Heres how it works: Hospitals with a significant share of low-income patients get more Medicaid funding back than they pay in the tax, so they donate a small portion of their Medicaid funding to a charity run by the leadership of the California Hospital Association, a statewide lobbying organization. The charity awards grants to the hospitals that treat a smaller share of low-income patients and dont receive as much funding back as they paid in taxes. For instance, Cedars-Sinai in Los Angeles, one of the countrys richest hospitals, paid nearly $172 million in provider taxes in 2022, eclipsing the $151 million it got back in Medicaid dollars. Then, it received nearly $28 million from the hospital associations charity earning about $6.9 million from the program, the hospitals audited financial statements show. Meanwhile, faith-based Adventist Health, which serves a larger share of poor people and operates roughly two dozen hospitals in California, Oregon, and Hawaii, paid $148 million in taxes in 2022 and reaped $401 million in Medicaid dollars through the program, according to its independently audited financial statements. It then contributed $3 million of that Medicaid money to the charity. Federal law sets stringent rules for provider taxes: They must be broad-based and apply to all providers within a certain category, like hospitals; providers within a state must be taxed at the same rate; and taxes cant be returned directly or indirectly to providers as part of a hold harmless agreement. Its that last clause that has spurred the feds to act. Regulators say some health care providers, to gain the needed support within their ranks for the tax, are moving the tax money and the federal revenue it draws to states among themselves. We believe providers with relatively higher Medicaid volume agree to redistribute some of their Medicaid payments to ensure broad support for the tax program, they wrote in their proposal. These agreements undermine the fiscal integrity of the Medicaid program, they wrote. Its unclear how widespread such agreements are because hospitals dont make them public. CMS said it has identified instances of Medicaid redistribution payments, but spokesperson Greg Myers declined to elaborate. Jonathan Williams, vice president of government affairs at Sutter Health, which operates about 20 hospitals across Northern California, argued in a June 30 letter to the federal agency that these arrangements help hospitals expand care networks and afford necessary incentives to ensure that providers can continue caring for Medicaid beneficiaries with unique and specific care needs. Missouris hospital association also runs a pooling arrangement, in which hospitals that get more Medicaid money than they paid in taxes can donate funds to the hospitals that didnt. Missouri providers have had various private agreements to redistribute funds among themselves for decades, with the full knowledge and approval of CMS, according to an unsigned and undated letter to the agency from the MO HealthNet Division, which runs the states Medicaid program. In 2002, Missouri got federal approval for its redistribution program by pledging to use the funds for Medicaid services, whereas California has not received approval. The federal governments plan would require states to get health care providers to attest that they dont participate in any arrangement that violates federal law. State officials described the proposal as an impractical administrative burden that could dissuade hospitals, nursing homes, and other providers from participating in Medicaid altogether. Imposing additional requirements on providers that participate in Medicaid managed care networks would only serve to further dissuade network participation, which will have a negative impact on member access to care, Mike Levine, the assistant secretary for MassHealth, Massachusetts Medicaid program, wrote to CMS on July 3. Texas, which has long tangled with the federal agency over how it funds its Medicaid program, sued in federal court earlier this year after the agency declared in a separate letter to states that these types of arrangements arent allowed and must be reported. The letter was sent in February, before the agency issued its formal proposal. In June, a federal judge handed Texas and its health care industry a victory, temporarily delaying the reporting requirement that regulators had outlined in their February letter. The judge agreed with Texas that the agency had exceeded its legal authority and couldnt regulate private agreements. State health officials and hospital leaders are pointing to the Texas court case as evidence that the agencys May proposal to crack down on the redistribution of Medicaid funds is a \widely controversial interpretation of the law, as the Tennessee Hospital Association put it in a July 3 letter to CMS. Federal regulators have not said if or when they will implement their plan. The last time the agency issued a sweeping Medicaid financing proposal, it withdrew it almost a year later. Mark McClellan, who served as head of the Centers for Medicare and Medicaid Services for two years during the George W. Bush administration, predicted states and Congress would push back hard if the new proposal moved forward. Medicaid is a huge component of state spending and keeps getting bigger, McClellan said. So, sudden CMS changes or clamping down is going to be disruptive for state coverage.(tncms-asset)caa3b4ad-2d1c-56d4-974f-d94fc90cf09c[0](/tncms-asset) Tony Messenger Metro columnist Follow Tony Messenger Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. Save Manage followed notifications Close Followed notifications Please log in to use this feature Log In Don't have an account? Sign Up Today Theres a sentence in a lawsuit filed in St. Louis two years ago that says a lot about indictments issued this week in Georgia against former President Donald Trump and 18 of his alleged co-conspirators. The intentional dissemination of known falsehoods aimed at sowing doubt about the integrity of our elections threatens our very ability to function as a democracy. That sentence is in the petition filed by St. Louis law firm Dowd Bennett on behalf of two Georgia election workers, Ruby Freeman and Wandrea Shaye Moss, over lies told about them by The Gateway Pundit. The online propaganda rag was founded by St. Louisan Jim Hoft and his twin brother, Joe, who lives in Florida. The lawsuit, first filed in December 2021, makes a point about Trumps Big Lie and his attempt to overturn the 2020 election that is now clearer than ever in the fourth indictment issued against the ex-president: Trump didnt act alone. The first of the 18 names listed below Trumps on the 41-count indictment in Fulton County is none other than Rudolph William Louis Giuliani, who also faces a lawsuit from Freeman and Moss for the damage his lies caused them. In that lawsuit, Giuliani filed an amazing document recently. He in effect admitted to the lies, a version of which he also told a legislative committee in Missouri when he was traveling the country rallying support for the Big Lie. When he testified in Missouri, Giuliani signed a witness form agreeing to tell the truth, under the threat of criminal charges for perjury. He lied anyway. And now, hes paying the price, as are many of Trumps other hangers-on, from John Eastman to Sidney Powell to Mark Meadows. The lies havent ended, even as the indictments pile up. Heres what was on The Gateway Pundits website the morning after the Georgia indictment: BREAKING: Trump Announces Press Conference Next Week Where He Will Reveal Fraud in Georgia Election. The site also suggested that the wife of the judge in the case likes former President Barack Obama on Facebook, and that the indictments amount to pure communism. Its pure trash, but it continues to cause massive damage to American democracy, in part because the Hofts have figured out how to monetize the trash. And theyve done that in part with the help of St. Louis-based Decide Technologies, formerly known as LockerDome, a company that feeds millions of dollars to the Hofts through online advertising. Democracy dies in darkness, the slogan adopted by The Washington Post, seems to apply here. But through the lawsuits filed by the Georgia election workers, and now the indictments issued by the grand jury there, light is being shed on the conspiracy to upend American democracy and the expanding list of grifters who were involved. Trump and the other Defendants charged in this Indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump, reads the Georgia grand jury indictment. That conspiracy contained a common plan and purpose to commit two or more acts of racketeering activity in Fulton County, Georgia, elsewhere in the State of Georgia, and in other states. It took more than one man to create the Jan. 6 insurrection and the ongoing attacks on American democracy. Those people are facing the legal consequences of their actions. Now the real action moves to the courts, in New York and Washington, D.C., and Georgia and Florida, and, yes, in Missouri, where judges and juries will stand in judgment over the purveyors of the Big Lie. As historic as it is that a former American president is facing multiple charges in several jurisdictions, its perhaps even more important that those who enabled him pay the price for their misdeeds. Thats how American democracy returns to a functioning state. JEFFERSON CITY Missouri officials had planned to pick up video surveillance on Aug. 7 from a marijuana products manufacturer under state investigation. But, on the day of the states visit, someone broke into the companys Franklin County facility, officials said, and made off with computer servers containing the footage and facility access logs that investigators were seeking. The loss has frustrated Missouri cannabis officials investigation into the company, Delta Extraction LLC, which the state alleges used unauthorized, out-of-state THC for products produced at its facility in Robertsville, southwest of Pacific, 45 miles from St. Louis. Brittany Kirkweg, a deputy director in the Division of Cannabis Regulation, testified at a hearing this week that the theft of the servers stuck out to state officials because it was the only thing that was taken during this burglary. That was evidence we needed to work through our ongoing investigation, she said. Rachael Herndon, Deltas chief operational officer, said she was incredibly disappointed at the lack of progress in the Franklin County Sheriffs criminal investigation into the theft. This is corporate espionage, Herndon said at the hearing. I dont know who did this. The sheriffs office did not respond to a request for comment. State officials suspended Deltas license on Aug. 2, placed products it sold to dispensaries and other manufacturers on administrative hold, and ordered company employees not to enter the Robertsville facility. Delta filed a motion to delay the suspension. On Monday, the Missouri Administrative Hearing Commission heard testimony on the case. And, between the parties, a narrative began to emerge: A storm and an alarm Jack Maritz, Deltas general manager, said state officials traveled to the facility on Friday, Aug. 4, to retrieve security camera footage. They also sought access logs. The state had discovered irregularities in data Delta had sent in. The footage and logs could help the state identify which employees were on site and when. But the state ran into trouble getting the footage that day. We couldnt upload it directly to the cloud because we have satellite internet, Maritz testified at the hearing. The lab is in a remote location. So we had to physically download it onto a hard drive. That day, Delta bought a bunch of hard drives and tried to download the data, he continued. But it was over a million pages and they couldnt get it all together. At about 3 p.m. Friday, the state officials said they would need to restart the transfer on Monday. Maritz testified that he wanted to stay and finish the work. If I couldve stayed in that building I wouldve gotten it all downloaded, or come back Saturday, he told the hearing commission. The parties rescheduled for Monday, Aug. 7. But on the morning of Aug. 7, a security alarm went off at Delta. Did you all call anyone as a result of the security alarm at 4 a.m.? Josh Douglass, an attorney for the state, asked Maritz at the hearing. Maritz said he received notification later in the day, when they checked the security app. He said he didnt call police. There were storms in the area, he said, and they figured the power had gone out and that "the security system wasn't working." We had assumed that a storm had just blown through and oftentimes well get that same security warning from our garage doors, Maritz said. Weve had a ton of false alarms like that. Then things got even stranger. First, after an email from Delta to the state, the parties canceled the Monday visit. Maritz said he couldnt exactly remember why. I think we needed the security person to actually come and help out, he said. And then, later that day, the company got word that a window had been broken to the facility. So the window was open all day on the 7th, correct? Douglass asked Maritz. I do not believe we did anything wrong Kirkweg, the deputy director of compliance, said the division has communicated with the Franklin County sheriff about the investigation. She said it was reported that a company representative noticed the broken window at about 2 p.m. or 2:30 p.m. on Aug. 7. And a licensee representative believed an employee was responsible, Kirkweg said at the hearing. She said she has also been told that company access logs are no longer available. Herndon, Deltas COO, said the theft completely changed her feelings on the states investigation. Whoever did this stole priceless equipment that we needed ourselves, Herndon said. She said the company intended for the state probe to be a normal interruption to our operations. Theres a lot of confusion in this industry and we thought that we would be coming out on the other side fine. But because of the server theft, the entire pictures changed, she said. To this day, I do not believe that we did anything wrong. Late Monday this week, the Department of Health and Senior Services issued a recall for products sold by Delta, citing faulty record-keeping the company filed with the states central marijuana inventory system, which wont allow officials to verify the legal origins of the marijuana or its compliance with state testing. The recall involved products Delta made for a company called Conte. Maritz said during the hearing that the Conte process involved using hemp-derived THCa from out of state, which when heated turns to THC, the chemical responsible for the psychoactive effects of marijuana. Delta contends use of hemp-derived THC from out-of-state had been allowed under previous state regulations; the state has said it was not allowed and that current regulations clarify that. Maritz said Conte directed Delta on the production of their products. A Conte spokesperson said Delta directed Contes activities. Conte is not a marijuana license holder; accordingly, the licensee directs Contes activities, including providing regulatory oversight, the company said in a statement. We guarantee the quality and safety of our products. All of our products are tested by a Missouri licensed laboratory. Maritz said Deltas relationship with Conte has ended. Were done with Conte, he said Monday. JEFFERSON CITY Several school districts in the St. Louis area are set to move away from annual standardized tests in favor of more frequent testing aimed at providing quicker results to students and teachers. Affton, Lindbergh, Mehlville, Parkway, Pattonville, Ritenour districts and Confluence Academies charter schools in St. Louis are set to adopt the change, which is part of a push toward new methods for evaluating student progress instead of backloading testing each spring. Were looking at how we can redesign an assessment with real-time information to truly personalize that experience for our kids instead of that one-size-fits-all approach to education, said Lindbergh Superintendent Tony Lake. This is really to be more transparent and to be held accountable for things that are meaningful for students, teachers and parents. The changes were approved unanimously Tuesday by the Missouri board of education. In all, 20 districts statewide were granted innovation waivers to spurn spring standardized tests. Lake compared the tests administered each spring known as the Missouri Assessment Program to an autopsy, because the results come back too late for educators to diagnose or treat any problems. Districts that received waivers will start students with an initial assessment this fall, then test throughout the school year. Those districts must present the board with a draft of an alternative program for evaluating students by early 2024. The ongoing, continuous measurements really do allow for in-the-classroom teachers to make modifications, said state board member Mary Schrag, who owns a physical therapy clinic in West Plains. In health care, with early intervention, we always have more success. The innovation waivers allow districts to move beyond the No Child Left Behind era of standardized testing that began in the early 2000s, where the focus was on scores from whole districts and subgroups rather than individual students, administrators said. The plan is to expand the waivers statewide and eventually eliminate the once-a-year, high-stakes Missouri Assessment Program tests. Hancock Place, Hazelwood, Rockwood, Special School District of St. Louis County, University City, Valley Park and Webster Groves are in the second group of districts in the process of requesting the innovation waivers. Federal rules dictate that all school districts in the state continue to give the annual MAP test, but network leaders are preparing waivers for that, too. MAP testing is not an effective way for us to help kids. We think theres a better way for the state to hold districts accountable, thats rooted in the idea of competency-based learning, said Kevin Beckner, assistant superintendent of teaching, learning and accountability at Parkway School District. We want to make sure the kids are ready for high school or college or career. After approving the waivers on Tuesday, the board of education released statewide MAP results from spring 2023. District and school-level results will be available in December. In most subjects and grade levels, test scores have yet to rebound to pre-pandemic numbers. Statewide, 44% of students tested proficient in English, a decrease of 5 percentage points from 2019. In math, 40% were proficient, down 4 percentage points from 2019. Proficiency in science increased slightly to 39% over the last year but still down from 42% in 2019. In St. Louis and Kansas City, charter school students as a whole fell below statewide averages. In English, 28% of charter school students tested proficient, along with 24% in math and 23% in science. Proficient is defined as meeting expectations for moving on to the grade level without needing additional support. Students who test in the basic range below proficient should not be described as failing, state officials said. BAKU, Azerbaijan, August 17. The so-called "Lachin corridor" is a road in the sovereign territory of Azerbaijan, it does not have any extraterritorial status, Azerbaijan's permanent representative to the UN Yashar Aliyev said at a meeting of the organization's Security Council, Trend reports. "According to the tripartite Statement dated November 10, 2020, it is intended primarily for the transportation of citizens, goods, the passage of vehicles. Azerbaijan, remaining committed to its obligations under the tripartite Statement, gave permission to travel along this road," he said. Following the tripartite statement dated November 10, 2020, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. A meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. ST. LOUIS Aerospace giant Boeing on Wednesday moved one step closer to securing the land it needs for a secret, $1.8 billion megaproject at St. Louis Lambert International Airport. The Board of Estimate and Apportionment, the citys top fiscal body, unanimously approved plans to lease the company roughly 160 acres at a cost of at least $2.6 million per year for 17 years. Boeing would have options to continue the lease in five-year increments through 2070. The land is where Arlington, Virginia-based Boeing is hoping to build new advanced manufacturing facilities to produce what it calls future franchise programs. That could include all-important next-generation fighter jets for the Pentagon the Air Force is scheduled to award the contract for those planes next year. A top airport official indicated earlier this month that an unfavorable decision by the Department of Defense in the near future would be a deal-killer. The lease contracts would allow Boeing to opt out any time before 2026. The lease now moves to the Board of Aldermen for further consideration. Aldermen return from their summer break next month. Boeing is also seeking tax abatements from St. Louis County on the project, which could save the company upwards of $155 million over 10 years. Boeing has said it will hire 500 new workers for the project, which will in turn create more than 1,000 new jobs at other companies in the region. JEFFERSON CITY Missouris attorney general is calling on Congress to question federal officials on the U.S. governments role in contaminating the St. Louis area with radioactive waste and what agencies are doing to repair the damage. Attorney General Andrew Bailey on Tuesday wrote to U.S. Rep. Cathy McMorris Rogers, a Washington state Republican and chairwoman of the House Energy and Commerce Committee, asking that she convene a hearing with members of the Environmental Protection Agency and the Department of Energy. Bailey said that development of the atomic bomb led to radioactive contamination in St. Louis. He said St. Louisans were still dealing with the aftermath, including higher cancer rates among individuals who lived near or played in Coldwater Creek in north St. Louis County, which was polluted by waste once stored at sites near the airport and also in Hazelwood. The federal Agency for Toxic Substances and Disease Registry in 2018 found that exposure to radioactive contaminants that polluted the creek could raise the risks of bone cancer, lung cancer, leukemia, among other cancers, the Post-Dispatch reported in a news story that is referenced in Baileys letter. Bailey also mentioned the closing last year of Jana Elementary School in Florissant over radioactive contamination concerns as well as the smoldering Bridgeton Landfill, which adjoins West Lake Landfill, where radioactive waste is buried. Its time for the federal government to take responsibility, Bailey wrote. Bailey also references an April 1965 letter from St. Louis-based Mallinckrodt Chemical Works to the U.S. Atomic Energy Commission that says Mallinckrodt received potentially dangerous material in the fall of 1964 for testing, and that the company shipped the material out on April 29, 1965. Bailey urged the congresswoman to press the Department of Energy for more information on the shipment. He said the Department of Energy had provided no insight into the type of material, how it was used, and what protective measures were taken. The Department of Energy did not immediately respond to a request for comment. Advocates had urged Bailey, a Republican, to sue the federal Department of Energy, or at least bring them to task, Dawn Chapman, a founder of Just Moms STL, said in July. Her comments followed reporting that analyzed government documents detailing the federal governments role in decades-old radioactive contamination from the countrys early nuclear weapons program here. State Rep. Tricia Byrnes, R-Wentzville, has called on Gov. Mike Parson, who appointed Bailey attorney general, to hold a roundtable forum on the contamination. Bailey in his letter also said he supported U.S. Sen. Josh Hawleys legislation to expand an existing nuclear radiation exposure program to people in St. Louis who meet certain criteria. Hawleys measure would provide reimbursement to people with a medical condition linked to nuclear radiation exposure and who were physically present in an affected area defined by 20 ZIP codes for at least two years after Jan. 1, 1949. Affected ZIP code areas, which cover most of north St. Louis County, the north riverfront area of St. Louis and a large swath of St. Charles County are 63031, 63033, 63034, 63042, 63045, 63074, 63114, 63135, 63138, 63044, 63140, 63145, 63147, 63102, 63304, 63134, 63043, 63341, 63368 and 63367. Where to get help If you or someone you know might be at risk of suicide, here are ways to help: Call 1-800-273-8255 to reach the National Suicide Prevention Lifeline. It provides free and confidential support 24 hours a day, seven days a week for people in suicidal crisis or distress. You can also call 1-800-273-8255 to talk to someone about how you can help a person in crisis. Call 1-866-488-7386 for the TrevorLifeline, a suicide prevention counseling service for the LGBTQ community. Text HOME to 741741 to have a confidential text conversation with a trained crisis counselor from Crisis Text Line. Counselors are available 24/7. For crisis support in Spanish, call 1-888-628-9454. KANSAS CITY, Mo. A Pleasant Hill police officer has been fired after barely two days on the job because members of the public found a racist message he posted on social media, according to the local police chief. After Jacob Smith was sworn in as an officer at a Monday night city council meeting, social media users searched for him online and found a racist meme he posted about a month ago, Pleasant Hill police Chief Tommy Wright said Wednesday morning. The post carried a message against Black people, according to Wrights description. The Star is not publishing the details of what it said. When Wright became aware of the post, he said, he opened an investigation that night. Smith was suspended with pay after about three or four hours of service following the meeting. By 1 a.m. Wednesday, Smith was let go from the department, Wright said. Smith could not immediately be reached for comment Wednesday morning. The hiring process for officers normally consists of drug and psychological testing and a background check that should include searching potential hires social media accounts. This time, the police department failed to do so, Wright said. Officials had completed other steps of the background check, including a public records check, criminal history and courts records checks and searches for images, videos, names and news related to the job candidate. The city said the error showed issues within Pleasant Hills hiring process, which officials hope to fix by communicating expectations clearly, creating checklists so that all steps must be carried out and developing more thorough background checks. Pleasant Hill is a city of around 8,000 people, about 35 miles southeast of downtown Kansas City. Moving forward, Wright said, the department will investigate why some steps werent followed in the new hire process. Theyll also look for ways to better enforce all background check procedures. This was a mistake on our part, and well own that, Wright said. We will be more diligent moving forward to ensure that this doesnt happen again. Theres no place for us to have any kind of bigotry or hate within our ranks and within our community. Officials said they understand that the incident will hurt the publics trust in the police department and city, but they hope to be transparent moving forward as they work to improve the citys hiring process. The police officers, sergeants, and leadership of the Pleasant Hill Police Department work hard every day to provide this community with the safety and protection at the highest level of service, Mayor John King said in a statement. These officers are part of this community, and they want their police department to be the pride of this city. Please do not let one individual detract from the work they have accomplished in the last few years to make the Pleasant Hill Police Department what it is today. Another week, another indictment. Americans can be forgiven for becoming numb to the still-astonishing fact that a former president and current presidential election frontrunner now faces four count em, four rafts of criminal charges from grand juries in New York, Florida, Washington and, now, Georgia. Georgias indictment, announced late Monday, has drawn the usual breathless outrage from Trumps defenders in Congress. Disgraceful, declared Texas Sen. Ted Cruz, noting that America has never once indicted a former president before. True. But does it not occur to those who say this as if it indicates unprecedented overreach by law enforcement that it might instead indicate unprecedented criminality on Trumps part? The American people can decide whether they want him to be president or not without grand juries weighing in, fumed South Carolina Sen. Lindsey Graham. This should be decided at the ballot box. Translation: No one running for president should be subject to the laws of the land, even if they tried to illegally overthrow a previous election. This would not be a wise legal standard. Trumps critics are often just as bad when it comes to drawing conclusions about charges that have yet to be adjudicated. Senate Democratic leader Chuck Schumer and House Democratic leader Hakeem Jeffries issued a joint statement saying the four indictments together portray a pattern of criminal activity by the former president. Which sounds like theyve already reached verdicts for the criminal juries. The two leaders statement goes on to implore Trumps supporters and critics to allow the legal process to proceed without outside interference. Its advice they themselves should heed, along with other members of Congress in both parties. As an editorial board unencumbered by the duties of congressional leadership, were comfortable voicing our view: Trumps scheme to overthrow the 2020 election plainly betrayed his oath to defend the Constitution in ways that merited his impeachment and should have merited removal from office. But whether those schemes rose to the level of criminality is debatable. Thats what the juries have to decide. Which is how it should be. Merely being a former or aspiring president shouldnt shield anyone from facing criminal charges if there is evidence. That said, we have concerns about the Georgia indictment. Its so sweeping that, as Washington Post columnist Ruth Marcus noted in an analysis, it appears to attempt to criminalize even Trumps bogus post-election speech declaring victory. This is dangerous ground. And it largely repeats allegations already raised in the earlier federal indictment regarding Trumps attempts to overthrow the election. Combined with the strong Florida indictment regarding Trumps mishandling of federal documents, and the more questionable New York indictment regarding Trumps pre-presidential hush money payments to a porn star, it all inevitably takes on the look of prosecutors piling on. Against that legitimate concern are some words to keep in mind: I just want to find 11,780 votes, which is one more than we have because we won the state. So what are we going to do here, folks? I only need 11,000 votes. Fellas, I need 11,000 votes. Give me a break. Thats then-President Trump on Jan. 2, 2021, pressing Georgia officials to overturn Joe Bidens electoral victory in that state to overturn democracy in order to keep himself in power. These multiple indictments on various allegations, some seemingly stronger than others, shouldnt be allowed to so muddy the waters that Americans forget what the most serious of these charges are all about. South Korea is the latest country to accept women on submarine crews. Nineteen other nations already allow women to serve on submarine crews. These nations include; Argentina, Australia, Britain, Canada, Denmark, France, Germany, Greece, Italy, Japan, the Netherlands, Norway, Poland, Portugal. South Africa, Spain, Sweden, United States and Venezuela. Women are in submarine crews because service in submarines requires volunteers with technical skills and there are not enough qualified men volunteering. As a result, only fourteen nations actually have women on submarine crews. Several South Korean women have volunteered for submarine service and the first of them will complete her training and join a crew in 2024. In 2010 the U.S. Navy decided to allow women to volunteer for submarine service, but it wasnt until 2014 that women completed their training and joined crews. The navy decided to have one more experienced (on a sub) female officer (usually a lieutenant or O-3) serve as a mentor for two ensigns (O-1). The second dozen female officers will be integrated into sub life the same way. Enlisted women for submarine crews came later. In 2009 the Naval Academy was asked to survey its female midshipmen and see how many would want to join the submarine service. About two dozen said they were interested in one of the seven slots the academy has been told would be available. The navy was initially assigning the women to SSBNs (ballistic missile carrying nuclear subs) and SSGNs (four SSBNs converted to carry cruise missiles), mainly because these larger boats have sufficient room to provide separate quarters for women. The Ohio class SSBNs also have hatches large enough to easily get in the equipment needed to build the separate quarters. SSBNs also have two crews, which alternate running the boats on their 77 day cruises. In between each cruise the boats are in port for about 35 days for maintenance and resupply. One compelling reason for allowing women to serve was the growing shortage of men willing to do so. In 2008 the Naval Academy produced only 92 male officers for submarine duty versus the requirement for 120. Submariners must be volunteers and satisfy strict physical, psychological, and academic qualifications. This would not be the first time female naval officers have served on American subs. There were already twelve submarine qualified female officers in the navy when the navy decided to go forward with putting women on regular crew duty. That is, these twelve had taken all the training required for someone to serve on a submarine. There is a lot more training on the boat before you become part of a crew but these women are qualified to serve for short periods. These women were technical specialists and did serve for short periods on submarines, sharing a two person stateroom. Other navies that allow women to serve on subs but not all of these countries have had many, if any, women actually volunteering for the service. The U.S. Navy has a unique situation, however, mainly the length of the cruises. Even the SSNs, or attack boats, go out for a month or more per cruise. The nations that already allow women on subs have non-nuclear boats that spend far less time at sea each time they go out. The women on these sub crews have got used to the lack of privacy and both genders have adapted, as has been the case with mixed crews on surface warships. But the wives of American submariners have been openly hostile to the idea of mixed male/female crews and have not been reluctant to make their concerns known. What the wives worry about is sex. They know that this takes place on surface ships with mixed crews and it has caused a few marriages to break up. Service on subs is even more claustrophobic and stressful. And there are far fewer places, compared to surface ships, for a couple to have some clandestine sex. But this sexual activity, even though banned on all American warships, does happen. The berthing problem seems to be overrated, as other navies have simply put a curtain or two up to separate the male and female berthing. The officers and senior NCOs have shared rooms, and when women began serving on American subs, it was women officers at first because that's where the greatest shortage is. Not a lot of men are willing to go through all the training and tests to qualify for a job as an enlisted sailor on a nuclear sub and while fewer women were interested, there were some volunteers. Many more nations allow women to serve as officers or enlisted sailors on surface ships. The trend of women serving in the armed forces on an equal footing as men began over a century ago. At first it was medical personnel but after a few decades women were serving as pilots for helicopters, transports and eventually combat aircraft. As with submarine crews, there was a chronic shortage of qualified pilots and even Moslem majority nations welcomed qualified women to serve as pilots. It was either that or have expensive combat aircraft grounded because of a lack of qualified pilots. As those female pilots remained in the service they rose in rank to become squadron commanders. There are no women commanding subs yet but give it time. MIAMI, FL / ACCESSWIRE / August 16, 2023 / The BeOnAir Network of Media Schools is delighted to congratulate Chief Executive Officer Nancy Rodriguez on her induction into the Florida Association of Postsecondary Schools and Colleges (FAPSC) Hall of Fame. The Hall of Fame honors individuals who have made a significant contribution to the private postsecondary schools and colleges sector in Florida, and Nancy was formally inducted at the FAPSC annual conference in August 2023 alongside six other education sector leaders. FAPSC Hall of Fame 2023 Induction Ceremony FAPSC 2023 Hall of Fame Induction Ceremony Nancy expressed her appreciation for the recognition: "I have had the pleasure of being involved with this organization for the last 30 years and have met many inspiring pioneers and trailblazers who have worked hard to create educational choice and mentor the next generation of education leaders." At BeOnAir Network of Media Schools, our mission is to provide ambitious students with the opportunity to gain real-world experience with hands-on training and a high-quality education. Our diploma programs cover a variety of media fields and are accredited by the Accrediting Commission of Career Schools and Colleges (ACCSC), which is recognized by the U.S. Department of Education. We strive to ensure that our students have access to multiple educational institutions, so they can receive comparable data and be fully protected. "The challenges that face higher education today will only be resolved by ensuring each student has access to multiple types of educational institutions," Rodriguez added. "I believe in life-long learning. We no longer live in a society where we can pretend education is a one-size-fits-all solution. I will continue to join the FAPSC organization in fighting for equitable regulations, legislation that allows transparency for all students, and full disclosures on outcomes across the board. For students to be fully protected and have access to educational opportunities, we must ensure they have comparable data from every institution of higher learning." We are proud to have Nancy Rodriguez as our leader and her induction into the FAPSC Hall of Fame is a testament to her commitment to providing students with the best possible educational opportunities. Contact Information John Girard National Director of Marketing and Brand Management for the Beonair Network of Media Schools [email protected] 5189561385 SOURCE: BeOnAir Network of Media Schools View source version on accesswire.com: NORTHAMPTON, MA / ACCESSWIRE / August 16, 2023 / Enbridge Originally published in Enbridge's 2022 Sustainability Report Safeguarding asset integrity and reliability Enbridge continues to uphold safety as a core value and topmost priority across our business. In our training, management and internal communications practices we aim to foster a culture of safety and reliability-recognizing that there's an inextricable link between the safety of our workers and communities, and the reliability and integrity of our assets. Enbridge is a strong advocate of the value that high resolution inline inspection (ILI) tools can provide for the early identification of deterioration like corrosion and cracking. Enbridge has invested, and continues to invest heavily, in the research and development of higher-resolution ILI tools, assessment techniques and reliability models that define risk to the public or environment. Slope inspections, stream-monitoring and LiDAR-equipped aerial surveillance provide advanced warning of natural hazards along the pipeline path. Stringent reliability targets are applied to make sure that our maintenance programs reduce the likelihood of a rupture or major leak to a remote possibility. Enbridge applies this proactive assessment and maintenance approach to every segment of every transmission pipeline, far exceeding regulatory minimum standards. Lessons from near misses and incidents, including incidents experienced by other pipeline companies, are shared within the Company, reviewed on a recurring basis and fed into the ongoing improvement and quality controls for our procedures and practices. The threat of extreme weather events can have catastrophic and far-reaching impacts on the reliability of our system. Enbridge provides gas transmission and distribution to millions of homes and businesses. In December 2022, GTM and GDS maintained service during a historic winter storm, which impacted customers and assets in Canada and the northeast United States. While Enbridge was able to keep the gas flowing to power plants and customers through its system flexibility, storage capacity and emergency response efforts, Enbridge examines these events and uses the lessons to drive targeted investments in winterization and equipment reliability. We also continued our efforts to prevent excavation damage to buried pipelines by delivering extensive public and municipal education and outreach programs. Among other measures, we continued to maintain and promote a safe excavation online portal launched in 2021 that aims to raise awareness of the importance of safe digging and provides related resources. The Ontario government passed Bill 93 in 2022, which aims to modernize the locate industry with a focus on underground asset safety and improve the timely delivery of locates for excavations across the province. Enbridge, an industry leader, is working collaboratively with all key stakeholders to ensure legislation and associated regulations are adopted effectively to modernize damage prevention for utilities. Emergency preparedness and response While we plan for safe, reliable, incident-free operations, potential threats to our business can come in many forms-from pandemics and natural disasters to emergencies involving workers or damage to facilities. As an operator of critical energy infrastructure, we must maintain a state of constant readiness for any of these possibilities. Emergency preparedness requires a systematic approach to identify potential hazards and plan mitigation strategies to ensure that our response is rapid and protects the safety of responders, the community and the environment. Our emergency management programs are built on the "Plan-Do-Check-Act" cycle, designed to drive continuous improvement. The same approach applies to management reviews of our emergency management programs with senior business unit leaders. Our training and qualifications-for both our employees and contractors-ensure that crews are prepared and equipped to provide a safe, rapid and effective response should an emergency arise. We purposefully include Indigenous partners and communities in major exercises and we plan to further advance this practice in the years ahead. Each business unit maintains its own emergency management program. We also operate the Enbridge Enterprise Emergency Response Team (E3RT), a cross-business unit group trained to respond to large-scale events in Canada and the U.S. Depending on the nature and scale of an emergency, a range of responses may be required. We partner proactively with local first responders, emergency management groups, industry associations and provincial/state and federal agencies to ensure our preparations are sound and the coordination will be effective in the event of an incident. In 2022, we conducted 210 exercises and emergency response drills based on real-life scenarios to hone our skills and capabilities. In June 2022, we carried out an exercise to simulate a pipeline incident at a site in the North Saskatchewan River about 230 kilometers east of Edmonton, AB. About 100 Enbridge employees and contractors participated in the simulation, half at the command post and half on the river, where teams deployed oil containment booms on the river. (No contaminants were used in the simulation.) The exercise served as an opportunity to engage and inform local communities. The day before the simulation, Enbridge hosted a luncheon and invited local Indigenous leaders, municipal officials and the public to gather at the site to learn about the exercise and the related equipment and ask questions. The Elk Point Fire Department and RCMP also participated in the equipment demonstrations-a sign of our strong working relationships with local first responders. Enbridge continued to focus on community safety through Safe Community grants in support of first responders. The 2022 program included a $16,500 Enbridge Fueling Futures donation to the fire department in Shermans Dale, Pennsylvania, where funds supported the replacement of all the fire station's lights with safe, energy efficient LEDs. Among other benefits, the lighting upgrade will provide excellent visibility in the areas where fire fighters suit up before heading out to emergency calls. Two Enbridge employees volunteered to join the Shermans Dale fire fighters in completing the installation. Read more Workers launch an inline inspection (ILI) tool along Enbridge's Mainline crude oil and liquids pipeline network at Enbridge's Hardisty Terminal in Hardisty, Alberta. ILI tools move through our pipeline network and use advanced sensors. View additional multimedia and more ESG storytelling from Enbridge on 3blmedia.com. Contact Info: Spokesperson: Enbridge Website: https://www.3blmedia.com/profiles/enbridge Email: [email protected] SOURCE: Enbridge View source version on accesswire.com: VANCOUVER, BC / ACCESSWIRE / August 16, 2023 / (TSXV:OGN)(OTCQX:OGNRF) Orogen Royalties Inc. ("Orogen") or the ("Company") is pleased to provide an update on its royalty portfolio and forecast for the second half of 2023. Paddy Nicol, CEO of Orogen, commented: "Our royalty portfolio consists of 24 projects including the producing Ermitao mine operated by First Majestic and a potential district scale discovery at the Silicon-Merlin project operated by AngloGold Ashanti. At the Ermitano mine, a 27% increase in production is forecast for the second half of this year while recent drilling displays the potential for reserve replacement. At the Silicon-Merlin project, AngloGold Ashanti announced significant progression at the Merlin area with a 6 to 8 million ounce gold Exploration TargetA, in addition to the existing 4.2 million ounce gold resource at Silicon. In combination with other royalties including MPD South, West Kenya, Cuprite, and Hank, the Company has exposure to potential exploration success on approximately 72,500 metres of drilling over an area of interest in excess of 1,900 square-kilometers. Most of our royalties were generated organically through our prospect generation business and we benefit from exploration and advancement on these assets with no capital or risk exposure to the company." Highlights Estimated 72,500 metres of drilling on projects where Orogen holds a royalty interest, including the Silicon-Merlin gold project, the Ermitano gold-silver mine, and the MPD South (Axe) copper-gold porphyry project. Including Orogen's Project Generation business, total exposure to drill projects in 2023 is estimated at over 100,000 metres. AngloGold Ashanti NA's ("AngloGold") 6 to 8 million ounces gold Exploration Target at Merlin adds to the existing resources at Central Silicon of 3.4 million ounces gold indicated and 800,000 ounces gold inferred, where Orogen holds a 1% net smelter return ("NSR") royalty 1,2 . . Potential for additional drilling on the Cuprite gold project, Hank copper-gold porphyry project and Rosterman license in West Kenya. Portfolio of 24 royalties on a combined area of interest over 1,900 square-kilometres. Three new royalties created or acquired in 2023 including the Ball Creek West copper-gold porphyry and Ecstall VMS projects in British Columbia, and the La Rica project in Colombia. Selected Royalty Update Information Ermitano Epithermal Gold-Silver Mine, Sonora Orogen holds a 2% NSR royalty on First Majestic Silver Corp.'s Ermitano mine with Proven and Probable reserves of 274,000 ounces of gold and 4.6 million ounces of silver, Measured and Indicated resources (inclusive of reserves) of 369,000 ounces of gold and 5.8 million ounces of silver, and Inferred resources of 269,000 ounces of gold and 7.7 million ounces of silver as of Dec 31, 20223,4. First Majestic recently announced second quarter production results of 20,073 ounces gold and 142,037 ounces silver from the Ermitano deposit. Record recovery rates were also achieved with the recent introduction of a dual-circuit and a new filter press. Gold and silver recoveries averaged 94% and 52%, respectively in Q2 2023. First Majestic also forecasts production for the second half of 2023 to increase by 27% ranging 48,000 to 53,000 ounces gold and 700,000 to 800,000 ounces silver3,4. First Majestic also announced a 20,000-metre drill program to infill and upgrade the resources at Ermitano3. Select drill hole results from deep drilling at the Ermitano splay returned true width intersections3,4: 4.27 grams per tonne ("g/t") gold and 127 g/t silver over 13.3 metres in drill hole EWUG-23-01 5.98 g/t gold and 222 g/t silver over 15.55 metres in drill hole EWUG-23-02 13.73 g/t gold and 150 g/t silver over 6.42 metres in drill hole EWUG23-03 8.1 g/t gold and 348 g/t silver over 3.34 metres in drill hole EW-23-327 Drilling to the east of Central Ermitano returned assays and true width intersections including: 2.67 g/t gold and 54 g/t silver over 1.86 metres in drill hole EW-23-330 3.39 g/t gold and 56 g/t silver over 1.96 metres in drill hole EW-23-339 Multiple veins are currently being drilled within five kilometres of the processing plant3 with First Majestic reporting multiple secondary vein intersections within the hanging and footwall of the Ermitano vein. Figure 1 - West to East cross section displaying 2023 drilling at the Ermitano Mine from 3,4. Silicon-Merlin Epithermal Gold Project, Nevada Orogen holds a 1% NSR royalty on the Silicon-Merlin Project operated by AngloGold. As announced by Orogen on August 8, 2023, AngloGold announced an Exploration TargetA for the Merlin area of 6 to 8 million ounces gold, with an estimated grade-tonnage range of 230 to 250 million tonnes grading 0.8 to 1.0 g/t gold. An initial Inferred Mineral Resource estimate for Merlin and a Concept Study is expected during H2-2023. The Concept Study, integrating Central Silicon and Merlin, is expected to capture the synergies from the increased economies of scale of these two deposits, with the potential for large scale mining. Merlin is located south of the Silicon Deposit where resources of 3.4 million ounces gold Indicated, and 0.8 million ounces gold Inferred were published by AngloGold earlier this year1. Figure 2 - Map displaying Orogen's royalty area of interest within AngloGold Ashanti's land tenure. MPD South (Axe) Copper Gold Porphyry Target, British Columbia Orogen holds a 2% NSR royalty subject to a 0.5% NSR buydown on Kodiak Copper Corp.'s MPD South property (formerly Axe). MPD South is located within the greater MPD project and approximately 10 kilometres south on the same structural trend as Kodiak's Gate Zone discovery. Two rigs are currently testing the West, South and Adit targets with plans to test the 1516 zone later in 20237. To date, 5,000 metres of a 25,000 metres property wide program have been completed. Encouraging initial results for the first three drill holes in the West Zone magnetic anomaly are as follows14: AXE-23-001 intersected 0.28% copper, 0.28 g/t gold and 0.83 g/t silver over 158 metres within a broader interval of 0.18% copper, 0.20 g/t gold, and 0.61 g/t silver over 533 metres AXE-23-002 intersected 0.21% copper, 0.30 g/t gold and 1.36 g/t silver over 203 metres within 0.15% copper, 0.16 g/t gold, and 0.80 g/t silver over 482 metres AXE-23-003 intersected 0.22% copper, 0.27 g/t gold, and 1.08 g/t silver over 51 metres within 0.13% copper, 0.20 g/t gold, and 0.61 g/t silver over 209 metres Combined, these results have confirmed porphyry mineralization over a 300 by 300 metre surface area and to over 800 metres depth, extending well beyond historic drilling. The system is open in nearly all directions. Drilling east beyond the magnetic anomaly in AXE-23-03 intersected a new, structurally controlled high-grade copper-gold-silver zone assaying 0.93% copper, 0.64 g/t gold, and 3.2 g/t silver over 16 metres and drilling to depth in hole AXE-23-02 intersected over 175 metres of mineralized breccia returning up to 0.29% copper, 0.22 g/t gold and 0.72 g/t silver at the bottom of the hole indicating continued depth potential. Figure 3 - Overview of the MPD property and Orogen's royalty AOI. Figure 4 - Cross Section of drilling at Kodiak Copper's West Zone, MPD South from 7. West Kenyan Royalty Package Orogenic Gold project Orogen holds 3% net smelter royalties on the Rosterman, Sigalagala, and Bukura licenses operated by Shanta Gold and proximal to the Isulu-Bushiangala deposits (1.285 million ounces grading 10.6 g/t gold) in western Kenya. Shanta Gold is drilling up to 26,000 metres8 on the broader West Kenya project with an active drill target for Rosterman in 2023/2024, while both Sigalagala and Bukura are marked as active prospects. Figure 5 - Area map showing Shanta Gold's land holdings including Rosterman (PL/2018/0212), Bukura (PL/2018/0210) and Sigalagala (PL/2018/0211) from 9. Hank Copper-Gold Project, British Columbia Orogen holds a 3% NSR royalty subject to a 1% buydown for US$3 million and a US$2.5 million payment on 17 square kilometres of land, including the Hank epithermal gold target and Williams copper-gold porphyry area, that comprise part of the 362 square kilometre HWY 37 copper-gold porphyry project in the Golden Triangle in British Columbia. The 345 square kilometre Ball Creek East claims also form part of the HWY 37 project and were optioned by Orogen to Kingfisher in March 2023. The integrated projects now provide for a greater understanding of the relationships between the Hank project and Ball Creek East claims and increase the opportunity for advancement of the overall area. Drilling has commenced on the HWY 37 Project with plans for a 2,500 metre drill program focused on the Mary to Cliff porphyry to test a zone of undrilled copper rich stockwork, a covered geophysical target interpreted to be the offset extension of the Mary porphyry zone, and step out drilling on the historical Mary deposit10, 11. Figure 6 - Overview of the mineral occurrences on the HWY 37 property from 12. Cuprite Epithermal Gold Target, Nevada Orogen created a 1.5% NSR royalty on the sale of the Cuprite gold project to Strikepoint Gold Inc. in January 2023. Strikepoint has since doubled the land package at Cuprite12 to 4,468 hectares and filed a NI 43-101 Technical Report. Strikepoint has also initiated a permitting process with plans for drilling in the second half of 2023. 13 Cuprite is thought to be geologically similar to the nearby Silicon gold deposit held by AngloGold Ashanti. StrikePoint will utilize similar geochemical, geophysical, and structural vectoring techniques that led to the Silicon gold discovery. Qualified Person Statement All new technical data, as disclosed in this press release, has been verified by Laurence Pryer, Ph.D., P.Geo., VP. Exploration for Orogen. Dr. Pryer is a qualified person as defined under the terms of National Instrument 43-101. Certain technical disclosure in this release is a summary of previously released information and the Company is relying on the interpretation provided by the relevant referenced partner. Additional information can be found on the links in the footnotes or on SEDAR (www.sedar.com). About Orogen Royalties Inc. Orogen Royalties Inc. is focused on organic royalty creation and royalty acquisitions on precious and base metal discoveries in western North America. The Company's royalty portfolio includes the Ermitano gold and silver mine in Sonora, Mexico (2% NSR royalty) being mined by First Majestic Silver Corp. and the Silicon-Merlin gold project (1% NSR royalty) in Nevada, USA, being advanced by AngloGold Ashanti NA. The Company is well financed with several projects actively being explored under joint ventures. On Behalf of the Board OROGEN ROYALTIES INC. Paddy Nicol President & CEO To find out more about Orogen, please contact Paddy Nicol, President & CEO at 604-248-8648, and Marco LoCascio, Vice President, Corporate Development at 604-248-8648. Visit our website at www.orogenroyalties.com. Orogen Royalties Inc. 1015 - 789 West Pender Street Vancouver, BC Canada V6C 1H2 [email protected] Forward Looking Information This news release includes certain statements that may be deemed "forward looking statements". All statements in this presentation, other than statements of historical facts, that address events or developments that Orogen Royalties Inc. (the "Company") expect to occur, are forward looking statements. Forward looking statements are statements that are not historical facts and are generally, but not always, identified by the words "expects", "plans", "anticipates", "believes", "intends", "estimates", "projects", "potential" and similar expressions, or that events or conditions "will", "would", "may", "could" or "should" occur. Although the Company believe the expectations expressed in such forward looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in the forward looking statements. Factors that could cause the actual results to differ materially from those in forward looking statements include market prices, exploitation and exploration successes, and continued availability of capital and financing, and general economic, market or business conditions. Investors are cautioned that any such statements are not guarantees of future performance and actual results or developments may differ materially from those projected in the forward looking statements. Forward looking statements are based on the beliefs, estimates and opinions of the Company's management on the date the statements are made. Except as required by securities laws, the Company undertakes no obligation to update these forward looking statements in the event that management's beliefs, estimates or opinions, or other factors, should change. Except where otherwise stated, the disclosure in this news release relating to properties and operations in which Orogen holds a Royalty are based on information publicly disclosed by the owners or operators of these properties and information/data available in the public domain as at the date hereof, and none of this information has been independently verified by Orogen. Specifically, as a Royalty holder and prospect generator, the Company has limited, if any, access to properties on which it holds Royalty or other interests in its asset portfolio. The Company may from time to time receive operating information from the owners and operators of the mining properties, which it is not permitted to disclose to the public. Orogen is dependent on, (i) the operators of the mining properties and their qualified persons to provide information to Orogen, or (ii) on publicly available information to prepare disclosure pertaining to properties and operations on the properties on which the Company holds Royalty or other interests, and generally has limited or no ability to independently verify such information. Although the Company does not have any knowledge that such information may not be accurate, there can be no assurance that such third-party information is complete or accurate. Some reported public information in respect of a mining property may relate to a larger property area than the area covered by Orogen's Royalty or other interest. Orogen's Royalty or other interests may cover less than 100% of a specific mining property and may only apply to a portion of the publicly reported mineral reserves, mineral resources and or production from a mining property. References https://thevault.exchange/?get_group_doc=143/1677005072-YearEnd2022-Resultsreport.pdf https://thevault.exchange/?get_group_doc=143/1691123637-Interim2023MerlinExplorationTarget.pdf http://www.firstmajestic.com/_resources/presentation/fm-corporate-presentation.pdf?v=0.104 http://www.firstmajestic.com/investors/news-releases/first-majestic-reports-high-grade-exploration-results-at-san-dimas-santa-elena-and-jerritt-canyon https://kodiakcoppercorp.com/news/news-releases/kodiak-provides-exploration-update-and-final-2022-drill-results/ https://kodiakcoppercorp.com/news/news-releases/kodiak-to-commence-2023-exploration-program-at-its-mpd-copper-gold-porphyry-project/ https://kodiakcoppercorp.com/news/news-releases/kodiak-adds-second-drill-rig-at-mpd-copper-gold-porphyry-project-in-southern-british-columbia-1/ https://www.shantagold.com/_resources/Group%20Exploration%20Update.pdf https://www.shantagold.com/_resources/Investor%20Exploration%20Update.pdf https://kingfishermetals.com/kingfisher-signs-definitive-option-agreements-to-consolidate-362-square-km-copper-gold-project-in-golden-triangle-british-columbia https://kingfishermetals.com/kingfisher-announces-commencement-of-drill-program-at-hwy-37-project-in-the-golden-triangle-bc/ https://strikepointgold.com/strikepoint-more-than-doubles-land-package-at-the-100-owned-cuprite-gold-project-located-within-nevadas-walker-lane-trend/ https://strikepointgold.com/strikepoint-announces-2023-exploration-plans-for-cuprite-gold-project-walker-lane-nevada/ https://kodiakcoppercorp.com/news/news-releases/kodiaks-west-zone-drilling-intersects-0.51-cueq-over-158-m-within-0.34-cueq-over-533-m-from-surface-and-discovers-new-high/ Cautionary Note: The ranges of tonnage and grade of the Exploration Target are conceptual in nature and could change as the proposed exploration activities are completed. There has been insufficient exploration of the relevant property or properties to estimate a Mineral Resource at this point in time. It is uncertain if further exploration will result in the estimation of a Mineral Resource and the Exploration Target therefore does not represent, and should not be construed to be, an estimate of a Mineral Resource or Mineral Reserve. Given the conceptual stage of the project, a number of risks, uncertainties and opportunities, are evident in the confidence of the known orebody and the potential for upside at Silicon, Merlin and in the surrounding area. The Merlin Exploration Target grade and tonnage ranges have been determined by a preliminary review of the location and weighted average grade of the mineralised intercepts. The geology of the deposit contains a significant number of faulted offsets, which require detailed geological modelling to fully define the extent and continuity of the mineralisation. A bulk density value of 2.4 t/m3 was used. No economic constraint has been applied to the deposit to determine the extent of what material may ultimately be extracted. SOURCE: Orogen Royalties Inc. View source version on accesswire.com: Fendt & Luke Bryans Boldly Grown Peanuts, available in three flavors hand-selected by Bryan, launch Thursday, August 31, exclusively at BoldlyGrownGoods.com. DULUTH, Ga.--(BUSINESS WIRE)-- AGCO Corporation (NYSE: AGCO), a global leader in the design, manufacture and distribution of agricultural machinery and precision ag technology, and country music superstar Luke Bryan are preparing a tasty encore after fans went nuts for Fendt & Luke Bryans Boldly Grown Popcorn last summer. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816793430/en/ Fendt and Luke Bryan are teaming up again this year to support the future of farming! Fendt & Luke Bryans Boldly Grown Peanuts will be available on BoldlyGrownGoods.com at 12 p.m. Eastern, Thursday, August 31. Once supplies are sold, Fendt will donate $50,000 to the National Future Farmers of America (FFA) Organization. (Photo: Business Wire) Introducing Fendt & Luke Bryans Boldly Grown Peanuts, a limited-edition packaged snack that includes peanuts harvested by Fendt farmers. The snack was inspired by Bryans Georgia upbringing as the son of a peanut farmer and his love for his Fendt 724 Vario tractor. Available in three bold flavors hand-selected by Bryan Homegrown Honey Roasted, Sizzlin Sriracha Ranch and Down South Dill Boldly Grown Peanuts will be available for sale exclusively on BoldlyGrownGoods.com for $5.00 per can (plus shipping) while supplies last. Fans can purchase a maximum of one can per flavor beginning at noon Eastern time on Thursday, August 31. Collaborating with Fendt to make peanuts for my fans means so much because I owe a lot of my work ethic and success to the lessons I learned helping out my dad at his peanut mill, Bryan said. Operating my Fendt 724 Vario really takes me back to those early years, though our tractors were nowhere near as powerful or capable as my Fendt! Once the limited supply of Boldly Grown Peanuts sells out, Fendt will donate $50,000 to the National FFA Organization, the premier youth organization that prepares members for leadership and careers in the science, business and technology of agriculture. Fendt donated $25,000 last year after Boldly Grown Popcorn sold out. From sponsoring Luke Bryans Farm Tour to creating a packaged peanut with Fendt farmers, AGCO has made it clear that everything we do is about making farmers our top priority, said Eric Hansotia, AGCOs chairman, president and CEO. Were thrilled to pay homage to an essential aspect of Lukes upbringing, and this substantial donation to the FFA also allows AGCO, Luke and his fans to help FFA prepare tomorrows farming leaders. Fendt is an official sponsor of Luke Bryans Farm Tour, an annual outdoor concert series staged on actual farms throughout the country. For more information about Fendt, visit Fendt.com and follow on Facebook, Twitter, Instagram and YouTube. 2023 AGCO Corporation. Fendt and Vario are registered trademarks of AGCO. About AGCO AGCO (NYSE: AGCO) is a global leader in the design, manufacture, and distribution of agricultural machinery and precision ag technology. AGCO delivers customer value through its differentiated brand portfolio, including core brands like Fendt, GSI, Massey Ferguson, Precision Planting, and Valtra. Powered by Fuse smart farming solutions, AGCOs full line of equipment and services help farmers sustainably feed our world. Founded in 1990 and headquartered in Duluth, Georgia, USA, AGCO had net sales of approximately $12.7 billion in 2022. For more information, visit www.AGCOcorp.com. For company news, information, and events, please follow us on Twitter: @AGCOCorp. For financial news on Twitter, please follow the hashtag #AGCOIR. About Luke Bryan Country music superstar and television personality Luke Bryan has released 30 No. 1 hits, garnered nearly 20 billion worldwide streams and has more RIAA certified digital single certifications than any other Country artist of all time with 81.5 Million. His headline concert tours have played sold-out shows for millions and millions of fans inclusive of 36 stadium concerts, Farm Tours, Spring Break shows, and eight sold-out Crash My Playa destination concert events. Bryan has won 50+ major music awards including five wins as Entertainer of the Year. Additional awards include six recognitions as a CMT Artist of the Year, NSAI Artist/Songwriter of the Year, the first-ever recipient of the ACM Album of the Decade Award for Crash My Party, seven CMT Music Awards, five Billboard Music Awards, and four American Music Awardsas well as being named Billboards Top Country Artist of the 2010s, the Most Heard Artist of the Decade by Country Aircheck, and the Artist Humanitarian Recipient by the Country Radio Broadcasters. Luke wrapped season 6 on ABCs American Idol in May. Check out more about Luke Bryan on his website LukeBryan.com, and follow him on Facebook, Twitter, Instagram, YouTube and TikTok. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816793430/en/ Andrew Miller, Exponent/Colle McVoy | [email protected] | 612-819-7000 Bob Blakely, AGCO | [email protected] | 770-232-8018 Source: AGCO Corporation BAKU, Azerbaijan, August 17. Azerbaijan is determined to defend its sovereignty and territorial integrity by all legal means enshrined in the UN Charter and international law, Azerbaijan's permanent representative to the UN Yashar Aliyev said at a meeting of the organization's Security Council, Trend reports. The UN Security Council has held an emergency meeting to discuss the humanitarian crisis that arose after the alleged closure of the Lachin-Khankendi road connecting the Karabakh region of Azerbaijan inhabited by Armenians with Armenia. Addressing the meeting, the Permanent Representative of the Republic of Azerbaijan to the UN, Yashar Aliyev, spoke about Armenia's unending disinformation, a campaign to manipulate and mislead the international community, and shared the position of the state of Azerbaijan on this issue. Categorically rejecting all the unfounded and groundless allegations on blockade or humanitarian crises propagated by Armenia against Azerbaijan, Yashar Aliyev said: What Armenia tries to present as a humanitarian matter, is indeed the provocative and irresponsible political campaign to undermine the sovereignty and territorial integrity of Azerbaijan. It is Armenia that for almost 30 years had blatantly disregarded the relevant four resolutions of 1993 and series of Presidential Statements of the Security Council that unequivocally demanded full, immediate and unconditional withdrawal of occupying forces from the territory of Azerbaijan. Against this backdrop, Armenias actions are nothing but the embodiment of designed political hypocrisy and its appeal to the Security Council is a part of the campaign that it has been pursuing over the months to manipulate and mislead the international community. Had Armenia been sincere in its quest to help it would have been happened immediately. It was indeed within practical reach very recently, days ago, as a result of active involvement of international actors, including some members of the SC. This did not materialize only due to the refusal by Armenia itself. Following the series of intensive consultations and shuttle diplomacy efforts, agreements were finally reached with respect to the delivery of humanitarian cargo to the Garabagh region through various routes, as well as the organization of a meeting between a Special Representative of the Central Authorities and representatives of local Armenian residents. On the first case, concrete blocks and all other physical barriers installed by the Armenian side on Aghdam-Khankendi road should have been removed to allow the delivery of humanitarian cargo by the International Committee of the Red Cross (ICRC) to the local residents. This should have been followed by upscaling the usage of the Lachin road by ICRC within 24 hours. All the parties involved, including the Russian peacekeeping contingent and the ICRC, were prepared to commence implementation of this deal practically within one hour. On the second case, the meeting between representatives of the central authorities and of local Armenian residents was agreed to take place in nearby city of Yevlakh, Azerbaijan. Regrettably, on August 5, ten days ago, Armenia, through its illegally installed regime, backstepped from both agreements at a very last moment by introducing politically motivated and illegitimate preconditions and various pretexts. There should be no doubt that notwithstanding the intensive engagement by Azerbaijan with the Russian Federation, the United States, the European Union and ICRC for finding ways out of the situation, Armenia has been deliberately obstructing diplomatic efforts. There is only one explanation for that. Armenia is not willing to be a part of solution. Instead, it artificially ignites tension in the region with a view to continuing manipulation and misleading at international level. He noted: The breach of the agreement on the delivery of humanitarian goods by the ICRC on August 5 is not the only obstruction. In fact, it is continuation of Armenias politicization of the presence and activities of this humanitarian organization and its reckless attempt to exploit humanitarian matters for political purposes by challenging the sovereignty and territorial integrity of Azerbaijan and preventing the reintegration of local ethnic Armenian residents. Here are the facts that speak for themselves: - Immediately after the end of war in 2020, Azerbaijan offered its logistics and infrastructure to the ICRC for the delivery of the goods to the Garabagh region. In 2021, goods were transported to Barda by the ICRC to be delivered to the area. The Armenian side denied it and prevented the ICRC from delivering humanitarian assistance. - Right after the start of protests by eco-activists on the section of the Lachin-Khankendi route, Azerbaijan requested the ICRC to present the list of goods needed by the local residents and expressed its readiness to provide all logistical and infrastructural support for their delivery. ICRC came back with the response that the Armenian side did not want any supplies through Azerbaijan. - Armenia resorted to the unprecedented action abusing ICRC for smuggling dual-use technologies, such as micro-chips to the Garabagh region. ICRC had to acknowledge this fact, which is a serious blow to the humanitarian mandate and reputation of the Committee. - Armenia rejected to accept ICRCs Baku-based international medical personnel to be deployed to Khankendi. It was the proposal by the ICRC to facilitate medical evacuations and help deal with medical issues on the ground and supported by Azerbaijan. Armenias abuse of ICRC for its political purposes also makes it crystal clear that the calls for increased international presence, including UN-affiliated organizations, have nothing to do with the humanitarian concerns, instead, the aim is getting more tools for manipulation. The Garabagh region is an internationally recognized territory of Azerbaijan and ethnic Armenians living there are considered the residents of Azerbaijan. The Government of Azerbaijan is strongly committed to guarantee and secure the access of these residents to the necessary goods and establishing appropriate living conditions for them. These objectives are fully in line with international law and international humanitarian law principles, underscoring Azerbaijans unwavering dedication to upholding these standards. The so-called Lachin Corridor is a road within the sovereign territory of Azerbaijan, without any extraterritorial status, is primarily intended for the movement of citizens, vehicles and goods under the Trilateral Statement of November 10, 2020. Azerbaijan, in adherence to its commitments under the Trilateral Statement, has allowed movement along this road. This remains intact after the establishment by Azerbaijan of the checkpoint on its border with Armenia. The border checkpoint was established in exercise of Azerbaijans inherent right to safeguard its sovereignty and security, to prevent the consistent and blatant abuse by Armenia of this route for illegal military and other activities, such as rotation of its some 10,000 military personnel, illegally stationed in the territory of Azerbaijan, transfer of weaponry, ammunition, landmines and terrorists of foreign nationals thereto, as well as unlawful extraction and transfer of natural resources from this territory. The unanimous decision by the International Court of Justice on July 6, 2023, to reject Armenias request for an interim measure on the removal of the checkpoint, definitively dismissed Armenias allegations that the Lachin checkpoint is illegal. The establishment of the border checkpoint has not impeded the civilian movement along the Lachin road. There has been, in fact, a notable increase in such movement until June 15, 2023. On that date, another instance of Armenias unyielding provocation unfolded when Armenian Armed Forces attacked Azerbaijani border guards and accompanying Russian peacekeeping forces. This attack resulted in severe injury to an Azerbaijani border guard and concurrently posed a direct threat to the ICRC staff and civilians passing through the checkpoint. Moreover, if Armenia were genuinely concerned about the ordinary residents of the region, it would never have objected the usage of Aghdam-Khankendi road for the delivery of goods to the Garabagh region. This road is connected to the one of the major transportation routes of Azerbaijan, also known as Silk Road or M2 highway, which provides a reliable connection to international markets as well. Compared to the 59 km long Lachin-Khankendi road passing through mountainous and serpentine terrane, this road is only 18 km between Aghdam and Khankendi. Today, within their visit to Aghdam, representatives of the diplomatic corps accredited in Azerbaijan, including the UN Resident Coordinators Office and other UN institutions had also a chance to witness that the road was functional and ready for transportation of goods. Equally are ungrounded and false the allegations on genocide. Armenias manipulative and deceptive campaign against Azerbaijan involved the so-called Opinion by Luis Moreno Ocampo, who presents himself as an independent expert. The flawness of his assertions has been pointed out recently by an independent, prominent international law expert, Mr. Rodney Dixon in its preliminary report. As Mr. Dixon concludes the allegations presented by Moreno Ocampo lacks substantiation, are incomplete, and displays inaccuracies. As such, there is no foundation for claiming that a genocide is currently being perpetrated in Garabakh region of Azerbaijan. Specifically, Mr. Dixon finds that the Ocompos Opinion patently selective in the facts, in particular in view of its failure to mention the Armenias rejection of Azerbaijans proposals of alternative routes to supply ethnic Armenian inhabitants further underscores its selective nature. Such manipulations under humanitarian pretexts are not isolated from the increased illegal and provocative military activities. Over past weeks, the Armenian armed forces illegally remaining in the territory of Azerbaijan in a blatant violation of international law, as well as Armenias commitment under paragraph 4 of the Trilateral Statement of the leaders of Azerbaijan, the Russian Federation and Armenia of 10 November, have intensified the military-engineering works and other military build-up. The use of radio-electronic warfare equipment illegally deployed in the sovereign territory of Azerbaijan is of particular concern. Not only civilian aircrafts of the Azerbaijani Airlines, but also those of foreign countries have been subjected to radio-electronic interference over past weeks, posing serious risk for their safety. Armenia must cease its actions undermining the sovereignty and territorial integrity of Azerbaijan, abstain from meddling in our internal affairs, and genuinely engage in post-conflict normalization negotiations. It is of vital importance that the historic opportunity for durable peace in the region is not missed, Yashar Aliyev emphasized. Verbal statements by the leadership of Armenia on the recognition of the sovereignty and territorial integrity of Azerbaijan, including the Garabagh region, created a ground for cautious optimism that the peace is indeed within reach. Now, Armenia has to transform this statement into the real deeds and refrain from questioning the sovereignty of Azerbaijan, including under the pretext of humanitarian needs of the local Armenian residents of the Garabagh region of Azerbaijan. Over the past few months, a concerted effort to intensify the normalization process with active engagement of international partners has yielded promising results. These diplomatic dialogues have played a crucial role in bridging differences and achieving tangible progress on several articles of the future bilateral agreement, aimed at establishing a lasting peace and inter-state relations. Azerbaijan pursues the policy of reintegration of ethnic Armenian residents of the Garabagh region as equal citizens guaranteeing all the rights and freedoms envisaged in the Constitution of Azerbaijan and all relevant international human rights mechanisms that Azerbaijan is signatory to. Our adherence to both these tracks is firm as we are firm to protect our sovereignty and territorial integrity by all legitimate means enshrined in the UN Charter and international law. We are confident that international community, and first of all, the SC will support this approach based on equal and reciprocal respect for legitimate interests of both countries through mutual recognition of and respect for each others sovereignty, territorial integrity and inviolability of borders, the Permanent Representative added. ARCUEIL, France--(BUSINESS WIRE)-- Regulatory News: Balyo (Paris: BALYO): This press release does not constitute an offer to purchase securities. This press release (the "Press Release") has been prepared and is being disseminated in accordance with the provisions of Article 231-26 of the General Regulations of the Autorite des marches financiers (the "AMF"). The draft tender offer, the draft offer document and the draft reply document (the "Draft Reply Document") remain subject to review by the AMF. IMPORTANT NOTICE Pursuant to Articles 231-19 and 261-1 et seq. of the General Regulation of the AMF, the report of EightAdvisory, acting as independent expert, is included in the Draft Offer Response. The Press Release should be read in relation with all other documents published in connection with the Offer. The Draft Offer Document filed with the AMF on 16 August 2023 is available on Balyo's website (www.balyo.com) and on the AMF's website (www.amf-france.org) and may be obtained free of charge from Balyo's registered office at 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil. In accordance with article 231-28 of the general regulation of the AMF, information relating to the legal, financial and accounting characteristics of Balyo will be filed with the AMF and made available to the public, in the same way, no later than the day before the opening of the tender offer. A press release will be issued, no later than the day before the opening of the tender offer, to inform the public of the procedures for making these documents available. 1. PRESENTATION OF THE OFFER Pursuant to Title III of Book II, and in particular articles 231-13 and 232-1 et seq. of the general regulation of the AMF (the "General Regulation of the AMF"), SVF II STRATEGIC INVESTMENTS AIV LLC, a Delaware corporation (United States), having its registered office at Corporation Service Company, 251 Little Falls Drive, Wilmington, Delaware 19808, USA, registered under number 6207806 ("SVF AIV" or the "Offeror") has irrevocably undertaken to offer to the shareholders and to warrants holder of Balyo, a societe anonyme with a Board of Directors and a share capital of 2,749,258.96 euros, having its registered office at 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil, France, registered under number 483 563 029 with the Creteil Trade and Companies Register ("Balyo" or the "Company" and together with its direct or indirect subsidiaries, the "Group"), whose shares are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399, mnemonic "BALYO" (the "Shares"), to acquire in cash (i) all their Ordinary Shares (as this term is defined below, subject to the exceptions below) at a price of 0,85 per Ordinary Share (the "Ordinary Share Offer Price"), (ii) all of their ADP (as this term is defined below, subject to the exceptions below) at a price of 0.01 euro per ADP (the "Offer Price per ADP"), and (iii) all of their Warrants (as defined below) at a price of 0.07 euro per Warrant (the " Warrant Offer Price" together with the Offer Price per Ordinary Share and the Offer Price per ADP, the "Offer Price"), as part of a public tender offer, the terms of which are set out below and described in greater detail in the draft offer document prepared by the Offeror and filed with the AMF (the "Draft Offer Document") (hereinafter the "Offer"). The Offer relates to: - the ordinary shares already issued, with the exception of the Excluded Shares (as defined below), i.e., as of 16 August 2023, a number of 34,141,873 ordinary shares; - the ordinary shares likely to be issued before the close of the Offer or the Reopened Offer following the exercise of the 830,000 stock-option (bons de souscription de parts de createur d'entreprise, the "BSPCE") which have not been renounced by their holders (it being specified that these BSPCE are out of the money as their exercise price is higher than the Offer Price of the Ordinary Shares, and that they will become null and void at the closing of the Offer (in the event of success)) and represent, to the best of the Company's knowledge, as of the date of Press Release, a maximum of 830,000 Ordinary Shares, i.e. around 2.42% of the share capital and voting rights (together with the ordinary shares already issued by the Company, the Ordinary Shares) - 6,270 preference shares issued by the Company, i.e., as at 16 August 2023, 2,090 ADP T3, 2,090 ADP T4, and 2,090 ADP T5 (the "ADP")1 ; and - all the warrants issued by the Company on 22 February 2019 to Amazon, i.e., 11,753,581 warrants as at 16 August 2023 (the Warrants); (together the "Target Securities"). It is specified that the Offer is not aimed at: - Ordinary Shares held in treasury by the Company, representing 34,894 Ordinary Shares as of 16 August 2023 (the "Treasury Shares"); - the 180,000 Ordinary Shares, the 900 ADP T3, the 900 ADP T4 and the 900 ADP T5 held by Mr. Pascal Rialland subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which (i) Balyos Board of Directors imposed to Mr. Pascal Rialland, an obligation to retain a percentage of his shares and (ii) such shares which are subject to retention obligation are covered by a liquidity mechanism, described at section 7.2.3 of the Press Release (the Unavailable Shares and together with the Treasury Shares, the Excluded Shares); and - the 830,000 BSPCE issued by the Company, which are non-transferable by virtue of the provisions of article 163bis G of the French General Tax Code. As of the date of this Draft Offer Document, there are no other equity securities or other financial instruments issued by the Company or rights conferred by the Company that could give access, immediately or in the future, to the Company's capital or voting rights, subject to the issuance and, if applicable, conversion of the Bonds as described in section of the Draft Response Document. The Ordinary Shares already issued are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399 (mnemonic BALYO). The Preferred Shares and Company Warrants are not listed on any market. 2. CONTEXT AND CHARACTERISTICS OF THE OFFER 2.1 Background and reasons for the Offer Balyos activities consist of research and development (R&D), the design of technologies enabling standard forklifts for horizontal or vertical pallet transport to be automated, and the marketing and sale of these robots and related services. With a strong product offering of lift trucks with both vertical and horizontal transport applications long-standing relationships with its partners (warehouse operators and suppliers) and experience in this sector, the Offeror considers the Balyo Group as being one of the best in this robotics sector. The Offeror, SVF AIV, is a wholly owned direct subsidiary of the Japanese company SoftBank Group Corp. (hereinafter "SBG"), which was founded in 1981 by Mr. Masayoshi Son. The SoftBank Group invests in breakthrough technology to improve the quality of life for people around the world. The SoftBank Groupe is comprised of SBG (TOKYO: 9984), an investment holding company that includes stakes in AI, smart robotics, IoT, telecommunications, internet services, and clean energy technology providers, the SoftBank Vision Funds and SoftBank Latin America Funds, which are investing more than US$160 billion to help entrepreneurs transform industries and shape new ones. The Company's Board of Directors (the Board of Directors) met on 13 June 2023 to review the proposed Offer. At this meeting, the Company's Board of Directors unanimously approved the proposed transaction and authorized the Company to enter into a tender offer agreement with the Offeror (the "TOA"). In accordance with the provisions of article 261-1, III of the General Regulation of the AMF, the Company's Board of Directors, at its meeting on 13 June 2023 decided to set up an ad hoc committee, composed the following Directors: - Ms Corinne Jouanny, independent Director ; - Benedicte Huot de Luze, independent Director ; and - Alexandre Pelletier, Director ; a majority of independent Directors, whose mission is to (i) make a recommendation to the Company's Board of Directors on the appointment of the independent expert, (ii) examine the conditions of the Offer and monitor the follow up of independent expert's work and (iii) prepare the draft reasoned opinion for the Company's Board of Directors on the proposed Offer in accordance with the provisions of article 261-1, III of the General Regulation of the AMF. On 13 June 2023, the Company's Board of Directors, on the recommendation of the ad hoc committee, appointed Eight Advisory, represented by Geoffroy Bizard, as independent expert in connection with the proposed Offer, with the task of preparing a report including a fairness opinion on the financial terms of the Offer, including the squeeze-out, in accordance with the provisions of article 261-1, I, 2, 4, 5 and II of the General Regulation of the AMF. On 13 June 2023, the Offeror entered into agreements with FPCI FSN PME - Ambition Numerique represented by Bpifrance Investissement,, Hyster-Yale UK Limited, SSUG PIPE Fund SCSp, SICAVRAIF, Linde Material Handling, GmbH, and Thomas Duval, and on 14 June 2023 with Invus Public Equities, L.P., each of which is a shareholder of the Company, pursuant to which each such shareholder undertakes to tender the Targeted Securities held by it to the Offer pursuant to the terms and conditions of such agreement. On 13 June 2023, the Offeror also entered into an agreement pursuant to which Financiere Arbevel, a shareholder of the Company, undertook to tender any Targeted Securities held by it at the opening of the Offer to the Offer and pursuant to the terms and conditions of such agreement. On 14 June 2023, the Company and the Offeror entered into the TOA, under the terms of which the Offeror undertook to tender the Offer to the Company, and the Company undertook to cooperate with the Offeror in connection with the Offer. The main terms of the TOA are described in section of the Draft Response Document. On 14 June 2023, the Company and the Offeror announced, by way of a joint press release, (i) the execution of the TOA, (ii) SBG's intention to file a tender offer through a 100%-owned subsidiary to acquire the Target Securities, (iii) the provision of the Intermediary Financing (as such term is defined below) described in section 7.3 of the Draft Response Document, (iv) the signature of the agreement with the shareholders as described in section 7.2.1 of the Draft Response Document, and (v) the fact that the Company entered into an agreement with its senior lenders on 13 June 2023 concerning the extension of the existing senior financing agreement. If the conditions are met, the Offeror also intends to implement a squeeze-out procedure, pursuant to articles L. 433-4, II of the French Monetary and Financial Code and 237-1 to 237-10 of the General Regulation of the AMF, with a view to obtaining the transfer of the Target Securities not tendered to the Offer in consideration for an indemnity equal to the Offer Price. On 15 June 2023, the Company initiated the information-consultation procedure with its works council (the "Comite Social et Economique" (the "CSE")), which met for the first time on 16 June 2023. On 21 June 2023, the CSE held its first hearing with SBG, followed by a second exchange of views on 5 July 2023, in accordance with article 2312-42, paragraph 3 of the French Labor Code. On 5 July 2023, the CSE issued a favorable opinion on the Offer. 2.2 Reminder of the terms of the Offer 2.2.1 Main terms of the Offer The Offer is voluntary and will be carried out in accordance with the normal procedure pursuant to articles 232-1 et seq. of the General Regulation of the AMF. In accordance with the provisions of article 232-4 of the General Regulation of the AMF, unless the Offer is not successful, it will be automatically reopened within ten (10) trading days of the publication of the definitive result of the Offer, on terms identical to those of the Offer (the "Reopened Offer"). Pursuant to articles L. 433-4 II of the French Monetary and Financial Code and 232-4 and 237-1 et seq. of the General Regulation of the AMF, the Offeror has indicated that it intends to ask the AMF to implement, within ten (10) trading days of the publication of the result of the Offer or, as the case may be, within three (3) months of the closing of the Reopened Offer, of a , a squeeze-out procedure for Balyos Ordinary Shares, Warrant and Preferred Shares not tendered to the Offer and insofar as the thresholds provided for in Article 237-1 et seq. of the General Regulation of the AMF have been reached. The Offer is subject to the acceptance threshold referred to in article 231-9, I of the General Regulation of the AMF, as described in section 2.3.1 of the Draft Response Document. The Offer also includes a waiver threshold, in accordance with article 231-9, II of the General Regulation of the AMF, as specified in section 2.3.2. of the Draft Response Document. The Offer is presented by Alantra Capital Markets (the "Presenting Institution"), which guarantees the content and irrevocable nature of the commitments made by the Offeror in connection with the Offer in accordance with the provisions of article 231-13 of the General Regulation of the AMF. 2.2.2 Terms of the Offer The Draft Offer Document and the Draft Response Document were filed with the AMF on 16 August 2023. A notice of filing was published by the AMF on its website (www.amf-france.org) on 16 August 2023. The Draft Offer Document and the Draft Response Document are available on Balyo's website (www.balyo.com) and of the AMF (www.amf-france.org) and are available to the public free of charge at Balyo's registered office, 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil. The Draft Offer Document filed by the Offeror contains details of the terms of the Offer, the conditions to which it is subject and the contemplated timetable for the Offer. The Company filed the Draft Response Document with the AMF on 16 August 2023. The AMF has published a notice of filing relating to the Draft Response Document on its website (www.amf-france.org) on 16 August 2023. The Draft Response Document, as filed with the AMF, was made available to the public free of charge at the Company's registered office, and was posted on the AMF's website (www.amf-france.org) and the Company's website (www.balyo.com). In accordance with the provisions of article 231-26 of the General Regulation of the AMF, a press release setting out the main elements of the Draft Response Document and specifying the terms and conditions for making the Draft Response Document available was published on the Company's website (www.balyo.com) on 16 August 2023. The Draft Offer Document and the Draft Response Document remain subject to review by the AMF, which may declare the Offer compliant after ensuring that it complies with the applicable legal and regulatory provisions. This decision of compliance will entail approval by the AMF of the Draft Response Document drawn up by the Company. In accordance with the provisions of articles 231-27 and 231-28 of the General Regulation of the AMF, the Draft Response Document approved by the AMF and the document containing the "Other Information" ("Autres Informations") relating to the Company's legal, financial and accounting characteristics will be made available to the public free of charge, no later than the day before the opening of the Offer, at the Company's registered office. These documents will also be posted on the AMF website (www.amf-france.org) and on the Company's website (www.balyo.com). A press release specifying how these documents will be made available will be issued no later than the day before the opening of the Offer, in accordance with the provisions of articles 231-27 and 231-28 of the General Regulation of the AMF. Prior to the opening of the Offer, the AMF will publish a notice of the opening and the timetable of the Offer, and Euronext Paris will publish a notice setting out the content of the Offer and the terms and conditions of its completion. 2.3 Terms of the Offer 2.3.1. Acceptance Threshold In accordance with the provisions of article 231-9, I of the General Regulation of the AMF, the Offer will lapse if, at its closing date, the Offeror does not hold, directly or indirectly, a number of Shares representing a fraction of the Company's share capital or voting rights in excess of 50% (this threshold is hereinafter referred to as the "Acceptance Threshold"). This threshold is determined in accordance with the provisions set out in article 234-1 of the General Regulation of the AMF. It will not be known until the AMF publishes the final results of the Offer, which will take place after the close of the Offer. If the Acceptance Threshold is not reached, the Offer will lapse and the Target Securities tendered to the Offer will be returned to their owners after publication of the notice of result informing of the lapse of the Offer, without any interest, indemnity or other payment of any nature whatsoever being due to such owners. 2.3.2. Waiver Threshold In addition to the Acceptance Threshold, pursuant to the provisions of article 231-9, II of the General Regulation of the AMF, the Offer will lapse if, at the closing date of the Offer, the Offeror does not hold, alone or in concert, directly or indirectly, a number of shares representing a fraction of the share capital and theoretical voting rights of the Company in excess of 66.67% on a diluted basis and on a fully diluted basis (the Waiver Threshold). On a non-diluted basis, the Waiver Threshold will be calculated as follows: in the numerator, will be included (i) all the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland, subject to the liquidity agreement and (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer; and in the denominator, all the existing Ordinary Shares and Preferred Shares issued by the Company making up the share capital on the date of the closing of the Offer. On a fully diluted basis, the Waiver Threshold will be calculated as follows: in the numerator, will be included (i) the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares, and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland subject to the liquidity agreement (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer and (iv) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants validly tendered in the Offer as at the date of the closing of the Offer - excluding any shares which may be subscribed or held by the Offeror pursuant to the conversion of the Bonds; in the denominator, (i) all the existing Ordinary Shares and Preferred Shares issued by the Company making up the capital on the date of the closing of the Offer, (ii) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants on the date of the closing of the Offer and (iii) all the Ordinary Shares likely to be issued by the Company on the date of the closing of the Offer (excluding all Ordinary Shares likely to be subscribed or held by the Offeror pursuant to the conversion of the Bonds). The reaching of the Waiver Threshold will not be known before the publication by the AMF of the final result of the Offer, which will take place at the end of the Offer. In accordance with article 231-9, II of the General Regulation of the AMF, if the Waiver Threshold (calculated as indicated above) is not reached, and unless the Offeror has decided to waive the Waiver Threshold in accordance with the conditions set out in the following paragraphs, the Securities of the Company tendered in the Offer (excluding shares acquired on the market) will be returned to their owners without any interest, indemnity or other payment of any kind being due to the said owners. However, the Offeror reserves the right to waive the Waiver Threshold until the date of publication by the AMF of the result of the Offer. In addition, the Offeror also reserves the right to remove or lower the Waiver Threshold by filing an improved offer at the latest five (5) trading days before the closing of the Offer, in accordance with the provisions of articles 232-6 and 232-7 of the General Regulation of the AMF. 2.3.3. Regulatory authorizations The Offer is not subject to any regulatory authorization, it being specified that prior to Press Release, the Offer gave rise to a decision by the Ministry of the Economy, Finance and Industrial and Digital Sovereignty, in accordance with Article L.151-3 of the French Monetary and Financial Code relating to foreign investments made in France, dated 1 August 2023, pursuant to which the Offer was considered outside the scope of the provisions of Article L.151-3 of the Monetary and Financial Code. 2.4 Offer restrictions abroad The section 2.4 of the Draft Offer Document sets out the restrictions applicable to the Offer abroad. 3. REASONED OPINION OF THE BOARD OF DIRECTORS 3.1 Composition of the Board of Directors As of the date of this Presse Release, the Company's Board of Directors is composed as follows: Pascal Rialland, Chairman and Chief Executive Officer; Ms Benedicte Huot de Luze, Director ; Ms Corinne Jouanny, Director ; Mr Christophe Lautray, representing Linde Material Handling, Director; Mr Alexandre Pelletier, representing BPI France investissement, Director. 3.2. Prior decisions of the Board of Directors The Company's Board of Directors met on 13 June 2023 to review the proposed Offer. At this meeting, the Company's Board of Directors unanimously approved the proposed transaction and authorized the signature of a tender offer agreement between the Company and the Offeror. In accordance with the provisions of article 261-1 III of the General Regulation of the AMF, best governance practices and AMF recommendation no. 2006-15, the Board of Directors, at its meeting on 13 June 2023, decided to set up an ad hoc committee to monitor the independent expert's mission, comprising three members, including two independent members, namely: Ms Corinne Jouanny, independent member of the ad hoc Committee; Benedicte Huot de Luze, independent member of the ad hoc Committee; and Alexandre Pelletier, representing BPI France Investissement. In addition, at its meeting on 13 June 2023, on the recommendation of the ad hoc committee, the Company's Board of Directors appointed Eight Advisory, represented by Mr Geoffroy Bizard, as an independent expert in accordance with the provisions of articles 231-19 and 261-1 I, 2 of the General Regulation of the AMF, with the task of preparing a report including a fairness opinion on the financial terms of the Offer. The ad hoc committee was tasked with supervising the work of the independent expert, making recommendations to the Company's Board of Directors concerning the Offer, and preparing the draft reasoned opinion for the Company's Board of Directors on the proposed Offer, in accordance with the provisions of article 261-1, III of the General Regulation of the AMF. The members of the ad hoc committee met several times with the independent expert, monitored his work and prepared the draft reasoned opinion of the Board of Directors. 3.3. Reasoned opinion of the Board of Directors In accordance with the provisions of article 231-19 of the General Regulation of the AMF, the members of the Board of Directors met on 4 August 2023, having been convened in accordance with the Company's articles of association, to examine the Draft Offer Document and to give their reasoned opinion on the interest of the Offer and its consequences for Balyo. All members of the Company's Board of Directors were present or represented. The discussions and vote on the Board of Directors's reasoned opinion were chaired by Pascal Rialland, in his capacity as Chairman of the Board of Directors. The reasoned opinion of the Board of Directors was adopted unanimously by the members present or represented, including the independent members. An extract from the proceedings of this meeting, containing the reasoned opinion of the Board of Directors, is reproduced at section 3. of the Draft Response Document. The reasoned opinion of the Board of Directors is reproduced below: "3. Reasoned opinion of the Board of Directors in connection with the tender offer initiated by SoftBank Group In accordance with the provisions of Article 231-19 of the General Regulations of the Autorite des Marches Financiers (the "AMF"), the Chairman reminds shareholders that the Board of Directors is meeting today to give a reasoned opinion on the interest represented by, and the consequences for the Company, its shareholders and employees, the proposed public tender offer (the "Offer") for the Company's shares at a price of 0.85 euro per ordinary share, 0.01 euro per preferred share (the "ADP") and 0.07 euro per share purchase warrant (the "BSA"), initiated by SVF II STRATEGIC INVESTMENTS AIV LLC, a wholly-owned and directly held subsidiary of the Japanese company SoftBank Group Corp. ("SVF AIV" or the "Offeror"). The Chairman notes that the terms of the Offer will be described in the draft offer document to be filed with the AMF by 16 August 2023. The Chairman also points out that, in accordance with the provisions of article 261-1, III of the AMF General Regulations ("Reglement general de l'AMF") and AMF recommendation no. 2006-15, the Board of Directors, at its meeting on 13 June 2023, set up an ad hoc committee (the "Ad Hoc Committee") to examine the terms and conditions of the proposed transaction, proposing to the Board of Directors the appointment of an independent appraiser under the terms of article 261-1 of the AMF General Regulations, supervising the work carried out by the appraiser until the submission of his valuation report, and preparing in good time the draft reasoned opinion of the Board of Directors. The Ad Hoc Committee comprises three members, the majority of whom are independent directors: Corinne Jouanny (Chairwoman of the Ad Hoc Committee and independent member of the Board of Directors), Benedicte Huot de Luze (independent member of the Board of Directors) and Alexandre Pelletier (member of the Board of Directors representing BPI France Investissement). The Chairman also points out that, at its meeting on 13 June 2023, the Board of Directors approved the principle of the proposed Offer, pending the conclusions of the work of the independent expert and the opinion of the CSE. Prior to today's meeting, the members of the Board of Directors were provided with the following documents in order to provide them with all the information they needed to issue a reasoned opinion: The draft offer document drawn up by the Offeror, which will be filed with the AMF by 16 August 2023, containing in particular the background to and reasons for the Offer, the Offeror's intentions, the characteristics of the Offer and the factors for assessing the Offer price drawn up by the presenting institution, Alantra Capital Markets (this institution also being the guarantor of the Offer); The report of the independent expert, Eight Advisory, which concludes that the financial terms of the Offer, namely the offered price of 0.85 euro per ordinary share, 0.01 euro per ADP and 0.07 euro per warrant of the Company, are fair to the shareholders holding ordinary shares and/or ADP and to Amazon as holder of warrants of the Company; The opinion of the Comite Social et Economique on the Offer issued on 5 July 2023; and The draft note in response prepared by the Company for filing with the AMF by 16 August 2023, which remains to be completed with the reasoned opinion of the Board of Directors. An independent appraiser has been appointed on the basis of articles (i) 261-1 I, 2 of the AMF General Regulations insofar as certain officers and directors of the Company have entered into an agreement with the Offeror likely to affect their independence, (ii) 261-1 I, 4 because of transactions related to the Offer that are likely to have an impact on the assessment of the financial terms of the Offer, (iii) 261-1 I, 5 because the Offer concerns financial instruments of different categories and (iv) 261-1 II because of the planned squeeze-out. At its first meeting on 13 June 2023, following the ad hoc Committee's in-depth review of Eight Advisory's detailed proposal, the ad hoc Committee recommended that Eight Advisory be appointed, primarily in view of (i) the absence of any present or past link between Eight Advisory and the Company that might affect its independence, (ii) its recent experience in market transactions of similar or comparable size, (iii) the financial terms of its proposal, and (iv) more generally, its professional reputation and the human and material resources at its disposal to carry out its assignment. Eight Advisory has confirmed that it has no conflicts of interest with any of the parties involved, and that it has sufficient resources and availability to carry out its assignment during the period in question. In view of the above, the Ad Hoc Committee decided on 13 June 2023 to recommend the appointment of Eight Advisory to the Board of Directors to act as independent expert. At its meeting on 13 June 2023, the Company's Board of Directors, on the recommendation of the ad hoc committee, unanimously appointed Eight Advisory, represented by Mr Geoffroy Bizard, as an independent expert in accordance with the provisions of article 261-1, I 2, 4 and 5 of the AMF's General Regulations, with the task of preparing a report on the financial terms of the Offer. In view of the information submitted, and in particular (i) the objectives and intentions expressed by the Offeror, (ii) the valuation information prepared by the presenting institution, Alantra Capital Markets, (iii) the work of the ad hoc Committee, (iv) the conclusions of the independent expert's report, (v) the opinion of the Conseil Social et Economique and (vi) more generally, of the elements set out above, and in particular of the fact that the Offer is consistent with the long-term viability of Balyo, its managerial continuity, and the preservation of employees' interests, the Board of Directors, having no observations, adopts the following resolution: FOURTH RESOLUTION The Board of Directors decides, after deliberation: to endorse in every respect the observations, conclusions and recommendations of the ad hoc Committee; to issue, in the light of the observations, conclusions and recommendations of the ad hoc Committee, a favorable opinion on the draft Offer as presented to it; to recommend that holders of the Company's shares tender their shares to the Offer; take formal note that the Company will not tender its treasury shares to the Offer (which may be reopened); approve the Company's draft response document; authorize the Chairman, where necessary, to: - finalize the draft reply memorandum relating to the Offer, as well as any other documents required in connection with the Offer, in particular the "Other Information" document relating to the legal, financial and accounting characteristics of the Company; - prepare, sign and file with the AMF all documentation required in connection with the Offer; - sign all certificates required in connection with the Offer; and - more generally, to take all steps and measures necessary or useful for the completion of the Offer, including entering into and signing, in the name and on behalf of the Company, all transactions and documents necessary and related to the completion of the Offer, in particular any press release. Board members' intentions The Board of Directors notes that three members of the Board of Directors have undertaken to tender their shares to the Offer: Mr Pascal Rialland ; Linde Material Handling ; and BPI France Investissement. Linde Material Handling and FCPI FSN PME - Ambition numerique represented by Bpifrance Investissement, holding a total of 6,863,926 ordinary shares, and Pascal Rialland, holding 180,000 available ordinary shares representing approximately 20.87% of the Company's share capital and voting rights, have agreed to tender their ordinary shares to the Offer. The Company's intentions regarding treasury shares The Company holds 34,894 of its own shares. The Board of Directors has decided that these 34,894 treasury shares will not be tendered to the Offer and that the Company will not sell them until the close of the Offer, in accordance with the terms of the Tender Offer Agreement dated 14 June 2023. This resolution is adopted unanimously by the directors present and represented." 4. INTENTION OF BOARD MEMBERS The Board of Directors has noted that three members of the Board of Directors have undertaken to tender their shares to the Offer: Mr Pascal Rialland ; Linde Material Handling ; and BPI France Investissement. Indeed, Linde Material Handling and FPCI FSN PME - Ambition Numerique represented by Bpifrance Investissement, holding a total of 6,863,926 shares, and Pascal Rialland, holding a total of 180.000 Ordinary Shares, representing 20.87% of the Company's capital and voting rights, have undertaken to tender their shares to the Offer, as described in section 7.2.1 of the Draft Response Document. 5. COMPANY'S INTENTION REGARDING TREASURY SHARES At the date of the Press Release, the Company held 34,894 Treasury Shares. As authorized by the Board of Directors, the Company has decided not to tender the 34,894 Treasury Shares. 6. OPINION OF THE WORKS COUNCIL OF THE COMPANY (THE "COMITE SOCIAL ET ECONOMIQUE", (THE "CSE")) In accordance with the provisions of articles L. 2332-2 et seq. of the French Labor Code, the CSE was informed of the Offer and met on 16 June 2023 as part of the procedure for informing the Company's employee representative bodies. Following this meeting, the Company's CSE requested a hearing with the Initiator in accordance with the provisions of article L. 2312-42 of the French Labor Code, which was held on 21 June 2023. As part of the consultation procedure provided for in article 2312-46 of the French Labor Code, the Company's Works Council has issued its opinion on the proposed Offer. Said notice, dated 5 July 2023, is reproduced in full at section 6. of the Draft Response Document. 7. AGREEMENTS LIKELY TO HAVE AN INFLUENCE ON THE ASSESSMENT OR OUTCOME OF THE OFFER 7.1 Tender Offer Agreement between the Company and the Offeror On 14 June 2023, the Company and the Offeror entered into the Tender Offer Agreement (the "TOA"), which is described in more detail in section 7.1. of the Draft Offer Document. 7.2. Undertaking to tender 7.2.1. Undertakings to tender entered into with shareholders On 13 June 2023, FPCI FSN PME - Ambition Numerique represented by Bpifrance Investissement, Hyster-Yale UK Limited, SSUG PIPE Fund SCSp, SICAVRAIF, Linde Material Handling GmbH, Financiere Arbevel, Thomas Duval, and on June 14 June 2023 Invus Public Equities, L.P. provided the Offeror with an undertaking to tender to the Offer 13,866,075 shares, representing 41.08% of the Company's share capital at the date of signature of the said commitments to tender. These undertakings may be revoked in the event of a competing tender offer. FPCI FSN PME - Ambition numerique represented by Bpifrance Investissement has committed to contribute 5,053,950 shares representing approximately 14.96% of the Company's share capital and voting rights as of the date of this undertaking to tender; SSUG PIPE Fund SCSp, SICAVRAIF, has undertaken to contribute 2,000,000 shares representing approximately 5.92% of the Company's capital and voting rights as of the date of this undertaking to tender; Linde Material Handling, GmbH has undertaken to contribute 1,809,976 shares representing approximately 5.37% of the Company's share capital and voting rights as of the date of this undertaking to tender; Financiere ARBEVEL, which has undertaken to tender to the Offer the Target Securities it will hold at the opening of the Offer, holds 1,334,404 shares at 13 June 2023, representing approximately 3.95% of the Company's capital and voting rights as of the date of this undertaking to tender; Hyster-Yale UK Limited, has undertaken to tender 1,216,545 shares representing approximately 3.60% of the Company's share capital and voting rights as of the date of this undertaking to tender; Mr Thomas Duval has undertaken to contribute 851,200 shares representing approximately 2.52% of the Company's share capital and voting rights as of the date of this undertaking to tender; Invus Public Equities, L.P. has undertaken to contribute 1,600,000 shares representing approximately 4.74% of the Company's share capital and voting rights as of the date of this undertaking to tender. The Ordinary Shares held by the above-mentioned shareholders, together representing approximately 41.08% of the Company's share capital and voting rights at the date of signature of the said undertakings to tender (and around 40.36% of the share capital and voting rights at 17 July 2023), will be contributed to the Offer at the Offer Price of the Ordinary Shares, less any Ordinary Shares that may be sold by Financiere ARBEVEL in advance of the opening of the Offer, without any additional consideration to be paid by the Offeror. These undertakings are described in greater detail in section 7.2.1 of the Draft Response Document. 7.2.2 Undertakings to tender entered into with ADP holders At 31 December 2022, the Company's share capital consisted of 16,150 preference shares divided into 5 tranches: - 3,230 ADP T1 ; - 3,230 ADP T2 ; - 3,230 ADP T3 ; - 3,230 ADP T4; and - 3,230 ADP T5. These ADP were issued to their holders in the context of a free share plans put in place by the Company which acquisition and conservation periods expired. The ADP are subject to the following cumulative conditions, based on aggregate performance over the period from the date of grant 1 January 2020 up to the 31 December 2024: - Tranche 1: consolidated turnover exceeding 35 million euros and gross margin exceeding 14 million euros. - Tranche 2: consolidated turnover exceeding 85 million euros and gross margin exceeding 35 million euros. - Tranche 3: consolidated turnover exceeding 165 million euros and gross margin exceeding 70 million euros. - Tranche 4: consolidated turnover exceeding 295 million euros and gross margin exceeding 130 million euros. - Tranche 5: consolidated turnover exceeding 500 million euros and gross margin exceeding 235 million euros. Provided that the performance conditions of each Tranche are met, each ADP of the relevant Tranche will be converted into 100 Ordinary Shares of the Company. Prior to the date of Press Release, the performance conditions of the ADP T1 were met, as acknowledged by a decision of the Board of Directors dated 27 March 2023. On 22 June 2023, in accordance with the terms and conditions of the ADP, the Board of Directors, after having received the favorable opinion of the appointment and remuneration committee, acknowledged in advance the fulfilment of the performance conditions of Tranche 2 based on the high probability of reaching the conditions of consolidated turnover and gross margin by the end of the year 2023. In accordance with the terms and conditions of the ADP, the Board of Directors has all powers to determine specific conversion ratio and cases notably in the context of a tender offer. Consequently, on 22 June 2023 the Board of Directors, decided that the conversion ratio applicable to the ADP T2 was 1 ADP T2 for 100 Ordinary Shares. As described in the section 7.2.2. of the Draft Response Document, on the 6, 7, 9, 10 and 12 July 2023, the holders of the ADP entered into undertakings to tender with the Offeror pursuant to which they undertook to (i) convert all their 2.090 ADP T1 and 2.090 ADP T2 as soon as possible following the Board's decision on the conversion of the ADP T2 and to tender to the Offer all 598,000 Ordinary Shares resulting from the conversion of the T1 ADP and the T2 ADP; and resulting from the conversion of ADP T1 and ADP T2; and (ii) tender all of their 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 to the Offer. 7.2.3. Liquidity Agreement The Offeror entered into a liquidity agreement with Mr. Pascal Rialland for his Ordinary Shares resulting from the conversion of his ADP T1, ADP T2, ADP T3, ADP T4 and ADP T5 which are subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which Balyos Board of Directors has imposed on corporate officers an obligation to retain a percentage of their shares (the Unavailable Shares and the Liquidity Agreement), the main terms and conditions of which are described in section 7.2.3. of the Draft Response Document. 7.2.4. Intention to tender expressed by the Company Warrant holder On 10 July 2023, the holder of the 11,753,581 Company Warrants, Amazon.com NV Investment Holdings LLC, addressed a non-binding letter of intent to Balyo expressing its intention to tender all of the Company Warrants to the Offer and terminate the Transaction Agreement entered into between Amazon and the Company that the main terms and conditions of which are described in section 7.2.4 of the Draft Response Document. 7.3. Interim Financing On 13 June 2023, the Board of Directors authorized the issuance by the Company of bonds convertible into fully paid-up ordinary shares to be subscribed by the Offeror for an aggregate principal amount of up to EUR 5,000,000 (the Bonds) which will allow Balyo to meet its working capital requirements (Financing). On 14 June 2023, the Offeror and the Company entered into a subscription agreement providing for the terms and conditions of the issuance of the Bonds and regulate the relations of the Company and the Offeror as for the subscription of the Bonds (the Subscription Agreement). Pursuant to the Subscription Agreement, the Bonds will be governed by their terms and conditions described in the section 7.3. of the Draft Response Document. On 20 July 2023, the Offeror subscribed to 150 Convertibles Bonds of EUR 10,000 par value each for a total amount of EUR 1,500,000 euros. 10. INDEPENDENT EXPERT'S REPORT In accordance with the provisions of article 261-1 I, 2 of the General Regulation of the AMF, the Company's Board of Directors, at its meeting of 13 June 2023, appointed Eight Advisory, represented by Mr Geoffroy Bizard, as independent appraiser with the task of preparing a report including a fairness opinion on the financial terms of the Offer, including the squeeze-out. Said report, dated 4 August 2023, is reproduced in full in section 10 of the Draft Response Document. The conclusions of its report, dated August, 4 2023, are reproduced below: "We have been appointed as Independent Expert by the Ad Hoc Committee of Balyo based on Article 261-1 I 2, 4 and 5 and II of the general regulation of the AMF. Our mission was to assess the fairness of the price offered by SVF II Strategic Investments AIV LLC, a direct subsidiary of SoftBank Group Corp., in connection with the Tender Offer procedure followed by a Squeeze-Out should the required conditions be met. The price offered to Balyo shareholders is 0.85 per ordinary share. We observe that the Offer price of 0.85 shows a premium of: - 6.3% on the central value derived from the Discounted Cash Flows method; - 3.3% on the central value derived from the Market multiples method; - 58.9% on the last closing share price before the announcement of the Offer, 54.9% on the 30-day volume-weighted average price, and 48.3% on the 60-day volume-weighted average price; and - 21.4% on the central value derived from the analysts target price. We also observe that the Offer price is higher than Balyo's share price post announcement of the Offer (0.82 as of July 26, 2023). In this context, given the elements presented above, we are of the opinion that the price of 0.85 per ordinary share offered by the Initiator in the context of the Tender Offer is fair from a financial point of view for the shareholders of Balyo SA, including in the perspective of a Squeeze-Out. Regarding to the BSAs, we note that the price of 0.07 per BSA proposed by the Offeror is consistent with the result of applying the Black & Scholes method, based on an Offer price of of 0.85 per share. The price offered is therefore fair to the holder and is not likely to affect the equality between the holder of the BSAs and the holders of Balyo shares, including in the event of the the implementation of a mandatory squeeze-out. Regarding the 6,270 Unconverted AGADP available, we note that the price of 0.01 per AGADP proposed by the Offeror is consistent with the near-zero probability of the performance conditions of these performance conditions and that the overall amount is not material. The price offered is therefore fair for their holders and is therefore not likely to affect the equality between the holders of the and the holders of Balyo shares, including in the event of a mandatory squeeze-out. Lastly, the analysis of the related agreements does not call into question our assessment of the financial conditions of the Offer, including in this perspective the case of the implementation of a mandatory squeeze-out. Paris, August 4, 2023 Geoffroy Bizard Partner Eight Advisory S.A.S." ____________________________________ 1 It should be noted that the Ordinary Shares resulting from the conversion of the 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 are not targeted by the Offer, as the 6,270 preferred shares (i) are not convertible prior to the closing of the Offer, or, as the case may be, of the Reopened Offer, and (ii) are all subject to undertakings to tender from their holders. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815378103/en/ Balyo Source: Balyo Cash and Essential Healthcare Products Donation Aims to Aid Relief Efforts WHIPPANY, N.J.--(BUSINESS WIRE)-- In the aftermath of the devastating fires that have affected the Maui community, Bayer stands in solidarity with those impacted and offers heartfelt condolences for the losses endured. With roots spanning more than 50 years in Maui County, Bayer is firmly committed to providing immediate relief and sustained support for recovery and rebuilding. Guided by its vision, 'Health for All, Hunger for None,' Bayer will contribute $500,000 to relief efforts on the island a cash donation of $250,000 alongside a donation of essential healthcare products valued at $250,000. This donation underscores Bayers dedication to addressing crucial needs of the community and the companys Crop Science employees at our farms on Maui during this unimaginable time. It focuses on the key areas of supporting relief efforts, increasing access to food for those displaced and continuing to assess the needs of the community on an ongoing basis and contribute to local organizations as additional needs emerge. Of the cash donation, $150,000 will be directed to the Hawaii Community Foundation's Maui Strong program, which plays a vital role in coordinating resources for recovery efforts. An additional $50,000 will be contributed to the Maui Food Bank, supporting their mission to provide relief and distribute food through community partners to assist those in need. Recognizing the evolving nature of the situation, Bayer will also provide $50,000 in future contributions to local organizations to help support the ongoing efforts as needs emerge. To supplement donations made to local organizations, Bayer will donate vital healthcare supplies valued at $250,000 to Direct Relief, an organization working closely with 19 Hawaii healthcare partners to deliver immediate medical aid to those in need on the ground. The donation includes 4,000 essential items specifically requested by Direct Relief such as Bayer Aspirin, Aleve, Claritin, and an antibiotic, addressing critical healthcare requirements. In addition to these contributions, Bayer's employees on Maui, Molokai, and Oahu have demonstrated remarkable compassion by donating more than 3,000 pounds of essential supplies, including food, water, toiletries, towels, baby items, sleeping bags, household necessities, and clothing. Many Bayer employees have also dedicated their time to contributing to the relief efforts by volunteering to serving meals and sorting donations, showcasing a genuine commitment to assisting their fellow community members. Furthermore, colleagues across Bayer have united to show their support for Maui. Through the Bayer Fund matching program, employees have generously made monetary donations, with Bayer Fund matching these contributions. This collective effort underscores Bayer's commitment to standing alongside the Maui community during these trying times. "We are grateful to be a part of the Maui community and are fully committed to providing support during this unprecedented time," stated Alan Takemoto, Government Affairs Lead, Bayer Hawaii. "Our pledge is to help offer not only immediate relief but also to contribute to the sustained recovery and revitalization of Maui." As the journey toward recovery continues, Bayer remains dedicated to standing by the Maui community for years to come. About Bayer Bayer is a global enterprise with core competencies in the life science fields of health care and nutrition. Its products and services are designed to help people and the planet thrive by supporting efforts to master the major challenges presented by a growing and aging global population. Bayer is committed to driving sustainable development and generating a positive impact with its businesses. At the same time, the Group aims to increase its earning power and create value through innovation and growth. The Bayer brand stands for trust, reliability and quality throughout the world. In fiscal 2022, the Group employed around 101,000 people and had sales of 50.7 billion euros. R&D expenses before special items amounted to 6.2 billion euros. For more information, go to www.bayer.com. About Bayer Fund Bayer Fund, a philanthropic arm of Bayer in the U.S., is a nonprofit organization dedicated to strengthening the communities where Bayer customers and employees live and work by providing funding for food and nutrition, education, and health and wellness projects. For more Information visit https://www.fund.bayer.us. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816733850/en/ Brian Leake Director, External Communications [email protected] (314) 370.3285 Monica Ivey Corporate Relations Lead Bayer Hawaii [email protected] (808) 221.1166 Source: Bayer State-of-the-Art Facility Signifies Growth of Business in North America and Europe WALTHAM, Mass. & BEIJING--(BUSINESS WIRE)-- Biocytogen Pharmaceuticals (HKEX: 02315; Biocytogen), a biotechnology company focused on the generation and validation of novel antibody-based therapeutics and specialized animal models for partners worldwide, today announces the grand opening of a new 37,000 sqft. facility in Waltham, MA for its US-based subsidiary, Biocytogen Boston Corporation (hereafter Biocytogen Boston). The state-of-the-art facility, conveniently located at 300 Third Avenue, will triple Biocytogen Bostons workspace, and includes a climate-controlled 4,000 cage vivarium, cell culture and procedure suites, and an open design concept to facilitate collaboration among employees and visiting clients. The new location will focus on preclinical contract research services and the distribution of specialized animal model products (collectively termed BioMice) to support the increasing demand for projects from clients in North America and Europe. As one of the leading providers of humanized animal models worldwide, Biocytogen offers more than 500 strains of these specialized mice for generating and testing novel antibody and cell-based therapeutics in vivo. Furthermore, Biocytogens flagship RenMab model and its second-generation RenMice-based platforms are powerful tools used to discover new fully human antibodies that can be developed into multiple therapeutic modalities, including naked antibodies, bi/trispecific antibodies, bispecific ADC, CAR-T, and CAR-NK. In addition to the research and technology teams, the companys new location will support Biocytogens expanding business development initiatives, including establishing new client partnerships and out-licensing new products. Dr. Qingcong Lin, Senior Vice President of Biocytogen and CEO of Biocytogen Boston, said, This move signifies an exciting new chapter for Biocytogen. Our teams dedication to providing high-quality preclinical models and services has led to the exponential growth of our business over the past 5 years, across both North America and Europe. Were extremely excited for the opportunity to continue our commitment to experimental excellence our clients depend on and come to expect, but on a larger scale. We look forward to welcoming current and prospective clients/partners to this state-of-the-art facility to accelerate further collaboration. Dr. Yuelei Shen, Founder, President and CEO of Biocytogen, said, Since Biocytogens inception in Worcester, MA, greater Boston has been a key area for distribution of Biocytogens animal model products. Over the past 14 years, our companys portfolio has evolved to include pharmacology evaluation services, and most recently, antibody discovery services and antibody asset research and development. I expect that this new facility will be a key catalyst for our business to bring in new partners and clients looking to succeed in their antibody drug discovery efforts. About Biocytogen Biocytogen (HKEX: 02315) is a global biotechnology company that drives the research and development of novel antibody-based drugs with innovative technologies. Using its proprietary RenMab /RenLite/RenNano mice platforms for fully human monoclonal, bispecific/multispecific antibody and nanobody development, Biocytogen has integrated its in vivo drug efficacy screening platforms and strong clinical development expertise to streamline the entire drug development process. Biocytogen is undertaking a large-scale project to develop first in-class and/or best-in-class antibody drugs for more than 1000 targets, known as Project Integrum (RenMice HiTS Platform). As of June 30, 2023, 50 therapeutic antibody co-development/out-licensing/transfer agreements and 42 target-nominated RenMice licensing projects have been established worldwide, including several partnerships with multinational pharmaceutical companies (MNCs). Biocytogen's pipeline is comprised of 10 core assets, with partnerships established for multiple clinical assets. The companys sub-brand, BioMice, encompasses the generation and distribution of animal model products and preclinical contract research services for clients around the globe. Headquartered in Beijing, Biocytogen has branches in China (Haimen Jiangsu, Shanghai), USA (Boston, San Francisco), and Germany (Heidelberg). For more information, please visit www.biocytogen.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816833456/en/ Media Contact [email protected] General Inquiry Biocytogen Boston Corporation 300 Third Avenue, 6th Floor Waltham, MA 02451 [email protected] Source: Biocytogen ClearanceJobs Candidate Mobile App brings the largest cleared career marketplace to candidates on the go. CENTENNIAL, Colo.--(BUSINESS WIRE)-- ClearanceJobs, a DHI Group, Inc. (NYSE: DHX) brand, is excited to announce that the ClearanceJobs Candidate Mobile App is available for download on the Apple App Store. Candidates now have access to the largest cleared career marketplace on the go, ensuring they stay plugged in to the advancement of their careers in the national security sector. ClearanceJobs is the leading marketplace for professionals with a U.S. security clearance and was developed with a deep understanding of the unique challenges faced by cleared individuals. For 21 years, ClearanceJobs has continued to revolutionize the way cleared candidates find jobs. The ClearanceJobs Candidate Mobile App is the next step in that evolution. ClearanceJobs has always been about more than just transactional career interactions, and our candidate mobile app is the next step in creating community and making it easier for candidates to connect with employers, opportunities, and relevant career news, said Evan Lesser, founder and president of ClearanceJobs.com. Use the mobile app to: Edit your profile Update your status Connect with recruiters Follow your favorite companies Live chat with recruiters Search, save, and apply for jobs Get notified when your profile is viewed And more Whether you are a U.S. military veteran or civilian, the ClearanceJobs app is tailored to meet your specific needs and provide a comprehensive solution for career needs. ClearanceJobs is committed to connecting security-cleared professionals and employers in a secure and private career marketplace to fill jobs that safeguard our nation. Download the app today and experience the largest career marketplace dedicated to security-cleared professionals in the palm of your hand. About ClearanceJobs Founded in 2002, ClearanceJobs is a modern marketplace for career opportunities in national security. We maintain a strong commitment to connecting security-cleared professionals and employers in a secure and private marketplace to fill the jobs that safeguard our nation. Our protected career marketplace allows industry employers and candidates the ability to connect, converse, and exchange opportunities. From instant messaging to deep personal and company branding, ClearanceJobs balances participation by giving every user both employers and candidates a marketplace to share, explore, and engage. With offices in Colorado and Iowa, ClearanceJobs (a DHI Group, Inc. brand) is the largest service focused solely on professionals with U.S. federal government security clearances. About DHI Group, Inc. DHI Group, Inc. (NYSE: DHX) is a provider of AI-powered career marketplaces that focus on technology roles. DHI's two brands, Dice and ClearanceJobs, enable recruiters and hiring managers to efficiently search for and connect with highly skilled technologists based on the skills requested. The Company's patented algorithm manages over 100,000 unique technology skills. Additionally, our marketplaces allow technology professionals to find their ideal next career opportunity, with relevant advice and personalized insights. Learn more at www.dhigroupinc.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816256705/en/ Investor Contact Todd Kehrli or Jim Byers MRK Investor Relations, Inc. (212) 448-4181 [email protected] Media Contact Lindy Kyzer [email protected] 202-374-4291 Source: DHI Group, Inc. SAN CARLOS, Calif.--(BUSINESS WIRE)-- Today, Trustly announces its alliance with Coinbase as an integral part of its commitment to offer Canadians secure and simple account-to-account payments. With Trustlys Open Banking Payments, Coinbase users can deposit and withdraw funds directly from their bank account from/to their crypto wallet. A robust regulatory framework combined with the world's third-most crypto-aware nation, make Canada a perfect fit for alternative payment methods and innovative financial services. With Trustly, Coinbase users will easily connect their bank accounts to their Coinbase account, allowing them to add funds instantly or withdraw funds seamlessly using EFT or Interac payment rails. Popularity and demand for cryptocurrency options continue to grow in Canada; a survey by the Ontario Securities Commission indicates that over 30% of Canadians plan to buy crypto assets in the next year. Trustly is excited to continue to work with Coinbase on strengthening its offerings in Canada by enabling direct bank transfers over EFT later this year. By enabling use of the EFT network, users will be able to benefit from seamless deposits, withdrawals, and high-value deposit limits. Trustly is thrilled to support Coinbase and its expansion into Canada. We believe our Open Banking platform will make investing in cryptocurrency more convenient and secure for Canadians. We are excited to see how our partnership with Coinbase, a leader in the industry, unfolds, said Ross McFerrin, VP Enterprise Growth at Trustly. We are excited to partner with Trustly to offer additional financial options for our Canadian customers to deposit and withdraw funds from our platform, explains Nana Murugesan, VP International and Business Development at Coinbase. Murugesan also explained in an interview with BNN Bloomberg that partnering with Trustly was a strategic step in making crypto as accessible as possible for Canadian customers. About Trustly Founded in 2008, Trustly is a global leader in Open Banking Payments. Our digital account-to-account platform redefines the speed, simplicity and security of payments, linking some of the worlds most prominent merchants with consumers directly from their online banking accounts. Trustly can handle the entire payment journey, setting us apart from the competition and enabling us to offer an attractive alternative to the traditional card networks at a lower cost. Today we serve 8,100 merchants, connecting them with 525 million consumers and 6,300 banks in over 30 countries; and in 2020 and 2021 we processed over $42 billion in transaction volume in our global network. We are a licensed Payment Institution under the second payment services directive (PSD2) and operate under the supervision of the Swedish Financial Supervisory Authority in Europe. In the US, we are state regulated as required to serve our target markets. Read more at www.trustly.com. About Coinbase Coinbase is building the cryptoeconomy a more fair, accessible, efficient, and transparent financial system enabled by crypto. Coinbase started in 2012 with the radical idea that anyone, anywhere, should be able to send and receive Bitcoin easily and securely. Today, Coinbase offers a trusted and easy-to-use platform for accessing the broader cryptoeconomy. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816752487/en/ Andres Suay VP Marketing, Trustly Americas +1 (650) 649-8765 [email protected] Source: Trustly NEW YORK--(BUSINESS WIRE)-- The New York State Department of Environmental Conservation, Division of Mineral Resources (DMR) has granted Columbia University a permit to drill a closed-loop geothermal test bore to a depth of 800 feet, the first such permit to drill to this depth, for this purpose, in the State of New York. Due to the comparatively smaller surface footprints available on typically constrained Manhattan project sites, deeper bore depths are required for optimal system performance and efficiency. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230801131728/en/ Pursuant to Columbias greater sustainability commitments, vertical heat exchange is being explored as a means of decarbonization on the Manhattanville campus. Beyond approvals and coordination with New Yorks multiple infrastructure entities, drilling deeper than 500 is subject to further standards of evaluation by the DMR. DMR permitting was coordinated by the engineering firm Salas OBrien, with the support of Brightcore Energy LLC. Hany Ayoub, Deputy Vice President of Manhattanville Development, Columbia University: Columbia University fervently embraces the utilization of renewable resources in all our new development projects, signifying our steadfast commitment to break free from fossil fuel dependence and actualize our decarbonization goals. Even as we expand our campus footprint at Manhattanville we seek to lead on climate with innovative solutions that will ensure we will meet the science-based targets set forth in Plan 2030, our 10-year sustainability plan that aligns the University with the Paris Agreement and commits it to achieve net zero emissions by 2050 or sooner. To that end, the groundbreaking 800-foot depth bore will unlock vital geothermal exchange field capacity insights, forming the foundation of our design approach to fulfill the heating demands of a prominent facility currently in development. Moreover, the invaluable data obtained from the geothermal test bore will further assess the possibility of exporting surplus thermal capacity to our campus central energy facility, bolstering our overall decarbonization strategies. Jeff Urlaub, PE, Salas OBrien Principal: The State of New York is ahead of most of the nation in supporting transformational decarbonization, enabled by renewable energy strategies such as geothermal heat exchange. Columbia University is demonstrating the viability of geothermal for New York City. Mike Richter, President of Brightcore Energy: We are so very pleased with this permit award. For obvious reasons, the engineering plan receives extra scrutiny and speaks volumes to the expertise and capabilities of our geothermal team. About Brightcore Energy Brightcore Energy is a leading provider of end-to-end clean energy solutions to the commercial and institutional market. Solutions include high-efficiency heating and cooling systems (geothermal) for both new construction and existing building retrofits, commercial-grade solar, LED lighting and controls, energy storage, electric vehicle (EV) charging stations, smart building solutions and other emerging technologies. Brightcores turnkey, end-to-end solutions encompasses preliminary modeling & feasibility, design & engineering, financing & incentive management, construction & implementation, and system performance monitoring. About Salas OBrien Salas OBrien is a North American leader in energy planning and the planning, design, and implementation of geothermal systems, central energy plants, and district energy systems. To date, we have completed over 400 geothermal projects, providing over 84,000 tons of system capacity. Our breadth of expertise forms a 360-degree perspective on eliminating carbon, from renewable supply to sustainable use. We support long-term capital decisions with resilient, flexible, high-performing systems that reduce operating costs and enhance end user experience. With more than 2,000 employee owners in 70 offices, Salas OBrien provides a full range of engineering technical consulting services for public and private sector clients. View source version on businesswire.com: https://www.businesswire.com/news/home/20230801131728/en/ Brightcore Energy LLC Michael Tracy 914-719-6027 [email protected] Salas OBrien LLC Jeff Urlaub 715-828-8592 [email protected] Source: Brightcore Energy POWELL, Ohio--(BUSINESS WIRE)-- Continental Senior Communities, a leading multi-state provider of resort-style senior living and specialized memory care, is thrilled to announce its collaboration with Morrison Living, a renowned leader in senior living hospitality, to enhance the culinary experience for residents. This strategic partnership aims to bring the variety and sophistication of luxury resort dining to each of the 12 independent living, assisted living, and memory care communities operated by Continental Senior Communities across Ohio, Michigan, Pennsylvania, and Kentucky. "Our commitment to excellence extends beyond providing upscale living spaces and amenities. We believe that dining is an integral part of the overall senior living experience," said Barmi Akbar, Chief Executive Officer, at Continental Senior Communities. "By partnering with Morrison Living, we are proud to offer our residents a world-class dining experience." Morrison Livings nationwide record of success was selected as the ideal strategic partner with Continentals plans to further invest in their communities to be even more dynamic in their hospitality offerings and create a culinary-forward experience. Leveraging Morrison Livings resources provides flexible solutions for a personalized dining experience that feeds the cultural heartbeat of each community. As the evolution of senior living has accelerated, forward-thinking providers in this industry are meeting those changes with a strategy refocusing on exceptional hospitality. We are proud to partner with Continental Senior Communities as they lead the way forward, added Greg Reeves, Division President at CCL Hospitality Group, the parent company of Morrison Living. The partnership aims to enhance not only the dining experience but also the overall sense of community and engagement with an unparalleled level of service that sets new standards. About Continental Senior Communities: Continental Senior Communities is a leading multi-state provider of resort-style senior living and specialized memory care. Headquartered in Powell, Ohio, the company operates 12 distinctive communities across Ohio, Michigan, Pennsylvania, and Kentucky. Each community offers upscale independent living, assisted living, and memory care, complemented by resort-style amenities, personalized care services, and holistic social, educational, and wellness programs. About Morrison Living: Morrison Living is a premier hospitality provider for senior living communities across the nation. With a passion for culinary excellence, Morrison Living strives to create unforgettable dining experiences that foster a strong sense of community and enhance overall quality of life for residents. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816794263/en/ For media inquiries, please contact: Morrison Living [email protected] Source: Morrison Living Year-of-the-Core initiatives delivered strong improvements in gross margin, adjusted EBITDA and operating cash flow CHICAGO--(BUSINESS WIRE)-- Cresco Labs Inc. (CSE: CL) (OTCQX: CRLBF) (FSE: 6CQ) (Cresco Labs or the Company), the industry leader in branded cannabis products with a portfolio of Americas most popular brands and the operator of Sunnyside dispensaries, today released its financial and operating results for the second quarter ended June 30, 2023. All financial information presented in this release is reported in accordance with U.S. GAAP and in U.S. dollars, unless otherwise indicated, and is available on the Companys investor website, here. Second Quarter 2023 Financial Highlights Second quarter revenue of $198 million, up 2% sequentially, driven by retail growth of 4% and flat wholesale revenue. Gross profit of $87 million, 44% of revenue. Adjusted gross profit1 of $93 million and Adjusted gross margin of 47%, up 100 bps from the first quarter. Adjusted SG&A1 reduction of $7 million sequentially. Adjusted EBITDA1 of $40 million, up 38% sequentially as margin improved 540 bps to 20%. Generated positive operating cash flow of $18 million, inclusive of $14 million of one-time cash charges related to facility closures, severance payments and M&A related fees. Second quarter net loss of $43 million, which includes $22 million of impairment charges. Operating Highlights Retained the No. 1 share position in Illinois, Pennsylvania and Massachusetts. Maintained the industrys No. 1 bestselling portfolio of branded flower and branded concentrates, No. 3 portfolio of branded vapes, and No. 4 portfolio of branded edibles2. Branded equivalized unit volume of 18 million, up 19% year-over-year2. Retail transactions of 1.3 million, an 11% increase year-over-year. Opened five total Sunnyside stores in Florida and Pennsylvania, bringing the nationwide store count to 68 as of June 30, 2023. 1 See Non-GAAP Financial Measures at the end of this press release for more information regarding the Companys use of non-GAAP financial measures. 2 According to BDSA Management Commentary Our Year-of-the-Core commitment to rationalizing and optimizing our core markets, core stores, core brands and core products is reflected in our Q2 results with growth in our top line, gross margin, Adjusted EBITDA and operating cash flow. With our focus on driving scale and efficiencies across the entire organization, weve been accomplishing more with less leading to a 38% sequential improvement in Adjusted EBITDA. We maintained our industry leadership with the #1 portfolio of both branded flower and branded concentrates, #3 portfolio of branded vapes and #4 portfolio of branded edibles2. Were pleased to see improved profitability and cash flow in our core markets, which positions us well for the capital-efficient growth and expansion opportunities that lie ahead. Our results are just starting to reflect the decisions we made earlier this year to support our Year-of-the-Core priorities, with much more to come, said Charles Bachtell, CEO of Cresco Labs. Balance Sheet, Liquidity and Other Financial Information As of June 30, 2023, current assets were $265 million, including cash, cash equivalents and restricted cash of $75 million. The Company had senior secured term loan debt, net of discount and issuance costs, of $384 million. Total shares on a fully converted basis were 470,308,738 as of June 30, 2023. Capital Markets and M&A Activity On July 30, 2023, Cresco Labs and Columbia Care mutually agreed to terminate the definitive agreement dated March 23, 2022. Concurrently, the definitive agreement dated November 4, 2022, to divest certain assets to an entity owned and controlled by Sean Diddy Combs, also has been terminated. The Company intends to file a short form base shelf prospectus replacing the former prospectus that recently expired. The Company has no plans to raise funds under the prospectus in the near term. The prospectus will be filed with the securities commissions or similar authorities in Canada, subsequent to the filing of the Companys quarterly earnings, in reliance on the well-known seasoned issuer exemption. Additionally, a corresponding shelf registration statement on Form F-10 will be filed with the United States Securities and Exchange Commission. Conference Call and Webcast The Company will host a conference call and webcast to discuss its financial results on Wednesday, August 16, 2023, at 8:30am Eastern Time (7:30am Central Time). The conference call may be accessed via webcast or by dialing 1-833-470-1428 (US Toll Free), 1-404-975-4839 (US Local), +1 929-526-1599 (Other) providing access code 979042. Archived access to the webcast will be available for one year on Cresco Labs investor website. Consolidated Financial Statements The financial information reported in this press release is based on unaudited management prepared financial statements for the quarter ended June 30, 2023. These financial statements have been prepared in accordance with U.S. GAAP. The Company expects to file its unaudited condensed interim consolidated financial statements for the quarter ended June 30, 2023, on SEDAR+ on or about August 16, 2023. Accordingly, such financial information may be subject to change. All financial information contained in this press release is qualified in its entirety with reference to such financial statements. While the Company does not expect there to be any material changes between the information contained in this press release and the consolidated financial statements it files on SEDAR+, to the extent that the financial information contained in this press release is inconsistent with the information contained in the Companys financial statements, the financial information contained in this press release shall be deemed to be modified or superseded by the Companys filed financial statements. The making of a modifying or superseding statement shall not be deemed an admission for any purposes that the modified or superseded statement, when made, constituted a misrepresentation for purposes of applicable securities laws. Further, the reader should refer to the additional disclosures in the Companys audited financial statements for the year ended December 31, 2022, previously filed on SEDAR+. Cresco Labs references certain non-GAAP financial measures throughout this press release, which may not be comparable to similar measures presented by other issuers. Please see the Non-GAAP Financial Measures section below for more detailed information. Non-GAAP Financial Measures Earnings before interest, taxes, depreciation and amortization (EBITDA), Adjusted EBITDA, Adjusted gross profit, Adjusted gross margin and Adjusted SG&A are non-GAAP financial measures and do not have standardized definitions under U.S. GAAP. The Company has provided the non-GAAP financial measures, which are not calculated or presented in accordance with U.S. GAAP, as supplemental information and in addition to the financial measures that are calculated and presented in accordance with U.S. GAAP and may not be comparable to similar measures presented by other issuers. These supplemental non-GAAP financial measures are presented because management has evaluated the financial results both including and excluding the adjusted items and believe that the supplemental non-GAAP financial measures presented provide additional perspective and insights when analyzing the core operating performance of the business. These supplemental non-GAAP financial measures should not be considered superior to, as a substitute for or as an alternative to, and should only be considered in conjunction with, the U.S. GAAP financial measures presented herein. Accordingly, the Company has included below reconciliations of the supplemental non-GAAP financial measures to the most directly comparable financial measures calculated and presented in accordance with U.S. GAAP. About Cresco Labs Inc. Cresco Labs mission is to normalize and professionalize the cannabis industry through a CPG approach to building national brands and a customer-focused retail experience, while acting as a steward for the industry on legislative and regulatory-focused initiatives. As a leader in cultivation, production, and branded product distribution, the Company is leveraging its scale and agility to grow its portfolio of brands that include Cresco, High Supply, FloraCal Farms, Good News, Wonder Wellness Co., Mindys, and Remedi, on a national level. The Company also operates highly productive dispensaries nationally under the Sunnyside brand that focus on building patient and consumer trust and delivering ongoing education and convenience in a wonderfully traditional retail experience. Through year-round policy, community outreach, and SEED initiative efforts, Cresco Labs embraces the responsibility to support communities through authentic engagement, economic opportunity, investment, workforce development, and legislative initiatives designed to create the most responsible, respectable and robust cannabis industry possible. Learn more about Cresco Labs' journey by visiting www.crescolabs.com or following the Company on Facebook, Twitter or LinkedIn. Forward-Looking Statements This press release contains forward-looking information within the meaning of applicable Canadian securities legislation and may also contain statements that may constitute forward-looking statements within the meaning of the safe harbor provisions of the United States Private Securities Litigation Reform Act of 1995 (collectively, forward-looking statements). Such forward-looking statements are not representative of historical facts or information or current condition, but instead represent only the Companys beliefs regarding future events, plans or objectives, many of which, by their nature, are inherently uncertain and outside of the Companys control. Generally, such forward-looking statements can be identified by the use of forward-looking terminology such as, may, will, should, could, would, expects, plans, anticipates, believes, estimates, projects, predicts, potential or continue or the negative of those forms or other comparable terms. The Companys forward-looking statements involve known and unknown risks, uncertainties and other factors which may cause the Companys actual results, performance or achievements to be materially different from any future results, performance or achievements expressed or implied by the forward-looking statements, including but not limited to those risks discussed under Risk Factors in the Companys Annual Information Form for the year ended December 31, 2022, filed on March 21, 2023, other documents filed by the Company with Canadian securities regulatory authorities; and other factors, many of which are beyond the control of the Company. Readers are cautioned that the foregoing list of factors is not exhaustive. Because of these uncertainties, you should not place undue reliance on the Companys forward-looking statements. No assurances are given as to the future trading price or trading volumes of Cresco Labs shares, nor as to the Companys financial performance in future financial periods. The Company does not intend to update any of these factors or to publicly announce the result of any revisions to any of the Companys forward-looking statements contained herein, whether as a result of new information, any future event or otherwise. Except as otherwise indicated, this press release speaks as of the date hereof. The distribution of this press release does not imply that there has been no change in the affairs of the Company after the date hereof or create any duty or commitment to update or supplement any information provided in this press release or otherwise. Cresco Labs Inc. Financial Information and Non-GAAP Reconciliations (All amounts expressed in thousands of U.S. Dollars) Unaudited Consolidated Statements of Operations For the Three Months Ended June 30, 2023, March 31, 2023 and June 30, 2022 For the Three Months Ended ($ in thousands) June 30, 2023 March 31, 2023 June 30, 2022 Revenues, net $ 197,887 $ 194,202 $ 218,226 Cost of goods sold 111,187 108,322 105,402 Gross profit 86,700 85,880 112,824 Gross profit % 43.8 % 44.2 % 51.7 % Operating expenses: Selling, general and administrative 70,562 71,897 77,912 Share-based compensation 1,043 6,124 6,583 Depreciation and amortization 4,345 4,273 5,652 Impairment loss 21,502 Total operating expenses 97,452 82,294 90,147 (Loss) income from operations (10,752 ) 3,586 22,677 Other expense, net: Interest expense, net (19,176 ) (15,548 ) (12,016 ) Other income, net 402 959 4,681 Total other expense, net (18,774 ) (14,589 ) (7,335 ) (Loss) income before income taxes (29,526 ) (11,003 ) 15,342 Income tax expense (13,937 ) (16,809 ) (23,638 ) Net loss1 $ (43,463 ) $ (27,812 ) $ (8,296 ) 1 Net loss includes amounts attributable to non-controlling interests. Cresco Labs Inc. Unaudited Reconciliation of Gross Profit to Adjusted Gross Profit (Non-GAAP) For the Three Months Ended June 30, 2023, March 31, 2023 and June 30, 2022 For the Three Months Ended ($ in thousands) June 30, 2023 March 31, 2023 June 30, 2022 Revenues, net $ 197,887 $ 194,202 $ 218,226 Cost of goods sold1 111,187 108,322 105,402 Gross profit $ 86,700 $ 85,880 $ 112,824 Fair value mark-up for acquired inventory 123 Cost of goods sold adjustments for acquisition and other non-core costs 5,870 2,819 2,657 Adjusted gross profit (Non-GAAP) $ 92,570 $ 88,699 $ 115,604 Adjusted gross profit % (Non-GAAP) 46.8 % 45.7 % 53.0 % 1 Production (cultivation, manufacturing and processing) costs related to products sold during the period. Cresco Labs Inc. Unaudited Reconciliation of SG&A to Adjusted SG&A (Non-GAAP) For the Three Months Ended June 30, 2023, March 31, 2023 and June 30, 2022 For the Three Months Ended ($ in thousands) June 30, 2023 March 31, 2023 June 30, 2022 Selling, general and administrative $ 70,562 $ 71,897 $ 77,912 Adjustments for acquisition and other non-core costs 9,433 4,041 7,230 Adjusted SG&A (Non-GAAP) $ 61,129 $ 67,856 $ 70,682 Cresco Labs Inc. Summarized Unaudited Consolidated Statements of Financial Position As of June 30, 2023 and December 31, 2022 ($ in thousands) June 30, 2023 December 31, 2022 Cash, cash equivalents and restricted cash $ 74,811 $ 121,510 Other current assets 190,433 204,536 Property and equipment, net 388,276 379,722 Intangible assets, net 402,797 407,590 Goodwill 310,053 330,555 Other non-current assets 144,861 139,779 Total assets $ 1,511,231 $ 1,583,692 Total current liabilities $ 237,646 $ 280,866 Total non-current liabilities 726,605 715,143 Total shareholders equity 546,980 587,683 Total liabilities and shareholders equity $ 1,511,231 $ 1,583,692 Cresco Labs Inc. Unaudited Reconciliation of Net Income to Adjusted EBITDA (Non-GAAP) For the Three Months Ended June 30, 2023, March 31, 2023 and June 30, 2022 For the Three Months Ended ($ in thousands) June 30, 2023 March 31, 2023 June 30, 2022 Net loss1 $ (43,463 ) $ (27,812 ) $ (8,296 ) Depreciation and amortization 14,002 12,961 13,113 Interest expense, net 19,176 15,548 12,016 Income tax expense 13,937 16,809 23,638 Earnings before interest, taxes, depreciation and amortization (EBITDA) (Non-GAAP) $ 3,652 $ 17,506 $ 40,471 Other income, net (402 ) (959 ) (4,681 ) Fair value mark-up for acquired inventory 123 Adjustments for acquisition and other non-core costs 13,522 5,671 7,231 Impairment loss 21,502 Share-based compensation 2,204 7,062 7,449 Adjusted EBITDA (Non-GAAP) $ 40,478 $ 29,280 $ 50,593 1 Net loss includes amounts attributable to non-controlling interests. Cresco Labs Inc. Unaudited Summarized Consolidated Statements of Cash Flows For the Three Months Ended June 30, 2023, March 31, 2023 and June 30, 2022 For the Three Months Ended ($ in thousands) June 30, 2023 March 31, 2023 June 30, 2022 Net cash provided by (used in) operating activities $ 17,973 $ 3,270 $ (7,076 ) Net cash used in investing activities (14,050 ) (20,668 ) (13,388 ) Net cash used in financing activities (19,542 ) (13,635 ) (69,135 ) Effect of foreign currency exchange rate changes on cash (22 ) (25 ) 13 Net change in cash and cash equivalents and restricted cash $ (15,641 ) $ (31,058 ) $ (89,586 ) Cash and cash equivalents and restricted cash, beginning of period 90,452 121,510 181,920 Cash and cash equivalents and restricted cash, end of period $ 74,811 $ 90,452 $ 92,334 View source version on businesswire.com: https://www.businesswire.com/news/home/20230816510098/en/ Media Jason Erkes, Cresco Labs Chief Communications Officer [email protected] 312-953-2767 Investors Megan Kulick, Cresco Labs SVP, Investor Relations [email protected] For general Cresco Labs inquiries: 312-929-0993 [email protected] Source: Cresco Labs SANTA MONICA, Calif.--(BUSINESS WIRE)-- Entravision (NYSE: EVC), a leading global advertising solutions, media and technology company, today announced Chris Young, Chief Financial Officer and Treasurer, will present at the 14th Annual Midwest IDEAS Conference to be held August 23-24, 2023 in Chicago, Illinois. Management is scheduled to present on Wednesday, August 23rd at 4:40 pm CT and will participate in meetings with investors throughout the day. The presentation will be webcast live over the Internet, and links to the live webcast and replay will be available on Entravisions Investor Relations website at investor.entravision.com. About Entravision Communications Corporation Entravision is a global advertising solutions, media and technology company. Over the past three decades, we have strategically evolved into a digital powerhouse, expertly connecting brands to consumers in the U.S., Latin America, Europe, Asia and Africa. Our digital segment, the companys largest by revenue, offers a full suite of end-to-end advertising services in 40 countries. We have commercial partnerships with Meta, X Corp. (formerly known as Twitter), TikTok, and Spotify, and marketers can use our Smadex and other platforms to deliver targeted advertising to audiences around the globe. In the U.S., we maintain a diversified portfolio of television and radio stations that target Hispanic audiences and complement our global digital services. Entravision remains the largest affiliate group of the Univision and UniMas television networks. Shares of Entravision Class A Common Stock trade on the NYSE under ticker: EVC. Learn more about our offerings at entravision.com or connect with us on LinkedIn and Facebook. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816476192/en/ Christopher T. Young Chief Financial Officer Entravision 310-447-3870 Kimberly Orlando Addo Investor Relations 310-829-5400 [email protected] Source: Entravision Communications Corporation LOUISVILLE, Ky.--(BUSINESS WIRE)-- GE Appliances, a Haier company, announced a $34 million investment to expand refrigeration manufacturing and create 150 new jobs at its wholly owned subsidiary, Monogram Refrigeration LLC (MRO), located in Selmer, Tennessee. The investment will increase the size of the plant and add new fabrication equipment and an assembly line to build Monogram and Cafe brand integrated bottom-freezer refrigerators. The expansion will double the available capacity in Building Two, located at 1020 Tennessee Avenue in Selmer. The newly created jobs will make MRO the largest industrial employer in McNairy County. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816845213/en/ GE Appliances, a Haier company, announced a $34 million investment to expand refrigeration manufacturing and create 150 new jobs at its wholly owned subsidiary, Monogram Refrigeration LLC (MRO), located in Selmer, Tennessee. (Photo: GE Appliances, a Haier company) We are excited to grow our team and create great new job opportunities in McNairy County and West Tennessee, said Anne Rushing, president of Monogram Refrigeration, LLC. and plant manager. I want to thank McNairy County and the Selmer/McNairy County Industrial Development Board for their strong and sustained support, and the Tennessee Valley Authority and other partners continued cooperation provided over many years. This is the kind of partnership that is a model for progress. Monogram Refrigerations growth and high-quality jobs added since 2018, and the resulting impact, have significantly contributed to the growth of McNairy Countys economy. The increased facility space and refrigeration assembly line from the investment will support continued growth of GE Appliances premium appliance brands. Construction will begin immediately, and the new production will begin in the third quarter of 2025. The Selmer/McNairy County Industrial Development Board began discussions In August of 2021 with Monogram Refrigeration regarding a possible investment that would expand the production facility to double its size and add 150 jobs, said Robert Shackelford, chairman of the Selmer/McNairy County Industrial Development Board. And now, after two years of planning, we are excited to join Monogram Refrigeration and GE Appliances to announce this $34 million investment that will increase the economic impact throughout the region. The Selmer/McNairy County Industrial Development Board is grateful for this opportunity to continue to enhance our partnership with Monogram Refrigeration and GE Appliances." McNairy County Mayor Larry Smith also expressed his support for the partnership. Monogram Refrigeration has been a tremendous partner for McNairy County and has supported our communities, families, and institutions, said Mayor Smith. In addition to employing hundreds of McNairy County residents, they also actively support workforce development in our community through assistance to public school programs, as well as encouraging employees to further their education using company-paid tuition benefits. Recent investments at Monogram Refrigeration, LLC in Selmer, TN include: 2018 $9.3 million investment for two new production lines adding 210 new jobs. The 120,000-square-foot facility expansion added manufacturing capacity, warehousing space and the production of Zoneline packaged terminal air conditioners (PTACs) and new column-style Monogram brand refrigerator and freezer products. 2021 $5 million expansion for production of new Zoneline vertical terminal air conditioners, or VTACs, commonly used in hotels and private residential facilities. 2022 Investment to produce a new lineup of fully integrated bottom-freezer refrigerators across the Monogram and Cafe brands. Available in both French and single-door configurations, these new models were added to the existing columns refrigeration line for availability in 2023. 2022 GE Appliances and freight mobility technology company Einride successfully completed a pilot of Einrides heavy-duty, autonomous, electric vehicle on public roads moving finished goods from the Monogram Refrigeration plant to a nearby warehouse. This effort was the first U.S. approval for operations of this new type of vehicle from the National Highway Traffic Safety Administration (NHTSA). Community support GE Appliances and Monogram Refrigeration actively support community and educational efforts in McNairy County. In 2021, Monogram Refrigeration and GE Appliances made a four-year commitment to support McNairy County Schools as part of the Skills Training Alliance for Youth (STAY) Initiative, a workforce development program for high school seniors seeking part-time employment as they work to meet graduation requirements. The company also supported the renovation of the Family Consumer Labs at McNairy Central and Adamsville High Schools. In 2020, GE Appliances partnered with several local first responder and community-focused organizations to provide donations of new appliances and additional critical supplies during the pandemic. More recently, GE Appliances responded with supplies and funding to agencies supporting those impacted by recent tornadoes. Economic Impact Today, GE Appliances and its wholly owned subsidiaries in Tennessee employ more than 1,150 employees contributing more than $500 million to the states GDP. GE Appliances purchases more than $385 million annually from 320 Tennessee suppliers, further driving the state economy and supporting thousands of families. Nationally, GE Appliances spends $4.9 billion with 6,300 U.S. suppliers a 293% increase in spending and a 233% increase in the number of suppliers since 2016. About GE Appliances At GE Appliances, we make the world a better place, and our team is committed to leading in the communities where we live and work. Today, our appliances are in 50 percent of all U.S. homes, and our business is committed to serving every family in the country. We are a purpose-rooted and passion-driven organization that believes there is always a better way. We manufacture and sell products under the Monogram, Cafe, GE Profile, GE, Haier, and Hotpoint brands. Our products include refrigerators, freezers, cooking products, dishwashers, washers, dryers, air conditioners and water filtration systems. For more information on our company, brands, and corporate citizenship, visit www.geappliancesco.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816845213/en/ Julie Wood [email protected] Source: GE Appliances BAKU, Azerbaijan, August 17. Violation of the agreement on the delivery of humanitarian cargo through the ICRC is a continuation of Armenia's attempt to politicize the presence and activities of this humanitarian organization on the territory of Azerbaijan, Azerbaijan's permanent representative to the UN Yashar Aliyev said at a meeting of the organization's Security Council, Trend reports. According to him, this is also an attempt by the Armenian side to use humanitarian issues for political purposes, challenging the sovereignty and territorial integrity of Azerbaijan and preventing the reintegration of local ethnic Armenians. "Immediately after the end of the war in 2020, Azerbaijan offered the ICRC its logistics capabilities and infrastructure for the delivery of goods to the Karabakh region. In 2021, the goods for the delivery of the ICRC to this territory were transported to Barda. The Armenian side refused the goods and prevented the delivery of humanitarian aid by the ICRC" - said the permanent representative. Following the tripartite statement dated November 10, 2020, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. A meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. Highlights for the first half of 2023: Loss for the period of 315,634 Net assets of 14,053,208 as at June 30, 2023 Follow on investment in Gauzy Ltd, a world leader in smart glass and ADAS technologies, in the form of a convertible note LONDON--(BUSINESS WIRE)-- Regulatory News: Hamilton Global Opportunities plc (Paris: ALHGO) provides 2023 half-year financial statements and operation report. The 2023 half-year financial report is available on the companys website, in the Investor section (hamiltongo.eu). PERFORMANCE Assets as at 30 June 2023 (in ) June 30, 2023 June 30, 2022 Tangible assets 3 018 3 871 Investments 12 935 965 12 726 184 Fixed Assets 12 996 083 12 787 155 Trade and other receivables 242 858 240 764 Cash at bank and in hand 1 252 087 1 974 280 Total Assets 14 491 028 14 975 199 Liabilities (excluding deferred tax) (105 071) (159 543) Net Asset Value (excluding deferred tax) 14 385 957 14 815 656 Deferred tax liability (332 749) (429 448) Net assets 14 053 208 14 386 208 Details of evolution in the financials since 31 December 2022 As at 30 June 2023 a loss for the period of 315 634 compared to a profit before tax of 2 094 718 at the end of June 2022. This small operating loss is mainly due to fluctuations in the foreign exchange markets. Net Assets stood at 14.05m vs 14.4m as of 31 December 2022. The change in Net Assets during the first half resulted mainly from the operating costs incurred during the period. Hamiltons net cash position as of 30 June 2023 was 1.252m. The Net Asset figure also includes a residual tax reserve of 332 749 for unrealized gains following the revaluation of certain investments in the course of 2022. Highlights of the first half of 2023 and post-closing events Follow on investment in Gauzy Ltd, a world leader in smart glass and ADAS technologies On March 29, 2023 Hamilton Global Opportunities plc completed a 333,350 follow on investment in Gauzy Ltd. Gauzy is a true pioneer of nanotechnology for light and vision control, is the only material science company in the world developing, manufacturing, and marketing two of the three active smart glass technologies: SPD and Liquid Crystal, and advanced ADAS (advanced driver assistance systems) including CMS (camera monitoring systems) for long body on road vehicles. Gauzy has significant development potential given the numerous high value-added applications in everyday life for its diverse product range, such as the possibility of instantly modulating the transparency or translucency of glass used in windows across the automotive and architectural industries for shading, privacy, and thermal regulation, and making vehicle operation safer with blind spot reduction through its high performance ADAS products. Management of Foreign Exchange exposures Hamilton Global Opportunities plc is exposed to movements in the EUR USD exchange rate which has remained volatile not only over the current accounting period but also since the companys listing in April 2021. In order to mitigate the effect of this volatility on the financial results of the company management have implemented a hedging program. Under that hedging program management enters into enhanced forward hedging agreements which benefit from an option overlay whose purpose is to limit the potential downside of any such hedges. This hedging strategy has limited the negative impact of currency movements in the period to 30 June 2023 to 203 924 out of a total loss in the period of 315 634. However, over the entire period since listing in 2021 and despite significant volatility in the currency markets the hedging strategy has ensured that there has been little or no impact of those currency movements on the financial performance of the company. Gustavo Perrotta, Founder and Chief Executive Officer of Hamilton Global Opportunities plc declares: We remain in active contact with our portfolio companies and despite extremely challenging market conditions our portfolio companies continue to perform well. This continued performance by our portfolio companies has underpinned the Net Asset Value of the portfolio and we remain confident that we are on course to meet our strategic objectives in the coming years. About Hamilton Global Opportunities Hamilton Global Opportunities PLC (HGO) is an investment company listed on the Euronext Growth Market focusing on investments in Tech, Fintech and MedTech principally in the United States and Israel. The HGO management team has significant relevant experience in structuring direct investments in the areas above mentioned. For more information, please visit: hamiltongo.eu View source version on businesswire.com: https://www.businesswire.com/news/home/20230816608734/en/ Hamilton Global Opportunities Gustavo Perrotta Founder & CEO [email protected] Gavin Alexander Director [email protected] Andrew Wynn Member of the Investment Committee [email protected] NewCap Theo Martin / Louis-Victor Delouvrier Investor Relations [email protected] +33 (0)1 44 71 98 53 NewCap Nicolas Merigeau Media Relations [email protected] +33 (0)1 44 71 94 98 Source: Hamilton Global Opportunities plc NEW YORK--(BUSINESS WIRE)-- KBRA releases a report that examines the affordability gap between homeownership and multifamily rentals in the largest 30 metropolitan statistical areas (MSAs) across securitized private label CMBS conduits and Freddie Mac K-Series (Freddie Mac) fixed rate loan collateral. When measuring the ownership to rental cost percentage differentials (affordability gap), KBRA found that since 2020, greater apartment rent increases occurred in markets with higher homeownership costs as lower affordability drove up demand for rental units. KBRA believes that these recent rental rate trends and their correlation with homeownership affordability can also be informative of future rent changes. The two highest affordability gaps are in San Francisco (45%) and Los Angeles (43.5%), largely due to high home prices. The bottom two MSAs based on their affordability gap are Cincinnati (-5.1%) and Baltimore (-3.3%), where it costs less to own than to rent. CMBS conduit and Freddie Mac multifamily had the most exposure to the 10 MSAs with the highest affordability gap at 33.9% and 30.1%, respectively, which also had the largest rent increases compared to the other 20 MSAs. While rent growth will continue to vary by market, apartment demand should remain strong due to a healthy job market, positive demographics, high mortgage rates, slow single-family development, and a dearth of homes for sale. There are 39% fewer homes for sale now compared to June 2018, according to Redfin Corporation. Click here to view the report. Related Publications About KBRA KBRA is a full-service credit rating agency registered in the U.S., the EU, and the UK, and is designated to provide structured finance ratings in Canada. KBRAs ratings can be used by investors for regulatory capital purposes in multiple jurisdictions. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816269219/en/ Larry Kay, Senior Director, CMBS Ratings Surveillance +1 646-731-2452 [email protected] Aryansh Agrawal, Analyst, CMBS Ratings Surveillance +1 646-731-1381 [email protected] Roy Chun, Senior Managing Director, CMBS Ratings Surveillance +1 646-731-2376 [email protected] Business Development Contact Daniel Stallone, Senior Director +1 646-731-1308 [email protected] Source: KBRA The Functional Mushroom Sparkling Elixirs are Elevating Wellness In Over 800 Stores Across the East Coast for a New Era of Convenience FT. LAUDERDALE, Fla.--(BUSINESS WIRE)-- Odyssey Mushroom Elixir is expanding its functional mushroom beverages into 732 7-Eleven stores across Florida and all 109 Wegmans Food Markets across the East Coast. From New York to the southern reaches of Florida, Odyssey Mushroom Elixir's sparkling ready-to-drink beverages are set to grace the shelves of these prominent convenience and grocery chains. The brands entry into Wegmans and 7-Eleven aligns with the brand's overarching goal of catering to evolving consumer preferences across various domains, including the thriving convenience store sector. We are incredibly thrilled to bring Odyssey Mushroom Elixir to 7-Eleven, a cornerstone convenience store, and Wegmans Food Markets, a beloved supermarket. Were excited to expand their offerings for individuals seeking a revitalizing and delicious drink to elevate their beverage experience, says Scott Frohman, founder and CEO of Odyssey Mushroom Elixir. Our mission to redefine the ready-to-drink experience resonates with and reflects consumers' demand for elevated options in every aspect of their lives ranging from natural and organic grocery to convenience stores. Within 7-Eleven stores, three out of Odyssey Mushroom Elixir's four sparkling elixir SKUs are stepping into the spotlight: Passion Orange Guava, Dragon Fruit Lemonade, and Blackberry Lemon Twist. Wegmans aisles will feature the same flavors with the addition of Orange Ginger, to include all four Odyssey Mushroom Elixir flavors on shelves. These elixirs embody unique flavor profiles infused with a potent blend of functional mushroom extracts, notably Lions Mane and Cordyceps. Complemented by other adaptogenic companions like ginseng, L-Theanine, and a natural caffeine infusion from green tea, these offerings sit at the nexus of energy and functionality to craft a truly contemporary beverage experience. Both the energy and functional beverage categories are undergoing a profound shift, as consumers increasingly gravitate towards health-conscious selections that elevate both body and mind. Functional mushrooms and nootropic elements, celebrated for their capacity to impart holistic benefits such as heightened mental focus, sustained energy, and an elevated mood, are at the forefront of this transformation. Their prominence continues to surge, expanding into stores that reach a wider audience and catering to new subsets of health-conscious individuals. As the allure of these transformative ingredients continues to captivate attention, their journey into the retail landscape's unexpected corners becomes inevitable and Odyssey Mushroom Elixir is thrilled to be a part of this journey. 7-Eleven and Wegmans' commitments to enhancing their offerings remains palpable, showcased through their ongoing expansion of items that echo the pulse of emerging trends with an innovative next generation functional take on energy drinks. ABOUT ODYSSEY MUSHROOM ELIXIR Based in Ft. Lauderdale, FL, Odyssey Mushroom Elixir is the ultimate next-gen functional beverage line that support focus, mindful energy, and mood, is free from added sugar, preservatives, artificial flavors, or sweeteners, and proudly embraces vegan, non-GMO, and kosher standards. All three product lines - Revive, (zero caffeine) Original (85mg of natural green tea), and 222 (222mg of natural green tea) - contain Odysseys signature blend of 2750mg Lions Mane and Cordyceps, alongside other adaptogenic botanicals to promote physical and mental wellbeing. For more info about Odyssey Mushroom Elixir, visit https://odysseyelixir.com/ or check us out on Instagram: @odysseyelixir. ABOUT 7-ELEVEN 7-Eleven, Inc. is the premier name in the U.S. convenience-retailing industry. Based in Irving, Texas, 7-Eleven operates, franchises and/or licenses more than 13,000 stores in the U.S. and Canada. In addition to 7-Eleven stores, 7-Eleven, Inc. operates and franchises Speedway, Stripes, Laredo Taco Company and Raise the Roost Chicken and Biscuits locations. Known for its iconic brands such as Slurpee, Big Bite and Big Gulp, 7-Eleven has expanded into high-quality sandwiches, salads, side dishes, cut fruit and protein boxes, as well as pizza, chicken wings and mini beef tacos. 7-Eleven offers customers industry-leading private brand products at an outstanding value. Customers can earn and redeem points on various items in stores nationwide through its 7Rewards and Speedy Rewards loyalty programs with more than 80 million members, place an order in the 7NOW delivery app in over 95% of the convenience retailer's footprint, or rely on 7-Eleven for other convenient services. Find out more online at www.7-Eleven.com. ABOUT WEGMANS Wegmans Food Markets, Inc. is a 109-store supermarket chain with stores in New York, Pennsylvania, New Jersey, Virginia, Maryland, Massachusetts and North Carolina. The family company, recognized as an industry leader and innovator, celebrated its 100th anniversary in 2016. Wegmans has been named one of the 100 Best Companies to Work For by FORTUNE magazine for 25 consecutive years, ranking #4 in 2023. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816584469/en/ [email protected] Source: Odyssey Mushroom Elixir HO CHI MINH CITY, Vietnam--(BUSINESS WIRE)-- OrthoLite, the global leader of comfort and performance footwear insoles and the company behind OrthoLite Cirql, a sustainable materials solutions provider for the global footwear industry, announces Matt Thwaites as its new Vice President and General Manager of OrthoLite Cirql. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230815857359/en/ Matt Thwaites, Vice President and General Manager of OrthoLite Cirql (Photo: Business Wire) OrthoLite Cirql is a patented footwear solution that enables true circularity for brands and factories. This innovative new material solution is the first industrially compostable, recyclable, and biodegradable foam technology for footwear that is free from traditional plastics. Thwaites brings more than two decades of experience in sales and operations in the textile industry to his new role. He will lead production, sales and go-to-market strategy globally for OrthoLite Cirql, furthering the vision of moving the global footwear industry toward a 360-degree solution of circularity. Matt will be based in Ho Chi Minh City, Vietnam, at the headquarters of OrthoLite Cirql. Matt strengthens a very committed and experienced leadership team at OrthoLite Cirql and will drive scalalble commercialization of this game-changing solution for the global footwear industry, said Glenn Barrett, founder and CEO of OrthoLite. He joins our great team at a pivotal time and is going to help us achieve our vision of true circularity in footwear. Before joining the OrthoLite Cirql team, Thwaites worked as the Global Sales and Marketing Director, Footwear Division for Coats, the world's leading industrial thread company. During his nine years at Coats, Thwaites rose the ranks from Business Development Director of Coats Vietnam to ultimately leading a team of more than 300 people through record sales levels, and was a key member of the COVID relief solutions team. OrthoLite Cirql is the future of footwear, and I cannot be more excited to help bring this groundbreaking new innovation to OrthoLites 500+ global footwear brand partners, said Thwaites. This is an absolute career highlight and I feel honored to be a part of a company and team that will forever change how we make shoes. The appointment of Thwaites comes on the heels of a partnership announcement between OrthoLite Cirql and Novamont, the international innovator and leader in the advancement, development and manufacture of biodegradable and compostable bio-products. Novamont, based in Italy, is the biopolymer material supplier of OrthoLite Cirql. For additional information on OrthoLite Cirql, please visit ortholite.com. About OrthoLite OrthoLite is the worlds leading supplier of open-cell foam technology, and the innovator and creator of OrthoLite Cirql, the patented, revolutionary alternative to conventional plastics that provides footwear brands and their factory partners with the most sustainable, circular choice in materials. OrthoLites innovative footwear solutions are available to brands that share a vision for the future of sustainable footwear. Currently OrthoLite is found in more than 550 million shoes across all categories each year from brands such as Adidas, Altra, Asics, Brooks, Clarks, Converse, Danner, ECCO, Fendi, HOKA, Hugo Boss, Justin, New Balance, On, Reebok, Rothys, Salomon, Skechers, The North Face, Timberland, Toms, Under Armour, and Vans. OrthoLite has a long-standing history of meaningful partnerships and sponsorships that demonstrate a dedicated commitment to supporting its customers, consumers, brand partners and the footwear industry. OrthoLite is the Official Insole sponsor of the New York Yankees, and strong supporter of the Two Ten Foundation. Stay up to date with company news by visiting OrthoLite.com and OrthoLiteCirql.com, and following OrthoLite on Facebook, Instagram, Twitter and LinkedIn. To learn more about the benefits of OrthoLite, visit www.ortholite.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815857359/en/ Verde Brand Communications Julie Evans 970.946.0856 [email protected] Source: OrthoLite Broadcasting Live from Phenom Studios, Technical Experts to Explore Unparalleled Data Models Powering HR Technology and Explainable AI Applications Built to Address Organizations Biggest Hiring and Retention Challenges PHILADELPHIA--(BUSINESS WIRE)-- Phenom is hosting AI Day setting the HR industrys direction for AIs role in empowering organizations to hire faster, develop better and retain longer, while reaching new levels of efficiency. Domain experts will dive deep into the types of AI, including generative AI, the data models that power AI for HR, and its impact on candidates, employees, recruiters, managers, HR and HRIS teams. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816822915/en/ Phenom AI Day will be broadcasted live from Phenom Studios on Sept. 14 at 11am EDT (5pm CEST). Technical experts will explore unparalleled data models powering HR technology and explainable AI applications built to address organizations biggest hiring and retention challenges. (Graphic: Business Wire) On September 14, AI Day attendees will receive an exclusive look at the latest AI advancements, the challenges they solve and the innovations that lie ahead, including: The Data Models Powering HR Technology Discover the ensemble of Foundational, Specialized, and Contextual models that are delivering unparalleled levels of personalization, precision and experience. Discover the ensemble of Foundational, Specialized, and Contextual models that are delivering unparalleled levels of personalization, precision and experience. Impact and Use Cases Across Industries Reimagine the impact of AI on efficiency, productivity and personalization when used by candidates, employees, recruiters, managers, HR and HRIS teams. Reimagine the impact of AI on efficiency, productivity and personalization when used by candidates, employees, recruiters, managers, HR and HRIS teams. Bias, Ethics and Regulatory Compliance Overcome the ambiguity, complexity and fear surrounding AI, and learn about explainable and defensible approaches to navigate local and global regulations. Overcome the ambiguity, complexity and fear surrounding AI, and learn about explainable and defensible approaches to navigate local and global regulations. Integrations that Streamline Data into Models Uncover the extent of integrations available for HRIS teams to centralize data, and the controls that can be used to fine-tune how AI is applied. Understanding todays application of AI solutions, and where innovation is headed, is essential to the success of every company, said Mahe Bayireddi, CEO and co-founder of Phenom. AI enables the work-altering automation, personalization and experience for addressing organizations hiring, development and retention needs. Phenom has spent over a decade carefully developing, implementing and iterating on the appropriate foundations, models and approaches for AI by interpreting billions of events and human interactions. Through its Intelligent Talent Experience platform, Phenom delivers personalization, conversation, insights and automation throughout the entire talent journey. Phenom helps customers overcome critical hiring and retention challenges, which includes: Changing the way talent acquisition and talent management hire, grow and retain with AI Achieving constant monitoring and qualitative analysis of talent lifecycle performance with automation Equipping organizations to take a skills-based approach to engage employees with personalization, succession planning and skills gap analyses with workforce intelligence With Phenom, candidates find and choose the right job faster, employees develop their skills and evolve, recruiters become wildly productive, managers build stronger-performing teams, HR aligns employee development with company goals, and HRIS creates a holistic tech infrastructure through seamless integrations. The live event begins at 11 a.m. EDT (5 p.m. CEST) on September 14. Register here. About Phenom Phenom has a purpose of helping a billion people find the right job. Through AI-powered talent experiences, employers are using Phenom to hire employees faster, develop them to their full potential, and retain them longer. The Phenom Intelligent Talent Experience platform seamlessly connects candidates, employees, recruiters, hiring managers, HR and HRIS empowering over 500 diverse and global enterprises with innovative products including Phenom Career Site, Chatbot, CMS, CRM, AI Scheduling, One-Way Interviews, Campaigns, University Recruiting, Talent Marketplace, Workforce Intelligence, Career Pathing, Gigs, Mentoring, and Referrals. Phenom has earned accolades including: Inc. 5000s fastest-growing companies (4 consecutive years), Deloitte Technology's Fast 500 (4 consecutive years), five Brandon Hall Excellence in Technology awards including Gold for Best Advance in AI for Business Impact, Business Intelligence Group's Artificial Intelligence Excellence Awards (3 consecutive years), and a regional Timmy Award for launching and optimizing HelpOneBillion.com (2020). Headquartered in Greater Philadelphia, Phenom also has offices in India, Israel, the Netherlands, Germany and the United Kingdom. For more information, please visit www.phenom.com. Connect with Phenom on LinkedIn, Twitter, Facebook, YouTube and Instagram. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816822915/en/ Media: Jennifer Lyons Phenom 267-379-5066 [email protected] Source: Phenom The transportation and logistics leader recognized by Logistics Management readers GREEN BAY, Wis.--(BUSINESS WIRE)-- Schneider National, Inc. (NYSE: SNDR), a premier multimodal provider of transportation, intermodal and logistics services, is honored to announce the company is a recipient of 2023 Quest for Quality Award, from Logistics Management, in the following categories: Dry Freight Carriers Third-Party Logistics Companies: Transportation Management Intermodal Marketing Companies Expedited Motor Carriers Bulk Motor Carriers The Quest for Quality Awards are the gold standard for customer satisfaction and performance excellence for carriers, ports and logistics providers worldwide. Over the last five years, Schneider has won a total of 15 Quest for Quality Awards, demonstrating the companys long-standing commitment to excellence and customer satisfaction. This years results are a true display of Schneiders superior service and performance, said Schneider Chief Commercial Officer (CCO), Group Senior Vice President and General Manager of Logistics, Erin Van Zeeland. To be recognized by Logistics Management with five awards is an honor. Every day we work to provide the best possible customer service. We deliver it by listening to what our customers are experiencing, by constructing customized solutions to cater to their needs while creating more effective supply chains. Logistics Management surveyed more than 3,500 readers to evaluate companies across all modes and service disciplines, selecting the top performers in each category. A company must receive at least 10% of the category vote to be chosen as a winner. The 2023 results follow a similar narrative as last years, in the sense that companies are strategically incorporating their learnings from the pandemic to meet the demands of the industry, said Michael Levans, group editorial director of Peerless Media, the publisher of Logistics Management. Its a really exciting time, after years of supply chain disruption we are seeing companies problem solve in new, innovative methods and it feels like there is no limit on the transportation and logistics sectors. Schneider offers a range of services to meet unique supply chain needs. To learn more about Schneiders broad portfolio of transportation and logistics services, visit https://schneider.com/our-services. About Schneider Schneider is a premier provider of transportation, intermodal and logistics services. Offering one of the broadest portfolios in the industry, Schneiders solutions include Regional and Long-Haul Truckload, Expedited, Dedicated, Bulk, Intermodal, Brokerage, Warehousing, Supply Chain Management, Port Logistics and Logistics Consulting. With over $6.6 billion in annual revenue, Schneider has been safely delivering superior customer experiences and investing in innovation for over 85 years. The companys digital marketplace, Schneider FreightPower, is revolutionizing the industry giving shippers access to an expanded, highly flexible capacity network and provides carriers with unmatched access to quality drop-and-hook freight Always Delivering, Always Ahead. For more information about Schneider, visit Schneider.com or follow the company socially on Facebook, LinkedIn and Twitter: @WeAreSchneider. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816220394/en/ Kara Leiterman, Media Relations Manager M 920-370-7188 [email protected] Source: Schneider SNDR The Coffee Pioneer Remains Committed to Downtown Portland and this Dynamic Neighborhood PORTLAND, Ore.--(BUSINESS WIRE)-- Portlands homegrown Stumptown Coffee Roasters will open a new cafe on the ground floor of the recently completed 11W Building, a 25-story mixed-use tower at SW 11th Avenue and SW Washington Street. In conjunction, the companys existing cafe at the Ace Hotel, located just around the corner on SW Harvey Milk Street, will close. The new cafe is planned to open late fall of this year. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816039976/en/ Rendering of Stumptown's new West End cafe at the 11W (Photo: Business Wire) Stumptown has been committed to downtown Portland for 20 years, beginning with its largest cafe at SW 3rd Avenue, which opened in 2003. With the new cafe opening in 11W, Stumptown will partner with the Goodman family, who through their multigenerational business, Downtown Development Group, owns the building and has a long history of civic engagement in Portland. Stumptown cafes are deeply embedded in the neighborhoods we serve, serving as gathering places for our community while elevating local artists and the coffee-drinking experience, says Stumptown President Laura Szeliga. Our commitment to Portland runs deep, as does our history in the West End neighborhood, and we couldnt pass up the opportunity to partner with the Goodman family and Downtown Development Group in making a shared investment in downtown Portland. Stumptown has traditionally chosen locations in historical buildings for its cafes, designing each uniquely to honor the neighborhood surrounding it and bringing in distinctive and beautiful art to each space. The recently delivered 11W development, while featuring modern design, customized Japanese steel exterior, and large plate German glass, is also committed to art preservation. The ground floor cafe location sits in an alcove specifically designed to preserve a mural on the adjacent Carlyle Building, Capax Infiniti by South African artist Faith47, ensuring that its visible from the cafes interior and exterior seating. Stumptown Coffee Roasters is an essential part of Portlands history and culture, as well as an important economic driver in this dynamic neighborhood, says Matthew Goodman, vice president at Downtown Development Group. Our shared commitment to the West End will ensure that it continues to be bustling around the clock with well-caffeinated residents, employees, and visitors. Chicago-based Cresset Partners partnered with Downtown Development Group on the 11W, which features 213 best-in-class residences and penthouses, 115,000 square feet of office space, and curated ground floor retail. The buildings residences and commercial spaces are already roughly 50% leased after being open for just a few months. Targeting LEED Platinum certification, 11W is at the forefront of environmental sustainability, featuring a sophisticated air filtration system along with sliding glass panels in its retail spaces, offering plenty of light, outdoor seating, and indoor/outdoor connectivity. The building is accessible via an adjacent Streetcar stop, and prioritizes safety through its state-of-art security technology, as well its membership in the private West End Security District. ABOUT STUMPTOWN COFFEE ROASTERS Founded in Portland, Oregon in 1999, Stumptown Coffee Roasters is a pioneer of the Third Wave coffee movement and Direct Trade sourcing, and is credited as the creator of the cold brew coffee category. A Certified B Corporation and global brand with cafes in Portland, Los Angeles, New York and Kyoto, Stumptown coffee and cold brew can be found in thousands of grocery stores and wholesale partners across the US. Connect with Stumptown on social media: Instagram, Facebook, Twitter, YouTube, TikTok. ABOUT DOWNTOWN DEVELOPMENT GROUP Downtown Development Group (DDG) is a real estate investment company owned and managed by the Goodman family. The business is now in its fourth generation and focuses exclusively on ownership and operation of commercial real estate. The company owns roughly 30 office, apartment, retail, and industrial buildings mostly in the Portland market, comprising over 2M SF. It also owns roughly 30 pieces of shovelready dirt and redevelopable sites in Portlands urban core. ABOUT CRESSET PARTNERS Cresset Partners is a private investment firm focused on providing its investors with direct access to investment opportunities in private companies, real estate, private credit, private equity secondaries, and venture capital. We focus on building outstanding, lasting partnerships by investing long-term capital, resources, and expertise to create sustainable value. We believe that long-term investing creates better alignment and reduces risk and inefficiencies, leading to better outcomes for all shareholders. Cresset Partners was founded in 2018 and has more than $3 billion in commitments across real estate, private equity, private credit, and venture capital. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816039976/en/ Delaney Chaufty [email protected] Source: Stumptown Coffee Roasters LOS ANGELES--(BUSINESS WIRE)-- The TCW Group, a leading global asset management company, today announced that it has closed a $400 million Collateralized Loan Obligation (CLO) fund, TCW CLO 2023-2, Ltd., which is secured primarily by broadly syndicated first lien loans. CLOs are managed within TCWs $70 billion integrated global credit platform, and this CLO will be managed by Senior Portfolio Managers Drew Sweeney and Ken Toshima. CLOs and loans broadly are an important area of growth for TCW, and we are committed to continuing to enhance our leveraged finance franchise as part of our broad offering of world-class fixed income products, said Katie Koch, President and Chief Executive Officer of TCW. Over the past few years, we have continued to invest in our credit capabilities across the capital structure through the addition of top talent in both research and trading. As previously announced, TCW recently entered into a strategic CLO equity investment partnership with Lakemore Partners Ltd., a leading private credit investment firm, to support the growth of TCWs CLO platform. TCW CLO 2023-2 represents TCWs 10th actively managed CLO, and we plan to prioritize loans and dedicate resource to ensure that the TCW loan platform obtains top tier status in the marketplace, said Sweeney. We were pleased to have had the opportunity to ramp collateral during the last several months, and are grateful for the confidence investors continue to place on our disciplined process and approach. Jefferies LLC served as placement agent and structuring agent. About The TCW Group TCW is a leading global asset management firm with a broad range of products across fixed income, equities, emerging markets and alternative investments. With half a century of investment experience, TCW today manages approximately $210 billion in client assets. Through the MetWest Funds and TCW Funds families, TCW manages one of the largest mutual fund complexes in the U.S. TCWs clients include many of the worlds largest corporate and public pension plans, financial institutions, endowments and foundations, as well as financial advisors and high net worth individuals. For more information, please visit www.tcw.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816045772/en/ Media: Doug Morris Head of Corporate Communications +1-213-244-0509 [email protected] Source: The TCW Group Press Release Relating to the Availability of the Draft Offer Document Prepared by SVF II Strategic Investments AIV LLC ARCUEIL, France--(BUSINESS WIRE)-- Regulatory News: BALYO (Paris: BALYO) PRICE OF THE OFFER: EUR 0.85 per Balyo ordinary share EUR 0.01 per Balyo preferred share EUR 0.07 per Balyo warrant DURATION OF THE OFFER: 25 trading days The timetable of the tender offer will be set out by the Autorite des marches financiers (the AMF) in accordance with provisions of its General Regulation. This press release (the Press Release) was prepared by SVF II Strategic Investments AIV LLC and made available to the public pursuant to Article 231-16 of the AMFs General Regulation. This Offer (as defined below) and the Draft Offer Document remain subject to review by the AMF. IMPORTANT NOTICE In accordance with Articles L. 433-4 II of the French Monetary and Financial Code and 237-1 and seq. of the General Regulation of the AMF, SVF II Strategic Investments AIV LLC intends to file a request with the AMF to carry out, within ten (10) trading days from the publication of the notice of result of the Offer, or, as the case may be, in the event of a reopening of the Offer, within three (3) months from the closing of the Reopened Offer (as defined below), a squeeze-out procedure for Balyos Ordinary Shares and Preferred Shares for a unitary indemnity equal to the price of the Offer, if the number of Balyos Ordinary Shares and Preferred Shares not tendered in the Offer by the minority shareholders of Balyo (other than the Treasury Shares, the Ordinary Shares that could be issued pursuant to the exercise of the BSPCE, the Unavailable Shares that would be subject to the liquidity mechanism) does not represent, at the end of the Offer (or, as the case may be, the Reopened Offer), more than 10% of the capital and voting rights of Balyo. SVF II Strategic Investments AIV LLC also intends to file a request with the AMF to carry out, within ten (10) trading days from the publication of the notice of result of the Offer, or, as the case may be, in the event of a reopening of the Offer, within three (3) months from the closing of the Reopened Offer, a squeeze-out procedure for the Company Warrants for a unitary indemnity equal to the price of the Offer, if the number of the Company Warrants shares that could be created through exercise of the Company Warrants not presented to the Offer, once added to the existing shares of Balyo not tendered in the Offer by the minority shareholders of Balyo (other than the Treasury Shares, the Ordinary Shares likely to be issued pursuant to the exercise of the BSPCE, the Unavailable Shares that would be subject to the liquidity mechanism) does not represent more than 10% of the sum of the equity securities of Balyo that exist and that could be created. The Offer is not being and will not be launched in any jurisdiction where it would not be permitted under applicable law. The acceptance of the Offer by persons residing in countries other than France may be subject to specific obligations or restrictions imposed by legal or regulatory provisions. Recipients of the Offer are solely responsible for complying with such laws and, therefore, before accepting the Offer, they are responsible for determining whether such laws exist and are applicable, by relying on their own consultants. This Press Release should be read in conjunction with all other documents published in connection with the Offer. The draft offer document prepared by SVF II Strategic Investments AIV LLC (the Draft Offer Document) is available on the websites of the AMF (www.amf-france.org) and Balyo (www.balyo.com) and may be obtained free of charge from: Alantra 7 rue Jacques Bingen 75017 Paris Pursuant to Article 231-28 of the AMFs General Regulations, a description of the legal, financial and accounting characteristics of SVF II Strategic Investments AIV LLC will be made available to the public no later than the day before the opening of the Offer. A press release will be published to inform the public of the manner in which this information will be made available. 1. OVERVIEW OF THE OFFER In accordance with Title III of Book II and more specifically Articles 232-1 and seq. of the General Regulation of the AMF (AMFs General Regulation), SVF II Strategic Investments AIV LLC, a Limited Liability Company organized under the laws of Delaware, in the United States of America, having its registered office at Corporation Service Company, 251 Little Falls Drive, Wilmington, Delaware 19808, United States and registered under number 6207806 (hereafter, SVF AIV or the Offeror), makes an irrevocable offer to the shareholders and warrant holder of Balyo, a French public limited company with a board of directors (societe anonyme a conseil d'administration), having its registered office at 74 Avenue Vladimir Illitch Lenine, 94110 Arcueil, registered with the Creteil Trade and Companies Register under number 483 563 029 (the Company or Balyo and together with its direct or indirect subsidiaries, the Balyo Group), and whose shares are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399, mnemonic BALYO to acquire in cash (i) all of their Ordinary Shares (as defined below and subject to the exceptions below) at a price of EUR 0.85 per Ordinary Share (the Ordinary Share Offer Price), (ii) all of their Preferred Shares (as defined below and subject to the exceptions below) at a price of EUR 0.01 per Preferred Share (the Preferred Share Offer Price), and (iii) all of their Company Warrants (as such defined below) at a price of EUR 0.07 (the Warrant Offer Price, together with the Ordinary Share Offer Price and the Preferred Share Offer Price, the Offer Price) through a public tender offer (offre publique dachat), the terms of which are described below (the Offer). As of the date of this Press Release, the Offeror does not hold any Ordinary Share, Preferred Share or Company Warrant. The Offer targets: - the ordinary shares already issued, other than the Excluded Shares (as defined below), i.e., as of 16 August 2023, and to the knowledge of the Offeror, a number of 34,141,873 ordinary shares; - the ordinary shares likely to be issued before the closing of the Offer or the Reopened Offer as a result of the exercise of the 830,000 BSPCE which have not been waived by their holder (it being specified that such BSPCE are out of the money as their exercise price is higher than the Ordinary Share Offer Price and will lapse following the closing of the Offer (if successful)), which to the knowledge of the Offeror represent at the date of this Draft Offer Document a maximum of 830,000 ordinary shares i.e., 2,42% of the share capital and voting rights (together with the ordinary shares already issued by the Company, the Ordinary Shares); - 6,270 preferred shares issued by the Company, i.e., as of 16 August 2023, and to the knowledge of the Offeror, 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 (the Preferred Shares)1; and - all the warrants issued by the Company on 22 February 2019 to Amazon, i.e., 11,753,581 warrants as of 16 August 2023 (the Company Warrants); (together the Targeted Securities). ____________________________ 1 It being specified that the Ordinary Shares that could result from the conversion of the 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 are not targeted by the Offer as the 6,270 Preferred Shares are (i) not convertible prior to the closing of the Offer, or as the case may be, the Reopened Offer and (ii) are all subject to undertakings to tenders by their holders. It is specified that the Offer does not target: - the Ordinary Shares held in treasury by the Company, representing 34,894 Ordinary Shares as of 16 August 2023 (the Treasury Shares); - the 180,000 Ordinary Shares, the 900 ADP T3, the 900 ADP T4 and the 900 ADP T5 held by Mr. Pascal Rialland subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which (i) Balyos Board of Directors imposed to Mr. Pascal Rialland, an obligation to retain a percentage of his shares and (ii) such shares which are subject to retention obligation are covered by a liquidity mechanism (the Unavailable Shares and together with the Treasury Shares, the Excluded Shares); and - the 830,000 BSPCE issued by the Company which are not transferable pursuant to the provisions of article 163bis G of the French Code general des impots). The Ordinary Shares already issued are listed on compartment C of the Euronext Paris regulated market under ISIN code FR0013258399 (mnemonic BALYO). The Preferred Shares and Company Warrants are not listed on any market. As of the date of this Press Release, to the knowledge of the Offeror, there are no other equity securities or other financial instruments issued by the Company or rights granted by the Company that may give access, immediately or in the future, to the Companys share capital or voting rights subject to the issuance and, if any, conversion of the Bonds as described in section 1.3.2 below. The Offer will be carried out in accordance with the normal procedure, in accordance with the provisions of Articles 232-1 et seq. of the AMFs General Regulation and will be open for a period of 25 trading days. The Offer is subject to the Acceptance Threshold and the Waiver Threshold described in sections 2.5.1 and 2.5.2 of this Press Release. The Offer will be, if the required conditions are met, followed by a squeeze-out procedure pursuant to Articles L. 433-4, II of the French Monetary and Financial Code and 237-1 and seq. of the AMFs General Regulation. The Offer is presented by ALANTRA CAPITAL MARKETS (the Presenting Institution or Alantra) who guarantees the content and the irrevocable nature of the commitments made by the Offeror in connection with the Offer, in accordance with the provisions of Article 231-13 of the AMFs General Regulation. 1.1. Background of the Offer 1.1.1. Background and reasons for the Offer Balyos activities consist of research and development (R&D), the design of robotic forklift technologies enabling standard forklifts for horizontal or vertical pallet transport to be automated, and the marketing and sale of these robots and related services. With a strong product offering of lift trucks with both vertical and horizontal transport applications long-standing relationships with its partners (warehouse operators and suppliers) and experience in this sector, the Offeror considers the Balyo Group as being one of the best in this robotics sector. The Offeror, SVF AIV, is a wholly owned direct subsidiary of the Japanese company SoftBank Group Corp. (hereinafter SBG), which was founded in 1981 by Mr. Masayoshi Son. The SoftBank Group invests in breakthrough technology to improve the quality of life for people around the world. The SoftBank Group is comprised of SBG (TOKYO: 9984) an investment holding company that includes stakes in AI, smart robotics, IoT, telecommunications, internet services, and clean energy technology providers, the SoftBank Vision Funds and SoftBank Latin America Funds, which are investing more than US$160 billion to help entrepreneurs transform industries and shape new ones. Through its portfolio of automated robotic forklift technologies, Balyo is complementary to SBGs existing investments in the Transportation and Logistics industries. In addition, this acquisition will also provide Balyo with access to SoftBanks group global network of 470+ technology-led companies with scope to develop new commercial relationships for mutual benefit. Through this partnership, Balyo will benefit substantially from SBGs technological and commercial expertise while also securing the necessary financial resources to reach its full potential which SBG intends to support. The Companys Board of Directors (the Board of Directors) which met on 13 June 2023, welcomed unanimously the proposed transaction and authorized the conclusion of a tender offer agreement between the Company and the Offeror (the Tender Offer Agreement). On 13 June 2023, the Board of Director set up an ad hoc committee (the Ad Hoc Committee), comprised of three members, a majority of which are independent directors to examine, the terms and conditions of the envisaged Offer, monitor the work of the independent expert and prepare a draft reasoned opinion to be submitted to the Board of Directors. On 13 June 2023, the Board of Directors appointed, upon recommendation of the Ad Hoc Committee, Eight Advisory, represented by Mr. Geoffroy Bizard, as independent expert pursuant to articles 261-1, I 2, 4 and 5, and 261-1, II of the AMFs General Regulation (as defined below) to prepare and deliver to the Board of Directors a report regarding the financial terms of the Offer, including, should the independent expert so conclude, its opinion that the price of the Offer is fair (equitable) from a financial point of view for the Companys securityholders. On 13 June 2023, the Offeror entered into agreements with FPCI FSN PME - Ambition Numerique represented by Bpifrance Investissement, Hyster-Yale UK Limited, SSUG PIPE Fund SCSp, SICAVRAIF, Linde Material Handling, GmbH, Financiere Arbevel, and Thomas Duval, and on 14 June 2023 with Invus Public Equities, L.P., each of which is a shareholder of the Company, pursuant to which each such shareholder undertakes to tender the Targeted Securities held by it to the Offer pursuant to the terms and conditions of such agreement described in section 1.3.3 of this Press Release. On 14 June 2023, the Company and the Offeror entered into the Tender Offer Agreement under which the Offeror undertook to file the Offer, and the Company undertook to cooperate with the Offeror in the context of the Offer. The main terms of the Tender Offer Agreement are described in section 1.3.1 of this Presse Release. On 14 June 2023, the Company and the Offeror announced, through a joint press release, the signature of the above mentioned Tender Offer Agreement, the intention of SBG to file a tender offer through a wholly owned subsidiary to acquire the Targeted Securities, the provision of the interim financing described in section 1.3.2 of this Press Release, the signature of the undertakings to tender by shareholders described in section 1.3.3 of this Press Release and the fact that the Company signed an agreement with its senior lenders on 13 June 2023 regarding the extension of its existing senior financing arrangements. If conditions are met, the Offeror also intends to implement a squeeze-out procedure, pursuant to Articles L. 433- 4, II of the French Monetary and Financial Code and 237-1 to 237-10 of the AMFs General Regulation, in order to obtain the transfer of the Targeted Securities not tendered to the Offer in return for a payment (indemnisation) equal to the Offer Price. On 15 June 2023, the Company initiated its works councils consultation process and a first meeting was held on June 16, 2023. On 21 June 2023, the works council proceeded to an initial hearing of the Offeror, followed by a second discussion on 5 July 2023, in accordance with Article 2312-42 paragraph 3 of the French Employment Code. On 5 July 2023, the works council has issued a favorable opinion on the Offer. On 4 August 2023, the Board of Directors, after having reviewed the independent experts report and the works council favorable opinion, considered that the Offer is in the interests of the Company, its employees and securityholders. Consequently, the Board of Directors issued a favorable reasoned opinion recommending the holders of the Targeted Securities tender their securities to the Offer. 1.1.2. Breakdown of the Companys capital and voting rights as of 16 August 2023 Share capital of Balyo To the knowledge of the Offeror, and as reflected in article 7 of the Companys bylaws as updated on 17 July 2023, the share capital of the Company amounts to EUR 2,749,258.96, divided into 34,356,767 Ordinary Shares with a par value of EUR 0.08 each and 8,970 preference shares divided in three tranches (ADP T3 to ADP T5) with a par value of EUR 0.08 each. Composition of Balyos shareholding structure as of 16 August 2023 To the knowledge of the Offeror, the share capital and voting rights of the Company as of 16 August 2023 are as follows2: Shareholders Number of shares and theoretical voting rights Percentage of share capital and voting rights Ordinary Shares FPCI FSN PME Ambition Numerique3 5,053,950 14.71% SSUG PIPE Funds SCS SICAV RAIF 2,000,000 5.82% Linde Material Handling, GmbH 1,809,976 5.27% Seventure Partners 1,624,791 4.73% Invus Public Equities, L.P. 1,600,000 4.66% Oddo BHF AIF 1,600,000 4.66% Financiere Arbevel 1,334,404 3.88% Jean-Luc Barma 1,269,396 3.69% Hyster-Yale UK Limited 1,216,545 3.54% Thomas Duval 851,200 2.48% Pascal Rialland 361,000 1.05% Fabien Bardinet 74,392 0.22% Other employees 241,180 0.70% Treasury Shares 34,894 0.10% Public 15,285,039 44.48% Total 34,356,767 / Preferred shares Individuals (including Pascal Rialland) 2,990 ADP T3 with no voting rights 0.01% of the share capital/ 0% of voting rights 2,990 ADP T4 with no voting rights 0.01% of the share capital/ 0% of voting rights 2,990 ADP T5 with no voting rights 0.01% of the share capital/ 0% of voting rights Total 34,365,737 100% ___________________________ 2 On the basis of a capital composed of 34,365,737 shares (34,356,767 Ordinary Shares and 8,970 preferred shares) representing 34,356,767 theoretical voting rights as of 16 August 2023, in accordance with the provisions of Article 223-11 of the AMFs General Regulation. 3 Investment fund managed by Bpifrance Investissement. As of the date of this Press Release, the Offeror does not hold any Ordinary Shares, Preferred Shares and Company Warrants. 1.1.3. Securities giving access to the share capital of Balyo As of 16 August 2023, and to the knowledge of the Offeror, 11,753,581 Company Warrants and 830,000 BSPCEs issued by the Company are outstanding, giving respectively the right to subscribe to a maximum of 11,753,581 and 830,000 new ordinary shares. Prior to the filing of the Draft Offer Document, the Offeror received a letter from Company Warrants holder expressing its intention to tender all its Company Warrants to the Offer and received waivers from the holders of 527,000 BSPCE which lapsed as from the date of such waivers as further described in section 2.3.2 of the Press Release. The Offeror does not hold any BSPCE or Company Warrants. 1.1.4. Acquisition of Balyos securities over the last twelve months The Offeror did not purchase any Balyo Ordinary Shares, Preferred Shares or Company Warrants during the (12) months preceding the filing of the Draft Offer Document. As of 20 July 2023, the Offeror subscribed to 150 bonds convertible into ordinary shares for an amount of EUR 1,500,000 pursuant to the terms and conditions described in section 1.3.2 of the Press Release. 1.2. Intentions of the Offeror for the next twelve months 1.2.1. Industrial, commercial and financial strategy Through the Offer initiated by SVF AIV, SBG is keen to expand its investments in the robotics sector and take part in the artificial intelligence "revolution". SBG focuses its investments on companies that help improve the way we live, work and play. In this sense, SBG considers the Balyo Group to be among the best in the robotics sector, with a strong product offering of lift trucks with both vertical and horizontal transport applications. SBG is particularly attracted to the experience of the Balyo Group and all its employees, as well as its long-standing relationships with its partners (warehouse operators and suppliers). SBGs tender offer to the Company is the result of SBGs conviction that major development and growth opportunities are available to the Balyo Group, but also that the growth of the Balyo Group can be accelerated thanks, in particular, to its network of expertise in robotics and artificial intelligence. 1.2.2. Intentions regarding employment From an employment standpoint, the completion of the Offer consists of a change of control and would have no foreseeable social consequences for Balyos employees, who would remain employees of their current employer under the same conditions, except for certain key employees amendment of their current employment agreement to be agreed between such key employees, the Company and the Offeror. In addition, the Offeror intends, after the closing of the Offer and subject to its success, to put in place a retention plan, on terms to be defined, for the benefit of the corporate officers and employees of Balyo. The completion of the Offer would have no impact on the location of the Balyo business sites and decision-making centres. In this respect, the Offeror undertook in the context of the Offer for a period of 12 months from the closing of the initial period of the Offer, to procure that: the Company maintains its headquarters in France; the Company and its subsidiaries retain existing key employees, subject to voluntary departures of employees, terminations for cause or individual layoffs in the ordinary course of business; and the Company maintains its and its subsidiaries research & development functions and IT assets in France. It is not anticipated that the completion of the Offer will generate an increase in tasks or workload for employees. As far as labor relations are concerned, the works council will not be affected in any way by the completion of the Offer, and its members will be able to continue exercising their representative functions under the usual conditions. 1.2.3. Intentions regarding a potential merger or legal reorganization On the date of the Draft Offer Document, the Offeror does not contemplate any merger between the Offeror and the Company, nor any other corporate reorganization of the Company. 1.2.4. Composition of the Companys corporate bodies and management The Offerors objective is to take control of the Company. Thus, if the Offer is successful, the Offeror will have reached the Acceptance Threshold and the Waiver Threshold described in sections 2.5.1 and 2.5.2 of the Press Release and will therefore hold at least a number of shares representing at least 66.67% of the capital and voting rights of the Company. Consequently, subject to the success of the Offer, the Offeror will modify the composition of the corporate bodies of the Company to reflect its new shareholding structure, so that at least the majority of the members of the Board of Directors of the Company shall be appointed upon the proposal of the Offeror. The Companys governance will remain consistent with the governance rules of the Middlenext governance code as long as the Company remains listed on Euronext. In particular, upon closing of the Offer, the Board of Directors of the Company will be composed of at least one third of independent directors appointed amongst the independent directors in office prior to the Offer, in accordance with recommendations of the Middlenext governance code. 1.2.5. Synergies Economic gains The Offeror expects the transaction to be a standalone investment and accordingly does not expect to realize any synergies of costs or revenues after completion of the Offer. 1.2.6. Interest of the Offer for the Offeror, the Company and the Targeted Securities holders The Offeror is offering the Targeted Securities holders who tender their Ordinary Shares, Preferred Shares and Company Warrants the opportunity to obtain immediate liquidity at: a price per Ordinary Share of EUR 0.85, representing a premium of 57.4% compared to the last closing price before the announcement of the Offer (as at 12 June 2023), of 54.3% compared to the weighted average price of the last 30 trading days before this date, of 48% compared to the weighted average price of the last 60 trading days before this date; a price per Preferred Share of EUR 0.01; and a price per Company Warrant of EUR 0.07. A summary of the elements of assessment of the Offer Price is presented in section 3 of the Press Release. 1.2.7. Intentions regarding the squeeze-out In accordance with Articles L. 433-4 II of the French Monetary and Financial Code, 237-1 and seq. of the AMFs General Regulation, the Offeror intends to file a request with the AMF to carry out, within ten (10) trading days from the publication of the notice of result of the Offer, or, as the case may be, in the event of a reopening of the Offer, within three (3) months from the closing of the Reopened Offer, a squeeze-out procedure for the Ordinary Shares, the Preferred Shares and the Company Warrants that were not tendered in the Offer (other than the Excluded Shares) to the extent the thresholds provided for by article 237-1 and seq. of the AMFs General Regulation are met. It is specified that, prior to the closing of the Offer, or as the case may be, the Reopened Offer, or the implementation of the squeeze-out as from its closing, as the case may be, the Offeror does not intend to convert the Bonds subscribed by the Offeror (see description in section 1.3.2 below). In the event that the Offeror is unable to carry out a squeeze-out following the Offer or the Reopened Offer, the Offeror reserves the right to file, within the framework of the applicable regulations, a public offer, followed, if applicable, by a squeeze-out in respect of the Targeted Securities that it does not hold directly or indirectly, alone or in concert, at that date. In the event that the Offer is followed by a squeeze-out, it will result in the delisting of the Ordinary Shares from the Euronext Paris regulated market. 1.2.8. Companys dividend distribution policy The Offeror reserves the right to change the Companys dividend policy following the closing of the Offer. Following the closing of the Offer, the Companys dividend policy and any change thereto will continue to be determined by its corporate bodies in accordance with the law and the Companys articles of association, and based on the Companys distributive capacity, financial situation and financial needs. 1.3. Agreements that may have a material impact on the assessment or outcome of the Offer 1.3.1. Tender Offer Agreement with the Company On 14 June 2023, the Company and the Offeror entered into a tender offer agreement in English which is further described in section 1.3.1 of the Draft Offer Document. 1.3.2. Interim Financing On 13 June 2023, the Board of Directors authorized the issuance by the Company of bonds convertible into fully paid-up ordinary shares to be subscribed by the Offeror for an aggregate principal amount of up to EUR 5,000,000 (the Bonds) which will allow Balyo to meet its on-going working capital requirements (Financing). On 14 June 2023, the Offeror and the Company entered into a subscription agreement providing for the terms and conditions of the issuance of the Bonds and regulate the relations of the Company and the Offeror as for the subscription of the Bonds (the Subscription Agreement). The main terms and conditions of such Subscription Agreement are summarized in section 1.3.2 of the Draft Offer Document. On 20 July 2023, the Offeror subscribed to 150 Bonds of EUR 10,000 par value each for a total amount of EUR 1,500,000. 1.3.3. Undertakings to tender Undertakings to tender entered into with shareholders On 13 June 2023, the Offeror entered into undertakings to tender with the following shareholders: FPCI FSN PME Ambition numerique represented by Bpifrance Investissement who undertakes to tender 5,053,950 shares representing approximatively 14.96% of the share capital and voting rights of the Company as of the date of this undertaking to tender; SSUG PIPE Fund SCSp, SICAVRAIF, who undertakes to tender 2,000,000 shares representing approximatively 5.92% of the share capital and voting rights of the Company as of the date of this undertaking to tender; Linde Material Handling, GmbH who undertakes to tender 1,809,976 shares representing approximatively 5.37% of the share capital and voting rights of the Company as of the date of this undertaking to tender; Financiere ARBEVEL, who undertakes to tender any Targeted Securities held by it at the of opening of the Offer to the Offer, and which as at 13 June 2023 correspond to 1,334,404 shares representing approximatively 3.95% of the share capital and voting rights of the Company as of the date of this undertaking to tender; Hyster-Yale UK Limited, who undertakes to tender 1,216,545 shares representing approximatively 3.6% of the share capital and voting rights of the Company as of the date of this undertaking to tender; and Mr. Thomas Duval, who undertakes to tender 851,200 shares representing approximatively 2.52% of the share capital and voting rights of the Company as of the date of this undertaking to tender. On 14 June 2023, the Offeror entered into an undertaking to tender with Invus Public Equities, L.P. who undertakes to tender 1,600,000 shares representing approximatively 4.74% of the share capital and the voting rights of the Company as of the date of this undertaking to tender. The Ordinary Shares held by the above-mentioned shareholders, representing together approximately 41.08% of the share capital and voting rights of the Company, as of the date of execution of such undertakings to tender (and 40.36 % of the share capital as of 17 July 2023), will be tendered to the Offer at the Ordinary Share Offer Price, less any Ordinary Shares disposed by Financiere ARBEVEL prior to the opening of the Offer, without any additional consideration payable by the Offeror. Such undertakings to tender are further described in section 1.3.3 of the Draft Offer Document. Undertakings to tender entered into with holders of Preferred Shares As further described in the section 1.3.3 of the Draft Offer Document, on 6, 7, 9, 10 and 12 July 2023, the Offeror entered into undertakings to tender with undertakings to tender with holders of Preferred Shares and Ordinary Shares providing for an undertaking from such holders to: convert all of their 2,090 ADP T1 and 2,090 ADP T2 as soon as possible following the Board of Directors decision in relation to the conversion of the ADP T2 and tender 418.000 Ordinary Shares resulting from the conversion of the ADP T1 and ADP T2 to the Offer; and tender all of their 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 to the Offer. Intention to tender of the Company Warrant holder On 10 July 2023, the holder of the 11,753,581 Company Warrants, Amazon.com NV Investment Holdings LLC, addressed a letter to Balyo expressing its intention to tender all of the Company Warrants to the Offer and terminate the Transaction Agreement entered into between Amazon and the Company, the main terms and conditions of such letter are described in section 1.3.3 of the Draft Offer Document. 1.3.4. Liquidity Agreement On 13 July 2023, the Offeror entered into a liquidity agreement with Mr. Pascal Rialland for his Ordinary Shares resulting from the conversion of his ADP T1, ADP T2, ADP T3, ADP T4 and ADP T5 which are subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which Balyos Board of Directors has imposed on corporate officers an obligation to retain a percentage of their shares (the Unavailable Shares and the Liquidity Agreement). The main terms and conditions of the Liquidity Agreement are further described in section 1.3.4 of the Draft Offer Document. 1.3.5. Other agreements of which the Offeror is aware With the exception of the agreements described in sections 1.3.1 to 1.3.4 of the Press Release, there are, to the knowledge of the Offeror, no other agreements likely to have an impact on the assessment or outcome of the Offer. 2. CHARACTERISTICS OF THE OFFER 2.1. Terms of the Offer In accordance with Article 231-13 of the AMFs General Regulation, Alantra, acting on behalf of the Offeror, filed the draft Offer with the AMF on 16 August 2023, in the form of a voluntary public tender offer for all Targeted Securities. Under the Offer, which will take place according to the normal procedure governed by Articles 232-1 and seq. of the AMFs General Regulation, the Offeror irrevocably undertakes to acquire from the Companys securityholders, at a price of (i) EUR 0.85 per Ordinary Share, dividend attached, (ii) EUR 0.01 per Preferred Share, dividend attached and (iii) EUR 0.07 per Company Warrant, subject to the adjustments described in section 2.2 of the Press Release, all the Targeted Securities tendered to the Offer. Alantra guarantees the content and irrevocable nature of the undertakings made by the Offeror as part of the Offer, in accordance with the provisions of Article 231-13 of the AMFs General Regulation. 2.2. Adjustment of the terms of the Offer In the event that between the date of the Draft Offer Document and the date of settlement-delivery of the Offer or of the Reopened Offer (included), the Company proceeds in any form whatsoever with (i) a distribution of dividends, interim dividends, reserves, premiums or any other distribution (in cash or in kind), or (ii) a redemption or reduction of its share capital and in both cases, in which the detachment date or the reference date on which it is necessary to be a shareholder in order to be entitled thereto is set before the date of the settlement-delivery of the Offer or of the Reopened Offer, the Ordinary Share Offer Price, the Preferred Share Offer Price and the Company Warrants, will be reduced to take into account this transaction, it being specified that in the event that the transaction takes place between the date of settlement-delivery of the Offer (excluded) and the date of settlement-delivery of the Reopened Offer (included), only the price of the Reopened Offer will be adjusted. Likewise, in the event that the terms and conditions of the Company Warrants are modified between the date of the Draft Offer Document and the date of settlement-delivery of the Offer or the Reopened Offer (inclusive), the price per Company Warrant will be adjusted. Any adjustment of the Offer Price will be subject to the prior approval of the AMF and will be the subject to the publication of a press release. 2.3. Number and nature of the Targeted Securities As of the date of this Press Release, neither SBG nor the Offeror holds any Targeted Securities. The Offer targets: all the Ordinary Shares already issued, other than the Excluded Shares, i.e., as of 16 August 2023, and to the knowledge of the Offeror, a number of 34,141,873 Ordinary Shares; as of 16 August 2023, and to the knowledge of the Offeror, a number of 34,141,873 Ordinary Shares; the Ordinary Shares likely to be issued before the closing of the Offer or the Reopened Offer as a result of the exercise of the 830,000 BSPCE which have not been waived by their holder (it being specified that such BSPCE are out of the money as their exercise price is higher than the Ordinary Share Offer Price and will lapse following the closing of the Offer (if successful)) which to the knowledge of the Offeror represent at the date of this Draft Offer Document 830,000 ordinary shares i.e., 2.42% of the share capital and voting rights; 2.42% of the share capital and voting rights; 6,270 preferred shares issued by the Company, i.e., as of 16 August 2023, and to the knowledge of the Offeror, 2,090 ADP T3, 2,090 ADP T4 and 2,090ADP T54; as of 16 August 2023, and to the knowledge of the Offeror, 2,090 ADP T3, 2,090 ADP T4 and 2,090ADP T54; all the Company Warrants issued by the Company on 22 February 2019 to Amazon, i.e., 11,753,581 warrants as of 16 August 2023. It is specified that the Offer does not target: the Treasury Shares; the 180,000 Ordinary Shares, 900 ADP T3, 900 ADP T4 and 900 ADP T5 held by Mr. Pascal Rialland subject to the constraints provided for by article L. 225-197-1. II 4 of the French Commercial Code, pursuant to which Balyos Board of Directors has imposed on corporate officers an obligation to retain a percentage of their shares, which are subject to a liquidity mechanism described in section 1.3.4 above; and the 430,000 BSPCE issued by the Company which are not transferable pursuant to the provisions of article 163bis G of the French Code general des impots). As of the date of this Press Release, to the knowledge of the Offeror, there are no other equity securities or other financial instruments issued by the Company or rights granted by the Company that may give access, immediately or in the future, to the Companys share capital or voting rights subject to the issuance and, if any, conversion of the Bonds as described in section 1.3.2 above. 2.3.1. Situation of the holders of Preferred Shares As of 31 December 2022, the share capital of the Company included 16,150 preferred shares divided into five tranches: 3,230 ADP T1; 3,230 ADP T2; 3,230 ADP T3; 3,230 ADP T4; and 3,230 ADP T5 (together the ADP). ____________________________ 4 It being specified that the Ordinary Shares resulting from the conversion of the 2,090 ADP T3, 2,090 ADP T4 and 2,090 ADP T5 are not targeted by the Offer as the 6,270 Preferred Shares are (i) not convertible prior to the closing of the Offer, or as the case may be, the Reopened Offer and (ii) are all subject to undertakings to tenders by their holders. These ADP were issued to their holders in the context of a free share plans put in place by the Company which acquisition and conservation periods expired. The ADP are subject to the following cumulative conditions, based on aggregate performance over the period from 1st January 2020 up to the 31 December 2024: Tranche 1: consolidated turnover exceeding EUR 35 million and gross margin exceeding EUR 14 million. Tranche 2: consolidated turnover exceeding EUR 85 million and gross margin exceeding EUR 35 million. Tranche 3: consolidated turnover exceeding EUR 165 million and gross margin exceeding EUR 70 million. Tranche 4: consolidated turnover exceeding EUR 295 million and gross margin exceeding EUR 130 million. Tranche 5: consolidated turnover exceeding EUR 500 million and gross margin exceeding EUR 235 million. Provided that the performance conditions of each Tranche are met, each ADP of the relevant Tranche will be converted into 100 Ordinary Shares of the Company. Prior to the date of the Draft Offer Document, the performance conditions of the ADP T1 were met, as acknowledged by a decision of the Board of Directors dated 27 March 2023. On 22 June 2023, in accordance with the terms and conditions of the ADP, the Board of Directors, after having received the favorable opinion of the appointment and remuneration committee, acknowledged in advance the fulfilment of the performance conditions of Tranche 2 based on the high probability of reaching the conditions of consolidated turnover and gross margin by the end of the year 2023. In accordance with the terms and conditions of the ADP, the Board of Directors has all powers to determine, to a certain extent, specific conversion ratio and cases notably in the context of a tender offer. Consequently, on 22 June 2023 the Board of Directors, decided that the conversion ratio applicable to the ADP T2 was 1 ADP T2 for 100 Ordinary Shares. On the 6, 7, 9, 10 and 12 July 2023, the holders of the ADP entered into undertakings to tender with the Offeror, described in section 1.3.3 above, pursuant to which they undertook to (i) convert all their ADP T1 and ADP T2 and tender the Ordinary Shares resulting from such conversion to the Offer at the Ordinary Share Offer Price and (ii) tender all their ADP T3, ADP T4 and ADP T5 to the Offer at the Preferred Share Offer Price. In accordance with the terms and conditions of the ADP, on 17 July 2023, the Board of Directors acknowledged the automatic conversion of 1,200 ADP into 3,180 Ordinary Shares as a result of the departure of six holders from Balyo (it being specified that the departure of one of the holders occurred after 27 March 2023 and his 20 T1 ADP were converted into 2,000 Ordinary Shares, the other ADP have been converted into one (1) Ordinary Share each). After such conversions, 14,950 ADP (2,990 ADP of each Tranche) remained outstanding. On 17 July 2023, all the 2,990 ADP T1 and 2,990 ADP T2 have been converted into 598,000 Ordinary Shares at their holders request. Pursuant to their terms and conditions the ADP T3, ADP T4 and ADP T5 are transferrable. Following such transfer, they will each be converted into one Ordinary Share in the hands of the Offeror. Mr. Pascal Rialland entered into a liquidity agreement with the Offeror covering the Ordinary Shares resulting from the conversion of his unavailable ADP T1 and ADP T2 as well as part of his ADP T3, ADP T4 and ADP T5 also unavailable as described in section 1.3.4 above. 2.3.2. Situation of the holders of the BSPCE As of 31 December 2022, the Company issued 1,375,000 BSPCE. Prior to the date of this Draft Offer Document, (i) 18,000 BSPCE lapsed as a result of the departure of their holders from the Company (the exercise of the BSPCE being subject to a presence condition), (ii) the holders of 527,000 BSPCE irrevocably waived their rights to their BSPCE, which lapsed immediately upon signing of such waiver agreements. The 830,000 BSPCE still in circulation are held by Mr. Fabien Bardinet. These BSPCE are out of the money as their exercise price is EUR 1.60 per Ordinary Shares for 430,000 BSCPCE and EUR 4.11 per Ordinary Share for 400.000 other BSPCE, such amounts being superior to the Ordinary Share Offer Price. In addition, by a decision of the Board of Directors dated 9 May 2022, the exercise period of the BSPCE has been extended until 90 days following the date of the annual shareholders meeting approving the financial statements for FY22 (i.e., as from 15 June 2023). In addition, if the Offer is successful, the BSPCE not exercised will lapsed following completion of the Offer. Indeed, the allocation letter relating to such BSPCE provides that in the event of a transfer of more than 50% of the Companys shares (an Operation), the BSPCE not exercised immediately before the completion of the Operation will lapse. 2.4. Modalities of the Offer In accordance with Article 231-13 of the AMFs General Regulation, the Presenting Institution, acting on behalf of the Offeror, filed the draft Offer and the Draft Offer Document with the AMF on 16 August 2023. The AMF will publish a notice of filing relating to the Offer on its website (www.amf-france.org). In accordance with Article 231-16 of the AMFs General Regulation, the Draft Offer Document, as filed with the AMF, is available to the public free of charge from the Presenting Institution, as well as online on the websites of the AMF (www.amf-france.org) and the Company (www.balyo.com). The Offer and the Draft Offer Document remain subject to review by the AMF. The AMF will publish on its website a reasoned clearance decision with respect to the draft Offer after verifying that the draft Offer complies with applicable laws and regulations. In accordance with Article 231-23 of the AMFs General Regulation, the clearance decision will constitute approval of the Offerors offer document. The offer document approved by the AMF as well as the other information relating in particular to the legal, financial and accounting characteristics of the Offeror, will be available to the public free of charge, in accordance with Article 231-28 of the AMFs General Regulation, at the Presenting Institutions address mentioned above, no later than the day preceding the opening of the Offer. Such documents will also be available on the websites of the AMF (www.amf-france.org) and the Company (www.balyo.com ). In accordance with Articles 231-27 and 231-28 of the AMFs General Regulation, a press release indicating how such documents are made available by the Offeror will be published no later than the day preceding the opening of the Offer including on the Companys website. Prior to the opening of the Offer, the AMF will publish a notice announcing the opening of the Offer and Euronext Paris will publish a notice recalling the content of the Offer and specifying the terms of its completion. 2.5. Conditions of the Offer 2.5.1. Acceptance Threshold Pursuant to the provisions of article 231-9, I of the AMFs General Regulations, the Offer will lapse if, at its closing date, the Offeror does not hold, directly or indirectly, a number of shares representing a fraction of the Companys share capital or voting rights higher than 50% (this threshold being hereinafter referred to as the Acceptance Threshold). The determination of this threshold follows the rules set forth in Article 234-1 of the AMFs General Regulation. The reaching the Acceptance Threshold will not be known before the publication by the AMF of the final result of the Offer, which will take place after the closing of the Offer. If the Acceptance Threshold is not reached, the Offer will not be successful and the Targeted Securities tendered in the Offer will be returned to their owners after the publication of the notice of result informing of the lapse of the Offer, without any interest, indemnity or other payment of any kind being due to such owners. 2.5.2. Waiver Threshold In addition to the Acceptance Threshold, pursuant to the provisions of Article 231-9, II of the AMFs General Regulation, the Offer will lapse if, at the closing date of the Offer, the Offeror does not hold, alone or in concert, directly or indirectly, a number of shares representing a fraction of the share capital and theoretical voting rights of the Company in excess of 66.67% on a diluted basis and on a fully diluted basis (the Waiver Threshold). On a non-diluted basis, the Waiver Threshold will be calculated as follows: (a) in the numerator, will be included (i) all the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland, subject to the liquidity agreement and (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer; and (b) in the denominator, all the existing Ordinary Shares and Preferred Shares issued by the Company making up the share capital on the date of the closing of the Offer. On a fully diluted basis, the Waiver Threshold will be calculated as follows: (a) in the numerator, will be included (i) the Ordinary Shares and Preferred Shares held by the Offeror alone or in concert, directly or indirectly, on the date of the closing of the Offer, pursuant to acquisitions on the market as well as all the Ordinary Shares assimilated to those of the Offeror in accordance with Article L. 233-9 of the French Commercial Code including the 34,894 Treasury Shares and the 180,000 Ordinary Shares, and the 900 ADP T3, 900 ADP T4, 900 ADP T5 held by Mr. Pascal Rialland subject to the liquidity agreement (ii) all the Ordinary Shares and Preferred Shares of the Company validly tendered in the Offer as at the date of the closing of the Offer and (iii) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants validly tendered in the Offer as at the date of the closing of the Offer - excluding any shares which may be subscribed or held by the Offeror pursuant to the conversion of the Bonds; (b) in the denominator, (i) all the existing Ordinary Shares and Preferred Shares issued by the Company making up the capital on the date of the closing of the Offer, (ii) all the Ordinary Shares of the Company likely to be issued by exercise of the Company Warrants on the date of the closing of the Offer and (iii) all the Ordinary Shares likely to be issued by the Company on the date of the closing of the Offer (excluding all Ordinary Shares likely to be subscribed or held by the Offeror pursuant to the conversion of the Bonds). The reaching of the Waiver Threshold will not be known before the publication by the AMF of the final result of the Offer, which will take place at the end of the Offer. In accordance with article 231-9, II of the AMFs General Regulations, if the Waiver Threshold (calculated as indicated above) is not reached, and unless the Offeror has decided to waive the Waiver Threshold in accordance with the conditions set out in the following paragraphs, the Targeted Securities tendered in the Offer (excluding shares acquired on the market) will be returned to their owners without any interest, indemnity or other payment of any kind being due to the said owners. However, the Offeror reserves the right to waive the Waiver Threshold until the date of publication by the AMF of the result of the Offer. In addition, the Offeror also reserves the right to remove or lower the Waiver Threshold by filing an improved offer at the latest five (5) trading days before the closing of the Offer, in accordance with the provisions of articles 232-6 and 232-7 of the AMFs General Regulations. 2.5.3. Regulatory authorizations The Offer is not subject to any regulatory authorization, it being specified that prior to this Draft Offer Document, the Offer gave rise to a decision by the Ministry of the Economy, Finance and Industrial and Digital Sovereignty, in accordance with Article L.151-3 of the French Monetary and Financial Code relating to foreign investments made in France, dated 1 August 2023, pursuant to which the Offer was considered outside the scope of the provisions of Article L.151-3 of the Monetary and Financial Code. 2.6. Procedure for tendering in the Offer The Offer will be open for a minimum period of 25 trading days, except if re-opened by the AMF in accordance with article 232-4 of the AMFs General Regulation. The Targeted Securities tendered in the Offer (including, as the case may be, in the Reopened Offer) must be freely negotiable and free from any lien, pledge, collateral or other security interest or restriction of any kind restricting the free transfer of their ownership. The Offeror reserves the right to reject, in its sole discretion, any Targeted Securities tendered in the Offer that do not comply with this condition. The Draft Offer Document and all related agreements are subject to French law. Any dispute or litigation, regardless of the subject matter or basis, relating to this proposed Offer shall be brought before the competent courts. (a) Process for tendering the Ordinary Shares The Ordinary Shares shareholders holding their Ordinary Shares in registered or in bearer form shall notify their decision to tender to their account holders in order to tender them in the Offer. The Offeror draws the attention of the holders of Ordinary Shares to the fact that those of them who would expressly request the conversion into bearer form would lose the advantages of holding the Ordinary Shares in registered form. Holders of Ordinary Shares whose Ordinary Shares are held in an account managed by a financial intermediary and who wish to tender their Targeted Securities in the Offer must deliver an order to tender their Ordinary Shares to their financial intermediary, in accordance with the standard forms provided by their financial intermediary, no later than the last business day of the Offer and in sufficient time for their order to be executed. Holders of Ordinary Shares are invited to contact their financial intermediaries to verify whether a shorter period is applicable to them. (b) Process for tendering the Preferred Shares and Company Warrants Holders of Preferred Shares and Company Warrants willing to tender their Preferred Shares or Company Warrants to the Offer shall notify their decision to Uptevia, 89 91 rue Gabriel Peri 92120 Montrouge in accordance with the standard forms provided by their financial intermediary, no later than the last business day of the Offer. In accordance with Article 232-2 of the AMFs General Regulation, orders to tender the Targeted Securities in the Offer may be revoked at any time up to the closing date of the Offer (included). After this date, such orders to tender in the Reopened Offer will become irrevocable. Negotiation costs (including brokerage fees and corresponding taxes) will not be borne by the Offeror. No interest will be paid by the Offeror for the period between the date on which the Targeted Securities are tendered to the Offer and the date on which settlement of the Offer occurs. This settlement date will be indicated in the notice of result to be published by Euronext Paris. The settlement and delivery will take place after the centralization operations. 2.7. Orders centralization The centralization of the orders to tender Ordinary Shares in the Offer will be carried out by Euronext Paris, it being specified that the orders relating to Preferred Shares and Company Warrants will be centralized by Uptevia. Each financial intermediary and the institution holding the registered accounts of the Companys Targeted Securities must, on the date indicated in the Euronext Paris notice, transfer to Euronext Paris the Targeted Securities for which they will have received a tender order in the Offer. After receipt by Euronext Paris of all orders to tender in the Offer under the conditions described above, Euronext Paris will centralize all of these orders, determine the results of the Offer and communicate them to the AMF. As the case may be, all the operations described above will be repeated in an identical sequence and under the conditions, in particular the timeframe, which will be specified in a notice published by Euronext Paris, in the context of the Reopened Offer. 2.8. Publication of the results and settlement of the Offer Pursuant to the provisions of Article 232-3 of its General Regulations, the AMF will announce the final result of the Offer at the latest nine (9) trading days after the closing of the Offer. If the AMF determines that the Offer is successful, Euronext Paris will indicate in a notice the date and terms of delivery of the Targeted Securities and payment of the funds. On the date of settlement-delivery of the Offer (and, if applicable, of the Reopened Offer), the Offeror will credit Euronext Paris with the funds corresponding to the settlement of the Offer (and, if applicable, of the Reopened Offer). On such date, the tendered Targeted Securities of the Company and all rights attached thereto will be transferred to the Offeror. Euronext Paris will make the cash payment to the intermediaries on behalf of their clients who have tendered their Targeted Securities in the Offer (or, as the case may be, in the Reopened Offer) as of the date of settlement-delivery of the Offer (or, as the case may be, of the Reopened Offer). As the case may be, all of the operations described above will be repeated in an identical sequence and under conditions, in particular as regards the timeframe, which will be specified in a notice published by Euronext Paris, in the context of the Reopened Offer. It is reminded, as the case may be, that any amount due in connection with the contribution of the Targeted Securities to the Offer (or, as the case may be, the Reopened Offer) will not bear interest and will be paid on the date of settlement-delivery of the Offer (or, as the case may be, of the Reopened Offer). 2.9. Indicative timetable of the Offer Prior to the opening of the Offer, the AMF will publish a notice of opening and Euronext Paris will publish a notice announcing the terms and opening of the Offer. An indicative timetable is proposed below: Date Main steps of the Offer 16 August 2023 - Filing of the draft Offer and the Draft Offer Document of the Offeror with the AMF. - Offerors Draft Offer Document made available to the public and posted to the websites of the AMF (www.amf-france.org) and the Company (www.balyo.com). - Publication by the Offeror of a press release announcing the filing of the Offer and availability of the Draft Offer Document. 16 August 2023 - Companys draft reply document filed with the AMF, including the reasoned opinion of the Companys Board of Directors and the independent experts report. - Companys draft reply document made available to the public and posted to the websites of the AMF (www.amf-france.org) and the Company (www.balyo.com). - Publication by the Company of a press release announcing the filing of the Offer and availability of Companys draft reply document. 5 September 2023 - Publication of the clearance decision of the AMF relating to the Offer. - Availability of the offer document and the reply document to the public and on the websites of the Company (www.balyo.com) and the AMF (www.amf-france.org). 6 September 2023 - Availability to the public at the address of the Presenting Institution mentioned above and posting on the Companys website (www.balyo.com) and on the AMFs website (www.amf-france.org) of information relating to the legal, financial and accounting characteristics of the Offeror. - Availability of the information relating to the legal, financial and accounting characteristics of the Company to the public at the Companys registered office and on the Companys website (www.balyo.com) and the AMF website (www.amf-france.org). 6 September 2023 - Publication by the Offeror of the press release making available the offer document and the information relating to the legal, financial and accounting characteristics of the Offeror. - Publication by the Company of the press release making available the note in response and the information relating to the legal, financial and accounting characteristics of the Company. 6 September 2023 - Publication by the AMF of the opening of the Offer - Publication by Euronext Paris of the opening statement in relation to the Offer and its modalities 7 September 2023 - Opening of the Offer. 11 October 2023 - Closing of the Offer. Week of 16 October 2023 - Publication of the notice of result of the Offer by the AMF. Week of 16 October 2023 - In case of success of the Offer, publication of the reopening notice of the Offer by Euronext, or, implementation of the squeeze-out if the conditions are met. Week of 16 October 2023 - In case of success of the Offer, reopening of the Offer and settlement-delivery of the Offer. Week of 30 October 2023 - Closing of the Reopened Offer. Week of 30 October 2023 - Publication by the AMF of the notice of result of the Reopened Offer. Week of 9 November 2023 - Settlement-delivery of the Reopened Offer. As from beginning of November 2023 - Implementation of the squeeze-out, if the conditions are met. 2.10. Possibility of withdrawing from the Offer In accordance with the provisions of Article 232-11 of the AMFs General Regulation, the Offeror may withdraw its Offer within five (5) trading days following the publication of the timetable of a competing offer or a superior offer (surenchere). It shall inform the AMF of its decision, which shall be published. The Offeror may also withdraw its Offer if it becomes purposeless, or if the Company, due to the measures it has taken, sees its substance modified during the Offer or in the event of success of the Offer or if the measures taken by the Company result in an increase in the cost of the Offer for the Offeror. The Offeror may only use this option with the prior authorization of the AMF, which shall rule in accordance with the principles set forth in Article 231-3 of the AMFs General Regulation. The Offeror may also waive his Offer if the Waiver Threshold is not reached, as specified in section 2.5.2 Waiver Threshold above. In the event of a waiver, the shares tendered in the Offer will be restituted to their owners without any interest, indemnity or other payment of any kind being due to such owners. 2.11. Reopening of the Offer In accordance with the provisions of Article 232-4 of the AMFs General Regulation, if the Offer is successful, the Offer will be automatically reopened at the latest within ten trading days following the publication of the final result of the Offer, on the same terms as the Offer (the Reopened Offer). In such case, the AMF will publish the timetable for the Reopened Offer, which will, in principle, last at least ten trading days. In the event of a reopening of the Offer, the procedure for tendering the Targeted Securities in the Reopened Offer and the procedure for the Reopened Offer will be identical to that of the initial Offer, it being specified, however, that orders to tender to the Reopened Offer will be irrevocable. However, the Offeror reserves the right, in the event that it would be in a position and would decide to implement a squeeze-out directly at the end of the Offer in accordance with the conditions provided for by articles 237-1 et seq. of the RGAMF, to request the AMF to implement such a squeeze-out within ten trading days from the publication of the notice of the result of the Offer. In such a case, the Offer would not be reopened. 2.12. Costs of the Offer The overall amount of the fees, costs and external expenses incurred by the Offeror in connection with the Offer, including, in particular, fees and other expenses relating to its various legal, financial and accounting advisors and any other experts and consultants, as well as publicity costs, is estimated at approximately EUR 3,600,000 (taxes excluded). 2.13. Financing of the Offer In the event where all Targeted Securities are tendered to the Offer based on the Offer Price (i.e., EUR 0.85 per Ordinary Share, EUR 0.01 per Preferred Share and EUR 0.07 per Company Warrant and excluding fees, expenses and costs relating to the operation), the Offer would amount to c. EUR 30,548,905.42. The Offeror will finance the Offer through its own funds and resources. 2.14. Brokerage fees and compensation of intermediaries No fee or commission will be refunded or paid by the Offeror to a holder who tendered Targeted Securities to the Offer, or to any intermediary or person soliciting the tendering of Targeted Securities to the Offer. 2.15. Offer restrictions abroad The Offer is made exclusively in France. The Draft Offer Document is not distributed in countries other than France. The Offer will not be registered or approved outside of France and no action will be taken to register or approve it abroad. This Press Release, the Draft Offer Document and the other documents relating to the Offer do not constitute an offer to sell or purchase transferable securities or a solicitation of such an offer in any other country in which such an offer or solicitation is illegal or to any person to whom such an offer or solicitation could not be duly made. The holders of the shares of the Company located outside of France can only participate in the Offer if permitted by the local laws to which they are subject, without the Offeror having to carry out additional formalities. Participation in the Offer and the distribution of the Press Release, the Draft Offer Document may be subject to particular restrictions applicable in accordance with laws in effect outside France. The Offer will not be made to persons subject to such restrictions, whether directly or indirectly, and cannot be accepted in any way in a country in which the Offer would be subject to such restrictions. Accordingly, persons in possession of this Press Release, the Draft Offer Document are required to obtain information on any applicable local restrictions and to comply therewith. Failure to comply with these restrictions could constitute a violation of applicable securities and/or stock market laws and regulations in one of these countries. The Offeror will not accept any liability in case of a violation by any person of the local rules and restrictions that are applicable to it. United States In the specific case of the United States of America, it is stipulated that the Offer will not be made, directly or indirectly, in the United States of America, or by the use of postal services, or by any other means of communication or instrument (including by fax, telephone or email) concerning trade between States of the United States of America or between other States, or by a stock market or a trading system of the United States of America or to persons having residence in the United States of America or "US persons" (as defined in and in accordance with Regulation S of the US Securities Act of 1933, as amended). No acceptance of the Offer may come from the United States of America. Any acceptance of the Offer that could be assumed as resulting from a violation of these restrictions shall be deemed void. The subject of this Press Release is limited to the Offer and no copy of this Draft Offer Document and no other document concerning the Press Release, the Offer or the Draft Offer Document may be sent, communicated, distributed or submitted directly or indirectly in the United States of America other than in the conditions permitted by the laws and regulations in effect in the United States of America. No holder of the shares of the Company who will be able to tender its shares into the Offer if such holder cannot represent that (i) it has not received a copy of the draft offer document or any other document relating to the Offer into the United States of America and it has not sent or otherwise transmitted any such document into the United States of America, (ii) it is not a person having residence in the United States of America and not being a "US person" (as defined in Regulation S of the US Securities Act of 1933, as amended) and that it is not issuing a tender order for the Offer from the United States of America, (iii) it was not located in the United States of America when it has accepted the terms of the Offer or its tender order for the Offer, and (iv) it is acting on a non-discretionary basis for a principal located outside the United States of America that is not giving an order to participate in the Offer from the United States of America. For the purposes of this section, "United States of America" means the United States of America, its territories and possessions, any one of these States, and the District of Columbia. 2.16. Tax treatment of the Offer The tax treatment of the Offer is described in section 2.16 Tax treatment of the Offer of the Draft Offer Document. 3. SUMMARY OF THE INFORMATION USED TO ASSESS THE OFFER PRICE (a) Ordinary Shares Offer Price: The below table summarizes the valuations derived from the valuation methods used and the premiums implied by the Ordinary Shares Offer Price: [Financial Tables Not Included] (b) Preferred Shares Offer Price: The offer price for these performance shares has been set at EUR 0.01 per instrument, as this corresponds to the minimum amount technically payable. Management do not anticipate the performance threshold to be met for the relevant tranches by 31 December 2024. Hence, these instruments will not be converted and their implied intrinsic value will be EUR 0.00. (c) Company Warrant Offer Price: The Black & Scholes method was used to calculate a theoretical Warrant value using the following parameters: Reference price of EUR 0.85 per Ordinary Share, in line with the Offer; Maturity date of 22 February 2026. Although the Company Warrants can be exercised at any time before the maturity date, the maturity date can be considered as the exercise date in the absence of distribution of Company dividends; Risk-free rate (as of 12 June 2023 (The Company Warrants Valuation Date), for France 3-Year Government Bonds, based on a maturity in line with that of the Company Warrants): 2.982%; Volatility of the stock of 56.3%, taking into account the level of volatility of the Balyo shares over 141 weeks leading to the Company Warrants Valuation Date, respectively from 5 October 2020 to 12 June 2023. 141 weeks is equivalent to the number of weeks outstanding in the 2.7 years between the Company Warrants Valuation Date and the Company Warrants Expiration Date. Two periods with abnormally high volatility were disregarded: the period around the announcement of the 2023 financial results (respectively from 20 March 2023 to 3 April 2023); the period around the second Covid lockdown in France (respectively from 12 October 2020 to 7 December 2020). The valuation approach using the Black & Scholes method leads to a theoretical valuation of EUR 0.07 per Company Warrant. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815604583/en/ BALYO Frank Chuffart [email protected] NewCap Financial Communication and Investor Relations Thomas Grojean / Aurelie Manavarere Phone: +33 1 44 71 94 94 [email protected] Source: BALYO KUNMING, China--(BUSINESS WIRE)-- The 7th China-South Asia Expo and the 27th China Kunming Import & Export Fair kicked off on 16th in Yunnan province of China. With theme solidarity and cooperation for common development, the five-day event is jointly hosted by the Chinese Ministry of Commerce and the People's Government of Yunnan Province, and is a significant economic and trade exchange between China and South Asian countries this year. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230815865394/en/ The 7th China-South Asia Expo kicks off on 16th August in Kunming Dianchi Lake International Convention and Exhibition Centre in Yunnan Province of China (Photo: Business Wire) This year's Expo set up a total of 15 exhibition halls, with a total exhibition area of 150,000 square meters, and the exhibition will be simultaneously displayed online as well. Besides the opening ceremony hall, there are three halls categorized according to geographic locations, the South Asia Hall, the Southeast Asia Hall, and the Overseas Hall, to promote the economy and trade cooperation and the culture exchanges between China and different regions. Furthermore, there are nine more halls categorized according to professional exhibition concepts. Such as regional cooperation hall, resource economy hall, economy parks hall, trade ports economy hall, biomedicine and health industry hall, culture and tourism hall, modern agriculture with highland characteristics hall, digital economy hall, and advanced manufacturing hall. Supported by the digital platform of the expo, a live streaming model has been introduced to this years expo, which provides a one-stop service to all the exhibitors. Even those people who are unable to be on site, can visit the exhibition, attend meetings, join negotiations, sign agreements and doing procurement via online platform with cloud service. With the continuous expansion of the trade scale of the China-South Asia Expo, the trade exchanges between China and South Asian countries have been further strengthened. When the first Expo was held in 2013, the total trade volume between China and South Asian countries was less than 100 billion US dollars. By 2022, the total trade volume has reached nearly 200 billion US dollars, with an average annual growth rate of 8.3%. China is a major trading partner of South Asian countries. Aquatic products, tea and nuts from South Asian countries are deeply loved by Chinese consumers, and their exports to China continue to increase. At the same time, the investment of Chinese enterprises in South Asia has been increasing, and up to now, the cumulative investment is close to 15 billion U.S. dollars, and the turnover of completed project contracting is more than 200 billion U.S. dollars, which has made tangible contributions to the development of South Asian countries and regional interconnection. The China-South Asia Expo was formerly known as the "South Asian Countries Commodity Exhibition", which provided a specialized promotion platform for South Asian commodities. After years of development, the China-South Expo has become a comprehensive exposition platform integrating trade in goods, investment promotion, tourism cooperation and cultural exchanges, and has built an important bridge for China and South Asian countries to expand economic and trade and cultural exchanges with other countries and regions. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815865394/en/ Maggie D. [email protected] Source: China-South Asia Expo The national air carrier of Azerbaijan (AZAL) launches regular flights to Pakistan from September 20, 2023. Flights will be operated to the capital of Pakistan - Islamabad, as well as to its largest cities - Lahore and Karachi. These three cities are important historical and cultural centers of Pakistan which makes them very interesting to visit. Flights from Baku to Islamabad will be performed on Wednesdays and Saturdays, to Lahore - on Mondays and Fridays, and to Karachi - on Thursdays and Sundays. This flexible schedule provides convenient options for travelers. The opening of new AZAL flights promises to promote the development of ties between Azerbaijan and Pakistan, promote tourism, international transportation and strengthen diplomatic relations. Please get acquainted with the flight schedule and purchase tickets on the official website of the airline www.azal.az or via AZAL mobile application. TORONTO--(BUSINESS WIRE)-- The Fertility Partners (TFP), a network of leading fertility practices across North America, has announced today that following an extensive search, its Board of Directors, along with Founder and Executive Chairman Dr. Andrew Meikle, are pleased to welcome Derek Larkin as Chief Executive Officer of The Fertility Partners. Dr. Meikle will remain as Founder and Executive Chairman playing an active role in partner relationships, providing support to Mr. Larkin, corporate development, strategic decision making and oversight. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816808406/en/ The Fertility Partners (TFP), a network of leading fertility practices across North America, has announced today that following an extensive search, its Board of Directors, along with Founder and Executive Chairman Dr. Andrew Meikle, are pleased to welcome Derek Larkin as Chief Executive Officer of The Fertility Partners. (Photo: Business Wire) This is a transformational time in our business, and we are very excited to have Derek guide the TFP team as we continue our growth across North America. We have a tremendous alignment of vision and values, and his extensive operational expertise, sector knowledge and leadership will enhance our offering to partner clinics, Andrew states. Mr. Larkin is a dynamic executive with a wealth of experience in the pharmaceutical and healthcare industries. He is a strategic visionary with a clear purpose and incomparable drive. He combines his deep management and operational background with entrepreneurial vision and repeated success in positioning companies for both top and bottom-line growth. Derek has been the CEO of First Fertility for the past three years. During this time, First Fertility experienced rapid and significant growth. Derek developed the operating model and an integrated platform that provided key operational value and support services, including payroll, HR, IT, marketing, finance, revenue cycle management and strategic planning. Before First Fertility, Derek had increasing roles of responsibility over 12 years at Boston IVF, including VP Ops and COO of Boston MFM, culminating as CEO, where he was accountable for the financial success, overall direction, and administration of one of the largest IVF corporations in the U.S. with six IVF centers throughout the U.S. and over 500 employees. I am honored to join The Fertility Partners as its next Chief Executive Officer. My lifes work has been in fertility and striving to improve the patient experience along their journey of family building, said Mr. Larkin. I have a deep appreciation of that common ethos and the success that Andrew and The Fertility Partners team have had in developing a trust-based, patient-centric culture. This is rarely found in organizations and is evidence of the unique value that The Fertility Partners and its physicians and employees bring to the market. I am excited to continue to lead this amazing organization with that purpose. Derek has a BA from the University of Massachusetts, a JD, and an MBA from Suffolk University. About The Fertility Partners. Founded in 2019, The Fertility Partners (TFP) is comprised of leading fertility practices across North America with 36 clinic locations (14 IVF centres), over 75 physicians and 1000 employees. The Fertility Partners operates five clinic locations in Connecticut and New York under one brand. In Canada, TFP is across the country in six provinces representing more than 30% of the market cycles. TFP provides a model that allows partners access to medical and clinical thought partners, innovative technologies, and operational efficiencies to enhance patient journeys and improve clinical outcomes. For more information, please visit: www.thefertilitypartners.com View source version on businesswire.com: https://www.businesswire.com/news/home/20230816808406/en/ For partnership inquiries, please contact: [email protected] For all other inquiries, please contact: [email protected] Source: The Fertility Partners The deployment of six solar-powered water pumps in the villages of Walessa, Holte, and Gato in the Dirashe District will significantly improve sustainable access to safe drinking water year-round ABU DHABI, United Arab Emirates--(BUSINESS WIRE)-- Beyond2020, the UAE-driven humanitarian initiative launched by the Zayed Sustainability Prize and its partners, has improved access to safe drinking water for 9,000 people in the rural villages of Walessa, Holte, and Gato in Dirashe District, Ethiopia. Six shallow wells with solar pumps have been installed in the villages, reducing the incidence of waterborne diseases and improving overall health. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816172408/en/ Rural Ethiopians benefit from easy access to safe drinking water, thanks to six solar water pumps deployed by Beyond2020. (Photo: AETOSWire) The Beyond2020 deployment in Ethiopia was implemented by the Zayed Sustainability Prize finalist Solarkiosk Solutions, a German based company that provides rural, off-grid communities electricity, benefitting five million people to-date. H.E. Dr. Sultan Ahmed Al Jaber, UAE Minister of Industry and Advanced Technology, Director General of the Zayed Sustainability Prize, and COP28 President-Designate, said: "As we continue to push towards a more sustainable and equitable world, access to safe water remains a fundamental human right. Beyond2020 and its partners are delighted to have been able to improve the livelihoods of three communities in Ethiopia by providing them with access to this essential resource. As the UAE prepares to host COP28 a COP aimed at accelerating inclusive sustainable development, particularly in the Global South this deployment in Ethiopia is a perfect example of how we can create meaningful change and address the urgent issues posed by climate change when we come together. We must continue acting in solidarity as we strive towards a future where safe water is accessible to all. The people of Dirashe District are primarily farmers who practice sustainable agriculture, a type of farming method that seeks to protect the environment and improve the productivity of agricultural systems. The villages of Walessa, Holte, and Gato are in the low land areas where drinking water is scarce. A growing population, rising demand for irrigation, and climate change which is causing increasing levels of algae in the local rivers, making the water turbid and unsafe to drink are increasing the pressure on the areas limited water resources. Community members in all three villages were dependent on unsafe water sources, including surface water harvested from rain and nearby open rivers to meet their drinking, cleaning and cooking needs, which led to high rates of waterborne diseases. To address the water shortage issue, several shallow hand-pump wells were drilled in the villages in 2014. Due to the mechanical operation of the hand pumps, however, the pumps were maintenance intensive, and the wells frequently became inoperable, leaving the community once again without any access to safe drinking water. Now, those water wells have been retrofitted with solar pumps, with each well supplying 20,000-25,000 litres of clean drinking water every day, which is enough to meet the villages drinking, sanitation, and agricultural needs. H.E. Habtamu Itefa Geleta, Minister of the Ministry of Water and Energy of Ethiopia, said: We would like to thank the Beyond2020 initiative, its partners, and the UAE government for supporting the efficient installation of a clean water source to improve the lives of thousands of people in these rural Ethiopian villages. Providing communities with their own local clean water source is key to improving health and wellbeing, as it reduces the risk of waterborne diseases, reduces the time and physical effort required to collect water, and supports good hygiene practices. The Beyond2020 deployment in the Dirashe District of Ethiopia has significantly reduced the burden of traveling long distances to fetch water a burden which fell primarily on women and children, who spent several hours each day traveling as far as five kilometres to collect water from neighbouring water sources like open rivers and ponds during the dry seasons. This was a time-consuming and demanding task, which exposed the women and children to risks, including diseases and physical danger. Now, women and children can fetch water for their families in under ten minutes, allowing them time to pursue education, income-generating activities, and other productive activities. Beyond2020 brings together a leading number of partners, which include Abu Dhabi Fund for Development, Mubadala Energy and Masdar. As part of the Beyond2020s impact to-date, a total of 15 deployments have been rolled out, including energy, health, water and food-related solutions in Nepal, Tanzania, Uganda, Jordan, Egypt, Cambodia, Madagascar, Indonesia, Bangladesh, the Philippines, Rwanda, Peru, Lebanon and Sudan. In addition to Ethiopia, another five countries have been identified as deployment grounds going forward. Source: AETOSWire View source version on businesswire.com: https://www.businesswire.com/news/home/20230816172408/en/ Mohammed Al Daqqaq [email protected] Source: Zayed Sustainability Prize Assured Guaranty Ltd. (NYSE: AGO) announced that its subsidiary, Assured Guaranty US Holdings Inc. (AGUS), has agreed to sell $350 million of 6.125% Senior Notes due 2028. The notes are guaranteed by Assured Guaranty Ltd. (together with its subsidiaries, Assured Guaranty). The notes are expected to settle on August 21, 2023, subject to customary closing conditions. The net proceeds from the sale of the notes will be used to redeem all $330 million outstanding principal amount of AGUS 5.0% Senior Notes due 2024 and for general corporate purposes. The joint book-running managers for the offering are Goldman Sachs & Co. LLC and BofA Securities, Inc. In addition, Loop Capital Markets LLC and KeyBanc Capital Markets Inc. are co-managers. The offering is being made only by means of a prospectus supplement and accompanying prospectus. You may get these documents for free by visiting EDGAR on the SEC Web site at www.sec.gov. Alternatively, you may request the prospectus by calling Goldman Sachs & Co. LLC at 1-866-471-2526 or BofA Securities, Inc. at 1-800-294-1322. This press release does not constitute an offer to sell or the solicitation of an offer to buy any of the senior notes or any other securities, nor will there be any sale of the senior notes or any other securities in any state or jurisdiction in which such offer, solicitation or sale would be unlawful prior to registration or qualification under the securities laws of any such state or jurisdiction. Emerson (NYSE: EMR) today announced a definitive agreement to acquire FLEXIM Flexible Industriemetechnik GmbH, a global leader in clamp-on ultrasonic flow measurement for liquids, gases and steam. Headquartered in Berlin, Germany , Flexim brings highly differentiated, complementary technology and strong customer relationships to Emerson, with an installed base of more than 100,000 flowmeters, as well as approximately 450 employees. Flexim provides highly accurate, low-maintenance clamp-on ultrasonic flow measurement technology for a broad range of attractive end markets, including chemical, water & wastewater, life sciences, food & beverage, and power generation. The transaction will expand Emerson's automation portfolio and measurement capabilities, complementing its existing flow measurement positions in Coriolis, differential pressure, magmeter and vortex flow measurement. Underpinned by favorable end market growth and technology leadership, the global ultrasonic clamp-on flowmeter market is expected to grow in the high single digits annually longer-term. Upon the close of the transaction, Flexim's Berlin headquarters is planned to become Emerson's Ultrasonic Flow Measurement Center of Excellence. "We are excited to further enhance Emerson's capabilities with the addition of Flexim's leading clamp-on ultrasonic measurement technology, which is a strong complement to our intelligent devices portfolio," said Ram Krishnan , chief operating officer of Emerson. "Flexim brings an exciting new technology that will enable us to accelerate growth. The combination of Emerson's comprehensive measurement suite and Flexim's innovative technology will enhance the value we bring to customers across a variety of industries. We look forward to welcoming Flexim's skilled and dedicated employees to Emerson, where they will continue to play a critical role in driving the success of the business." "We are thrilled to be joining Emerson, which shares our culture of innovation and focus on technology and R&D," said Jens Helpert , chief executive officer and co-founder of Flexim. "This transaction will enable us to provide more comprehensive solutions to a broader set of customers, leveraging Emerson's global intelligent devices leadership and commercial excellence. As we join forces with Emerson, we will continue to serve our customers and utilize our combined capabilities to solve essential measurement needs." The transaction is expected to close by the end of Emerson's fiscal 2023, subject to customary closing conditions. Flexim is expected to be accretive to Emerson's sales growth, gross margins and adjusted EBITA margins. Terms of the transaction were not disclosed. Flexim will be reported in Emerson's Measurement & Analytical segment. For the transaction, Evercore is serving as financial advisor and Freshfields Bruckhaus Deringer LLP is serving as legal advisor. NRG Energy, Inc. (NYSE: NRG) announced that Alexander Funding Trust II, a newly-formed Delaware statutory trust (the Trust), has priced its private offering of pre-capitalized trust securities redeemable July 31, 2028 (the P-Caps) to certain qualified institutional buyers for an initial purchase price of $500.0 million. The P-Caps will have a Distribution Rate of 7.467% per annum, which equals a spread of 3.100% to the five-year US treasury yield at the time of pricing of 4.367%. The P-Caps are intended to replace NRGs existing pre-capitalized trust securities redeemable 2023 issued by Alexander Funding Trust, which mature on November 15, 2023. The Trust will initially invest the proceeds from the sale of the P-Caps in a portfolio of principal and/or interest strips of U.S. Treasury securities (the Eligible Assets) and will enter into a facility agreement with NRG, pursuant to which NRG will pay a facility fee to the Trust, and NRG will agree to issue 7.467% senior secured notes due 2028 (the Secured Notes and, together with the P-Caps, the P-Caps Securities) to the Trust under certain circumstances. The Eligible Assets held by the Trust will be pledged as collateral for the benefit of certain banks that have agreed to provide letters of credit for NRGs account to support NRGs existing and future collateral obligations. NRG will not receive any proceeds directly from the offering of the P-Caps. The offering of the P-Caps is expected to close on August 29, 2023, subject to customary closing conditions. Any Secured Notes will be guaranteed on a first-priority basis by each of NRGs current and future subsidiaries that guarantee indebtedness under its credit agreement and will be secured by a first priority security interest in the same collateral that is pledged for the benefit of the lenders under NRGs credit agreement, which consists of a substantial portion of the property and assets owned by NRG and the guarantors. The collateral securing any Secured Notes will be released if NRG obtains an investment grade rating from two out of the three credit rating agencies, subject to reversion if such credit rating agencies withdraw NRGs investment grade rating or downgrade NRGs rating below investment grade. The P-Caps Securities are being offered only to qualified institutional buyers in reliance on Rule 144A under the Securities Act of 1933, as amended (the Securities Act), and who are also qualified purchasers as defined in the Investment Company Act of 1940, as amended. The P-Caps Securities have not been registered under the Securities Act or the securities laws of any other jurisdiction and may not be offered or sold in the United States absent registration or an applicable exemption from the registration requirements. This press release does not constitute an offer to sell any security, including the P-Caps Securities, nor a solicitation for an offer to purchase any security, including the P-Caps Securities. On August 14, 2023, Judith McKenna, age 57, the Companys Executive Vice President, President and Chief Executive Officer, Walmart International, notified Walmart (NYSE: WMT) of her intent to retire from the Company, effective as of the close of business January 31, 2024 (the Retirement Date). Beginning on September 11, 2023, Ms. McKenna will transition out of the role of Executive Vice President, President and Chief Executive Officer, Walmart International, and will serve as an Executive Vice President of the Company in a transitional role reporting to the Companys President and Chief Executive Officer through the Retirement Date, at which time her employment with the Company will end. Ms. McKenna has served as Executive Vice President, President and Chief Executive Officer, Walmart International since February 2018. Previously, Ms. McKenna served in a variety of roles since joining the Company in 1996, including Executive Vice President and Chief Operating Officer of Walmart U.S., Executive Vice President and Chief Development Officer of Walmart U.S., Executive Vice President, Strategy and International Development for Walmart International, and Chief Operating Officer of Asda Group Limited, the Companys former subsidiary in the United Kingdom. On August 14, 2023, Kathryn McLay, age 49, was appointed Executive Vice President, President and Chief Executive Officer, Walmart International, effective September 11, 2023. Ms. McLay will continue to report to the Companys President and Chief Executive Officer. Since November 2019, Ms. McLay has served as Executive Vice President, President and Chief Executive Officer, Sams Club. From February 2019 to November 2019, Ms. McLay served as Executive Vice President, Neighborhood Markets, Walmart U.S. Ms. McLay joined the Company in April 2015 as Vice President, U.S. Finance and Strategy, and was promoted to Senior Vice President, U.S. Supply Chain in December 2015, a role in which she served until February 2019. Prior to joining the Company, Ms. McLay served for 14 years in a variety of strategic, finance, and supply chain roles at Woolworths Limited, a prominent Australian retailer. FILE PHOTO: A representation of the cryptocurrency is seen in front of Coinbase logo in this illustration taken, March 4, 2022. REUTERS/Dado Ruvic/Illustration/File Photo (Reuters) -Coinbase Global said on Wednesday it had secured approval to offer cryptocurrency futures to U.S. retail customers, scoring a major regulatory win even as it battles a lawsuit from the Securities and Exchange Commission (SEC). The move will allow Coinbase to offer bitcoin and ether futures directly to eligible U.S. customers. Until now, only its institutional clients could trade in such products. Coinbase shares climbed 3% to $81.55 after the approval, which was granted by the National Futures Association (NFA), a self-regulatory organization designated by the Commodity Futures Trading Commission (CFTC). "This is a critical milestone that reaffirms our commitment to operate a regulated and compliant business," Coinbase said. The company has openly criticized the SEC, which in a June lawsuit accused Coinbase of operating illegally because it had failed to register as an exchange. CEO Brian Armstrong has also said more U.S. crypto companies could move offshore due to a hostile regulatory environment and that SEC Chair Gary Gensler's enforcement-first approach could stifle innovation in the industry. The NFA approval, which came nearly two years after Coinbase filed its application, could allow the company to expand into a largely untapped market. The global derivatives market represents almost 80% of the entire crypto market, with leveraged bets on futures and other derivatives often at the root of volatility in the wider market. In July, crypto derivatives trading volumes globally totaled about $1.85 trillion, according to research firm CCData. (Reporting by Niket Nishant in Bengaluru; Editing by Saumyadeb Chakrabarty) According to Reuters on Wednesday, ArcelorMittal (NYSE: MT), the second-largest steel producer in the world, is contemplating the possibility of making an offer to acquire U.S. Steel (NYSE: X). Luxembourg-based ArcelorMittal is examining a possible deal with its investment bankers. However, the publication states there is no certainty that it will move ahead with an offer. A potential move could escalate a bidding war after Esmark, Inc. announced a $35 per share offer for U.S. Steel Corp earlier this week. In addition, U.S. Steel Corp also revealed this week that it had received an unsolicited cash and stock proposal from Cleveland-Cliffs to acquire the firm. The offer from Esmark's is all cash, while the Cleveland-Cliffs deal is a cash and stock offer. However, the company rejected the Cleveland-Cliffs proposal, stating it was unreasonable. U.S. Steel Corp shares are up more than $% at $31.50 per share so far on Wednesday. On Sunday, U.S. Steel revealed it had launched a process to explore interest from potential acquirers. By Sam Boughedda British defense giant BAE Systems (OTC: BAESY) is in discussions regarding a potential acquisition of Ball Corporation's (NYSE: BALL) aerospace division, Bloomberg reported Wednesday. A deal would be the year's largest acquisition by a UK firm, with a deal potentially valuing Ball's aerospace operations at more than $4 billion, Bloomberg said sources told them. The London-listed company is discussing the terms of a possible takeover of the US packaging group's unit, which builds instruments and sensors for a variety of industries, including space travel and weather forecasting. While talks are ongoing, the publication wrote that there's no certainty they will lead to an agreement, while another bidder could also emerge. As governments have increased military spending, BAE shares have surged, climbing from below 400p per share during the pandemic, to over 1,000p. BALL shares are down 1% at the time of writing on Wednesday. By Sam Boughedda PRESS RELEASE August 16th, 2023 Aeroports de Paris SA July 2023 traffic figures Unless otherwise indicated, the following changes are expressed in comparison with the same period in 2022. Group traffic 1 : up +16.4%, to 34.2 million passengers, standing at 98.6% of 2019 traffic; up +16.4%, to 34.2 million passengers, standing at 98.6% of 2019 traffic; Paris Aeroport's traffic: up +7.5%, to 9.8 million passengers, standing at 92.8% of 2019 traffic. GROUP TRAFFIC July 2023 January July 2023 Passengers Change 23/22 % of traffic vs. 2019 Passengers Change 23/22 % of traffic vs. 2019 Paris-CDG 6,571,101 +9.2% 88.5% 38,350,153 +24.3% 87.6% Paris-Orly 3,203,184 +4.2% 103.1% 18,519,844 +18.0% 97.0% Paris Aeroport 9,774,285 +7.5% 92.8% 56,869,997 +22.2% 90.5% TAV Airports 12,286,706 +19.3% 100.6% 51,587,468 +28.5% 96.9% GMR Airports1 8,895,345 +22.0% 103.2% 61,988,856 +31.9% 107.6% Other Airports2 3,222,509 +20.1% 98.3% 19,131,122 +28.5% 93.2% GROUPE ADP1 34,178,845 +16.4% 98.6% 189,577,443 +27.6% 97.5% PARIS AEROPORT'S TRAFFIC IMPORTANT NOTE: Since the traffic release of December and the year 2022, the geographical breakdown at Paris Aeroports within this release as well as in the historical data used for variation and recovery calculations are aligned with the different categories applicable to airport fees. It presents the detailed breakdown of the "Europe" traffic into three categories: "Schengen Area" traffic, "United Kingdom & UE excluding Schengen " traffic and "Other Europe" traffic. Traffic with "French overseas territories", is presented separately from the "International" traffic, in which it was included until the November 2022 traffic release. It is reminded that airports fees applicable to these different categories are available on the company website. July 2023 January July 2023 Share of traffic Change 23/22 % of traffic vs. 2019 Share of traffic Change 23/22 % of traffic vs. 2019 Mainland France 11.4% -8.8% 73.9% 12.6% +0.3% 74.9% French Overseas Territories 4.8% -9.0% 100.8% 4.8% +3.9% 97.8% Schengen Area 37.2% +6.8% 99.9% 36.9% +19.9% 96.6% United-Kingdom & EU ex. Schengen3 5.2% +6.0% 89.5% 5.9% +31.8% 91.1% Other Europe 2.5% +9.7% 63.7% 2.3% +20.3% 63.0% Europe 45.0% +6.9% 95.6% 45.2% +21.4% 93.3% Africa 13.6% +12.2% 111.7% 13.1% +33.1% 107.0% North America 13.2% +12.3% 96.9% 11.5% +28.6% 98.3% Latin America 2.4% +8.4% 80.5% 2.8% +8.9% 79.7% Middle East 4.9% +3.8% 91.8% 5.4% +27.0% 95.2% Asia-Pacific 4.7% +103.4% 73.2% 4.5% +158.9% 63.3% Other International 38.8% +17.1% 95.7% 37.4% +36.4% 92.7% PARIS AEROPORT 100.0% +7.5% 92.8% 100.0% +22.2% 90.5% July 2023 Change 23/22 Change 23/19 JanJuly 2023 Change 23/22 Change 23/19 Connecting rate 16.9% -0.1 pt -3.0 pts 19.7% -0.8 pt -2.6 pts Seat load factor 87.4% -0.5 pt -1.8 pt 85.0% +4.9 pts -1.5 pts MAIN AIRPORTS PASSENGERS TRAFFIC July 2023 January July 2023 Passengers Change 23/22 % of traffic compared to 2019 Passengers Change 23/22 % of traffic compared to 2019 Paris-CDG 6,571,101 +9.2% 88.5% 38,350,153 +24.3% 87.6% Paris-Orly 3,203,184 +4.2% 103.1% 18,519,844 +18.0% 97.0% Total Paris Aeroport 9,774,285 +7.5% 92.8% 56,869,997 +22.2% 90.5% Antalya 5,513,676 +10.0% 101.7% 18,383,949 +21.0% 97.5% Almaty 980,290 +33.7% 140.8% 5,166,367 +36.5% 148.2% Ankara 1,184,872 +39.5% 97.0% 6,680,838 +39.8% 81.3% Izmir 1,176,412 +3.1% 92.3% 5,886,877 +9.3% 83.1% Bodrum 752,315 +4.5% 96.6% 2,141,266 +6.4% 92.7% Gazipasa 124,004 +28.9% 73.3% 466,238 +22.2% 78.1% Medina 938,528 +120.9% 98.2% 5,620,551 +76.2% 110.6% Tunisia 390,986 +56.4% 71.8% 1,181,921 +66.0% 72.6% Georgia 503,173 +11.9% 103.9% 2,305,073 +26.1% 88.7% North Macedonia 352,957 +20.0% 119.4% 1,691,363 +36.1% 115.9% Zagreb 369,493 +12.2% 100.9% 2,063,025 +26.7% 108.6% Total TAV Airports 12,286,706 +19.3% 100.6% 51,587,468 +28.5% 96.9% New Delhi 5,924,626 +20.7% 102.0% 41,689,962 +29.9% 108.7% Hyderabad 2,009,370 +25.5% 109.7% 13,937,400 +34.7% 108.3% Medan 689,088 +23.9% 96.2% 4,457,180 +43.6% 96.9% Goa 272,261 - - 1,904,314 - - Total GMR Airports4 8,895,345 +22.0% 103.2% 61,988,856 +31.9% 107.6% Santiago de Chile 2,088,426 +25.8% 95.5% 13,222,309 +27.2% 89.5% Amman 1,029,818 +8.6% 107.3% 5,380,426 +28.2% 105.3% Other airports5 104,265 +38.1% 79.9% 528,387 +76.9% 81.5% GROUPE ADP4 34,178,845 +16.4% 98.6% 189,577,443 +27.6% 97.5% MAIN AIRPORTS AIRCRAFT MOVEMENTS July 2023 January July 2023 Movements Change 23/22 % of traffic compared to 2019 Movements Change 23/22 % of traffic compared to 2019 Paris-CDG 40,967 +5.9% 88.5% 255,226 +14.6% 88.8% Paris-Orly 19,603 +2.4% 94.6% 117,057 +8.7% 89.0% Total Paris Aeroport 60,570 +4.7% 90.4% 372,283 +12.6% 88.9% Antalya 31,306 +10.8% 109.7% 113,219 +22.5% 106.8% Almaty 7,562 +24.7% 130.5% 43,411 +23.4% 121.2% Ankara 7,296 +30.2% 93.2% 45,275 +31.2% 83.5% Izmir 7,012 -0.1% 91.1% 36,470 +4.6% 83.2% Bodrum 4,412 +1.6% 93.4% 13,871 +4.9% 93.2% Gazipasa 781 +16.7% 74.7% 3,310 +16.9% 82.8% Medina 6,920 +92.3% 97.9% 38,502 +45.0% 106.4% Tunisia 2,523 +51.6% 80.5% 8,155 +51.7% 77.8% Georgia 4,424 +12.3% 100.7% 21,754 +15.8% 84.3% North Macedonia 2,535 +12.4% 103.7% 12,834 +20.8% 104.9% Zagreb 4,144 +6.5% 95.1% 25,782 +9.8% 100.2% Total TAV Airports 78,915 +17.2% 102.5% 362,583 +21.7% 98.2% New Delhi 35,533 +6.2% 96.3% 247,676 +13.0% 99.9% Hyderabad 13,906 +12.4% 92.5% 97,892 +19.8% 92.9% Medan 5,617 +24.9% 101.3% 36,119 +36.6% 98.6% Goa 1,950 - - 13,258 - - Total GMR Airports4 57,006 +9.4% 95.8% 394,945 +16.6% 97.9% Santiago de Chile 12,794 +19.8% 93.9% 83,257 +17.9% 90.0% Amman 8,147 +10.7% 102.8% 45,402 +16.7% 98.8% Other airports5 1,048 +32.2% 69.8% 6,245 +75.1% 68.3% GROUPE ADP4 218,480 +11.4% 96.4% 1,264,715 +17.1% 94.4% FINANCIAL CALENDAR (subject to change) Next traffic publication : August 2023 traffic figures Monday 18 September 2023, after market closing publication August 2023 traffic figures Monday 18 September 2023, after market closing 2023 nine months revenue: Wednesday 25 October 2023, after markets closing Investor Relations: Cecile Combeau +33 6 32 35 01 46 and Eliott Roch +33 6 98 90 85 14 - [email protected] Press contact: Justine Leger, Head of Medias and Reputation Department +33 1 74 25 23 23 Groupe ADP develops and manages airports, including Paris-Charles de Gaulle, Paris-Orly and Paris-Le Bourget. In 2022, the group handled through its brand Paris Aeroport 86.7 million passengers at Paris-Charles de Gaulle and Paris-Orly, and nearly 193.7 million passengers in airports abroad. Boasting an exceptional geographic location and a major catchment area, the Group is pursuing its strategy of adapting and modernizing its terminal facilities and upgrading quality of services; the group also intends to develop its retail and real estate businesses. In 2022, group revenue stood at 4,688 million and net income at 516million. Registered office: 1, rue de France, 93 290 Tremblay-en-France. Aeroports de Paris is a public limited company (Societe Anonyme) with share capital of 296,881,806. Registered in the Bobigny Trade and Company Register under no. 552 016 628. groupe-adp.com 1Changes vs. 2022 and recovery rates vs. 2019 hereabove are calculated on a like-for-like basis without traffic from Goa airport in 2023, opened on January 5th, 2023. 2 Airports of Amman, Santiago de Chile, Antananarivo and Nosy Be. 3 Traffic with Croatia was included in the EU ex. Schengen until April 2023. It is now accounted within the Schengen Area since April 2023 onwards. 4 Changes vs. 2022 and recovery rates vs. 2019 here above are calculated on a like-for-like basis without traffic from Goa airport in 2023, opened on January 5th, 2023. 5 Airports of Antananarivo and Nosy Be. Attachment BAKU, Azerbaijan, August 16. We categorically reject the groundless allegations made against Azerbaijan in the statement of France on August 15, as a result of the phone conversation of the Minister of Europe and Foreign Affairs of France, Catherine Colonna, with Ararat Mirzoyan, Minister of Foreign Affairs of Armenia, the Ministry of Foreign Affairs of Azerbaijan said, Trend reports. "Regarding the claims that the Lachin road was allegedly kept under "blockade" by Azerbaijan and as a result of which Armenian residents are facing a serious humanitarian crisis, we once again remind that it is absurd to portray as a blockade the activity of the Lachin border check-point established in accordance with Azerbaijans obligation to guarantee the safety of citizens, vehicles and cargo on the Lachin road, as well as to prevent the misuse of the Lachin road by Armenia for military and illegal economic purposes. It is well known to the French side that on a daily basis the movement of tens of Armenian residents in both directions is organized via this road through the International Committee of the Red Cross (ICRC). In addition, the fact that the French side, while referring to the decision of the International Court of Justice dated February 22, did not pay attention to the unanimous rejection of Armenias request to remove the Lachin border check-point by the aforementioned court with the Order dated July 6, testifies to unhealthy intentions of this country. Also, the French side is well aware that if the Armenian residents are really in need for daily necessities, for this purpose the Azerbaijani side has offered "Aghdam-Khankendi" and other alternative routes. Despite the fact of support by the European Union and the ICRC to use these roads, it is regrettable that the French side, following Armenias manipulations, does not support these agreements. At the same time, the fact that the French side did not react to the violation of the agreement by Armenia and its subordinate separatist regime reached regarding the use of these alternative roads indicates the efforts of this country to further aggravate the tension in the region. It is deeply concerning that France, which has never paid attention to the mass expulsion of Azerbaijanis from their homes and to the war crimes against these people for nearly 30 years, is now trying to carry out its insidious policy using Armenian residents as an excuse based on false propaganda of Armenia. It is worth reminding the French side that turning a blind eye to the fact of continuing political-military provocations by Armenia against the territorial integrity and sovereignty of Azerbaijan, and non-withdrawal of its armed forces fully from the territories of Azerbaijan in gross violation of all the norms and principles of international law, as well as obligations within the framework of the agreements, is a real obstacle to the peace process. The fact that the French Minister, while believing the false propaganda of Armenia, in its statement referred to the misinformation about firing at the European Union Mission in Armenia, despite that it was declared false by the Mission, once again proves that France is not interested in establishing peace and stability in the region. As stated in the official information of the Ministry of Defense of Azerbaijan, the movement route of the representatives of the European Union is presented to the Azerbaijani side in advance. Based on the presented route, the Azerbaijani side especially monitored that the trip of the international observers to the designated destination on the specified day happens without any incidents. The area where the mission was dispatched was not in the region of Armenia where the alleged incident took place, but in the different direction of the conditional border. In recent days, the Armenian side, which creates the ground for new provocations on the conditional border and in the Karabakh economic region, adhering to its tradition tries to distract the attention of the world community by spreading slanderous information and to turn the European Union into a tool for this purpose. It is unfortunate that the French side has also become a tool of this campaign," the statement said. Highlights: Alaska Energy Metals Corporation ( Alaska Energy Metals or the Company ) has purchased a historical dataset that cost more than US$30 million to create. or ) has purchased a historical dataset that cost more than US$30 million to create. The data, which was collected by various companies from 1995 to 2014, includes: drill hole logs and assay information, several types of airborne and ground geophysical surveys, and geological mapping and thousands of soil and rock samples with assays. The information will greatly accelerate exploration of the Nikolai nickel project. The price AEMC has paid is approximately 5% of the estimated cost to replicate the data. Public Relations, Investor Relations and Advisory contracts have been signed. Stock options have been granted. VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Alaska Energy Metals Corporation (TSX-V: AEMC, OTCQB: AKEMF) is pleased to announce it has purchased an exclusive database of historical information concerning the Nikolai project in Alaska. The Company purchased the data from private company Alaska Critical Metals (ACM) for $1,050,000 and 2,000,000 Alaska Energy Metals shares at a deemed price of $0.50 per share. The shares are subject to a hold period; half to be released after six months and half to be released after one year. The purchase agreement is effective August 16, 2023, and is subject to acceptance by the TSX Venture Exchange. Alaska Energy Metals President & CEO Gregory Beischer commented: A strong return on investment will be realized almost immediately from this data purchase. Thousands of metres of drilling are represented, including holes in the Eureka Zone exploration target area. This additional information will significantly accelerate our work. The geophysical surveys will be invaluable for guiding our drill programs toward higher-grade zones of mineralization. I am pleased that this information has been well-preserved and well-organized and that we have been able to purchase it. This move to purchase the data will provide an immediate benefit to our exploration program and to our shareholders. The cost we have paid to purchase the data is roughly 5% of the cost to replicate the data. This is a great step forward! Data Purchase The Nikolai project was first explored by major nickel producer INCO Ltd in the late 1990s. Control of the project passed to Nevada Star Resources Ltd. (Nevada Star), which carried out exploration with Anglo American (Canada) Ltd from 2004 to 2006. At the time, the project had been renamed the MAN project. Subsequently, Nevada Star changed its name to Pure Nickel Inc., a TSX-V listed public company (Pure Nickel). Further exploration was conducted by Pure Nickel in a joint venture agreement funded by Japanese company ITOCHU from 2008 to 2013. All information generated by the explorers between 1995 and 2014 was retained in a comprehensive database by Pure Nickel, which subsequently sold the data to Alaska Critical Metals. In total, it is estimated by Alaska Energy Metals that it cost the various companies more than US$30 million to execute the exploration programs and generate the purchased information. Alaska Energy Metals estimates that the cost to replicate the data set would exceed US$40 million. The data, collected by various companies from 1995 to 2014, includes: Drill hole logs and assay information for all holes drilled by the various companies. Several types of airborne geophysical surveys, including: Frequency domain airborne EM VTEM airborne ZTEM airborne SPECTREM Magnetic Susceptibility LiDAR with Digital Elevation Model Several types of ground geophysical surveys, including: MaxMin PEM/TEM WalkMag Geological mapping Thousands of soil and rock samples with assays. Alaska Energy Metals plans to begin using the purchased information immediately to refine drilling plans Public Relations, Investor Relations and Advisory Contracts Final Edit Media & Public Relations Inc: Alaska Energy Metals Corporation is pleased to announce it has partnered with Final Edit Media & Public Relations Inc. (Final Edit), a boutique public relations and strategic communications advisory firm, to provide consulting services to the Company. Final Edit is a strategic communications firm that builds, enhances, protects, and maintains the reputations of companies across North America. Final Edit is highly specialized in the generation of credibility and trust through delivering communications strategies spanning earned, owned and paid media, in addition to content development and social strategy. Final Edit will be paid a fee of $10,000 per month for a one-year term. Final Edit provides guidance to a range of companies across private and public industry sectors, which allows them to truly and authentically earn the trust of their stakeholders. For more information, please contact Sarah Mawji at [email protected]. Omni8: For clarification and correction to an announcement made by the Company in a news release dated July, 6 2023, Alaska Energy Metals is pleased to announce that it has retained Omni8 Communications Inc. of Vancouver, British Columbia (Omni8) to provide certain digital marketing services to the Company and help develop its corporate international market awareness. The firm will be paid $10,000 per month for a one-year term. Omni8 are experts in branding, messaging, digital marketing, and content creation. Omni8s principals have spent over a combined 50 years working in the Canadian and International capital markets and digital marketing industry and possess the ability to combine the art of public company communications with the science of digital marketing. The services will include helping Alaska Energy Metals develop a corporate marketing strategy and provide marketing and public relations advisory services, help coordinate marketing, news flow and events, making introductions to Omni8s network of media contacts, internet and social media marketers and other such providers, as well as to assist with various other public relations efforts. For further information on Omni8 please visit www.omni8media.com. Canid Capital: Alaska Energy Metals is pleased to announce that it has retained Canid Capital Corporation ("Canid"). Canid is a consultancy firm specializing in capital markets strategies, sales, and communications. Their team comprises seasoned professionals with extensive experience in investor relations, financial analysis, and strategic marketing. Canid will disseminate information on behalf of Alaska Energy Metals to registered financial professionals in North America with the goal of increasing awareness. Canid will also provide relationship management and other strategic capital market advisory services. Canid has been engaged for an initial term of 12 months starting August 7, 2023. Canid will be paid a monthly fee of $7,000 per month for a one year term and will be granted 200,000 stock options. Emerging Equities Inc.: Emerging Equities Inc., of Calgary, Alberta has been engaged to provide advisory services to the Company. Services will include: ongoing strategic advice, market assessment, financial advice, develop fund raising alternatives, introduce potential funding parties including syndicate members for a brokered private placement, review and prepare written materials. Emerging Equities will be paid $110,000 and be granted 300,000 stock options. Commodity Partners Inc.: The Company has retained Commodity Partners of Vancouver, BC to to provide capital markets advisory services, including: introducing the Company to prospective investors and counterparties to merger & acquisitions transactions, advising on capital structuring, investor presentation, preparation of financial modeling, strategic financing advice, alternatives evaluation and positioning of the Company. Additionally, advice will be provided on financial aspects of any financings, mergers, acquisitions, and any other such strategic transactions contemplated by the Company, and other customary investment banking services. Commodity Partners will be paid $9,000 per month and will be granted 300,000 stock options. Stock Option GrantThe Companys Board of Directors has authorized a grant of 2,250,000 stock options to employees, directors, consultants and advisors to the Company. The options are to be granted with a strike price equal to the closing market price of Alaska Energy Metals shares on August 16, 2023 and will have a five-year term. About Alaska Energy MetalsAlaska Energy Metals Corporation is focused on delineating and developing a large polymetallic exploration target containing nickel, copper, cobalt, chrome, iron, platinum, palladium and gold. Located in development-friendly central Alaska near existing transportation and power infrastructure, the project is well-situated to become a significant, domestic source of critical and strategic energy-related metals. ON BEHALF OF THE BOARDGregory BeischerGregory Beischer, President & CEO FOR FURTHER INFORMATION, PLEASE CONTACT:Gregory A. Beischer, President & CEOToll-Free: 877-217-8978 | Local: 604-638-3164 Sarah Mawji, Public RelationsFinal Edit Media and Public Relations Email: [email protected] Some statements in this news release may contain forward-looking information (within the meaning of Canadian securities legislation), including, without limitation, the intended use of proceeds. These statements address future events and conditions and, as such, involve known and unknown risks, uncertainties, and other factors which may cause the actual results, performance, or achievements to be materially different from any future results, performance, or achievements expressed or implied by the statements. Forward-looking statements speak only as of the date those statements are made. Although the Company believes the expectations expressed in such forward-looking statements are based on reasonable assumptions, such statements are not guarantees of future performance and actual results may differ materially from those in the forward-looking statements. Factors that could cause the actual results to differ materially from those in forward-looking statements include regulatory actions, market prices, and continued availability of capital and financing, and general economic, market or business conditions. Investors are cautioned that any such statements are not guarantees of future performance and actual results or developments may differ materially from those projected in the forward-looking statements. Forward-looking statements are based on the beliefs, estimates and opinions of the Company's management on the date the statements are made. Except as required by applicable law, the Company assumes no obligation to update or to publicly announce the results of any change to any forward-looking statement contained or incorporated by reference herein to reflect actual results, future events or developments, changes in assumptions, or changes in other factors affecting the forward-looking statements. If the Company updates any forward-looking statement(s), no inference should be drawn that it will make additional updates with respect to those or other forward-looking statements. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. This news release does not constitute an offer for sale, or a solicitation of an offer to buy, in the United States or to any U.S Person (as such term is defined in Regulation S under the U.S. Securities Act of 1933, as amended (the 1933 Act)) of any equity or other securities of the Company. The securities of the Company have not been, and will not be, registered under the 1933 Act or under any state securities laws and may not be offered or sold in the United States or to a U.S. Person absent registration under the 1933 Act and applicable state securities laws or an applicable exemption therefrom. Source: Alaska Energy Metals Corporation FARGO, N.D., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Anchor Ingredients, a trusted name in the pet food industry, is pleased to introduce its new Technical Services division which is dedicated to providing unbiased and comprehensive support to pet food manufacturers and brands, catering to their unique production and formulation needs. With a diverse range of services aimed at optimizing processes, troubleshooting quality issues and fostering innovation, Anchor Ingredients new Technical Services division is set to revolutionize the industry's approach to challenges and advancements. The new division will offer an array of services, including production process optimization, product quality troubleshooting, ingredient technology support, product development and formulation expertise, facility and process flow design services, as well as educational seminars and training opportunities. Anchor Ingredients is committed to sharing its expertise and insights to empower manufacturers and brands with the tools they need to excel in an evolving landscape. Heading this division is Brian Streit, an industry veteran with a 27-year track record of operations, quality control, equipment technology, formulation and innovation within pet food manufacturing and related sectors. Brian's leadership will infuse the division with a wealth of practical knowledge, enabling it to serve as a resource for manufacturers and brands seeking excellence in every aspect of their business. "We are very excited to bring this service to the pet food industry and to our customers," commented Brian Streit, Director of Technical Services at Anchor Ingredients. "With special focus on collaborative customer service, we will take an experience and education based best practice approach to serve our customers as a solutions provider for systemic and new challenges, as well as partnering in innovation and new product development." In an era where the pet food industry is marked by increasing complexity, Anchor Ingredients is poised to address the emerging needs of both manufacturers and brands, whether they operate new or existing facilities, or rely on co-manufacturers. By offering expert guidance, fostering innovation and prioritizing customer collaboration, Anchor Ingredients is committed to elevating the industry's standards and practices. "As the industry continues to evolve, so must our approach to supporting manufacturers and brands alike. The goal of this division is to lead an unbiased conversation on innovation within our industry," said Al Yablonski, CEO and Co-Founder of Anchor Ingredients. "Whether a customer is looking for quality ingredients, supply chain expertise, value-added processingand now, production and formulation insightAnchor Ingredients has a solution and is driven to be the preferred supply chain partner for the next generation of pet food. Brian Streit can be reached at [email protected] or 701-941-9052. For more information on Anchor Ingredients, please visit anchoringredients.com. Anchor Ingredients Co. LLC is a premier supplier of high-quality ingredients for the pet food industry across North America. The company's headquarters are located in Fargo, North Dakota, with strategically positioned ingredient processing facilities in key growing regions across the Midwest. Anchor Ingredients also maintains sales offices in Los Angeles, California, and Minneapolis, Minnesota. The company's commitment to excellence, transparency, sustainability and innovation has solidified its position as a preferred partner in the pet food supply chain. Additionally, Anchor owns the industrys first fully integrated co-manufacturing facility for freeze-dried pet foods, North Freeze Dry (www.northfreezedry.com), located in central Minnesota. Anchor Ingredients Co. LLC Sarah Olson, Marketing Manager701-433-1921[email protected] Source: Anchor Ingredients Co. TORONTO, Aug. 16, 2023 (GLOBE NEWSWIRE) -- ARHT Media Inc. ("ARHT" or "the Company") (TSXV: ART), (OTCQB: ARHTF) the global leader in the development, production and distribution of high-quality, low latency hologram and digital content, is excited to announce the expansion of its global network with three new international strategic partnerships. L'ANTENNE is a leading ecosystem of office, audiovisual, and events services located in Paris, France. L'Antenne brings a wealth of expertise, having delivered exceptional, personalized solutions to professionals since 2019. "We are truly thrilled to partner with ARHT and welcome their innovative presence into the realm of L'Antenne," shares L'Antenne's Co-Deputy Managing Director, Sidney Bennett. "Having ARHT within our space is a testament to our dedication to providing cutting-edge solutions and personalized encounters that resonate with the modern professional landscape." is a leading ecosystem of office, audiovisual, and events services located in Paris, France. L'Antenne brings a wealth of expertise, having delivered exceptional, personalized solutions to professionals since 2019. "We are truly thrilled to partner with ARHT and welcome their innovative presence into the realm of L'Antenne," shares L'Antenne's Co-Deputy Managing Director, Sidney Bennett. "Having ARHT within our space is a testament to our dedication to providing cutting-edge solutions and personalized encounters that resonate with the modern professional landscape." Newtonlab Space is an audiovisual technology company that specializes in the production and management of the Show Window holographic devices. With studios in Barcelona and Coruna, Spain, Newtonlab Space brings ARHT's transformative technology across the world. "This collaboration merges pioneering holographic solutions from ARHT with Newtonlab Space's expertise, promising to revolutionize global communication. Together, we're embarking on a journey to redefine engagement and unleash limitless possibilities," says Carles Giner, Founder & CEO of Newtonlab Space. is an audiovisual technology company that specializes in the production and management of the Show Window holographic devices. With studios in Barcelona and Coruna, Spain, Newtonlab Space brings ARHT's transformative technology across the world. "This collaboration merges pioneering holographic solutions from ARHT with Newtonlab Space's expertise, promising to revolutionize global communication. Together, we're embarking on a journey to redefine engagement and unleash limitless possibilities," says Carles Giner, Founder & CEO of Newtonlab Space. Africa Union Technologies, headquartered in Johannesburg, South Africa, is devoted to transforming communication and collaboration across Africa. They offer advanced technologies for seamless connectivity and collaboration, emphasizing innovation, customer support, and maximizing client potential. Managing Director for Africa Union Technologies, Steven Robertson, explains: "Collaborating with ARHT is a pivotal step in this journey, propelling us forward with their groundbreaking technology. This partnership not only solidifies our commitment but also paves the way for ARHT's impactful entry into the African continent, marking a significant milestone in our shared vision." These partnerships offer a range of benefits, including improved reach, expertise, and opportunities to collaborate and tap into the companies' diverse customer base. In line with ARHT's mission, the Company continues to reshape the future of communication, making transformative holographic experiences available worldwide. ARHT CEO, Larry O'Reilly, commented: "At ARHT, we are dedicated to reshaping the future of communication. These new studios are a testament to our ongoing mission of making transformative holographic experiences available worldwide. ARHT's unwavering dedication to making the extraordinary accessible is evident in these new advancements." ARHT's product portfolio offers unparalleled capabilities to facilitate lifelike holographic experiences that expand current technological boundaries. Thanks to its patented technology, professionals across various disciplines and industries can beam to any destination, revolutionizing global communication while saving time and the planet. About ARHT ARHT is a pioneer and the global leader in live hologram technology. Thanks to its patented end-to-end technology, executives, medical experts, educators, entertainers, and thought leaders can travel at the speed of light to any destination. ARHT was founded in 2014 and is publicly traded on the TSX Venture Exchange. ARHT has offices in North America, Europe and Asia and operates from its own studios in locations from Toronto to Singapore, as well as a large network of partner studios in every region. Connect with ARHT:LinkedIn: https://www.linkedin.com/company/arht-techInstagram: https://www.instagram.com/arht.techFacebook: https://www.facebook.com/arht.techTwitter: https://twitter.com/arht_techYoutube: https://www.youtube.com/@arht-tech For more information, please visit www.arht.tech/about/investors or www.arht.tech.Alternatively, email us directly at [email protected]. ARHT trades under the symbol "ART" on the TSX Venture Exchange. Press Inquiries:Kristen Spence[email protected] ARHTHanna AyyadChief Financial Officer+1 (416)-782-8042 (head office) This press release may contain "forward-looking information" within the meaning of applicable Canadian securities legislation. Forward-looking information includes, but is not limited to, the intention to exercise convertible securities of the Company; disclosure related to the Company's sales funnel; the Company's technology; the potential uses for the Company's technology; the future planned events using the Company's technology; the future success of the Company; the ability of the Company to monetize the ARHT Media technology; the development of the Company's technology; and interest from parties in ARHT's products. Generally, forward-looking information can be identified by the use of forward-looking terminology such as "plans", "expects" or "does not expect", "is expected", "budget", "scheduled", "estimates", "forecasts", "intends", "anticipates" or "does not anticipate", or "believes", or variations of such words and phrases or state that certain actions, events or results "may", "could", "would", "might" or "will be taken", "occur" or "be achieved". Forward-looking information is subject to known and unknown risks, uncertainties and other factors that may cause the actual results, level of activity, performance or achievements of the Company to be materially different from those expressed or implied by such forward-looking information, including but not limited to: general business, economic and competitive uncertainties; regulatory risks; risks inherent in technology operations; and other risks of the technology industry. Although the Company has attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking information, there may be other factors that cause results not to be as anticipated, estimated or intended. There can be no assurance that such information will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking information. The Company does not undertake to update any forward-looking information, except in accordance with applicable securities laws. NEITHER THE TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. Source: ARHT Media Inc. PERHAM, Minn., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Arvig has been awarded a $879,747 grant from the State of Minnesotas Border to Border Broadband program to invest in a fiber-to-the-premises project that will expand high-speed internet access in rural Wright County. The last-mile fiber network brings service to 256 unserved and underserved structures throughout parts of rural Wright County. The network will provide residents with internet speeds from 100Mbps (20 Mbps upload) up to 10 Gbps (1 Gbps upload), meeting and exceeding Minnesotas 2026 state speed goal. Expanding internet access and improving bandwidth in rural areas is vital to what we do at Arvig, said David Arvig, Vice President and Chief Operating Officer at Arvig. This fiber expansion will reliably serve Wright County residents for years to come. The total project cost for the network is estimated at $1,759,493. Arvig will invest $439,873 toward the project, and Wright County will offer a local match of $439,873. Construction will begin as soon as the grant is awarded and the environmental reviews are completed, and will be completed before December 2025. About Arvig Headquartered in Perham, Minnesota, Arvig is a local, employee-owned broadband and full-service telecommunications provider. Committed to delivering cutting-edge technology to customers throughout the region, Arvig provides residential and business internet, television, and telephone services. Additionally, Arvig provides a wide variety of business technology solutions. Visit arvig.com for additional information. Media Contact:Lisa Greene, Director, Marketing & Customer ExperienceArvig | 150 Second Street SW218.346.8294 | [email protected] Source: Arvig NEW YORK, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Balincan USA Inc. ("Balincan" or the "Company") and Tekumo announced its financial results for the second quarter. Revenue for the second quarter increased 70.6% over the first quarter. The first half of Q3 has already exceeded revenue for Q2. As indicated in the graph below, work orders have grown at approximately 45% monthly since March. This growth reflects our recently announced partnerships with the largest Retail managed service provider, digital signage partners, and a leader in Smart Home Rental Technologies. FINANCIAL OUTLOOK We continue to expect that revenue generated over the next 12 months will exceed more than $6 million. Given our gross margin of 40% and Operating Expenses of approximately $2.2 million, this would near cash flow breakeven. We will continue to invest in our technology and sales efforts fostering further growth into 2024. As you review this MD&A, we encourage you to read our interim financial statements and notes for the quarter ended June 30, 2023, and our Letter to Shareholders dated May 9, 2023 (all filed with OTC Markets). CORPORATE OVERVIEW The Company offers a service delivery platform that solves the "last-mile" of installing, monitoring, and maintaining technology systems and smart connected devices. We play at the intersection of two major trends: the Uber-ization of product and service delivery, and the explosion of smart connected devices brought about by the Internet of Things (IoT). Our Service Delivery platform was designed to intelligently automate the installation and maintenance of products by offering On-Demand local technician resources, as well as providing a smart interface for the monitoring and management of connected devices. This service platform caters for a broad range of technologies from POS systems, kiosks, digital menu boards, cameras, cabling, Wi-Fi and networking, to water and energy management, lighting and HVAC control, smart homes devices, wearable sensors, and access control. Our platform results in less people, less time and less cost for our customers. This MD&A includes statements and information about our expectations for the future. When we discuss our strategy, plans, future financial and operating performance, or other things that have not yet taken place, we are making statements considered to be forward-looking statements under United States (US) securities laws. Please see the disclosure relative to forward-looking statements at the base of this discussion. About Balincan USA, Inc. Balincan USA, Inc (OTC: BCNN) is an alternative reporting publicly held company that wholly-owns Tekumo LLC. The Company has filed for a name and symbol change to Tekumo, Inc., TKMO, subject to FINRA approval. Safe Harbor: Forward-Looking StatementsAny statements made in this press release which are not historical facts contain certain forward-looking statements, as such term is defined in the Private Security Litigation Reform Act of 1995, concerning potential developments affecting the business, prospects, financial condition and other aspects of the company to which this release pertains. The actual results of the specific items described in this release, and the Company's operations generally, may differ materially from what is projected in such forward-looking statements. Although such statements are based upon the best judgments of management of the Company as of the date of this release, significant deviations in magnitude, timing and other factors may result from business risks and uncertainties including, without limitation, the Company's dependence on third parties, general market and economic conditions, technical factors, the availability of outside capital, receipt of revenues and other factors, many of which are beyond the control of the company. The Company disclaims any obligation to update the information contained in any forward-looking statement. This press release shall not be deemed a general solicitation. Colorado SpringsTimothy Simpson, Investor Relations719-419-6709[email protected] Source: Balincan USA, Inc. Dubai, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Coinfest Asia 2023 by Coinvestasi, will take place on August 24-25 in Jimbaran, Bali. In its second edition, Coinfest Asia will act as a bridge between the web2 and web3 industries, aiming to create a more resilient web3 industry. This event is projected to gather more than 3,000 participants, with the majority coming from abroad. This makes Coinfest Asia the biggest web3 festival event in Asia, especially in Indonesia, this year. Coinfest Asia will present more than 100 speakers from various web2 and web3 sectors. The speakers will collaborate in various interesting sessions that will discuss the web3 ecosystem from different perspectives, ranging from innovation, technology, adoption, to regulation. Some of the speakers who attended were, The Head of CoFTRA Indonesia, Didid Noordiatmoko; Director of CFX, Subani; Co-Founder Animoca Brands, Yat Siu; Co-Founder Ledger, Thomas France; Direction of Solution Architect Alibaba Cloud, Eggy Tanuwijaya; CEO Indodax, Oscar Darmawan; CEO Ballet, Bobby Lee; Regional Director SEA and Central Asia Chainalysis, Joshua Foo; Chief Commercial Officer OKX, Lennix Lai; Head of DeFi Algorand, Daniel Oon; VP Sales APAC Fireblocks, Amy Zhang, and many others. "We believe that the future of web3 and the open metaverse is centered on Asia, and we are pleased to see Coinfest Asia creating a platform for collaboration and knowledge sharing by bridging the gap between web2 and web3. Together, we will drive the advancement and exponential growth of the web3 space," said Yat Siu, Co-Founder of Animoca Brands. In the main event, Coinfest Asia will have two main stages, namely Converge and Sunset. Additionally, Coinfest Asia offers various activities to facilitate connections between industry players and web2 and web3 communities. Some of these activities include the Breakout Room, where participants can engage in deeper conversations with speakers, and the Bull House, where industry leaders and regulators gather. Furthermore, there is a Play Area where participants can have fun and get the chance to win attractive prizes, Government Pitching, which showcases web3 projects seeking to collaborate with the government, and many others. Coinfest Asia has garnered support from more than 30 leading companies in the web2 and web3 industries, including Fireblocks, Ripple, Pintu, PinkSale, Coinstore.com, Cregis, Gate.io, Zetrix, Algorand, Alibaba Cloud, Binance, Tokocrypto, Indodax, Circle, among others. Apart from the main event over two days, Coinfest Asia also presents Coinfest Week, a series of side events happening before and after the main event. Coinfest Week will take place from August 23 and will be spread across various locations in Bali. Through Coinfest Week, participants can expand their connections and do businesses with influential key leaders, such as Inner Circle organized by Circle, Bite, Brews, and Blockchain by AWS, Buzz & Booze by CoinEx, Official After Party hosted by Coinfest Asia and Jagad, and many more About Coinfest Asia Coinfest Asia by Coinvestasi, is an annual crypto, web3, and blockchain festival hosted by one of Indonesia's leading crypto and blockchain news portals, Coinvestasi. Each year, Coinfest Asia revolves around a unique theme tailored to the latest developments in web3 technology. The inaugural Coinfest Asia took place on August 25-26, 2022, at Cafe Del Mar in Bali. In its first year, Coinfest Asia successfully presented various exciting showcases and platform releases involving more than 2000 users and leaders in the WEB3 and blockchain industry. Additionally, Coinfest Asia 2022 received sponsorship from several major companies in the crypto, blockchain, and WEB3 sectors, including Fireblocks, Elliptic, Fastex, Enjinstarter, Pintu, Indodax, 1inch, Emurgo, Advance.AI, KunciCoin, Deepcoin, Tezos, Paras, Coinstore, BRI Ventures, Nanovest, and Biznet. About Coinvestasi Founded in 2017, Coinvestasi provides daily informative and creative content for Indonesian crypto and blockchain enthusiasts on Coinvestasi.com, YouTube, Instagram, and engages in daily discussions on Twitter, Telegram, and the Discord Community. Coinvestasi is part of the media group Indonesia Crypto Network (ICN). For further information, please contact:CP: Dhiya Nafisa +6285280153319 Oindrila C. Head of Communications Luna PR E: [email protected] Source: Coinfest Asia List is comprised of top U.S. companies; positions Collage Group in top half percent of all private firms. Washington, D.C., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Collage Group, a leader in cultural intelligence, has made Inc. 5000s list of fastest-growing private companies in America for 2023. The prestigious honor ranks Collage Group among the top companies in the country for growth. It also signifies that statistically, Collage Group is in the top half percent of all privately owned companies, a laudable distinction for any business. Collage Group partners with over 300 iconic brands including Coca-Cola, Comcast, Procter & Gamble, and Toyota. This is an incredible honor acknowledging the sizable impact we have on the insights and marketing industry at large, as well as the ways in which we are supporting brands and executives in their cultural fluency journeys, said Collage Group CEO and Co-Founder David Wellisch. As we continue to leverage culture to drive brand growth and partner with Americas iconic brands, I would like to thank our talented team for making our growth possible and thank our clients for their trust in our expertise and partnership. In the past year alone, Collage Group has expanded its leadership and achieved a number of professional feats. The Inc. 5000 list recognition is the latest honor for the budding company. Earlier this year, Wellisch was named an Entrepreneur of The Year 2023 Mid-Atlantic Award finalist by EY. Collage Group was also recognized in the 2023 Inc. 5000 Regionals Mid-Atlantic List, acknowledging companies who have put forth an extraordinary rate of growth across all industries; the list includes companies in Washington D.C., Delaware, Maryland, North Carolina, Virginia, and West Virginia. Between 2019 and 2021, the private firms recognized in the Inc. Regionals list had an average growth rate of 381%, and in 2021 alone were able to add 14,439 jobs and $2.66 billion to the Mid-Atlantic regions economy. Companies based in Richmond, Virginia, and the Washington, D.C. areas had the highest growth rates overall. Scott Omelianuk, editor-in-chief of Inc. Magazine, said that 2023s regional winners represented one of the most exceptional and exciting lists of Americans off-the-charts growth companies. About Collage Group Collage Group is the trusted partner and provider of cultural intelligence for over 300 iconic brands across 12 industries. Weve been helping marketers and insights professionals create authentic connections with diverse American consumers for over 10 years. Brands leverage our deep consumer research, AI-powered insights, and strategic cultural expertise to develop cultural fluency and create impactful and efficient campaigns that accelerate brand growth and ROI. Learn why industry-leading brands turn to Collage Group for cultural intelligence and strategic expertise. Las Vegas, NV, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Innovative media platform, EDGEX, celebrated a significant milestone on August 1st with the commencement of its eagerly anticipated soft launch. The platform's introduction to the market heralds a new era in the advertising and video industries, as it harnesses the power of blockchain, artificial intelligence, and WEB3 technologies to reshape the landscape. EDGEX's cutting-edge offering is centered around AI-powered video advertising, a pioneering approach that promises to revolutionize how users engage with advertisements. By leveraging the capabilities of AI, the platform aims to provide users with precisely targeted and captivating ad experiences, making content consumption a more personalized and engaging endeavor. To achieve this ambitious goal, EDGEX has assembled a dynamic team of advertising experts and seasoned IT professionals. This collaborative effort underscores the company's commitment to driving the digitalization and intelligent transformation of the advertising industry, bridging the gap between traditional marketing approaches and emerging technological trends The launch strategy is further enriched by the platform's unique rewards system. During the soft launch phase, users engaging with EDGEX's content will be eligible to earn EDX coins, a cryptocurrency exclusive to the platform. Watching advertisements and completing challenges are avenues through which users can accumulate these coins, establishing an interactive and gamified relationship with the platform. This not only boosts user engagement but also opens the door for a more immersive content consumption experience. For developers, the soft launch of EDGEX serves as a strategic masterstroke. It presents an invaluable opportunity to glean insights and feedback from a carefully selected group of users, paving the way for refinements and enhancements prior to the platform's full-scale release. By taking a phased and iterative approach, developers can fine-tune the user experience, address any potential glitches, and ensure a seamless transition to the broader market. Users also stand to benefit greatly from this soft launch strategy. By engaging with the platform during this phase, they have the chance to experience its features firsthand, gaining an understanding of its functionalities and potential benefits. Moreover, the rewards system not only incentivizes participation but also offers users an exclusive opportunity to accumulate EDX coins, fostering a sense of ownership and investment in the platform's success. As the soft launch progresses, EDGEX is poised to garner valuable insights that will shape the future of its platform. By incorporating user feedback and adapting to their preferences, the company aims to emerge from this phase stronger, more refined, and ready to lead the charge in the evolution of digital advertising. In an ever-changing landscape where technology drives innovation, EDGEX's soft launch stands as a testament to its commitment to progress. With its fusion of AI, blockchain, and WEB3 technologies, the platform is setting the stage for a transformative future in advertisingone that is personalized, engaging, and rewarding for both users and developers alike. For more information, please visit our website https://edgex.ltd/ Electromagnetic Geoservices ASAs (EMGS or the Company) financial report and market presentation for the second quarter of 2023 are attached. Highlights: * The Company recorded revenues of USD 0.2 million, down from USD 6.9 million in the second quarter of 2022 and down from USD 5.0 million in the first quarter of 2023. * Adjusted EBITDA (including capitalised multi-client expenses and vessel and office lease expenses) of negative USD 1.8 million, down from USD 2.8 million in the second quarter of 2022. * Free cash decreased with USD 0.8 million during the quarter, to USD 13.5 million. * The Atlantic Guardian was in warm-stack for the entire quarter. A pre-recorded presentation will be available over the internet from 20:00 (local time Norway) today. To access the presentation, please go to the Companys homepage ( www.emgs.com ) and follow the link. Contact Anders Eimstad, Chief Financial Officer, +47 94 82 58 36 About EMGS EMGS, the marine EM market leader, uses its proprietary electromagnetic (EM) technology to support oil and gas companies in their search for offshore hydrocarbons. EMGS supports each stage in the workflow, from survey design and data acquisition to processing and interpretation. The Company's services enable the integration of EM data with seismic and other geophysical and geological information to give explorationists a clearer and more complete understanding of the subsurface. This improves exploration efficiency and reduces risks and the finding costs per barrel. CSEM technology can also be used to detect the presence of marine mineral deposits (primarily Seabed Massive Sulphides) and EMGS believes that the technology can also be used to estimate the mineral content of such deposits. The Company is undertaking early-stage initiatives to position itself in this future market. This information is subject of the disclosure requirements pursuant to section 5-12 of the Norwegian Securities Trading Act. Attachments TORONTO, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Green Shift Commodities Ltd. (TSXV: GCOM and OTCQB: GRCMF), (Green Shift, GCOM or the Company) is pleased to announce that it has signed a definitive purchase and sale agreement (the Agreement) dated August 15, 2023, to acquire (the Transaction) an existing option (the Option) to purchase a 100% interest in the Armstrong Lithium Project (the Armstrong Project or the Project). The Project consists of 90 contiguous claims totaling ~1,800 ha, located in the Seymour-Crescent-Falcon lithium belt, ~55 km northeast of the town of Armstrong and ~245 km from Thunder Bay in Ontario, Canada. Highlights Expands GCOMs lithium portfolio into the mining friendly jurisdiction of Ontario, Canada. Adds a third project in a known lithium belt, recognized for its recent exploration successes including the development of the nearby Seymour Project owned by Green Technology Metals Ltd. ( GT1 ). ). Potential upside of critical metals Molybdenum, Copper, Silver with untested exploration upside. Opportunistic acquisition with compelling acquisition terms. Trumbull Fisher, CEO and Director of GCOM commented, While our core focus will remain in South America, we are excited to announce the signing of today's Agreement, which brings another promising lithium project into our portfolio, which we expect to quickly advance. We believe that the Armstrong Project will contribute to our presence in a mining-friendly jurisdiction, providing critical mass to our operations. Like our other projects, we see exploration potential and are eager to commence exploration activities. Ontario is an exciting place to be not only for mining but in particular lithium, with the province seeing ~$25B in government subsidies for EV battery plants in 2023 alone, and this being a very low-cost entry into the most prospective lithium exploration belt in the province. Terms of the Sale and Purchase Agreement Pursuant to the Transaction, GCOM has acquired an existing Option to purchase a 100% interest in the Armstrong Project for consideration comprised of (i) 1,500,000 common shares of GCOM (the Common Shares) to be issued on the closing date of the Transaction; and (ii) CAD$60,000 in cash, payable within five business days after the date upon which GCOM has first completed one or more equity offerings for gross proceeds of a minimum of CAD$5,000,000 in the aggregate. In order to exercise the Option, GCOM has agreed to assume the remaining obligations under the original option agreement, including: (i) 100,000 Common Shares issuable immediately upon closing of the Transaction; (ii) $15,000 in cash payable on or before November 21, 2023; and (iii) $20,000 in cash payable on or before November 21, 2024. In addition, in respect of the first financing that the Company completes following the exercise of the Option, the Company has agreed to grant the optionor the right to participate in such financing and subscribe for a maximum of 100,000 Common Shares upon the same terms as the financing. Completion of the Transaction is conditional upon the approval of the TSX Venture Exchange (the TSXV) and the satisfaction of certain other closing conditions customary in transactions of this nature. The Common Shares issued in connection with the Transaction will be subject to a hold period expiring four months and one day from the date of issuance. There are no finders fees payable in connection with the Transaction and each of the vendors of the Option and the optionor is an arms-length party with respect to the Company. About the Armstrong Project The Armstrong Project resides in the Seymour-Crescent-Falcon lithium trend which is known to host thirteen spodumene-bearing pegmatites along a 26 km trend between the South Aubrey and the Falcon East pegmatite occurrences. Located near the town of Armstrong, significant infrastructure exists nearby including an airport, and rail. The Armstrong Project is road accessible and workable year-round. Figure 1: Armstrong Project in Ontario, Canada The Project property is within a general area that has been highly focused on the exploration of lithium, however the Armstrong Project appears to have had little or no lithium focused exploration. The neighbouring properties are held by GT1 and Antler Gold Inc. (Figure 2). Both neighboring properties have lithium in drilling and GT1s Seymour Project boasts a mineral resource estimate of 9.9 Mt @ 1.04% Li2O, with 5.2 million tonnes @ 1.29 % Li2O and remains open along strike and down dip. (GT1 website - Seymour Project) Figure 2: Armstrong Project and Adjacent Properties Previous work on the Armstrong Project includes lake sediment work that confirms the presence of various base and precious metals including gold, silver, platinum, palladium, copper, nickel, cobalt, chromium, lead and Zinc. The Project has seen little work in recent times and requires a systematic sampling and mapping program. While the entire property appears to be prospective, traversing and sampling the dikes and faults are expected to be a focus of the Company. The sharp contrast in the first vertical derivative magnetics (Figure 3) is a plausible location for alteration. Another prospective area shows a cluster of pegmatites immediately to the Northwest of the Project property boundary which may be a focus for further exploration work. Figure 3: Armstrong Project Magnetics Technical Disclosure and Qualified Person The scientific and technical information contained in this news release was reviewed and approved by Peter Mullens (FAusIMM), Executive Chairman of the Company, who is a Qualified Person in accordance with National Instrument 43-101 - Standards of Disclosure for Mineral Projects. Changes to the Board of Directors The Company also announces that Dr. Richard Spencer has resigned from the Board of Directors in order to focus on other business and personal matters. GCOM would like to thank Mr. Spencer for his contributions to the Company over his 16-year tenure, holding roles such as CEO, Chairman and Director and wish him the best success going forward. About Green Shift Commodities Ltd. Green Shift Commodities Ltd. is focused on the exploration and development of commodities needed to help decarbonize and meet net-zero goals. The Company is advancing the recently acquired Rio Negro Project in Argentina, a district-scale project in an area known to contain hard rock lithium pegmatite occurrences that were first discovered in the 1960s with little exploration since. The Company is developing the Berlin Deposit in Colombia. Apart from uranium, for clean nuclear energy, the Berlin Deposit contains battery commodities including nickel, phosphate, and vanadium. Phosphate is a key component of lithium-ion ferro-phosphate (LFP) batteries that are being used by a growing list of electric vehicle manufacturers. Nickel is a component of various lithium-ion batteries, while vanadium is the element used in vanadium redox flow batteries. Neodymium, one of the rare earth elements contained within the Berlin Deposit, is a key component of powerful magnets that are used to increase the efficiency of electric motors and in generators in wind turbines. For further information, please contact: Green Shift Commodities Ltd. Trumbull FisherDirector and CEOEmail: [email protected]Tel: (416) 917-5847 Website: www.greenshiftcommodities.com Twitter: @greenshiftcom LinkedIn: https://www.linkedin.com/company/greenshiftcommodities/ Forward-Looking Statements This news release includes certain forward looking statements. Forward-looking statements consist of statements that are not purely historical, including statements regarding beliefs, plans, expectations or intensions for the future, and include, but not limited to, statements with respect to: closing of the closing of the Acquisition; the approval of the TSXV; the completion of future exploration work and the potential results of such test work; the future direction of the Companys strategy; and other activities, events or developments that are expected, anticipated or may occur in the future. These statements are based on assumptions, including that: (i) the ability to achieve positive outcomes from test work; (ii) actual results of exploration, resource goals, metallurgical testing, economic studies and development activities will continue to be positive and proceed as planned, (iii) requisite regulatory and governmental approvals will be received on a timely basis on terms acceptable to Green Shift (iv) economic, political and industry market conditions will be favourable, and (v) financial markets and the market for uranium, battery commodities and rare earth elements will continue to strengthen. Such statements are subject to risks and uncertainties that may cause actual results, performance or developments to differ materially from those contained in such statements, including, but not limited to: (1) changes in general economic and financial market conditions, (2) changes in demand and prices for minerals, (3) the Companys ability to source commercially viable reactivation transactions and / or establish appropriate joint venture partnerships, (4) litigation, regulatory, and legislative developments, dependence on regulatory approvals, and changes in environmental compliance requirements, community support and the political and economic climate, (5) the inherent uncertainties and speculative nature associated with exploration results, resource estimates, potential resource growth, future metallurgical test results, changes in project parameters as plans evolve, (6) competitive developments, (7) availability of future financing, (8) the effects of COVID-19 on the business of the Company, including, without limitation, effects of COVID-19 on capital markets, commodity prices, labour regulations, supply chain disruptions and domestic and international travel restrictions, (9) exploration risks, and other factors beyond the control of Green Shift including those factors set out in the Risk Factors in our Management Discussion and Analysis dated May 1, 2023 for the fiscal year ended December 31, 2022 and other public documents available on SEDAR at www.sedar.com. Readers are cautioned that the assumptions used in the preparation of such information, although considered reasonable at the time of preparation, may prove to be imprecise and, as such, undue reliance should not be placed on forward-looking statements. Green Shift assumes no obligation to update such information, except as may be required by law. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. Photos accompanying this announcement are available at: https://www.globenewswire.com/NewsRoom/AttachmentNg/6d9db7d4-a264-47d8-9bd4-7b3c859d19b0 https://www.globenewswire.com/NewsRoom/AttachmentNg/e71c4e17-b38d-49c4-8f53-ca1a900b525e https://www.globenewswire.com/NewsRoom/AttachmentNg/103ff51e-02ef-4475-bb89-b615f53e579e Figure 1: Armstrong Project in Ontario, Canada Figure 2: Armstrong Project and Adjacent Properties Figure 3: Armstrong Project Magnetics Source: Green Shift Commodities Ltd. BAKU, Azerbaijan, August 16. The Western Azerbaijan community has called on France to stop its policy contradicting Azerbaijan's territorial integrity, Trend reported. "The information circulated by the French Ministry of Foreign Affairs regarding the content of the telephone conversation between the Minister of Foreign Affairs of France, Catherine Colonna, and the Minister of Foreign Affairs of Armenia, Ararat Mirzoyan, on August 15, 2023, is yet another proof of France's disrespect for the norms and principles of international law and human rights, as well as commitment to the policy of Azerbaijanophobia. France, which turned a blind eye to the fact that Armenia expelled more than a million Azerbaijanis from their homes, killed more than 20,000 peaceful Azerbaijanis, occupied 20 percent of the territory of Azerbaijan, destroyed about 900 human settlements there, and laid more than a million mines, is now suddenly trying to pretend to be a supporter of humanitarian values. The fact that illegal Armenian armed organizations are keeping residents of the Karabakh region of Azerbaijan captive, obstructing the road from Aghdam, and demanding a direct, uncontrolled access to Armenia are all nothing more than terrorism and blackmail. It is a grave violation of international law and human rights for France to back Armenia's claim in this way. WALTHAM, Mass., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Infinidat, a leading provider of enterprise storage solutions, today announced that the InfiniBox SSA II received a Best of Show Award at the Flash Memory Summit 2023. Infinidats all-flash solution was recognized as Most Innovative Hyperscaler Implementation. The InfiniBox SSA II continues to stand out as the industrys fastest all-flash storage array with unprecedented low latency and unmatched cyber resilience. The InfiniBox SSA II continues to raise the bar in enterprise storage performance, cyber resilience, and cost effectiveness. Winning the Most Innovative Hyperscaler Implementation Award at the Flash Memory Summit reinforces the value that our solution delivers for enterprises and the hyperscaler community, said Eric Herzog, CMO at Infinidat. This is the second year in a row that our InfiniBox SSA II has been recognized and honored at the FMS conference. Its where the best of the best all-flash solutions get the spotlight, and we are pleased that Infinidat is in the winners circle once again. Hyperscaler customers demand cyber resiliency and storage consolidation spanning multi-petabyte storage infrastructure which can deliver attractive total cost of ownership, said Jay Kramer, Chairman of the Awards Program and President of Network Storage Advisors Inc. We are proud to recognize Infinidat for its InfiniBox SSA II, with advanced cyber resiliency provided by InfiniSafe. This helps enterprise customers detect and recover from cyberattacks. In the case of a particular Fortune 50 financial services customer, the Infinidat solution resulted in a reduction to the overall array count from >120 storage arrays to only 40 Infinidat arrays. This multi-petabyte system achieved multi-million-dollar cost savings. The InfiniBox SSA II utilizes 100% solid state technology for persistent storage, which, when coupled with Neural Cache and the companys software advancements with autonomous automation, takes groundbreaking performance to the next level. The new InfiniBox SSA II delivers lower latency than any other enterprise storage platform in the industry, delivering an unprecedented 35 microseconds of latency, which drives optimal real-world application and workload performance. In addition, the InfiniBox SSA II delivers 100% availability, white glove service, and lower total cost of ownership that defines the industry acclaimed InfiniBox customer experience. The company offers a comprehensive portfolio of enterprise storage and cyber resilient solutions powered by a common software architecture across our InfiniBox, InfiniBox SSA II and InfiniGuard platforms, including Infinidats cyber storage resiliency solution InfiniSafe. The InfiniBox SSA II is available with Infinidats flexible consumption options, including Storage-as-a-Service with Infinidats FLX program, Capacity on Demand with Infinidats Elastic Pricing model, and traditional purchase. For more information about the InfiniBox SSA II, click here. About InfinidatInfinidat helps enterprises and service providers empower their data-driven competitive advantage at scale. Infinidats software defined storage architecture delivers microsecond latency, 100% availability, cyber storage resilience and scalability with a significantly lower total cost of ownership than competing storage technologies. The company offers an award-winning portfolio of enterprise storage solutions for primary and secondary storage deployments. The corporate headquarters are based in Herzliya, Israel, and U.S. headquarters in Waltham, Massachusetts. For more information, visit www.infinidat.com. Connect with InfinidatAbout InfinidatRead our blogFollow us on TwitterJoin us on LinkedInVisit us on FacebookSee us on YouTubeBe our partner Media ContactInfinidatSapna CapoorDirector of Global Communications[email protected] I Mobile: +44 (0) 7789684159 Source: Infinidat UNION, N.J., Aug. 16, 2023 (GLOBE NEWSWIRE) -- Kean University today announced its new, robust financial education program set for release in Fall 2023. The dfree@KeanUniv program will further the regional impact of Kean as an anchor institution, with benefits for students and families across New Jersey. The program was launched at the Economic Empowerment: Advancing Financial Literacy Summit in Edgartown, Massachusetts, an event co-hosted with Prudential Financial that focused on how anchor institutions can uplift their local communities. The summit included remarks from Kean President Lamont O. Repollet, Ed.D.; Shane Harris, vice president, head of social responsibility and partnerships at Prudential Financial and president, The Prudential Foundation; Reta Jo Lewis, president and chair of the Board of Directors of the Export-Import Bank of the United States; Sancha K. Gray, Ed.D., senior vice president of Entrepreneurial Education Initiatives (EEI) at Kean; Clarinda Joseph-Cumberbatch, chief operating officer at Kean University Foundation; and other business leaders. Kean's mission as an anchor institution is to foster the well-being of all our students and their families. Today, we take pride in showcasing our new financial literacy initiative alongside esteemed leaders from Prudential, dfree Foundation and other key partners," said Repollet. "Our aim is to empower families to pave their own path toward success, and we recognize that financial literacy plays a vital role in this endeavor. These collaborations between public and private entities are crucial in advancing our shared objectives." Harris said Prudential is committed to developing long-term partnerships that strengthen communities as part of the companys purpose of making lives better by solving the financial challenges of the changing world. Prudential and Kean University share a common perspective as anchors in our communities, Harris said. "We are excited to be part of Keans efforts to strengthen the financial security of families in New Jersey through greater financial education, empowering todays students to be tomorrows leaders in their communities." Under the new financial education initiative, Kean will secure 1,500 licenses for a new digital tool from dfree, founded by Rev. Dr. DeForest B. Soaries Jr. to educate, motivate and support people on their path to financial freedom. The program is funded through a federal earmarked appropriation focused on generation-to-generation strategies. The app provides information about everything from reducing credit card debt to budgeting and is easily accessible on mobile devices in real time. I am honored to partner with Kean University in our launch of dfree Digital, a digital, interactive and intuitive platform that makes financial education an experience that turns into a lifestyle, Soaries said. Gray said the licenses will be distributed through Keans EEI Generation to Generation program (G2G) that supports young people and their families. Support will be provided in English and Spanish. Equipping students and their families with the dfree@KeanUniv financial literacy app supports them in making informed financial decisions and is crucial in breaking the cycle of debt and building generational wealth," said Gray, who added that the digital tool enables better management of resources and leads to improved long-term financial stability. The University will use federal funding that was sponsored by U.S. Representative Donald Payne Jr., from New Jersey's 10th Congressional District, to purchase the tool. The event was moderated by global executive and business strategist Jackie P. Taylor, who said financial education initiatives help foster a generation of financially savvy individuals. "Financial literacy is not merely about balancing budgets or understanding credit scores; it is about empowering individuals to make informed decisions in the modern financial landscape," said Taylor. "The dfree@KeanUniv Financial Literacy Program is a core component of economic empowerment and supports students and families in making smart financial choices, building wealth, and securing a brighter future for themselves. James Smith, co-founder and president of J. Anderson & Associates in Florham Park, New Jersey, spoke about the impact of financial literacy on careers. "As an executive search firm, we understand the importance of equipping students and families with financial knowledge to navigate today's complex economy. We commend Kean University for launching this new financial education initiative and are proud to be a partner in the initiative, which can make a lasting impact on countless individuals in our communities, Smith said. Joseph-Cumberbatch noted the Kean University Foundation is dedicated to garnering support for scholarships to enable more students to pursue a college education, enter the workforce, and elevate the standard of living for their families. She emphasized the crucial role that the University plays in driving economic growth in the community. Many Kean students come straight from our local communities, and an investment in their education will pay off in many ways for those around them, Joseph-Cumberbatch said. "We are proud to partner with Prudential Financial and other organizations that prioritize equity and inclusion in their endeavors. Lewis highlighted the role that Export-Import Bank of the United States (EXIM) financing can play in empowering businesses including Black-owned, women-owned, or small businesses looking to export goods overseas. We know that for EXIM to truly meet our mission and realize our agencys fullest potential, we must ensure that we continue to support and advance opportunities for historically underserved and marginalized communities, Lewis said. I look forward to continuing our productive and engaging conversation. Attachments Margaret McCorry Kean University [email protected] Source: Kean University Lery Seafood Group ASA will present its results for Q2 2023 on 23 August 2023 at Scandic Flesland Airport hotel in Bergen at 08:45 CET during the event "Vestland pa Brs". The presentation will be held in Norwegian followed by a Q&A session. You may alternatively follow the Norwegian presentation and submit questions through a live broadcast available on https://www.leroyseafood.com/no/investor/. The quarterly report and presentation will be published at 06:30 CET. A recording of the presentation in English will be available from 14:00 CET on https://www.leroyseafood.com/no/investor/. This information is subject to the disclosure requirements pursuant to Section 5-12 the Norwegian Securities Trading Act. MELBOURNE, AUSTRALIA, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Mitrade unveils its revamped branding logo along with a new slogan, "Trade Faster, Trade Smarter", to perfectly embody their vision of crafting the ultimate trading experience for traders. The new branding direction is implemented with a range of innovative enhancements aimed at providing traders with the best tools and resources. Mitrade changed its logo and slogan to better define its brand identity. With a strategic shift towards "Trade Faster, Trade Smarter", the new logo shows a modern and AI-inspired design, connecting with traders who are into technology and innovation. The incorporation of updated bright colors not only adds vibrancy but also signifies the dynamic and innovative nature of the trading platform. Trade Faster, Trade Smarter Anytime, Anywhere Mitrade enables users to dive into a trading experience that empowers them to act with speed, backed by the insights they need to trade smart - no matter where they are. Building on this brand innovation, Mitrade has masterfully woven in the capabilities of TradingView, ensuring a smooth and integrated user experience. Furthermore, with the introduction of MitradeGPT, users are now equipped with tools to make faster decisions while trading on Mitrade. Prioritizing speed, Mitrade has flawlessly incorporated TradingView, a platform trusted by over 550 million unique users, into its trading interface. This integration brings TradingView's sleek and comprehensive interface to Mitrade's platform, empowering traders to effortlessly conduct technical analysis, make well-informed predictions, and execute trades seamlessly. To assist traders in keeping in touch with the market pulse, Mitrade has integrated ChatGPT and FXStreet news insights into its platform, making the company first in the CFD world to have this kind of feature. With MitradeGPT, users can access real-time insights, personalized guidance and a quick summary to navigate the complexities of financial markets filtering out the noise from the bustling news environment. MitradeGPT is available in the brands mobile application. Mitrade Academy Now Available In 10 Languages Along with the change of the brands new slogan, Mitrade reveals the expansion of Mitrade Academys educational resources in 10 languages. This launch shows Mitrade's dedication to helping traders worldwide by giving them easy-to-understand learning materials in their native languages. By offering an extensive range of educational content, including tutorials and trading guides, in languages such as English, Spanish, Thai, Vietnamese, and more, Mitrade aims to break down language barriers and foster a more inclusive and informed trading community. Social Links Facebook: https://www.facebook.com/MitradeOfficial Twitter: https://twitter.com/MitradeOfficial LinkedIn: https://www.linkedin.com/company/mitradecom/ Instagram: https://www.instagram.com/mitrade_official/ YouTube: https://www.youtube.com/c/Mitradeglobal/ Media Contact: Brand: Mitrade Contact: Media team E-mail: [email protected] Website: https://www.mitrade.com/ SOURCE: Mitrade VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Newcore Gold Ltd. ("Newcore" or the "Company") (TSX-V: NCAU, OTCQX: NCAUF) is pleased to announce an update on exploration and development activities underway at the Companys 100% owned Enchi Gold Project ("Enchi" or the "Project") in Ghana. Diamond Drilling ("DD") at the Nyam Gold Deposit ("Nyam"), targeting high-grade mineralization in the upper portions of the sulphide mineralization, intersected 3.28 grams per tonne gold ("g/t Au") over 11.0 metres ("m"), within a broader zone of 1.58 g/t Au over 26.3 m. Nyam is one of the currently identified deposits at Enchi where drilling continues to highlight the potential for longer-term resource growth from delineating high-grade underground resources in the sulphide mineralization. In addition to drilling, results of metallurgical testing on sulphide mineralization from Nyam confirmed excellent gold recoveries averaging 91.7%. Additional exploration work underway at Enchi includes trenching on several kilometre-scale gold anomalies which continues to advance early-stage targets towards the drill testing stage, and further metallurgical testwork of both the oxide and sulphide mineralization. Highlights from Diamond Drilling and Metallurgical Testwork at Nyam Drilling targeting high-grade mineralization in the upper portions of the sulphides continues to highlight the potential for resource growth at Enchi. Hole NBDD063 intersected 1.58 g/t Au over 26.3 metres, including 3.28 g/t Au over 11.0 m and 6.57 g/t Au over 4.0 m; and Hole NBDD064 intersected 1.16 g/t Au over 36.4 m, including 2.03 g/t Au over 17.4 m. Drilling followed-up on two northerly plunging high-grade zones identified at Nyam. Five holes tested the down dip and lateral extensions to high-grade gold mineralization previously identified at Nyam in two shoots (central and southern); and To date, the Nyam deposit has only been tested to a maximum vertical depth of 350 metres and remains open for further expansion to depth. Results of metallurgical testing on sulphide material from the Nyam Gold Deposit confirms excellent recoveries from cyanidation averaging 91.7%. 14 composite samples were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). Greg Smith, VP Exploration of Newcore stated, "This latest drilling at our Enchi Gold Project continues to prove out our geological model that includes resource growth from the higher-grade mineralization at depth. Drilling followed-up on two previously identified high-grade shoots at Nyam, which remain open to depth and along strike, and highlight the resource growth potential of the high-grade mineralization in the sulphides. We continue to advance our understanding of the significant size and scale potential at Enchi, which is located on a prolific gold belt in southwest Ghana that hosts a number of multi-million-ounce gold mines." Luke Alexander, President and CEO of Newcore stated, "We continue to advance and de-risk the development of our Enchi Gold Project in Ghana. Recently completed metallurgical testwork on sulphide mineralization at Nyam has confirmed strong recoveries averaging 91.7%, continuing to highlight the longer-term potential for resource growth from higher-grade sulphide mineralization at depth. We look forward to continuing to advance the development of Enchi with additional metallurgical testwork as we prioritize targets for our next phase of drilling to commence later this year." This news release reports results for 5 DD holes totalling 2,155 m (NBDD060 to NBDD064) targeting the Nyam Gold Deposit. All holes intersected gold mineralization. Select assay results from the 5 holes of the drill program reported in this release are below: Table 1 - Enchi Gold Project Drill Highlights Hole ID Zone/Deposit From (m) To (m) Length (m) Au (g/t) NBDD060 Nyam 359.2 368.6 9.4 1.30 including 359.2 361.0 1.8 2.28 NBDD063 Nyam 482.5 509.3 26.3 1.58 including 489.0 500.0 11.0 3.28 and incl. 496.0 500.0 4.0 6.57 NBDD064 Nyam 217.2 253.6 36.4 1.16 including 219.2 236.6 17.4 2.03 Notes: 1. See detailed table for complete results; 2. Intervals reported are hole lengths with true width estimated to be 75 - 85%; and 3. Length-weighted averages from uncut assays. A plan map showing the drill hole locations can be viewed at:https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-enchi-nyam-plan-map.pdf A long section of the Nyam Gold Deposit can be viewed at:https://newcoregold.com/site/assets/files/5823/2023_08-ncau-longsection-nyam.pdf A cross section showing drill results and highlights for hole NBDD063 can be viewed at:https://newcoregold.com/site/assets/files/5823/2023_08-ncau-crosssection-nbdd063.pdf A complete list of the drill results in this release, including hole details, can be viewed at:https://newcoregold.com/site/assets/files/5823/2023_08-ncau-enchi-2023-drill-results.pdf Drilling at Nyam Diamond drilling at Nyam consisted of five holes (2,155 metres) following-up on high-grade intercepts intersected in the upper portions of the sulphide mineralization. A series of holes tested the down dip and lateral extensions to the high-grade gold mineralization. Holes NBDD060 and NBDD061 tested the southern high-grade shoot. Holes NBDD062, NBDD063 and NBDD064 tested extensions to the central high-grade shoot located 200 to 500 metres to the north. To date, the deposit has only been tested to a maximum vertical depth of 350 metres and remains open to depth and along strike. Hole NBDD063, which tested the central high-grade shoot, stepped out 75 m down dip from previously drilled hole NBDD052 which intersected 3.21 g/t Au over 15.0 m from 321.0 m. NBDD063 intersected 1.58 g/t Au over 26.3 m from 482.5 m, including 3.28 g/t Au over 11.0 m from 489.0 m. Hole NBDD064 is a lateral step out extending the high-grade zone intersected in previously drilled hole NBRC045 (1.57 g/t Au over 40.0 m from 179.0 m), intersecting 1.16 g/t Au over 36.4 m from 217.2 m, including 2.03 g/t Au over 17.4 m from 219.2 m. Hole NBDD060 is a down dip step out on the southern high-grade shoot and was drilled 90 m down dip of previously drilled hole NBDD048 which intersected 4.51 g/t Au over 13.0 m from 236.0 m. NBDD060 intersected 0.70 g/t Au over 19.7 m from 358.3 m, including 1.30 g/t Au over 9.4 m from 359.2 m. Metallurgical Testwork on Sulphide Mineralization from Nyam A series of 14 composite samples of sulphide material drilled at the Nyam Gold Deposit were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). This testwork was completed at Intertek Labs in Tarkwa, Ghana, located approximately four hours by paved road from the Enchi Gold Project. A graph showing the metallurgical testwork results can be viewed at:https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-met-graph.pdf The 14 samples included gold mineralized material from each of the five diamond drill holes completed at Nyam, with gold contents ranging between 0.62 and 9.34 g/t Au and averaging 2.08 g/t Au. There is no relationship between recovery and gold grade. The head grade for each composite was determined with two 50-gram fire assays, with all samples analysed by 48 hour optimized leach bottle roll and assays completed on solutions and tails. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a target grind size of 85% less than 45m. Some composites were conditioned with 50 g/t lead nitrate and/or 20 ppm dissolved oxygen for two hours. After which the pH was conditioned with lime to a pH of 10.5 and sodium cyanide concentration adjusted to 1000 ppm with the addition of 1 g of sodium cyanide. The samples were rolled for 48 hours and 10 mls of solutions picked at 4, 8, 24 and 32 hours to check residual cyanide and pH and adjust accordingly to original levels. The 14 composite sample are from a larger set of 27 composite samples created from 73 original coarse reject samples which include samples from each of the five diamond drill holes recently completed on the Nyam Gold Deposit. The samples are a representative set of gold mineralized material with a total weight of 225 kg. The gold content for the composites ranged between 0.56 g/t Au and 11.14 g/t Au with an average of 2.10 g/t Au. Results of the initial bottle rolls on the larger set of 27 composite samples confirmed the amenability to direct cyanidation with an average recovery of 79% in a range between 63% and 90%. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a coarser target grind of 85% less than 75m. The Kinetic Bottle Roll test included 30 mls solutions picked at 2, 4, 8, 12, 16, 20, 24, 32 and 48 hours. The solutions were analyzed for Au, cyanide and pH. Levels of cyanide concentration and pH were maintained throughout the test by being readjusted to their originals if below, after every pick and 30 mls of tap water was added after every pick to maintain the density throughout the test. The initial average recovery at the coarser grind for the samples selected for optimization testing was 81.4% increasing by +10.3% to 91.7% through the variable application of additional grinding, inclusion of lead nitrate, and oxygen. The 27 samples contain essentially no silver with only two samples reporting above the detection limit of 0.5 g/t Ag with 0.6 and 1.0 g/t Ag and showing no correlation with the gold grades. All samples reported low values for lead, zinc, and copper averaging 35, 66, 30 ppm respectively and mildly elevated arsenic averaging 233 ppm with no relationship with gold grade. Five samples were subjected to diagnostic leach and showed consistent results with total additive recoveries averaging 94.9%. The total gold that was leached by direct cyanidation with and without carbon averaged 71.0% and 69.8% respectively for the samples corresponding to a very low preg rob index of 1.2% with one outlier. Treatment via mild oxidative pre-leach averaged an additional 4.6%, with sulphuric acid treatment adding an average of a further 2.7%, and an additional 7.1% of the gold became soluble after pre-treatment with HNO3, and an average of a further 3.5% of the gold was extracted via complete oxidation by roasting. Another five representative samples (different samples than were tested by diagnostic leach) were selected for bond index determination. Samples were sent from Intertek to Jet-Com Engineering in Tarkwa, Ghana and included a range of recoveries and gold grades. The five as-received samples were crushed to 100% passing 3.35 mm and from this a 700 cm3 volume was measured and weighed to be used as feed for the bond mill. The grindability of the samples ranged from 1.78 g/rev to 2.04 g/rev with bond work indices between 9.54 KWh/t and 10.50 KWh/t indicative of low-medium hardness. Additional Metallurgical Testwork Underway Newcore continues to de-risk the Project with additional metallurgical testwork designed to optimize and improve the understanding of processing options available for Enchi. This additional metallurgical testwork for oxide and transition mineralization includes larger sized samples for column testing and a bulk-sized, bench-scale test with a pilot heap testing 15 tonne samples from the two largest deposits at Enchi, Boin and Sewum ("Pilot Tests"). Material for this testwork was sourced from trenches recently completed at Boin and Sewum, both of which encountered wide mineralized intervals. Table 2 - Enchi Gold Project Trenching Results Highlights Hole ID Deposit From (m) To (m) Length (m) Au (g/t) KBTR_MET_001 Boin 1.0 45.0 44.0 1.43 and 5.0 34.0 29.0 1.97 SWTR_MET_001 Sewum 15.0 107.0 92.0 1.18 including 27.0 40.0 13.0 2.70 including 58.0 81.0 23.0 1.78 Notes: 1. Intervals reported are trench lengths with true width estimated to be 75 - 85%; and 2. Length-weighted averages from uncut assays. Five 60 kg composite samples were collected and delivered to the independent commercial Intertek Laboratory in Tarkwa, Ghana four hours by road from the Project. The metallurgical work underway is comprised of bottle rolls as well as column tests following-up on recent positive recoveries from a series of column tests on oxide and transitional material from the Sewum and Boin Gold Deposits. The recent tests continued to have excellent recoveries with an average gold recovery of 92.4% and showed low reagent consumptions (see news release dated October 12, 2022). The additional tests will focus on further defining the optimal reagent level with a goal of lowering potential processing costs while maintaining high recoveries. The five 60 kg composites have been created from representative material sourced to reflect a range of gold grades with individual composites averaging 0.57 to 1.79 g/t Au. The Pilot Tests are being designed and overseen by the technical personnel from the University of Mines and Technology ("UMaT") located in Tarkwa, Ghana. The bulk-scale testing will be completed on 15 tonne composite samples from oxide material identified and sampled in the trenches completed for the 60 kg composites. The Pilot Tests will be completed on site at UMaT. The tests will use the optimized reagent levels as determined by the results of the additional, on-going column testwork at UMaT and Intertek. Enchi Gold Project Mineral Resource Estimate The Enchi Gold Project hosts an Indicated Mineral Resource of 41.7 million tonnes grading 0.55 g/t Au containing 743,500 ounces gold and an Inferred Mineral Resource of 46.6 million tonnes grading 0.65 g/t Au containing 972,000 ounces (see Newcore news release dated March 7, 2023). Mineral resource estimation practices are in accordance with CIM Estimation of Mineral Resource and Mineral Reserve Best Practice Guidelines (November 29, 2019) and follow CIM Definition Standards for Mineral Resources and Mineral Reserves (May 10, 2014), that are incorporated by reference into National Instrument 43-101 ("NI 43-101"). The Mineral Resource Estimate was prepared by independent qualified person Todd McCracken, P. Geo. of BBA E&C Inc. The technical report, titled "Mineral Resource Estimate for the Enchi Gold Project" has an effective date of January 25, 2023, and is available under the Companys profile on SEDAR at www.sedar.com. Nyam Gold Zone Nyam is one of the five deposits which comprise the Mineral Resource Estimate at Enchi (Indicated Mineral Resource of 7.8 million tonnes grading 0.65 g/t Au containing 162,000 ounces and Inferred Mineral Resource of 2.7 million tonnes grading 1.21 g/t Au containing 104,700 ounces). Nyam is located 15 kilometres east of the town of Enchi, with nearby roads and power and further access provided by a series of drill roads. An airborne geophysical anomaly coincident with the Nyam Gold Deposit shows a complex series of linear high conductivity trends, reflective of the multiple sub-parallel gold-bearing structures. To date, approximately 30% of the gold-in-soil anomaly is untested by drilling. Drill Hole Locations Table 3 - Enchi Gold Project Drill Hole Location Details Hole ID UTM East UTM North Elevation Azimuth Dip Length (m) NBDD060 530429 637176 99 300 -55 425.2 NBDD061 530496 637216 121 300 -50 469.8 NBDD062 530653 637504 120 300 -53 449.4 NBDD063 530818 637672 159 300 -62 532.5 NBDD064 530550 637597 124 300 -56 278.1 Table 4 - Enchi Gold Project Trench Location Details Hole ID UTM East UTM North Elevation Length (m) SWTR_MET_001 521264 627822 131 107.0 KBTR_MET_001 518939 633495 141 61.3 Newcore Gold Best Practice Newcore is committed to best practice standards for all exploration, sampling and drilling activities. Drilling was completed by an independent drilling firm using industry standard RC and Diamond Drill equipment. Analytical quality assurance and quality control procedures include the systematic insertion of blanks, standards and duplicates into the sample strings. Samples are placed in sealed bags and shipped directly to Intertek Labs located in Tarkwa, Ghana for 50 gram gold fire assay. Qualified Person Mr. Gregory Smith, P. Geo, Vice President of Exploration at Newcore, is a Qualified Person as defined by NI 43-101, and has reviewed and approved the technical data and information contained in this news release. Mr. Smith has verified the technical and scientific data disclosed herein and has conducted appropriate verification on the underlying data including confirmation of the drillhole data files against the original drillhole logs and assay certificates. About Newcore Gold Ltd. Newcore Gold is advancing its Enchi Gold Project located in Ghana, Africas largest gold producer(1). The Project currently hosts an Indicated Mineral Resource of 743,500 ounces of gold at 0.55 g/t and an Inferred Mineral Resource of 972,000 ounces of gold at 0.65 g/t(2). Newcore Gold offers investors a unique combination of top-tier leadership, who are aligned with shareholders through their 20% equity ownership, and prime district scale exploration opportunities. Enchis 216 km2 land package covers 40 kilometres of Ghanas prolific Bibiani Shear Zone, a gold belt which hosts several 5 million-ounce gold deposits, including the Chirano mine 50 kilometers to the north. Newcores vision is to build a responsive, creative and powerful gold enterprise that maximizes returns for shareholders. On Behalf of the Board of Directors of Newcore Gold Ltd. Luke AlexanderPresident, CEO & Director For further information, please contact: Mal Karwowska | Vice President, Corporate Development and Investor Relations+1 604 484 4399[email protected]www.newcoregold.com (1) Source: Production volumes for 2022 as sourced from the World Gold Council(2) Notes for Mineral Resource Estimate:1. Canadian Institute of Mining Metallurgy and Petroleum ("CIM") definition standards were followed for the resource estimate.2. The 2023 resource models used ordinary kriging (OK) grade estimation within a three-dimensional block model with mineralized zones defined by wireframed solids and constrained by pits shell for Sewum, Boin and Nyam. Kwakyekrom and Tokosea used Inverse Distance squared (ID2).3. Open pit cut-off grades varied from 0.14 g/t to 0.25 g/t Au based on mining and processing costs as well as the recoveries in different weathered material.4. Heap leach cut-off grade varied from 0.14 g/t to 0.19 g/t in the pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs.5. CIL cut off grade varied from 0.25 g/t to 0.27 g/t in a pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs.6. A US$1,650/ounce gold price was used to determine the cut-off grade.7. Metallurgical recoveries have been applied to five individual deposits and in each case three material types (oxide, transition, and fresh rock).8. A density of 2.19 g/cm3 for oxide, 2.45 g/cm3 for transition, and 2.72 g/cm3 for fresh rock was applied.9. Optimization pit slope angles varied based on the rock types.10. Reasonable mining shapes constrain the mineral resource in close proximity to the pit shell.11. Mineral Resources that are not mineral reserves do not have economic viability. Numbers may not add due to rounding.12. The resource estimate was prepared by Todd McCracken, P. Geo, of BBA E&C Inc. in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects. Todd McCracken is an independent qualified person ("QP") as defined by National Instrument 43-101. A full technical report, prepared in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects and is available under Newcores SEDAR profile at www.sedar.com. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note Regarding Forward-Looking Statements This news release includes statements that contain "forward-looking information" within the meaning of the applicable Canadian securities legislation ("forward-looking statements"). All statements, other than statements of historical fact, are forward-looking statements and are based on expectations, estimates and projections as at the date of this news release. Any statement that involves discussion with respect to predictions, expectations, beliefs, plans, projections, objectives, assumptions, future events or performance (often, but not always using phrases such as "plans", "expects", "is expected", "budget", "scheduled", "estimates", "forecasts", "intends", "anticipates", or "believes" or variations (including negative variations) of such words and phrases, or state that certain actions, events or results "may", "could", "would", "might" or "will" be taken, occur or be achieved) are not statements of historical fact and may be forward-looking statements. In this news release, forward-looking statements relate, among other things, to: statements about the estimation of mineral resources; results of metallurgical testwork, results of drilling, magnitude or quality of mineral deposits; anticipated advancement of mineral properties or programs; and future exploration prospects. These forward-looking statements, and any assumptions upon which they are based, are made in good faith and reflect our current judgment regarding the direction of our business. The assumptions underlying the forward-looking statements are based on information currently available to Newcore. Although the forward-looking statements contained in this news release are based upon what management of Newcore believes, or believed at the time, to be reasonable assumptions, Newcore cannot assure its shareholders that actual results will be consistent with such forward-looking statements, as there may be other factors that cause results not to be as anticipated, estimated or intended. Forward-looking information also involves known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to be materially different from any future results, performance or achievements expressed or implied by the forward-looking information. Such factors include, among others: risks related to the speculative nature of the Companys business; the Companys formative stage of development; the Companys financial position; possible variations in mineralization, grade or recovery rates; actual results of current exploration activities; fluctuations in general macroeconomic conditions; fluctuations in securities markets; fluctuations in spot and forward prices of gold and other commodities; fluctuations in currency markets (such as the Canadian dollar to United States dollar exchange rate); change in national and local government, legislation, taxation, controls, regulations and political or economic developments; risks and hazards associated with the business of mineral exploration, development and mining (including environmental hazards, unusual or unexpected geological formations); the presence of laws and regulations that may impose restrictions on mining; employee relations; relationships with and claims by local communities; the speculative nature of mineral exploration and development (including the risks of obtaining necessary licenses, permits and approvals from government authorities); and title to properties. Forward-looking statements contained herein are made as of the date of this news release and the Company disclaims any obligation to update any forward-looking statements, whether as a result of new information, future events or results, except as may be required by applicable securities laws. There can be no assurance that forward-looking information will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking information. Source: Newcore Gold Ltd. NEW YORK, Aug. 16, 2023 (GLOBE NEWSWIRE) -- OTC Markets Group Inc. (OTCQX: OTCM), operator of regulated markets for 12,000 U.S. and international securities, today announced Pan Global Resources Inc. (TSX-V: PGZ; OTCQX: PGZFF), a base and precious metal exploration company, has qualified to trade on the OTCQX Best Market. Pan Global Resources Inc. upgraded to OTCQX from the OTCQB Venture Market. Pan Global Resources Inc. begins trading today on OTCQX under the symbol PGZFF. U.S. investors can find current financial disclosure and Real-Time Level 2 quotes for the company on www.otcmarkets.com. The OTCQX Market is designed for established, investor-focused U.S. and international companies. To qualify for OTCQX, companies must meet high financial standards, follow best practice corporate governance, and demonstrate compliance with applicable securities laws. Graduating to the OTCQX Market from the OTCQB Market marks an important milestone for companies, enabling them to demonstrate their qualifications and build visibility among U.S. investors. The upgrade to OTCQX is a milestone for Pan Global as the Company continues to make inroads into the U.S. market, said Andy Marshall, CFO of Pan Global. Pan Global is exposed to a larger portion of the U.S. investment community with the graduation to the OTCQX. The Companys goal is to build a broader awareness of its advanced copper-tin-silver discovery at the Escacena Project, located in the prolific Iberian Pyrite Belt in the south of Spain. Pan Global is enhancing shareholder value with an ongoing multi-target drilling and exploration program and continues to identify additional mineralization." About Pan Global Resources Inc. Pan Global Resources Inc. is actively targeting copper-rich mineral deposits, given coppers compelling supply-demand fundamentals and outlook for strong long-term prices as a critical metal for global electrification and energy transition. The Companys flagship Escacena Project is located in the prolific Iberian Pyrite Belt in southern Spain, where infrastructure, mining and professional expertise, and support for copper as a Strategic Raw Material by the European Commission collectively define a tier-one jurisdiction for mining investment. The Pan Global team comprises proven talent in exploration, development, and mine operations - all of which are committed to operating safely and with utmost respect for the environment and our partnered communities. About OTC Markets Group Inc. OTC Markets Group Inc. (OTCQX: OTCM) operates regulated markets for trading 12,000 U.S. and international securities. Our data-driven disclosure standards form the foundation of our three public markets: OTCQX Best Market, OTCQB Venture Market and Pink Open Market. Our OTC Link Alternative Trading Systems (ATSs) provide critical market infrastructure that broker-dealers rely on to facilitate trading. Our innovative model offers companies more efficient access to the U.S. financial markets. OTC Link ATS, OTC Link ECN and OTC Link NQB are each an SEC regulated ATS, operated by OTC Link LLC, a FINRA and SEC registered broker-dealer, member SIPC. To learn more about how we create better informed and more efficient markets, visit www.otcmarkets.com. Subscribe to the OTC Markets RSS Feed Media Contact: OTC Markets Group Inc., +1 (212) 896-4428, [email protected] DENVER, Aug. 16, 2023 (GLOBE NEWSWIRE) -- RisX LLC, a boutique cybercompliance and IT advisory firm, is proud to announce national certification as a Womens Business Enterprise by the WBEC-West, a regional certifying partner of the Womens Business Enterprise National Council (WBENC). WBENC Certification is the gold standard for women-owned business certification in the United States. I am pleased to be recognized by WBENC as a woman-owned small business, says Kelly Fuller Gordon, Founder & CEO of RisX LLC. This certification is essential in the support of RisXs on-going mission to provide customized cybersecurity and IT services in the protection of our local communities and the Nation. According to the Small Business Administration (SBA), a record-breaking $154.2 Billion in government contracts were awarded to Small Businesses in FY22. We are excited to partner with other WOSBs and Prime Contractors to fill a critical need in the market. The WBENC standard of certification implemented by the WBEC-West is a meticulous process, including an in-depth review of the business and a site inspection. The certification process is designed to confirm the business is at least 51% owned, operated, and controlled by a woman or women, and that the business has appropriate structure and strategic business planning and implementation in place. By including women-owned businesses among their suppliers, corporations and government agencies demonstrate their commitment to fostering diversity and the continued development of their supplier diversity programs, which in turn empowers women as leaders and brings about a more diverse, balanced and sustainable economy. As a woman-owned and managed company, our goal is to provide excellence in cybersecurity and information technology services, while advancing a diverse environment within our Nations procurement landscape, said Rachelle Smith, Director at RisX. Small businesses like RisX play a crucial role in the challenging quest to secure our governments critical infrastructure and thus Americas economic prosperity. WBENC Certification combined with professional development and engagement in the WBENC network provides unsurpassed opportunities year-round, both virtually and in-person, for women-owned businesses to grow and expand their business and innovation through events, programming and connections with major corporations and other WBEs. About RisX LLC:Founded by Kelly Fuller Gordon over 25 years ago, RisX is a woman-owned, boutique cybercompliance and IT advisory firm providing tailored services to private and public sector organizations. Past clients include the National Renewable Energy Laboratory (NREL), National Aeronautics and Space Administration (NASA), Charles Schwab, Western Union, Bank of America and the Madison Ventures+ companies. RisXs services include defining a strategy for risk management programs, conducting cybercompliance assessments, providing virtual CISO services, and performing vendor risk management evaluation services and program development. RisX is based in Denver, Colorado with an office in Delray Beach, FL. To learn more about RisX, please visit their LinkedIn or website. About WBENC: Founded in 1997, WBENC is the nations leader in womens business development and the leading third-party certifier of businesses owned and operated by women, with more than 18,000 certified Womens Business Enterprises, 14 national Regional Partner Organizations, and more than 500 Corporate Members, most of which are Fortune 500. Thousands of corporations representing Americas most prestigious brands, as well as many states, cities, and other entities, look for and accept WBENC Certification. Through the Women Owned initiative, WBENC also is a leader in supporting consumer-oriented female entrepreneurs and those who do business with them by raising awareness for why, where and how to buy Women Owned. For more information, visit www.wbenc.org and www.buywomenowned.com. Media Contact:Emma Fuller[email protected]917.496.9509 Source: RisX LLC TUCSON, Ariz., Aug. 16, 2023 (GLOBE NEWSWIRE) -- On August 2, 2023 the New Jersey Board of Education (NJBOE) adopted, in a narrow 6 to 5 vote, new rules framed as amendments promoting Equity in Education. A letter from the Association of American Physicians and Surgeons (AAPS) urges the board to immediately reverse the amendments. Among other changes, the approved amendments attempt to redefine and even erase the terms male and female, the letter states. The amendments are not based on science, but instead on ever-changing sociological ideas and political influence, AAPS writes. Adopting policies that ignore that there are two sexes, male and female, risks further exacerbating harmful trends resulting in children being pressured into life-altering treatments that have little scientific evidence of their long-term safety and efficacy, AAPS explains. In the letter, AAPS also provides the NJBOE with several recent investigations, studies, and reports that explore issues of informed consent, the long-term negative consequences of puberty blockers and radical surgeries said to address gender confusion, as well as alternative options to help children facing these questions. AAPS further informs the NJBOE that public health authorities in Finland, Sweden, the Netherlands, and England have reversed course and limited these types of interventions due to concerns about safety, efficacy, and ethics. Another ongoing and related controversy in New Jersey is also addressed by AAPS. [We] oppose any policies that prohibit schools from alerting parents of a minor childs gender confusion. [N]o information can be properly withheld from a childs parents, particularly and especially when it comes to the medical and mental health of their children. The letter concludes, we should repeal the entire equity code and move far away from the so-called diversity, equity and inclusion (DEI) sociological and political movements. Lets celebrate our differences and true diversity. The Association of American Physicians and Surgeons (AAPS) is a national organization representing physicians in all specialties since 1943. Its motto is omnia pro aegroto (everything for the patient). Contact: Jane M. Orient, M.D., (520) 323-3110, [email protected] Source: Association of American Physicians and Surgeons Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - Argo Living Soils Corp. (CSE: ARGO) ("Argo") is pleased to announce the Company has negotiated a non-binding Joint Venture with Pacific Composting Inc. (Pacific Composting). The Joint Venture will see a collaboration between Argo and Pacific Composting, integrating ARGO's worm casting operations into Pacific Composting's existing operation, creating specialty products such as worm castings that can be further used by Pacific Composting in their product lines. Additionally, the Joint Venture will see Argo relocate its existing worm casting, composting operations, including state-of-the-art bioreactors currently located on Galiano Island in BC, to Pacific Composting's existing operations in Duncan, BC. The Joint Venture establishes several fundamental advantages including increasing operational efficiencies and a sharing of expertise and proprietary information. The objective being to establish an industry leader marketing organic soil, initially to the BC market, with plans to expand across Canada and Internationally. The Companies will combine their respective expertise with Ms. Andrea Blum overseeing operations; Argo's Director, Ken Bowman, assisting marketing, operations and technical support; and Argo's Director, Robert Intile, focusing on market support. Argo's Interim CEO, Mr. Peter Hoyle, states, "This is a very exciting step, which will improve the commercial viability of Argo's business. As a leading soil composting operation, we are excited to champion the importance and timeliness of composting and organic soils in shaping a healthier planet for current and future generations. In an era where sustainability is a critical necessity, composting and organic soils stand as fundamental pieces. This composting operation remains dedicated to harnessing the transformative power of composting and organic soils to create a greener, more vibrant planet for all." About Pacific Composting Inc. Pacific Composting with operations in Duncan, British Columbia was established in November 2021 by Andrea Blum, a trained Vermiculturist specializing in vermicomposting. Pacific Composting produces and markets a variety of composts, composting worms, systems and supplies. About Argo Living Soils Corp. The Company specializes in producing and developing organic products, including soil amendments, living soils, biofertilizers, vermicompost and compost tea kits formulated specifically for high-value crops. The Company's vision and overall business plan are to create an established brand of organic and/or environmentally friendly products. The Company was founded in 2018, and its original production facilities were located on Galiano Island, British Columbia. For further information please contact: Peter Hoyle Interim Chief Executive Officer Argo Living Soils Corp. Email: [email protected] The Canadian Securities Exchange has not reviewed this press release and does not accept responsibility for the adequacy or accuracy of this news release. Certain information contained herein constitutes "forward-looking information" under Canadian securities legislation. Forward-looking information includes, but is not limited to, the Company creating a brand of organic and/or environmentally friendly products. Generally, forward-looking information can be identified by the use of forward-looking terminology such as "will" or variations of such words and phrases or statements that certain actions, events or results "will" occur. Forward-looking statements are based on the opinions and estimates of management as of the date such statements are made and they are from those expressed or implied by such forward-looking statements or forward-looking information subject to known and unknown risks, uncertainties and other factors that may cause the actual results to be materially different, including receipt of all necessary regulatory approvals. Although management of the Company have attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking statements or forward-looking information, there may be other factors that cause results not to be as anticipated, estimated or intended. There can be no assurance that such statements will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking statements and forward-looking information. The Company will not update any forward-looking statements or forward-looking information that are incorporated by reference herein, except as required by applicable securities laws. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177403 Toronto, Ontario--(Newsfile Corp. - August 16, 2023) - Cipher Neutron Inc. ("Cipher Neutron" or "CN") and Strategic Resources Inc. (TSXV: SR) ("Strategic") jointly announce that they have executed a collaboration agreement (the "Collaboration Agreement") to study supplying the BlackRock Project's metallurgical facility (owned by Strategic), located in Saguenay, Qubec (Canada) with Anion Exchange Membrane ("AEM") Electrolysers. These AEM Electrolysers manufactured by Cipher Neutron will be designed to produce Green Hydrogen in order to support the transition to Green Steel. As per Strategic's recent NI 43-101 Feasibility Study, Strategic plans on using natural gas for its Tenova HYL Energiron direct reduction plant, which can also use hydrogen. The Collaboration Agreement will enable Strategic to accelerate its hydrogen development roadmap and move towards producing near emissions free iron metallic products for use in electric arc furnaces and steel foundries. Collaboration Agreement - Green Hydrogen AEM Electrolyser Technology of Cipher Neutron The Collaboration Agreement enables Strategic to consider the use of AEM Electrolysers from CN for the BlackRock Project including its iron, titanium and vanadium production at its metallurgical processing plant to be located adjacent to the Port of Saguenay, Quebec, in Canada. Cipher Neutron's innovative products, such as its proprietary AEM Electrolysers, have unique advantages over other Green Hydrogen production technologies and, more specifically, these are as follow: CN AEMs provide greater electrical efficiency versus its technology peers, leading to lower hydrogen costs. CN AEM Electrolysers are free of Platinum and Iridium materials, harmful PFAS (Polyfluoroalkyl Substances) chemicals and polluting metals, leading to lower costs, enhanced availability and shortened lead times and delivery schedules. CN's AEM membranes have demonstrated longer life, leading to lower sustaining capital and operating costs. CN's Green Hydrogen is provided at pressures of up to 30 bar, reducing the need for compressors and excess power required by compressors, further reducing the capital and operating costs. The BlackRock Project's leased industrial site at the Port of Saguenay provides an ideal location to deploy Cipher Neutron's Green Hydrogen AEM Electrolysers. Strategic can utilize the Green Hydrogen in its Hydrogen-ready Tenova HYL Energiron direct reduction plant for the production of high purity, clean metallic iron products, a key component of Green Steel. Strategic has an established business footprint in Quebec and CN's supply of AEM Electrolysers for this multi-Megawatt capacity project is well-suited for Strategic, Cipher Neutron, the Province of Quebec and North America. Magnitude of the Collaboration Strategic has completed a bankable feasibility of the fully permitted BlackRock Project, which, along with it's Environmental Certificates of Authorization from the Province of Quebec, demonstrate significant achievements of advanced greenhouse gas ("GHG") reduction with proven technologies. The work contemplated by CN and Strategic for the first phase of the collaboration will consider the possibility of further advancing the GHG reduction achievements of Strategic towards even lower emissions. CN will provide the design for the initial implementation of approximately eighty-four (84) 250-Kilowatt AEM Green Hydrogen Electrolysers to produce approximately ten (10) tonnes of Green Hydrogen gas per day to be utilized in the reduction of iron and potentially other purposes for the BlackRock Project. If the initial project phase is implemented, the supply of AEM Green Hydrogen Electrolysers could represent meaningful revenue to Cipher Neutron and, subject to the supply of available electrical energy and other factors, could eventually scale up to over two hundred (200) 250-Kilowatt Electrolysers to produce up to thirty (30) tonnes of Green Hydrogen per day for the BlackRock Project. Sean Cleary, CEO of Strategic Resources, stated, "Cipher Neutron's AEM technology has significant game-changing potential and is an excellent fit with the developing hydrogen strategy of Strategic's BlackRock Project. We look forward to incorporating the AEM electrolyser technology, such as that of Cipher Neutron, to foster a greener and more sustainable future in line with global reduction of GHG. Strategic Resources has a long history of fostering green and sustainable technology and we welcome the opportunity to be a part of the development of the growing Green Hydrogen Economy and support the transition to Green Steel for the Western World." Gurjant Randhawa, President and CEO of Cipher Neutron, stated, "Cipher Neutron welcomes this very significant alliance with Strategic Resources on its ongoing energy projects. Approximately seven percent (7%) of the total carbon dioxide produced in the world emanates from the iron and steel industries. We commend Strategic Resources for its leadership in Green Hydrogen adoption which can considerably change forever these highly intense GHG emissions sectors. We believe that carbon emissions and other harmful impacts on our environment can be reduced drastically in the Province of Quebec and across the globe by deploying CN's AEM Electrolysers." About Strategic Resources Inc. Strategic Resources Inc. (TSXV:SR) is a critical mineral exploration and development company focused on high-purity iron and vanadium projects in Canada and Finland. The Company is developing its flagship BlackRock Project, which is a fully permitted and ready to construct mine, concentrator and metallurgical facility located at a seaport in Quebec with full access to the St. Lawrence Seaway. The Company's Head Office is in Montreal, Quebec. Further details are available on the Company's website at: https://strategic-res.com/ About Cipher Neutron Inc. Cipher Neutron is a rapidly growing disruptive technology company focused on AEM Electrolysers for Green Hydrogen production and Reversible Fuel Cells for power generation and Energy Storage Solutions. Cipher Neutron is a global group of scientists, engineers, technology developers, experts in hydrogen technology, investment bankers and people that have worked in hydrogen for decades. Cipher Neutron's innovative products, such as AEM Electrolysers and Reversible Fuel Cells have unique advantages over other Green Hydrogen production, power generation and energy storage solutions currently available in the global market. Please see: https://cipherneutron.com For more information, please contact: Gurjant Randhawa, CEO & President Cipher Neutron Inc. 101 - 501 Alliance Avenue Toronto, Ontario M6N 2J1 +1 (647) 803-0002 [email protected] Nancy Massicotte Vice-President Corporate Development Cipher Neutron Inc. +1 (604) 507-3377 [email protected] Forward-Looking Statements: This news release may contain forward-looking statements regarding future events, financial performance, or business strategies of Cipher Neutron Inc. and Strategic Resources Inc. These statements are subject to risks and uncertainties that could cause actual results or outcomes to differ materially from those expressed or implied in possible forward-looking statements. Cipher Neutron Inc. undertakes no obligation to update or revise any such forward-looking statements, if any, whether as a result of new information, future events, or otherwise. Cipher Neutron Inc. reserves the right to modify, amend or update any information in this news release without prior notice. Cipher Neutron Inc. is not required and may not inform readers of any such changes or any updates to this news release to reflect subsequent developments. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177440 BAKU, Azerbaijan, August 16. Azerbaijani Army has prevented another provocation of Armenian armed forces, Trend reports. "At about 09:00 (GMT+4) on August 16, a quadcopter belonging to the Armenian armed forces attempted to conduct reconnaissance flights over the positions of the Azerbaijani Army located in the direction of Sadarak district of Azerbaijan's Nakhchivan Autonomous Republic. As a result of the fire opened by Azerbaijani Army units in order to prevent provocation, the quadcopter was forced to return to Armenia," the Ministry of Defense of Azerbaijan said in a statement. Digital Boost, the renowned digital marketing agency, is thrilled to announce its expansion into Saudi Arabia. Sheikh Zayed City, Egypt--(Newsfile Corp. - August 16, 2023) - Digital Boost, the renowned digital marketing agency, is thrilled to announce its expansion into Saudi Arabia. With its exceptional expertise and comprehensive suite of services, Digital Boost aims to revolutionize the digital marketing landscape in the Kingdom, helping businesses thrive in the digital era. As the demand for digital marketing services continues to grow, Digital Boost recognizes the immense potential that lies within the Saudi Arabian market. The country's evolving business landscape and increasing internet penetration make it a prime location for the agency's expansion. By extending its services to Saudi Arabia, Digital Boost is poised to create unparalleled value for businesses seeking to strengthen their digital presence. With a proven track record of delivering exceptional results for clients across various industries, Digital Boost has positioned itself as a leader in the digital marketing space. Through its expansion into Saudi Arabia, the agency aims to leverage its vast knowledge and experience to assist businesses in reaching their full potential. The expansion into Saudi Arabia will allow Digital Boost to offer its comprehensive range of digital marketing services to businesses in the region. From search engine optimization (SEO) and social media marketing to content creation and web design, the agency provides a holistic approach to digital marketing, ensuring businesses have a strong online presence and a competitive edge. One of the key aspects that sets Digital Boost apart is its commitment to customization. Recognizing the uniqueness of each business, the agency tailors its digital marketing strategies to meet the specific needs and objectives of its clients. By taking a personalized approach, Digital Boost ensures that businesses achieve the best possible outcomes and stay ahead of the competition. Digital Boost's expansion into Saudi Arabia is aligned with the country's Vision 2030, an ambitious initiative that aims to diversify the economy and foster entrepreneurship. By offering its expertise and support to businesses in the region, Digital Boost is actively contributing to the Kingdom's economic growth and development. Commenting on the expansion, Muhammad Eltiti, Partner of Digital Boost, stated, "We are thrilled to bring our expertise and innovative digital marketing solutions to businesses in Saudi Arabia. Our expansion into the Kingdom signifies our commitment to helping businesses unlock their full potential in the digital age. By combining our global experience with our deep understanding of the local market, we are confident that we can deliver exceptional results and drive substantial growth for our clients." Digital Boost's expansion into Saudi Arabia comes at a time when businesses are increasingly recognizing the importance of establishing a strong online presence. With the COVID-19 pandemic accelerating digital transformation, companies need to adapt to the evolving market dynamics to ensure their continued success. As part of its expansion plans, Digital Boost will be establishing a dedicated team in Saudi Arabia consisting of local experts who possess deep market knowledge. This ensures that the agency can effectively cater to the unique requirements of businesses operating in the Kingdom. By fostering local talent and creating job opportunities, Digital Boost is actively contributing to the growth of the Saudi Arabian economy. Businesses in Saudi Arabia can now leverage Digital Boost's expertise to enhance their digital marketing strategies and drive business growth. Through its cutting-edge technologies, innovative ideas, and data-driven approach, Digital Boost aims to empower businesses to navigate the digital landscape with confidence and achieve their goals. Digital Boost's expansion into Saudi Arabia marks a significant milestone for the agency, further strengthening its position as a leading digital marketing provider. As the agency continues to expand its footprint across the region, it remains committed to delivering exceptional services and driving meaningful growth for businesses in Saudi Arabia and beyond. About Digital Boost: BOOST was founded 15 years ago by Sherif Makhlouf and Muhammad Eltiti in Toronto, Canada and have been working since then as a global digital marketing leader serving Middle East, US, Canada, and Europe clients from all different sizes and industries, including Fortune 500 Companies. For media inquiries, please contact: Contact Info: Name: Muhammad Eltiti Email: [email protected] Organization: Digital Boost Website: https://www.digitalboost.me/ To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177284 Vancouver, British Columbia--(Newsfile Corp. - August 16, 2023) - Eureka Lithium Corp. (CSE: ERKA) (OTC Pink: SCMCF) (FSE: S580) ("Eureka Lithium" or "Eureka" or the "Company"), owner of the largest lithium-focused land package with 1,408 sq. km in the top third of Quebec known as Nunavik, is pleased to report that its 15-person crew has completed a successful first-pass field program at the Company's Raglan West Lithium Project in northern Quebec. Raglan West Highlights: A total of 61 rock samples were collected at Raglan West and have been submitted for assaying, bringing the total number of samples collected to date to 145 (83 across the 736 sq. km New Leaf Project in southern Nunavik, refer to July 18, 2023 news release); Sampling at Raglan West has focused on the two dozen (24) pegmatite units identified to date, with many of these pegmatites hosted in mafic rocks ranging from metabasalts to metadiorites. Pegmatite compositions range from leucogranite to syeno and monzogranite, with accessory minerals such as biotite, muscovite, and garnet; The high presence of metamorphosed supracrustal rocks at Raglan West provides a favorable geological setting for LCT (lithium-cesium tantalum) pegmatite systems; All Raglan West lake bottom sediment values from the Quebec government database were sampled to provide information on surrounding plutonic rocks. Raglan West contains some of the highest lithium and cesium values in lake bottom sediments (99th percentile) in the Quebec government database (refer to April 19, 2023 news release). Jeffrey Wilson, Eureka President and CEO commented, "Our crews are efficiently covering a lot of ground very quickly in this first-pass program with follow-up to come. We're very encouraged by the early indications of a number of pegmatites in supracrustal rocks which is a favourable factor for LCT pegmatite exploration at Raglan West and we look forward to more updates during the second half of August as work on the ground continues and more results become available." Raglan West covers 443 square kilometres and is located approximately 30 km southwest of the community of Salluit where Eureka has a camp facility and all necessary supplies and logistics to carry out an expanded program at this project over the coming weeks, including potential drilling. Historically, Raglan West has never been systematically explored for lithium. However, historical work reported favorable geological and geochemical characteristics now considered highly prospective for hosting lithium-bearing pegmatite systems. Eureka's initial reconnaissance work during this current program has confirmed the favorable geological and geochemical characteristics of the Raglan West Project. Figure 1: Ground Truth Exploration team member sampling pegmatites at Raglan West To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9639/177422_571f2f88541769bf_002full.jpg GroundTruth Exploration, Eureka's exploration partner, is utilizing a highly trained and experienced team of LCT (lithium-cesium-tantalum) pegmatite prospectors who are investigating and sampling all known and newly located pegmatites in this underexplored region. Prospectors are navigating traverse routes using GPS-enabled tablets loaded with all available data, including Quebec geology, geochemistry, ArcticDEM and multispectral satellite imagery, to vector precisely to outcrops and zones of highest potential. Samples are being analyzed on site with LIBS (laser-induced breakdown spectroscopy) and XRF (X-ray fluorescence) for lithium and pathfinder geochemistry for real-time follow-up during the program. Priority pegmatite zones encountered are being surveyed with UAV (unmanned aerial vehicle) drone for orthoimagery and DEM (digital elevation model) for a high-resolution 3-D map of the pegmatite systems. Figure 2: Raglan District Map To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/9639/177422_571f2f88541769bf_003full.jpg Corporate Video To view a new Eureka Lithium corporate video, "Leading the Charge", visit www.EurekaLithiumCorp.com (https://eurekalithiumcorp.com) or the following URL: https://youtu.be/zqlKmaFSQQU Cautionary Statement: Investors are cautioned that the lake bottom sediments information is taken from the publicly available sources in the Quebec government database. The Company has not been able to independently verify the information contained. The information is not necessarily indicative of the mineralization on the Property, which is the subject of this news release. There is no guarantee that significant discovery will be made as a result of its current exploration efforts. Corporate Presentation Visit the Eureka Lithium homepage or click on the following URL to view the Company's Corporate Presentation: https://eurekalithiumcorp.com/EurekaLithium_June16_2023.pdf Qualified Person The scientific and technical content of this news release has been reviewed and approved by Afzaal Pirzada, P. Geo., who is a "qualified person" as defined by National Instrument 43-101 - Standards of Disclosure for Mineral Projects. About Eureka Lithium Corp. Eureka Lithium is the largest lithium-focused landowner in the northern third of Quebec, known as the Nunavik region, with 100% ownership of three projects comprising 1,408 sq. km in the emerging Raglan West, Raglan South and New Leaf Lithium Camps. These claims were acquired from legendary prospector Shawn Ryan and are located in a region that hosts two operating nickel mines with deep-sea port access. Contact Information: For more information please contact: Jeffrey Wilson Chief Executive Officer Email: [email protected] Cautionary Statement Certain statements contained in this news release, including statements which may contain words such as "expects", "anticipates", "intends", "plans", "believes", "estimates", or similar expressions, and statements related to matters which are not historical facts, such as statements regarding the contemplated completion of the Acquisition and the Concurrent Financing, are forward-looking information within the meaning of applicable securities laws. Such forward-looking statements reflect management's expectations and are based on certain factors and assumptions and involve known and unknown risks and uncertainties which may cause the actual results, performance, or achievements to be materially different from future results, performance, or achievements expressed or implied by such forward-looking statements. These factors should be considered carefully, and readers should not place undue reliance on the Company's forward-looking statements. The Company believes that the expectations reflected in the forward-looking statements contained in this news release are reasonable, but no assurance can be given that these expectations will prove to be correct, nor that the Acquisition will be completed as contemplated, or at all, or that the Concurrent Financing will be completed as contemplated, or at all. The Company undertakes no obligation to release publicly any future revisions to forward-looking statements to reflect events or circumstances after the date of this news or to reflect the occurrence of unanticipated events, except as expressly required by law. The Canadian Securities Exchange (CSE) has not reviewed, approved, or disapproved the contents of this press release. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177422 Toronto, Ontario--(Newsfile Corp. - August 16, 2023) - Glow LifeTech Corp. (CSE: GLOW) (OTCQB: GLWLF) (FSE: 9DO) ("Glow" or the "Company") is pleased to announce it has successfully completed its first production and shipment to the Ontario Cannabis Store ("OCS"), marking its entrance into the Canadian adult-use cannabis market. The product is expected to be available in select Ontario retail locations and online at www.ocs.ca in the middle of September 2023. Glow launched with MODTM THC Berry drops, a brand of naturally flavoured water-soluble drops featuring its proprietary MyCell Technology. The Company successfully completed its first commercial-scale production of its proprietary MyCell cannabis ingredients and MODTM products at its Canadian processing facility. In the coming months, Glow expects to launch additional SKUs and its second brand, .decimalTM, into the Canadian market. "This inaugural shipment to the Ontario Cannabis Store marks a major milestone for Glow Lifetech and we're extremely proud to bring our breakthrough MyCell Technology to Canada's largest cannabis market," said Rob Carducci, Chief Commercial Officer, Glow LifeTech. "We look forward to continuing to expand our available product portfolio and distribution network across Canada." Glow's MOD drops To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/3920/177405_6ce54bc974cafb55_001full.jpg MOD drops are water-soluble cannabis extract drops with a burst of natural flavour (or flavourless versions), quick onset, and zero sugar. Packaged in a compact easy-to-dose squeeze dropper bottle, where 1 drop delivers 1 mg of cannabinoids, MOD gives consumers the freedom to customize your cannabis experience, your own way, whenever, wherever. It features Glow's 100% natural MyCellTM rapid onset technology for faster, more consistent absorption and great taste. MOD is setting a new standard for a more enjoyable and effective cannabis experience you can feel good about. OCS is the largest provincial distributor of cannabis products in Canada, the sole wholesaler to more than 1,600 privately owned retail cannabis stores in Ontario, and the only regulated online retailer of adult-use cannabis products in the province. Wholly owned by the Province of Ontario, OCS works with Licensed Producers authorized by Health Canada. All Ontario retailers purchase their product inventory through the OCS and Ontario consumers are able to buy select products online through www.ocs.ca. Recent News The Company recently announced it has received its first product listing and initial purchase orders from the Ontario Cannabis Store ("OCS") for its newly launched MOD THC Berry drops. Full Story Here: https://bit.ly/3QzGrQ5. SUBSCRIBE: For more information on Glow or to subscribe to the Company's mail list visit: https://www.glowlifetech.com/news. About Glow LifeTech Corp. Glow LifeTech is a Canadian-based biotechnology company focused on producing nutraceutical and cannabinoid-based products with dramatically enhanced bioavailability, absorption and effectiveness. Glow has rights to the groundbreaking, plant-based MyCell Technology delivery system, which transforms poorly absorbed natural compounds into enhanced water-compatible concentrates that unlock the full healing potential of the valuable compounds. Website: www.glowlifetech.com Contact: James Van Staveren Glow LifeTech Corp. TF. 855-442-GLOW (4569) ir@glowlifetech.com Forward-looking Information Cautionary Statement Except for statements of historic fact, this news release contains certain "forward-looking information" within the meaning of applicable securities law. Forward-looking information is frequently characterized by words such as "plan", "expect", "project", "intend", "believe", "anticipate", "estimate" and other similar words, or statements that certain events or conditions "may" or "will" occur. Forward-looking statements are based on the opinions and estimates at the date the statements are made, and are subject to a variety of risks and uncertainties and other factors that could cause actual events or results to differ materially from those anticipated in the forward-looking statements including, but not limited to delays or uncertainties with regulatory approvals, including that of the CSE. There are uncertainties inherent in forward-looking information, including factors beyond the Company's control. There are no assurances that the commercialization plans for the technology described in this news release will come into effect on the terms or time frame described herein. The Company undertakes no obligation to update forward-looking information if circumstances or management's estimates or opinions should change except as required by law. The reader is cautioned not to place undue reliance on forward-looking statements. Additional information identifying risks and uncertainties that could affect financial results is contained in the Company's filings with Canadian securities regulators, which filings are available at www.sedar.com. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177405 Road Town, British Virgin Islands--(Newsfile Corp. - August 15, 2023) - As a world-class digital asset exchange, LBank continues to focus on providing its users with quality projects to participate in. Here is a weekly report made by LBank Exchange presenting this week's exciting new listings and a summary of the ones listed last week, offering users more information to help better understand these unique opportunities. New Listings on LBank Exchange Scheduled this week starting on 14th August 2023. For a more complete list please follow our Twitter @LBank_Exchange LBank Weekly Listing Report, 14th August 2023 To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8831/177332_e00e655d74e61b17_001full.jpg Project: TRU Listing date: 15th August Key words: DeFi/DAO, ERC20 Official Website: www.truefi.io About: TrueFi is infrastructure for digital asset credit markets. TrueFi connects lenders, borrowers, and portfolio managers via smart contracts governed by the TRU token. Borrowers include leading crypto-focused institutions, as well as fintech companies, credit funds, and traditional finance firms. Project: LF Listing date: 16th August Key words: Public Chain, KLAY Official Website: https://www.lf-foundation.com/ About: Logistic Fundamental (LF) is a project focused on existing global distribution channels, including the e-commerce market. Its objective is to usher in a new era in the decentralized e-commerce and global distribution markets through the application of blockchain technology. Project: XRPH Listing date: 16th August Key words: Utility Token, Mainnet Official Website: https://xrphealthcare.com/ About: XRPH is an innovative, scalable solutions company utilizing Web3 technology to revolutionize the way people access and afford healthcare services globally. XRP Healthcare (XRPH) is at the forefront of healthcare innovation, utilizing Web3 technology to create a decentralized marketplace for pharmaceuticals and healthcare services on the XRP Ledger. Project: CRYN Listing date: 16th August Key words: DeFi, ERC20 Official Website: https://cryncoin.io/ About: CrynCoin (CRYN) is a cryptocurrency that emerged with the digital financial evolution, helping human rights development, bridging the gap for a better world to achieve a healthy environment, without hunger, enriching the right to learn and respect the nature of each culture, achieving sustainable social development for new generations. Project: SIX Listing date: 17th August Key words: DeFi/Public Chain, ERC20&BEP20 Official Website: https://www.six.network About: SIX Network is the company that has been established by Computerlogy, FSN and Ookbee U (The joint venture between Tencent and Ookbee) with the aim of reinventing the digital economy. The company has created the SIX token to serve as the medium for the infrastructure of the SIX ecosystem in a variety of uses. Summary of Last Week's Listings - 7th August 2023 to 13th August 2023 Summary of Last Week's Listings - 7thAugust 2023 to 13thAugust 2023 To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8831/177332_e00e655d74e61b17_002full.jpg Name: HOUND Weekly gain: 2861924% Official Website: https://hound-main.xyz/ Trade here: https://www.lbank.com/trade/hound_usdt/ Name: BUM Weekly gain: 47% Official Website: https://bumup.io/ Trade here: https://www.lbank.com/trade/bum_usdt/ Name: PNDC Weekly gain: 49% Official Website: https://www.pond0x.com/ Trade here: https://www.lbank.com/trade/pndc_usdt/ Name: DAGS Weekly gain: 331% Official Website: https://www.dagcoin.org Trade here: https://www.lbank.com/trade/dags_usdt/ Name: JPC Weekly gain: 53% Official Website: https://jp-ex.io/en/home Trade here: https://www.lbank.com/trade/jpc_usdt/ About LBank LBank is one of the top crypto exchanges, established in 2015. It offers specialized financial derivatives, expert asset management services, and safe crypto trading to its users. The platform holds over 9 million users from more than 210 regions across the world. LBank is a cutting-edge growing platform that ensures the integrity of users' funds and aims to contribute the global adoption of cryptocurrencies. Start Trading Now: lbank.com Community & Social Media: l Telegram l Twitter l Facebook l LinkedIn l Instagram l YouTube Contact Details: LBK Blockchain Co. Limited LBank Exchange [email protected] [email protected] To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177332 Ottawa, Ontario--(Newsfile Corp. - August 16, 2023) - Northern Graphite Corporation (TSXV: NGC) (OTCQB: NGPHF) (FSE: 0NG) (XSTU: 0NG) (the "Company" or "Northern") announces that as a result of a review by staff of the Ontario Securities Commission (the "OSC"), it has filed an amended version of the technical report dated July 1, 2022 entitled "Okanjande Graphite Project Preliminary Economic Assessment Study Report" (the "Amended Technical Report") relating to the preliminary economic assessment ("PEA") for its Okanjande, Namibia graphite project and has amended certain parts of its investor materials and is issuing this news release to clarify certain disclosure by the Company with respect to future production targets. The Amended Technical Report has not impacted the material components of the PEA, notably resources, metallurgy, mine plan, cost estimates, economic analysis and environmental matters. The Amended Technical Report dated July 28 2023 maintains an effective date of July 1, 2022 and can be found under the Company's profile on SEDAR at www.sedar.com and on the Company's website. The original technical report dated July 1, 2022 has been amended solely to: Remove confidentiality statements by the authors which purported to limit the use or publication of the report in a manner which interfered with the Company's obligation to reproduce the report by filing it on SEDAR; Remove disclaimer statements by the authors which purported to disclaim responsibility by the qualified persons for the report for any information in the report; Revise statements which used the term "ore" in the context of mineral resources only (while the Okanjande project was previously in production, the Company's PEA on the project is not sufficient to establish a mineral reserve and accordingly use of the term "ore" is not appropriate in the PEA or other corporate disclosure); and Remove statements which provided aspirational production rates or targets unsupported by the report. The Amended Technical Report addresses comments raised by the OSC in the course of its review. In addition, in certain parts of its investor materials and other disclosures, the Company disclosed production rates or targets which are not yet supported by technical reports prepared in accordance with National Instrument 43-101 ("Technical Reports"). In particular, the Company has disclosed combined planned or forecast production of up to 300,000tpy from its Lac des Iles, including Mousseau West, Okanjande and Bissett Creek projects (with production rates of Lac des Iles, including Mousseau West - 25,000-50,000tpy; Okanjande - 100,000-150,000tpy; and Bissett Creek - 80,000-100,000tpy), while only current and planned capacity of up to 100,000tpy is supported by Technical Reports at the present time (with production rates of Lac des Iles - 25,000tpy with current production of 15,000tpy; Okanjande - 31,000 tpy; and Bissett Creek - 44,000tpy). The Company wishes to clarify that these planned or forecast production rates are "aspirational" rates or targets only since they are not supported by current Technical Reports. Accordingly, the Company retracts all statements in respect of such planned or forecast production rates. The Company's Corporate Presentation, Fact Sheet and website have also been updated to remove these aspirational production rates or targets which are not yet supported by Technical Reports. Based on the size of the resources identified at the Company's projects relative to the production levels currently envisioned, rapidly evolving graphite market dynamics and the Company's ongoing negotiations with partners for the contemplated construction of battery anode material processing facilities, the Company is currently undertaking or planning to undertake PEAs on its projects that will evaluate the potential to mine material at the Mousseau deposit and process it at Lac des Iles, expand the capacity at Okanjande and expand the capacity at Bissett Creek. The Company does not consider the amended technical report to be material to the Company. "While Northern plans to expand production, targets need to be confirmed by these studies. Northern's projects have the resources and the technical potential to support its strategy to become an integrated, mine-to-market supplier to traditional customers and serve new demand stemming from the energy transition and widescale electrification," said Northern Chief Executive Officer Hugues Jacquemin. About Northern Graphite Northern is a Canadian, TSX Venture Exchange listed company that is focused on becoming a world leader in producing natural graphite and upgrading it into high value products critical to the green economy including anode material for lithium-ion batteries/EVs, fuel cells and graphene, as well as advanced industrial technologies. Northern is the only significant graphite producing company in North America and will become the third largest producer outside of China when its Namibian operations come back online. The Company also has two large scale development projects, Bissett Creek in Ontario and Okanjande in Namibia, that will be a source of continued production growth in the future. All projects have "battery quality" graphite and are located close to infrastructure in politically stable jurisdictions. For further information contact Guillaume Jacq, CFO Telephone: (613) 271-2124 Email: [email protected] Qualified Person Gregory Bowes, B.Sc. MBA P.Geo, the Chairman of Northern, is a "qualified person" as defined under National Instrument 43-101 and has reviewed and approved the content of this news release. For additional information Please visit the Company's website at http://www.northerngraphite.com/investors/presentation/, the Company's profile on www.sedar.com, our Social Channels listed below or contact the Company at (613) 271-2124. LinkedIn YouTube Twitter Facebook Cautionary Note Regarding Forward-Looking Statements This news release contains certain "forward-looking statements" within the meaning of applicable Canadian securities laws. Forward- looking statements and information are frequently characterized by words such as "plan", "expect", "project", "intend", "believe", "anticipate", "estimate", "potential", "possible" and other similar words, or statements that certain events or conditions "may", "will", "could", or "should" occur. Forward-looking statements in this release include statements regarding, among others, the Company's intentions to complete certain PEAs on its projects, the possible results of those PEAs and the Company's intentions with respect to advancing its developments projects to production and developing the capacity to manufacture value added products. All such forward-looking statements are based on assumptions and analyses made by management based on their experience and perception of historical trends, current conditions and expected future developments, as well as other factors they believe are appropriate in the circumstances. However, these statements are subject to a variety of risks and uncertainties and other factors that could cause actual events or results to differ materially from those projected including, but not limited to unexpected changes in laws, rules or regulations, or their enforcement by applicable authorities; the failure of other parties to perform as agreed; social or labour unrest; changes in commodity prices; unexpected failure or inadequacy of infrastructure and the failure of ongoing and contemplated studies to deliver anticipated results or results that would justify and support continued studies, development or operations. Readers are cautioned not to place undue reliance on forward-looking information or statements. Although the forward-looking statements contained in this news release are based on what management believes are reasonable assumptions, the Company cannot assure investors that actual results will be consistent with them. These forward-looking statements are made as of the date of this news release and are expressly qualified in their entirety by this cautionary statement. Subject to applicable securities laws, the Company does not assume any obligation to update or revise the forward-looking statements contained herein to reflect events or circumstances occurring after the date of this news release. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177450 Award-winning Senior Care Authority franchise owner recognized for his commitment and involvement in the Alzheimer's community. Melville, New York--(Newsfile Corp. - August 16, 2023) - Senior Care Authority, the award-winning senior placement and eldercare consulting brand, is proud to announce their franchisee, Adam Flattau, was recognized as a 2023 Franchise Rock Star by franchise research firm Franchise Business Review. Flattau owns the NY Suffolk and Nassau counties (Long Island) in the State of New York. Visit https://franchisebusinessreview.com/ to see the complete list of 2023 Franchise Rock Stars. Senior Care Authority Franchisee Recognized for Giving Back to His Community To view an enhanced version of this graphic, please visit: https://images.newsfilecorp.com/files/8814/177443_74a4c89274508bbf_001full.jpg Flattau was selected from over 36,000 franchisees representing more than 360 brands that participated in Franchise Business Review's research. Leadership at each franchise nominates its Franchise Rock Stars for one of eight categories. Categories included: Giving Back, Women-Owned, Millennial-Owned, Veteran-Owned, Family-Owned, Freshman, Top Performers, and Multi-Unit Owners. Flattau was nominated in the Giving Back category for his extensive involvement in the Alzheimer's Association and various other senior-related community organizations. "Adam serves as a beacon of compassion in the senior care industry, he shines as a testament to what it means to be truly dedicated," remarked Frank Samson, Founder and CEO of Senior Care Authority. "His unwavering commitment to his community, coupled with his boundless work ethic and caring nature, has not only earned him a well-deserved award but also serves as inspiration for us all. With every step he takes, Adam forges a path to a stronger, more compassionate franchise brand, which is really what makes this brand and franchise opportunity so meaningful." Flattau began his business with Senior Care Authority in 2018. "Thirty years of being successful in Corporate America was financially rewarding, but it lacked a sense of giving back or contributing to my community in a meaningful way," Flattau explained. "Senior Care Authority walks the walk and talks the talk with compassion, honesty, transparency, and accessibility. Those were among the traits that first stood out to me. After a considerable amount of phone conversations and in-person meetings with franchisees and the corporate team, I was convinced that this franchise is committed to servicing its franchisees and growing the whole system. I was also attracted to the dual revenue model of placement income and consulting income. It allows me to better serve my clients and their families with individualized care plans." More information can be found at https://www.seniorcareauthority.com/locations/long-island-ny/. About Senior Care Authority: Senior Care Authority was founded in 2009, began franchising in 2014, and currently serves locations in 30 states. The network consists of professionally trained and experienced local advisors who assist families with the overwhelming challenges associated with selecting the best options in assisted living, memory care, nursing care, and navigating through a complex healthcare system. Senior Care Authority is also the exclusive provider of the Beyond Driving with Dignity program. Senior Care Authority has 80 locations in 30 states and additional locations slated to open in 2023. To learn more about the Senior Care Authority franchise, visit https://www.seniorcareauthority.com/franchise. About Franchise Business Review: Franchise Business Review (FBR) is a leading market research firm serving the franchise sector. FBR measures satisfaction and engagement of franchisees and franchise employees and publishes various guides and reports for entrepreneurs considering an investment in a franchise business. Since 2005, FBR has surveyed hundreds of thousands of franchise owners and over 1,200 leading franchise companies. Contact Info: Name: Adam Flatau Email: [email protected] Organization: Senior Care Authority Long Island, New York Address: 105 Maxess Rd., Suite 124 , Melville, New York 11747, United States Website: https://www.seniorcareauthority.com/longisland/ To view the source version of this press release, please visit https://www.newsfilecorp.com/release/177443 Paid press release content from The Financial Capital. The StreetInsider.com news staff was not involved in its creation. From 2008 to 2023, Bao Minh Private Detective successfully handled thousands of cases for individuals and hundreds of cases for organizations. Established in 2008 in Hanoi, Vietnam, Bao Minh Private Detective is a company providing professional detective services for individuals, organizations and businesses nationwide. With over 15 years of operation and development, the company has fulfilled client expectations in thousands of cases ranging from simple to complex. Bao Minh Private Detective takes pride in earning the trust of a wide range of clients and becoming a long-term partner for numerous individuals, businesses, and organizations. Bao Minh Private Detective shares on the website: "We believe that delivering customer satisfaction is the key to sustainable development and long-term existence. The mission of Bao Minh is to provide peace of mind to our clients, address information-related issues, and safeguard the rights of our clients most professionally and effectively." The company aims for the operational goal: "We aspire to build trust and strong confidence from our clients, not only for our company but also for the entire detective market in Vietnam." The services at Bao Minh Private Detective include: Information search Surveillance and monitoring Infidelity investigation Family information investigation Business capability assessment Missing person search Security protection services Business partner information search and evaluation Market information provision (for investment purposes) Other detective services. The cost for the services is calculated based on the total time (per day), moving distance, and the total days of the task. Typically, services are carried out for a minimum of 5 days and a maximum of 10 days. However, clients can request extended surveillance periods, and any additional costs will be exchanged with the clients before implementing extra services. Own a team of well-trained and experienced professionals in detective work. The company confidently applies a 100% refund policy if clients are unsatisfied with the services. For more information about Bao Minh Private Detective, take a look at https://thuethamtuuytin.com About Bao Minh Private Detective The company has years of experience working in the detective field, consultancy, and advisory services. They are ready to assist individuals, organizations, and businesses in researching and collecting all information related to economics, life, family, and society in Vietnam and internationally. The service is available 24/7. All the information of clients is kept strictly confidential, ensuring the safety of clients at Bao Minh Private Detective. Contact Detail: Facebook: https://www.facebook.com/thamtutubaominh Twitter: https://twitter.com/thamtubaominh About Us: /Bao Minh Private Detective/ Contact Info: Name: Bao Minh Private Detective Email: Send Email Organization: Bao Minh Private Detective Address: No. 20B De Quai Street, Tu Lien Ward, Tay Ho District, Hanoi, Vietnam Phone: (+84) 939 27 80 80 Website: https://thuethamtuuytin.com/ Release ID: 89104996 Should any problems, inaccuracies, or doubts arise from the content contained within this press release, we kindly request that you inform us immediately by contacting [email protected]. Our dedicated team will promptly address your concerns within 8 hours, taking necessary steps to rectify identified issues or assist with the removal process. Providing accurate and dependable information is at the core of our commitment to our readers. ZURICH, SWITZERLAND, Aug 16, 2023 - (ACN Newswire) - - Singapore investor and former parliamentarian Calvin Cheng has announced that his wholly-owned, newly rebranded Swiss company, Anchored Coins AG ("Anchored Coins"), will be issuing two stablecoins - a Euro-backed stablecoin AEUR, and a Swiss Franc-backed stablecoin ACHF. Both stablecoins will be backed 1-to-1 by their respective fiat currencies and held in Swiss banks. The stablecoins will be issued on the Ethereum and BNB Chain public blockchains. Earlier this year, the company was granted membership in the Swiss VQF, which means it has to comply with Swiss anti-money laundering obligations. Calvin Cheng, Chairman of Anchored Coins said, "Switzerland has very clear regulatory guidelines for the issuance of stablecoins. The reputation of Swiss banks is also second to none. There is a clear need for stablecoins to be pegged to currencies other than the US Dollar, given the regulatory uncertainty in the US. I feel this is the right time and right place, to launch highly compliant stablecoins in two other well-regarded global currencies, the Swiss Franc, and the Euro." Cheng further added that his company will comply with the European Union's new Markets in Crypto Assets ("MiCA") regulations once they come into effect in 2024. Anchored Coins announced a partnership with DCS Card Centre ("DCS") in Singapore. Formerly known as Diners Club Singapore, DCS is a 50-year-old financial institution that pioneered the issuance of credit cards in the Republic. Through this collaboration, DCS will accept ACHF and AEUR as a form of collateral placement for credit limits on its cards, thereby enhancing the value and utility of both stablecoins. Karen Low, CEO of DCS said, "We believe that stablecoins are crucial in bridging digital assets to traditional finance. DCS aims to lead the charge on connecting Web2 and Web3 with integrated payment experiences that are co-created through partnerships. We are proud to partner with Anchored Coins in providing immediate value to their stablecoin holders by using ACHF and AEUR as collateral for instant credit limits on the cards we issue. We currently offer a choice of cards from global payment networks including Diners Club International, Mastercard, UnionPay and soon, Visa." Anchored Coins is in the process of launching, and will only be available to institutional partners during the first phase of its development. The company is also in advanced discussions with major digital asset exchanges to be listed. ABOUT CALVIN CHENG Calvin Cheng is currently the Republic Of Serbias first Honorary Consul to the Republic of Singapore. Cheng was a former appointed Member of Singapore's Parliament, and a Young Global Leader of the World Economic Forum. Cheng is a serial entrepreneur, formerly the President of Elite Models for Asia, as well as a director of Singapore's largest luxury events management company Lumina. He was most recently Chairman of Australia Stock Exchange (ASX)-listed EdTech firm ReTech Technology Co, which he led to an Initial Public Offering, together with leading investors from China, including several co-founders of Alibaba, and other Chinese tech companies. ABOUT DCS CARD CENTRE DCS Card Centre Pte Ltd ("DCS"), formerly known as Diners Club Singapore is a financial institution governed by the Monetary Authority of Singapore (MAS) under the Banking Act to carry on the business of issuing credit cards or charge cards in Singapore. Established in 1973, DCS was amongst the founding institutions that pioneered cashless payments with its first series of credit and charge cards in Singapore. As part of its name change in October 2022, the financial institution embarked on a massive business transformation to expand its network of payments schemes and new payment solutions. Along with a set of new shareholders and a leadership team helmed by industry veterans, DCS has since achieved remarkable milestones. These include an expansion of schemes from just Diners Club International to include Mastercard, UnionPay and Visa, as well as the launch of new solutions such as D-Vault, a feature for cardholders to seamlessly aggregate their assets through funds transfers and digital assets for instant spend limits, and a whole new DCS cards app that enables several card management services to ease customer convenience. For more information about Anchored Coins AG, please visit: https://www.anchoredcoins.com For media inquiries and interview requests, please contact: Tang Hong Ee (Financial PR) (T) 6438-2990 (E) [email protected] Copyright 2023 ACN Newswire . All rights reserved. In congregations across South Africa, pastors and priests of diverse religions report Scientology Tools for Life training is helping people face life's challenges and change their lives for the better LOS ANGELES, Calif. and JOHANNESBURG, South Africa, Aug. 16, 2023 (SEND2PRESS NEWSWIRE) Shadrack Mpho Lekoana, a minister of the Uniting Reform Church in South Africa, was in search of a way to bring help to those in need. His congregants found strength when they came to him for help but went home with the same problems. As ministers, we are called to change the lives of the people, and we need to have the tools, Rev. Lekoana says in an episode of Voices for Humanity on the Scientology Network. In South Africa, the church is a trusted place where people go for answers to the problems they face in life. But in a country where 55 percent of the population lives in poverty, where unemployment and crime are rampant, church leaders had no real answers to offer their parishioners. Rev. Lekoana became a minister because of his passion to help people. But he was discouraged. That all changed when he was invited to Castle Kyalami, headquarters of the Church of Scientology for South Africa. They introduced me to the Scientology Handbook and its 19 courses with the tools to handle the challenges and the problems that we have in our communities, Rev. Lekoana says in an episode of Voices for Humanity on the Scientology Network. He began using this knowledge with parishioners when they came to him and he was finally able to assist them in creating the positive change he had always wanted to help them accomplish. Knowing he was not alone in this problem, Rev. Lekoana visited ministers of many different churches and invited them to Castle Kyalami for Empowerment Seminars. Religious leaders of many different denominations came together and isolated common problems of their congregants such as drug abuse, illiteracy, and marital problems. They were then introduced to the Scientology tools and studied the 19 courses to help them resolve these situations. Word spread of the success of the seminars and they grew and grew until more than 3,500 religious leaders of a wide range of faiths were empowered to help their parishioners with the Scientology Tools for Life. The Scientology Handbook is the textbook of the Volunteer Ministers program, a religious social service created in the mid-1970s by Scientology Founder L. Ron Hubbard. Voices for Humanity is an original series available on the Scientology Network on DIRECTV Channel 320, DIRECTV STREAM, AT&T U-verse and streaming at Scientology.tv, on mobile apps and via the Roku, Amazon Fire and Apple TV platforms. Since launching with a special episode featuring Scientology ecclesiastical leader Mr. David Miscavige, Scientology Network has been viewed in 240 countries and territories worldwide in 17 languages. LEARN MORE: https://www.scientology.tv/series/voices-for-humanity/rev-shadrack-lekoana.html https://www.scientology.org/22-23/#africa-tools-for-life MULTIMEDIA: VIDEO: https://www.scientology.tv/series/voices-for-humanity/rev-shadrack-lekoana.html https://www.scientology.org/22-23/#africa-tools-for-life IMAGE link for media: https://www.Send2Press.com/300dpi/23-0817-s2p-revlekoana-300dpi.jpg Caption: Featured on the Scientology Network, Rev. Shadrack Mpho Lekoana empowers religious leaders with the Scientology Tools for Life courses. TAGS: #VoicesforHumanity #ScientologyVolunteerMinisters #ScientologyNetwork #RevShadrackMphoLekoana #ScientologyToolsforLife #CastleKyalami NEWS SOURCE: Church of Scientology International This press release was issued on behalf of the news source (Church of Scientology International), who is solely responsible for its accuracy, by Send2Press Newswire. To view the original story, visit: https://www.send2press.com/wire/lives-bettered-thanks-to-the-help-of-the-scientology-tools-for-life/ Copr. 2023 Send2Press Newswire, a service of NEOTROPE, Calif., USA. -- REF: S2P STORY ID: 92714SI.04 Aleph One has joined the Inc. 5000, reflecting a year of growth for the venture studio with its commitment to fund and build the tech for the next generation of startups. NEW YORK , Aug. 16, 2023 /PRNewswire/ -- Aleph One, a fast-growing venture studio that invests in and builds custom software for early-stage startups, proudly announces its debut on the prestigious Inc. 5000 list at #2076. This recognition underscores a remarkable year of growth and progress for the company, marked by resilience and a unified effort from the team, as it continues to grow its presence in the venture studio space. The Inc. 5000 inclusion affirms Aleph One's dedication to building a more resilient business structure, uncovering new opportunities and support for portfolio companies, and innovating technology in an ever-changing landscape. The past year's success illustrates the venture studio's unique approach, blending technological expertise with a deep understanding of the startup environment, particularly in the B2B sector. Aleph One's CEO, Stanislav Synko , exudes optimism and appreciation, saying, "Being named to the Inc. 5000 list is an incredible honor that fills us with excitement for the future. Working with remarkable entrepreneurs and groundbreaking companies inspires us every day. We're invigorated by the opportunities ahead and remain committed to fostering success in our entrepreneurial community." David Pierini , Aleph One's Co-Managing Partner, speaking about the future direction, adds, "We're eager to continue investing in pre-seed startups, exploring new ways to serve our portfolio, innovating with AI, and integrating our new Aleph One Marketing agency. These initiatives promise an even brighter future for all involved." What inspires Aleph One's team to grow further is their impact on the volunteering efforts in Ukraine since the beginning of the invasion in 2022. Aleph One and most of its team support people they know who were affected by the war. About Aleph One Venture Studio Aleph One is a venture studio that funds and builds custom software for pre-seed startups. They partner and advise on tech strategy and build tech teams to help innovative startups grow across various industries. With a robust network of industry connections and a team of skilled professionals, Aleph One provides its portfolio companies with the resources, guidance, and support necessary to achieve sustainable growth and success. Press Contact: Pavel Konoplenko 3474245804 https://www.aleph1.io View original content:https://www.prnewswire.com/news-releases/aleph-one-honored-with-inclusion-on-the-inc-5000-list-marking-a-milestone-for-the-venture-studio-301901968.html AGHDAM, Azerbaijan, August 16. While Azerbaijan is building new roads, Armenians continue to install barriers on them, Assistant to the President of Azerbaijan, Head of the Foreign Policy Department of the Presidential Administration Hikmet Hajiyev told reporters, Trend reports. He made the remark during a visit of the members of the diplomatic corps and military representatives accredited in Azerbaijan, to Aghdam. "The place where we are now is the road from Barda to Khankendi, which the Azerbaijani side offers for use by residents of Karabakh of Armenian origin, Hajiyev said. There was no such road before, the Armenians destroyed it. The Aghdam-Khankendi road was used as the most convenient route from a geographical point of view in Soviet times. Using this road will also significantly reduce transportation costs. It will be possible to deliver everything necessary for the Armenian residents of Karabakh. In addition, they will have the opportunity to use it to promote their products to the markets of Azerbaijan." Hajiyev also noted that the Armenians have turned this issue into political propaganda. "Azerbaijan's proposal is to make this road a starting point for the reintegration of the Armenian residents of Karabakh into the political, economic and social spheres of Azerbaijan. Unfortunately, Armenia refuses to accept this proposal and is engaged in propaganda, Hajiyev said. According to him, parallel to the road lies the railway. In fact, all this has been done in order to make life easier for Armenians, solve the problem by political means and create better economic and social opportunities. In order to avoid using this road, they installed barriers at the other end of the road. The visit of members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam kicked off today. The main purpose of the visit is to get acquainted with the current potential of the road infrastructure of the Karabakh Economic Zone, primarily the Aghdam-Khankendi highway, as well as the Barda-Aghdam railway. In addition, the visitors will be informed about the destruction committed in Aghdam during the Armenian occupation, which lasted almost 30 years. Guests will also have a chance to get acquainted with the large-scale construction works that are currently being carried out in the city. Tuesday, August 29 th, 2023 | 12:00pm PDT LOS ANGELES , Aug. 15, 2023 /PRNewswire/ -- On Tuesday, August 29 th at noon PDT , John Moran Auctioneers will present their Summer Art + Design sale. The auction, having more than 300 lots, will feature an array of styles and aesthetics from luminaries including Raimonds Staprans, Keith Haring , Alice Baber , James Siena , Richard Serra , Henrietta Berk , Max Klinger , Frederick Hammersley , Tarmo Pasto , and Invader. The decorative offerings boast works by Harrison McIntosh , Salvador Dali , James Nowak , and a favorite of the Los Angeles MidMod crowd, Malcolm Leland . Fabulous furniture designs by George Nakashima , Sam Maloof , Philip and Kelvin LaVerne , Paul Evans , Eames, Charles Hollis Jones , and multiple one-of-a-kind pieces by John Nyquist will round out the sale. Moran's will be offering works by Raimonds Staprans , Keith Haring , Alice Baber , Henrietta Berk , and Frederick Hammersley Moran's has been given another opportunity to offer works by Alice Baber (1928-1982), a major female figure of the Abstract Expressionist movement. In the past year, the auction house has continuously achieved phenomenal results for the artistincluding her top three world auction records! Featured in this collection are three works by Baber, with the collection's highlight, "The Door To The Gate To The Bridge ," 1975, being offered at $50,000-70 -000. "Blue Leaf to Shell" from the "Tragedy of Color Series," 1975, and "Red + Blue Deluge," both watercolors, will add to the breadth of Baber offerings with estimates ranging from $3,000-6,000 . Raimonds Staprans (b. 1926), describes his paintings as "purely Californian." They each carry his signature palette of saturated blues, oranges, greens, and yellows, and all convey his style of color, light, geometry, and perception. This sale will feature, "A Study of Down-Rolling Oranges with a Staid Neon Apple," 1995, estimated $40,000-60,000 . The painting exemplifies Staprans's distinctly Californian sensibility. His mastery as an artist has also been compared to Paul Cezanne, whose well-known still lifes emphasize the underlying geometric structure of objects arranged in compositions with slightly skewed perspectives. In the design category, collectors will definitely show enthusiasm for the multiple George Nakashima chairs. Come auction day, Moran's will offer a group of three "Conoid Lounge" chairs, originally designed in the early 80s, with a $8,000-12,000 estimate. There will also be a set of four Nakashima "New Chair" dining chairs, estimated $4,000-6,000 , from his 1956 design. To learn more or request a free valuation, go to www.johnmoran.com. Contact: Brenda Smith , John Moran Auctioneers brenda@johnmoran.com View original content to download multimedia:https://www.prnewswire.com/news-releases/baber-staprans-and-nakashima-highlight-morans-sizzling-summer-art--design-sale-301901807.html SOURCE John Moran Auctioneers By expanding its network of lenders to include Wells Fargo, ChargeAfter enables merchants to provide their well-qualified consumers with fast approvals. NEW YORK , Aug. 16, 2023 /PRNewswire/ -- ChargeAfter , the embedded lending platform for point-of-sale financing, announced today that it is partnering with Wells Fargo Retail Services, a division of Wells Fargo Bank, NA that facilitates the delivery of consumer private label and industry credit card programs to retailers. Merchants that use ChargeAfter's platform to provide point-of-sale financing will now be able to offer their consumers Wells Fargo's private label credit programs. Wells Fargo's private label credit programs are designed to serve consumers with extended promotional terms and fast approvals for qualified consumers. These financing options are critical to retailers and service providers that operate in home goods, home improvement, outdoor living, jewelry, etc. Consumers can access the Wells Fargo private label credit product through a fast and frictionless embedded process at the point of sale. Steve Jermier , Senior Vice President of Relationship Management for Wells Fargo Retail Services stated, "Partnering with ChargeAfter enables us to easily embed our private label card products into the merchant's point-of-sale. ChargeAfter's simple integration into e-commerce and in-store POS platforms provides consumers with quick and convenient access to our product. This allows our retailers to provide consumers with financing for their individual needs at any point of sale." Meidad Sharon, CEO of ChargeAfter commented, "We are delighted to partner with a global banking leader such as Wells Fargo. Integrating Wells Fargo's private label credit products into the ChargeAfter platform enables merchants to easily provide consumers with fast access to the best financial choices available. As embedded lending becomes the new standard for merchants' checkout experience, our platform maximizes customer buying power where it matters most - at the point of sale." About Wells Fargo Retail Services: Wells Fargo Retail Services, a division of Wells Fargo Bank N.A., facilitates the delivery of consumer private label and industry credit card programs to retailers, manufacturers, distributors, associations, and buying groups in a variety of markets. Learn more at wellsfargo.com/newbusiness . About ChargeAfter ChargeAfter is pioneering the embedded lending network for point-of-sale consumer financing for merchants and financial institutions. Powered by a network of lenders and a data-driven matching engine, ChargeAfter streamlines the distribution of credit into a single, secure, and reliable embedded lending platform. Merchants can rapidly implement ChargeAfter's omnichannel platform online, in-store, and at every point of sale, enabling them to provide personalized financing choices to their customers. ChargeAfter is backed by payment expert investors including Visa, Citi Ventures, Synchrony Financial, Banco Bradesco, MUFG, PICO Venture Partners, Propel Venture Partners, and The Phoenix . ChargeAfter is headquartered in New York with an R&D center in Tel Aviv . Learn more at chargeafter.com For further information, please contact: Media Relations Varda Bachrach [email protected] Investor Relations [email protected] View original content:https://www.prnewswire.com/news-releases/chargeafter-expands-embedded-lender-network-with-wells-fargo-301902204.html SOURCE ChargeAfter CLAREMONT, Calif. , Aug. 16, 2023 /PRNewswire/ -- Claremont Graduate University (CGU), a renowned institution known for its strong commitment to liberal arts education, is delighted to announce the launch of three new cutting-edge Doctorate programs. These programs, in the fields of technology, public health, and economics, will be available for enrollment starting in the fall of 2023. As a leading institution dedicated to fostering intellectual curiosity and interdisciplinary education, CGU is constantly evolving to meet the changing needs of society. The introduction of these new programs is a testament to CGU's commitment to equipping students with the knowledge and skills necessary to tackle complex challenges in our uncertain world. The Doctorate in Technology program will empower students to explore emerging technologies and develop innovative solutions that can transform industries and improve lives. This program will provide a strong foundation in technology management, data analytics, cybersecurity, and entrepreneurship, preparing graduates to become leaders in the rapidly evolving tech sector. The Doctorate in Public Health program will equip students with the expertise to address pressing public health issues and contribute to the betterment of global well-being. With a focus on evidence-based research, policy development, and community engagement, graduates of this program will be prepared to tackle complex health challenges and make a lasting impact in the field. The Doctorate in Economics program will provide students with advanced analytical and quantitative skills to understand and influence economic systems. Through rigorous coursework and research, students will gain expertise in economic theory, policy analysis, and data-driven decision-making, preparing them for influential roles in academia, government, and the private sector. "We are thrilled to introduce these three exciting Doctorate programs at Claremont Graduate University," said ( Len Jessup , President of CGU. "These programs underscore our commitment to interdisciplinary education and to preparing our students for the challenges and opportunities of the future. By blending the liberal arts approach with cutting-edge knowledge and skills in technology, public health, and economics, we are shaping the leaders and innovators who will drive positive change in society." The introduction of these new Doctorate programs further strengthens CGU's position as a premier institution for advanced education and research. Students who choose to pursue these programs will benefit from CGU's renowned faculty, interdisciplinary approach, and vibrant academic community. About Claremont Graduate University: Claremont Graduate University (CGU) is a private, non-profit graduate institution located in Claremont, California . With a rich tradition in the liberal arts, CGU offers a wide range of master's and doctoral programs across various disciplines. CGU's commitment to rigorous scholarship, interdisciplinary collaboration, and social responsibility prepares graduates to make significant contributions to their fields and society at large. View original content to download multimedia:https://www.prnewswire.com/news-releases/claremont-graduate-university-introduces-three-exciting-doctorate-programs-in-tech-public-health-and-economics-301902836.html SOURCE CLAREMONT GRADUATE UNIVERSITY With his decades of experience in senior care, Murphy will advise government agencies on improvements for the caregiving industry AUSTIN, Texas , Aug. 16, 2023 /PRNewswire/ -- The Helper Bees , an in-home care platform empowering older Americans to age in place and live independently, is announcing its general manager, Daniel Murphy , was appointed to serve on the federal Family Caregiving Advisory Council. Enacted by the passage of the Recognize, Assist, Include, Support, and Engage Family Caregivers Act (RAISE Family Caregivers Act) in 2017, the Family Caregiving Advisory Council makes recommendations to the Administrator of ACL/Assistant Secretary for Aging on how to support and improve the lives of family caregivers. An Army veteran who transitioned to private sector roles in the aging in-place industry, Murphy brings 25+ years of leadership experience to the Council. "My experiences in the military led me to the home healthcare industry when I transitioned to the private sector, where I continue to serve others," said Murphy. "I've spent the past decade in the aging services industry, helping families, insurance companies and policymakers deliver better care for veterans and older Americans who deserve quality care. I look forward to working with other members of the Council, advising on solutions to improve caregiving." Murphy currently serves as the GM of SaaS Solutions for The Helper Bees, which acquired healthAlign a company he co-founded in 2021. The Helper Bees empowers aging-in-place by providing products and services to insurers, homecare agencies and the older adults and their caregivers. Leading home care agencies already license The Helper Bees software, which solves for the administrative burdens of credentialing, fulfilling and reimbursements all while reducing costs. Homecare agencies and their clients also benefit by accessing The Helper Bees' network of 20,000+ vetted providers who address social determinants of health by providing services such as meal delivery, lawn care and more. Murphy also served as National Director of Population Health Product and Strategy for Maxim, one of the nation's largest home healthcare providers. In this role, he led the implementation of in-home programming for high-need populations. These programs launched in more than seven states, and by 2019, Maxim's teams of nurses and nurse practitioners were completing more than 200 in-home visits/day. In this same role, Murphy supported Maxim's Public Policy team, where he worked with federal and state policymakers. Notably, in the State of Michigan , Murphy successfully lobbied state agencies to implement better models of aging care for high-need veterans on Medicaid. This effort stemmed from his team's work at Michigan's state-run veterans home in Grand Rapids . Concurrent to his work at The Helper Bees, Daniel leads Oath Aging Care to assist older veterans and their families successfully age at home. Oath has partnered with the Department of Veterans Affairs to pilot new programs across four VA Medical Centers. Murphy's appointment on the Family Advisory Council begins in 2023, and he will serve through 2026. About The Helper Bees The Helper Bees is America's aging-in-place platform. We work with plans and providers to make the process of deploying in-home care more seamless, resulting in cost savings for plans and better access to in-home services for your members. Leading insurance providers already use our technology to streamline credentialing, fulfillment and payments. And, our vast in-home care network, known as our "helper bees," provides older adults with the non-medical services they need, from pest control, to meal delivery to home modification and more. With the Helper Bees, we're ready to help health insurance providers offer the best in-home care so that millions of Americans can age in place and live with dignity. Let us help at thehelperbees.com . View original content to download multimedia:https://www.prnewswire.com/news-releases/daniel-murphy-a-general-manager-at-the-helper-bees-is-appointed-to-serve-on-federal-family-caregiving-advisory-council-301902364.html SOURCE The Helper Bees SHANGHAI , Aug. 16, 2023 /PRNewswire/ -- The board of directors (the "Board") of Noah Holdings Limited (the "Company", with its subsidiaries and consolidated affiliated entities, the "Group") will hold a Board meeting on Monday, August 28, 2023 ( Hong Kong time) for the purpose of, among others, considering and approving the unaudited financial results of the Group for the three months and six months ended June 30, 2023 (the "Q2 and Interim Results") and its publication. The Company will announce its Q2 and Interim Results at or around 6:00 a.m. on Tuesday, August 29, 2023 ( Hong Kong time) on the website of The Stock Exchange of Hong Kong Limited at www.hkexnews.hk. Following the announcement of the Q2 and Interim Results, the Company's senior management will host a combined English and Chinese language earnings conference call to discuss its Q2 and Interim Results and recent business activities. The conference call may be accessed with the following details: Dial-in details: Conference title: Noah Holdings 2Q23 Earnings Conference Call Date/Time: Monday, August 28, 2023 at 8:00 p.m. , U.S. Eastern Time Tuesday, August 29, 2023 at 8:00 a.m. , Hong Kong Time Dial in: Hong Kong Toll Free: 800-963976 United States Toll Free: 1-888-317-6003 Mainland China Toll Free : 4001-206115 International Toll: 1-412-317-6061 Participant Password: 7932172 A telephone replay will be available starting approximately one hour after the end of the conference until September 4, 2023 at 1-877-344-7529 (US Toll Free) and 1-412-317-0088 (International Toll) with the access code 9237815. A live and archived webcast of the conference call will be available at the Company's investor relations website under the "Financial Reports" section at http://ir.noahgroup.com. ABOUT NOAH HOLDINGS LIMITED Noah Holdings Limited (NYSE: NOAH) is a leading and pioneer wealth management service provider in China offering comprehensive one-stop advisory services on global investment and asset allocation primarily for high net worth investors. In the first quarter of 2023, Noah distributed RMB16.8 billion ( US$2.4 billion ) of investment products. Through Gopher Asset Management, Noah had assets under management of RMB157.6 billion ( US$22.9 billion ) as of March 31, 2023 . Noah's wealth management business primarily distributes private equity, public securities and insurance products denominated in RMB and other currencies. Noah delivers customized financial solutions to clients through a network of 1,327 relationship managers across 68 cities in mainland China, and serves the international investment needs of its clients through offices in Hong Kong (China), Taiwan (China) , New York , Silicon Valley and Singapore . The Company's wealth management business had 440,664 registered clients as of March 31, 2023 . Through Gopher Asset Management, Noah manages private equity, public securities, real estate, multi-strategy and other investments denominated in Renminbi and other currencies. Noah also provides other businesses. For more information, please visit Noah at ir.noahgroup.com. View original content:https://www.prnewswire.com/news-releases/date-of-board-meeting-and-date-of-announcement-of-second-quarter-and-half-year-2023-unaudited-financial-results-301902273.html SOURCE Noah ABU DHABI , UAE , Aug. 16, 2023 /PRNewswire/ -- Under the patronage of His Highness Sheikh Khaled bin Mohamed bin Zayed Al Nahyan , Crown Prince of Abu Dhabi and Chairman of Abu Dhabi Executive Council, the Department of Health Abu Dhabi (DoH) will organise the inaugural Abu Dhabi Global Healthcare Week (ADGHW) from May 13-15, 2024 , at the Abu Dhabi National Exhibition Centre in the UAE . ADGHW aims to welcome international heads of state, government ministers and trade delegations, policymakers, and medical practitioners to address the world's most critical healthcare needs on the theme of 'Transforming the Future of Global Healthcare' and fostering a comprehensive healthcare ecosystem. Reflecting the UAE capital's position as a leading global hub for healthcare, the event aims to provide a platform to foster dialogue, share knowledge, and drive investment - focused on healthcare for all. Centred on four key pillars, including reimagining healthcare, diverse and inclusive health, trailblazing medical breakthroughs and disruptive healthcare, the conference will provide a forum for the fields of genomics, digital and mental health, biotech, pharmaceuticals, research, innovation, investment, startup ecosystems, and more. His Excellency Mansoor Ibrahim Al Mansouri , Chairman of the Department of Health - Abu Dhabi , said: "Under the directives of our wise leadership, we continue to strengthen Abu Dhabi's position as a key player in healthcare globally. Stemming from our core belief in the power of global collaboration in saving and improving people's lives everywhere, we look forward to hosting strategists, futurologists, philanthropists, decision-makers and those who make a positive contribution to global healthcare in a holistic platform that contributes to the development and advancement of the healthcare ecosystems. We believe that ADGHW will be an ideal platform for the global healthcare community to discuss the industry's future at a time when the UAE is driving transformation and opportunities on a fast track towards the future." "We welcome the global healthcare industry, including the most thought-provoking disruptors, influencers and strategists to join us at Abu Dhabi Global Healthcare Week in 2024 to improve global healthcare delivery, build future preparedness, and form a vision of what end-to-end healthcare will look like in a changing geopolitical, technical, and environmental landscape." Managed by dmg events, Abu Dhabi Global Healthcare Week will elevate the narrative around future-proofing a sustainable healthcare sector locally, regionally, and globally. It will also serve as a catalyst for start-ups and established businesses across the healthcare sector to form partnerships that will have long lasting, positive healthcare outcomes. The event will also host two awards programmes: The Philanthropy Awards and the Healthcare Innovation Awards to recognise individuals and organisations pushing the boundaries of global healthcare. ADGHW will also feature a dedicated trade exhibition, with global healthcare providers showcasing the latest technologies across health-tech innovation, finance, information exchange, genomics, and patient engagement featuring over 20,000 attendees, 300 exhibitors, 200 thought leaders and speakers, facilitating knowledge transfer for 1,900 conference delegates. Salman Abou Hamzeh , Vice President of DMG Events, said: "While Abu Dhabi has demonstrated its readiness to tackle healthcare challenges with its internationally acclaimed healthcare infrastructure and successful strategic alliances, the global healthcare industry still grapples with new and unforeseen challenges." " Abu Dhabi is resolutely looking ahead, driven by the ambition to become a leading force in the global healthcare ecosystem. At the heart of this vision lies ADGHW, a pivotal forum and exhibition that will ignite minds and drive tangible results. It serves as a platform to deliver invaluable insights, foster meaningful partnerships and forge strategies that unite the public, private, and civil sectors in the collective mission of transforming the future of global healthcare. Through visionary leadership, ADGHW will shape a brighter tomorrow for healthcare worldwide." ADGHW will be a truly global platform to debate practical approaches to healthcare outcomes and is expected to be one of the biggest healthcare conventions globally. Year on year, ADGHW hopes to gather healthcare leaders and stakeholders from around the world to foster a comprehensive healthcare ecosystem and translate needs through deep partnership and knowledge sharing. Photo - https://mma.prnewswire.com/media/2187215/Abu_Dhabi_Global_Healthcare_Week.jpg View original content to download multimedia:https://www.prnewswire.com/news-releases/department-of-health--abu-dhabi-the-uaes-healthcare-regulator-announces-inaugural-abu-dhabi-global-healthcare-week-convening-international-leaders-and-industry-experts-in-may-2024-301902358.html TSX.V: DME U.S. OTC: DMEHF Frankfurt : QM01 VANCOUVER, BC , Aug. 16, 2023 /PRNewswire/ - DESERT MOUNTAIN ENERGY CORP. (the "Company") (TSXV: DME) (U.S.OTC: DMEHF) (Frankfurt: QM01) From the President of the Company. The Company is pleased to announce that it has successfully completed the disassembly of the helium processing plant. All components have been transported to the West Pecos Slope Abo Gas Field and reassembly has begun. The Company expects this process to take five to six weeks and plans on starting up the plant shortly after pressure testing of critical components is completed. "Our team has utilized our original design criteria to complete this strategic move in record time, on schedule and under our planned budget for this phase," states Robert Rohlfing , CEO of DME. "Cash on hand at the end of last quarter was approximately $14.7 Million CDN and we intend to maintain those solid cash balances. On-going well workovers will be out of cash flow." The Company has initiated the process of pigging flow lines, replacing specific portions of the flow lines and implementing maintenance procedures for the flow lines. Pigging of lines will help to lower flowing pressures from the furthest distant wells. The Company has also begun the process of removing choke points and will continue that process over the next 3-4 months. DME has purchased smaller volume compressors to initially enable boosting production from the wells containing the higher levels of helium. As stated in the previous news releases on 06/19/23 and 07/06/23 , the geologic team has quickly identified and evaluated which wells will be initially targeted to maximize helium production. This includes independent gas analysis on individual wells to ensure a correlation between the flow tests originally provided to us by the seller. DME's goal continues to initially target wells where our tests and the previous tests from the past two years of production showed helium values to be above 0.7% and have an initial aggregate plant throughput for helium production above 0.50%. Currently, well flow line pressures have risen over the past month across the entire field due to the IACX gas plant being down for repairs and maintenance. In one example, the well furthest south was still selling some gas with the meter pressure showing at 184#psi. This well is located at the end of almost 9 miles of 2" flow line and over time we will incorporate boost compressors to cost-effectively increase production from outlying wells. Under current contracts, the Company will not be due to pay royalties on any inert gases recovered through plant operations. As mentioned previously by the Company, the condensate values and BTU values can vary widely between the wells. DME has initiated discussions with natural gas end users regarding the purchasing of natural gas after the current contract expires. All necessary permits for current operations are in hand. GENERAL WEST PECOS SLOPE ABO GEOLOGY The DME lease holdings in this area currently comprise of approximately 77,500 acres, (120 sq. miles) located within Chaves County, New Mexico . This large Abo Formation (Permian) field produces helium-bearing gas from sandstones and arkoses with moderate porosity, low permeability with a pressure gradient of about 0.33 psi/ft./ or 7.46 Kpa/m. The pay zones in the DME-operated wells lie at relatively shallow drilling depths of 2,800-3,000ft. (850-975M). Drilling and completion practices are straightforward and include small stimulations of multiple pay zones. All of the West Pecos Slope Abo gas wells appear to produce helium that, to date, has not been marketed. Consequently, more accurate reserve numbers, specifically NI 51-101 numbers, will be possible after production has begun and has continued for a few months. The Company had reviewed the seller's reserve numbers which were based predominantly on natural gas sales, with very minimal credit given for helium. As previously stated in the 07/06/23 press release, the Company intends to pursue a NI 51-101 filing based on initial production numbers by the end of 2023 into the first quarter of 2024. Processing out the nitrogen from the gas stream will improve upon the current and long-term price received for the natural gas. Engineering, geology, log analysis and inspection of well records continue, but wells are seen that appear to have untested pay or pay that is not performing as well data might indicate. Both the previous well owner and DME's geologic mapping indicate multiple areas where additional downhole completions in existing wells could be done, as well as areas where infill or step-out drilling has the potential for success. The Company has taken possession of a workover rig which will be moved to the West Pecos Slope Abo Gas Field by the end of the month. Discussions with a local company are nearly finished. As part of the agreement, the rig will be able to generate revenue for DME when it is not being used for well work. The Company continues to work with our outside hydrology company in Arizona to explore all options regarding its plan for long-term operations in the state. ABOUT DESERT MOUNTAIN ENERGY Desert Mountain Energy Corp. is a publicly traded resource company primarily focused on exploration, development and production of helium, hydrogen and noble gases. The Company is primarily looking for elements deemed critical to the renewable energy and high technology industries. We seek safe harbor "Robert Rohlfing" Robert Rohlfing Exec Chairman & CEO Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in polices of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. The statements made in this press release may contain certain forward-looking statements that involve a number of risks and uncertainties. Actual events or results may differ from the Company's expectations. Cautionary Note Regarding Forward-Looking Statements This news release contains "forward-looking statements" within the meaning of the United States Private Securities Litigation Reform Act of 1995 and "forward-looking information" within the meaning of applicable Canadian securities legislation. Such forward looking statements and information herein include but are not limited to statements regarding the Company's anticipated performance in the future the planned exploration activities, receipt of positive results from drilling, the completion of further drilling and exploration work, and the timing and results of various activities. Forward-looking statements or information involve known and unknown risks, uncertainties and other factors that may cause the actual results, level of activity, performance or achievements of the Company and its operations to be materially different from those expressed or implied by such statements. Such factors include, among others, changes in national and local governments, legislation, taxation, controls, regulations and political or economic developments in Canada and the United States ; financial risks due to helium prices, operating or technical difficulties in exploration and development activities; risks and hazards and the speculative nature of resource exploration and related development; risks in obtaining necessary licenses and permits, and challenges to the Company's title to properties. Forward-looking statements are based on assumptions management believes to be reasonable, including but not limited to the continued operation of the Company's exploration operations, no material adverse change in the market price of commodities, and such other assumptions and factors as set out herein. Although the Company has attempted to identify important factors that could cause actual results to differ materially from those contained in forward-looking statements or information, there may be other factors that cause results to be materially different from those anticipated, described, estimated, assessed or intended. There can be no assurance that any forward-looking statements or information will prove to be accurate as actual results and future events could differ materially from those anticipated in such statements or information. Accordingly, readers should not place undue reliance on forward-looking statements or information. The Company does not intend to, and nor does not assume any obligation to update such forward-looking statements or information, other than as required by applicable law. View original content:https://www.prnewswire.com/news-releases/desert-mountain-energy-completes-helium-processing-facility-move-to-new-mexico-gas-field-301901868.html SOURCE Desert Mountain Energy Corp. WASHINGTON , Aug. 16, 2023 /PRNewswire/ -- The Edison Electric Institute (EEI) today announced that Dan Brouillette has been selected as EEI's President and Chief Executive Officer Elect, effective October 1, 2023 , following a comprehensive search process. Brouillette will become EEI President and CEO on January 1, 2024 , and will succeed Tom Kuhn , who previously announced his plans to step down on December 31, 2023 . "I am pleased to welcome Dan to the EEI team," said EEI Chair Pedro J. Pizarro , President and CEO of Edison International. "With his deep experience in both the public and private sectors, as well as his extensive energy industry expertise, Dan is the clear choice for EEI's next leader." "Dan's strong policy background and proven track record of collaboration across political lines will be key to EEI's success and that of our member companies as we continue our work to get the energy we provide as clean as we can as fast as we can, without compromising customer affordability and reliability," Pizarro added. "Most important, Dan's appreciation for the culture and values that make EEI such an effective organization and a wonderful place to work were evident throughout our discussions with him during the search process. It is clear to EEI's Board that Dan shares our strong commitment to safety, integrity, bipartisanship, and excellence. We are confident he will embody these long-standing values as he leads the EEI team in supporting our members' clean energy transition, maintaining strong relationships with our partners in organized labor and other stakeholders, and advancing our workforce development and DEI efforts." "I am honored to be joining the world-class team at EEI at a pivotal point for the industry," said Brouillette. "EEI is a preeminent voice helping to guide the nation's energy policy, and I believe the leadership role it performs has never been more important than it is today. I have long admired the determination of EEI and its member companies to deliver clean, reliable, and resilient energy in the most affordable and inclusive manner possible. In my former role as U.S. Secretary of Energy, I witnessed firsthand EEI's relentless dedication to these goals, and I am excited to now work with the EEI team in support of their member companies, their customers, and our country." "On behalf of the EEI Board, I would like to thank Tom Kuhn for his visionary leadership and the incredible legacy he has established over the last three decades," Pizarro continued. "His passion and commitment to advancing EEI's mission and his clear love for the industry have been instrumental to the countless public policy and operational milestones we have achieved during his tenure. We trust that our industry, our members, the customers and communities they serve, and the country as a whole will reap the benefits of these initiatives for years to come. We look forward to benefiting from Tom's wisdom and support until the end of the year and wish him all the best in his next chapter." "It has been an incredible honor to have led EEI for more than three decades, and I am incredibly proud of the significant results that EEI and our member companies have achieved in so many critical areas for the benefit of electricity customers across the country," Kuhn said. "When Dan was leading the Department of Energy, I got to know him well through our work on energy issues and the Electricity Subsector Coordinating Council, addressing storms and other extreme weather events and cyber issues. I have full confidence in his ability to guide EEI as the organization continues its important work in support of our members' clean energy, reliability, and energy security goals. I look forward to working alongside Dan and the rest of our talented EEI team in the coming months to ensure a seamless transition for our employees, member companies, and all of our stakeholders." Brouillette currently serves as president of Sempra Infrastructure, a leading global energy transition company. Prior to joining Sempra, Brouillette served as the 15th United States Secretary of Energy. He served as the President's primary advisor on energy and nuclear weapons matters, and was a member of the President's National Security Council as well as the Vice President's National Space Council. Brouillette also served as U.S. Deputy Secretary of Energy, the chief operating officer of the department. Brouillette is the only person ever to be confirmed by the U.S. Senate to serve in both roles. In the private sector, Brouillette has held leadership positions at USAA, where he served as a senior vice president and corporate officer, and at Ford Motor Company, where he was a vice president and served on its North American Operating Committee. Brouillette is a veteran and former tank commander with the U.S. Army's highly decorated 11th Armored Cavalry Regiment. He has been awarded Distinguished Public Service awards by both the U.S. Secretary of Defense and the U.S. Secretary of State, the highest award an individual can receive by either department. Brouillette holds a master's degree in intelligence and national security from The Citadel and a bachelor's degree in economics from the University of Maryland. EEI is the association that represents all U.S. investor-owned electric companies. Our members provide electricity for nearly 250 million Americans, and operate in all 50 states and the District of Columbia . As a whole, the electric power industry supports more than 7 million jobs in communities across the United States . In addition to our U.S. members, EEI has more than 65 international electric companies, with operations in more than 90 countries, as International Members, and hundreds of industry suppliers and related organizations as Associate Members. View original content to download multimedia:https://www.prnewswire.com/news-releases/eei-board-names-dan-brouillette-president-and-chief-executive-officer-elect-301902694.html SOURCE Edison Electric Institute The agreement is a significant step towards developing Vietnam's first net zero industrial parks and mobilizes provincial action plans to support national decarbonization goals. HOUSTON , Aug. 16, 2023 /PRNewswire/ -- At a conference on the Master Plan Announcement and Investment Promotion of Long An Province , Vietnam , Energy Capital Vietnam (ECV), SaigonTel (HOSE: SGT), Allotrope Partners LLC, Chart Industries, Inc. (NYSE: GTLS), and Babcock & Wilcox (NYSE: BW) signed a cooperation agreement to develop Vietnam's first net zero industrial parks and advance its national carbon emissions goals. Prime Minister Pham Minh Chinh delivered remarks during the conference, which was also attended by former President Truong Tan Sang and other past members of the Politburo, as well as current government officials and industry leaders. Under the agreement, the partners will focus on developing and implementing net zero strategies at SaigonTel's Tan Tap and Nam Tan Tap Industrial Parks. This will also serve as a foundation for developing a broader provincial roadmap for Long An to reach net zero emissions by 2050. "ECV is proud to join this powerful decarbonization consortium that will contribute to Vietnam's national net zero goals while preserving economic growth through energy security," said David Lewis , Chairman and CEO of Energy Capital Vietnam. "We are truly honored to work with Marc Stuart and the Allotrope team to develop pragmatic pathways to decarbonize industrial parks and provincial master plans. Long An Province will be at the forefront of low and no-carbon manufacturing facilities in Vietnam ." Marc Stuart , Founder and CEO of Allotrope Partners, said, "I was impressed by the caliber of the conversations regarding decarbonization at the event. They were among the most sophisticated and nuanced I've had in 30 years in the field. We're excited to partner with this incredible group of leaders to take this step for meaningful decarbonization in one of the most productive industrial baskets in the world. Thanks to SaigonTel and the Energy Capital Vietnam team for tireless work bringing all the pieces together." Lewis continued: "One of ECV's three focus areas is climate and the environment. Working with the experts at Allotrope Partners and a blue-chip company like SaigonTel enables us to bring this model to additional provinces and demonstrates our commitment to core values and delivering practical energy solutions in Vietnam ." About ECV: Energy Capital Vietnam (ECV) is a Houston, Texas -based project development and holding company established as a platform for direct investment into Vietnam's energy and infrastructure sectors. Learn more at: www.ecvholdings.com About Allotrope Partners: Allotrope Partners invests in projects and companies engaged in the clean economy transition. For the past five years, Allotrope has led deep engagement in Vietnam supporting industrial companies on clean energy and decarbonization solutions. This includes advancing aggregated commercial and industrial rooftop solar projects in Vietnam and leading a consortium of partners to install Vietnam's first behind-the-meter battery energy storage system. Learn more at: www.allotropepartners.com Contact: Frank De Maria [email protected] +1 347 647 0284 Elie Jacobs [email protected] +1 646 330 2585 View original content to download multimedia:https://www.prnewswire.com/news-releases/energy-capital-vietnam-signs-cooperation-agreement-with-saigontel-and-allotrope-partners-to-lead-green-infrastructure-development-alliance-in-vietnam-301902798.html SOURCE Energy Capital Vietnam Ras Al Khaimah Economic Zone (Rakez) has been recording heightened interest from Chinese companies looking to navigate the business landscape and strategic investment opportunities in the UAE. Post its successful business tour to major Chinese cities earlier this year, the economic zone hosted numerous Chinese business delegations from diverse sectors including construction, manufacturing, trading, electronics, and engineering. The visitors toured Rakezs industrial zones, Al Marjan Island and Jebel Jais, in addition to meeting with representatives of various Ras Al Khaimah-based entities. With a comprehensive overview of the industrial infrastructure and the real estate and tourism sectors in the emirate, the Chinese investors explored the potential of these promising locations for business expansion and the support extended by Rakez. Global investors Rakez Group CEO Ramy Jallad said: The growing interest from Chinese businesses further solidifies our efforts to strengthen our relationships with global investors by fostering mutually beneficial collaborations. The UAEs long-standing relationship with China has helped shape a flourishing economic landscape, with the country becoming the UAEs top non-oil trading partner and the third-largest foreign investor. Similarly, for Rakez, China continues to be one of the key focus markets, he added. As the UAE actively strives to boost its bilateral trade with China to $200 billion by 2030, establishing business relations with more Chinese companies has become even more vital. In this light, we welcome more Chinese investors to explore the dynamic opportunities that Ras Al Khaimah has to offer. Rakez currently houses more than 400 Chinese companies ranging from small to medium-sized enterprises (SMEs) as well as large industrial companies. The sectors they operate in are broad, reflecting the diverse economic potential of the UAE and the attractiveness of Ras Al Khaimah in particular. This year, Rakez has already seen some key investments from Chinese companies, including Maxtron Show Lighting, manufacturer of computer-controlled, programmable LED light systems and video screens.-- TradeArabia News Service AGHDAM, Azerbaijan, August 16. Azerbaijan is ready to ensure the rights and security of the Armenian residents of Karabakh, Assistant to the President of Azerbaijan and Head of the Foreign Policy Department of the Presidential Administration Hikmet Hajiyev told journalists, Trend reports. He made the remark during a visit of the members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam. "The Aghdam-Khankendi road connects Karabakh with the main part of Azerbaijan. It has always been so. The route from Karabakh to Armenia passes through mountains and difficult terrain," Hajiyev said. Hajiyev noted that this road also has political sense. "The political sense is that Karabakh is Azerbaijan. Armenia has to admit it. Of course, Armenia did not want to accept this fact. However, after our triumphant victory, we are forced to admit it. Karabakh is an integral part of Azerbaijan, and our country is ready to ensure the rights and security of the Armenian residents living there on the basis of its constitution. Azerbaijan is building roads for the reintegration of the Armenian residents of Karabakh, but Armenia is installing blocks and barriers on these roads," Hajiyev said. The visit of members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam kicked off today. The main purpose of the visit is to get acquainted with the current potential of the road infrastructure of the Karabakh Economic Zone, primarily the Aghdam-Khankendi highway as well as the Barda-Aghdam railway. In addition, the visitors will be informed about the destruction committed in Aghdam during the Armenian occupation, which lasted almost 30 years. Guests will also have a chance to get acquainted with the large-scale construction projects that are currently being carried out in the city. KANSAS CITY, Mo. , Aug. 16, 2023 /PRNewswire/ -- Frontier Service Partners ("Frontier"), a premier residential home services company with a growing portfolio, expands into East Michigan with the launch of a Greenfield under the Haley Mechanical brand, set to open on August 17, 2023 in Brighton, Michigan . Haley, an established provider of air conditioning, heating, plumbing, electrical and air quality services in the Ann Arbor area is a well-respected and revered family owned and operated business founded in 1998 by Henry Haley . The new location in Brighton allows the company to extend services to Michigan cities including Brighton , South Lyon , Wixom , Novi , Howell , Milford , Northville , Fowlerville , Whitmore Lake , Farmington Hills , New Hudson , Pinckney and Hartland . Frontier partnership with Haley Mechanical celebrates new Brighton location opening on Aug. 17th "Haley Mechanical is growing rapidly in one of the nation's fastest growing cities. It makes perfect sense to expand our footprint further into East Michigan . Haley has been a mainstay in the community for more than 25 years. They are known for being a brand you can trust. A brand you can rely upon for the ultimate in customer care and services. Their brand identity echoes our own. I couldn't be more thrilled to grow the partnership with Haley and provide the support and structure needed to service additional communities in the East Michigan corridor," said Frontier Service Partner CEO, Daniel Hamm . Henry Haley's focus is on providing skilled professionals to provide top-notch services to a larger community. "This partnership brings value to our existing customer base," said Henry Haley , Founder and President, Haley Mechanical. "Being able to service more homes with the level of expertise we are able to provide with the added support of Frontier is what we strive for. This is a very exciting time for Haley, and I look forward to working closely with Daniel and his team as we continue to expand our service area throughout East Michigan ." To celebrate the grand opening, guests are invited to stop by from 11 am 2 pm to enjoy a series of activities. Following the official ribbon cutting at noon with the local Chamber of Commerce, visitors will enjoy food, fun and drink from a variety of vendors as well as a live broadcast from local radio station WHMI 93.5. A conversation with Henry Haley will be featured LIVE during this special broadcast. "We are incredibly excited to introduce Haley to new customers," said Hamm. "We continue to select the right partners in the right locations and provide more opportunities for everyone involved. Fueling a brand's established legacy, like that of Haley Mechanical is critical to our business model," said Hamm. With Henry Haley continuing at the helm, the company is positioned to retain its status as the number one HVAC contractor proudly serving the Greater Detroit area. Frontier is funded by Toronto -based Imperial Capital and is actively seeking long-standing and reputable residential services brands to join the rapidly growing Frontier family. About Frontier Service Partners Frontier Service Partners is building a world class family of leading residential service brands. Founded by entrepreneurs driven by a passion for unparalleled customer service on the philosophy of putting people first and delivering on their promises. Their family of brands includes A.B. May, Haley Mechanical and Korte Does It All. Frontier seeks to acquire the nation's leaders in residential HVAC, plumbing and electrical services building a platform which benefits customers, employees and transitioning business owners looking for a partner that will carry on their legacy. For additional information on Frontier Service Partners, visit www.frontierservicepartners.com View original content to download multimedia:https://www.prnewswire.com/news-releases/frontier-service-partners-expands-footprint-with-east-michigan-greenfield-301902511.html SOURCE Frontier Service Partners ORLANDO, Fla. , Aug. 16, 2023 /PRNewswire/ -- Holiday Inn Club Vacations Incorporated (HICV), an international vacation ownership company, today announced a slate of senior leadership additions and promotions. On the heels of its recent acquisition of Royal Resorts in Mexico , expansion of its flagship with The Residences at Orange Lake Resort , and establishment of the HICV India Competency Center, the company has expanded its leadership team to prepare for scalable, future growth. "Our company has entered into a new stage of rapid, international growth, and the true measure of our success lies in the caliber of our leadership," said John Staten , President and Chief Executive Officer at HICV. "While our mission to be the most loved brand in family travel has not changed, we are in an ever-evolving business landscape that requires experienced, nimble and innovative leaders. We are confident that these leaders have the knowledge, expertise and strategic acumen to elevate our company to new heights." Leadership updates announced today include: Linda Beltran joined the company as Vice President of Corporate Communications, bringing with her over 30 years of proven leadership in the corporate communications and media relations, developing award-winning campaigns and securing top-tier national and international media coverage. Leading the company's corporate communications team, Linda now oversees all internal communications, cultivates company pride and brand awareness through public relations, and manages the company's corporate social responsibility program. joined the company as Vice President of Corporate Communications, bringing with her over 30 years of proven leadership in the corporate communications and media relations, developing award-winning campaigns and securing top-tier national and international media coverage. Leading the company's corporate communications team, Linda now oversees all internal communications, cultivates company pride and brand awareness through public relations, and manages the company's corporate social responsibility program. Beth Womersley joined HICV as Vice President of Internal Audit, where she will guide the Internal Audit team to ensure the efficiency, compliance, and alignment of internal controls and processes within the company's overarching growth objectives. With over 25 years of experience in building and leading internal audit teams, Beth's expertise is complemented by her tenure as a member of the Board of Governors for the Institute of Internal Auditors . Additionally, Beth is a Certified Public Accountant (CPA) and holds a Certification in Risk Management Assurance (CRMA). joined HICV as Vice President of Internal Audit, where she will guide the Internal Audit team to ensure the efficiency, compliance, and alignment of internal controls and processes within the company's overarching growth objectives. With over 25 years of experience in building and leading internal audit teams, Beth's expertise is complemented by her tenure as a member of the for the . Additionally, Beth is a Certified Public Accountant (CPA) and holds a Certification in Risk Management Assurance (CRMA). Sven Scheffler has been promoted to Vice President of Financial Planning and Analysis (FP&A), where he will now lead the company's FP&A team. At the helm of forecasting, budgeting, and strategic initiatives across all areas of the business, Sven will further strengthen the company's financial operations. Sven brings over two decades of extensive experience in FP&A to this role, and his remarkable proficiency and knowledge have established him as a trusted leader and partner within the organization since joining in 2021. About Holiday Inn Club Vacations Incorporated Encompassing resorts across the United States and the Mexican Caribbean, Holiday Inn Club Vacations Incorporated is a resort, real estate and travel company with a mission to be the most loved brand in family travel by delivering easy-to-plan, memorable vacation experiences that strengthen families. Based in Orlando, Fla. , the company has been a leader in the vacation ownership industry since 1982, when Holiday Inn founder Kemmons Wilson debuted the company's flagship property, Holiday Inn Club Vacations at Orange Lake Resort , next to Orlando's Walt Disney World Resort. Today, the Holiday Inn Club Vacations resort portfolio spans across the United States , and into the Mexican Caribbean, with the company's international expansion in May 2023 . Throughout its history, the company has maintained the core family values true to its founding Wilson family, while aggressively pursuing growth, transforming its member engagement model and building an industry-leading team passionate about the guest experience. Media Contact: Linda Beltran , Holiday Inn Club Vacations 407.395.6340 [email protected] View original content to download multimedia:https://www.prnewswire.com/news-releases/holiday-inn-club-vacations-incorporated-announces-leadership-updates-301902929.html SOURCE Holiday Inn Club Vacations $24 million contract focuses on reducing future disaster losses MORRISVILLE, N.C., Aug. 16, 2023 /PRNewswire/ -- Innovative Emergency Management, Inc. (IEM), the largest woman-and minority-owned disaster recovery and emergency management company in the world, was awarded a contract by Puerto Rico's Public Private Partnership Authority (P3) to assist with disaster recovery efforts focused on hazard mitigation. The $24 million , one-year contract, with two option years will be administered by the Central Office for Recovery, Reconstruction and Resiliency (COR3) and will support Puerto Ricans in reducing future disaster losses on the island. IEM is committed to the Puerto Rico community and will continue working with the 78 municipalities and agencies throughout the island to develop viable, cost-effective mitigation projects aimed at avoiding infrastructure and community impacts following natural disasters. With over 38 years of experience in the emergency management field, IEM will bring expertise in building resilient communities for long-term sustainability. Currently, IEM's disaster recovery and mitigation efforts in Puerto Rico include managing over $21 billion in federal funding projects, Specifically, we are supporting COR3 through sub-grants to inspect, sketch, cost estimate, and plan for disasters and contribute to mitigation efforts by using data analysis. To date, IEM has supported Puerto Rico on mitigation projects related to the Condado Beach Coral Reef Restoration, the Department of Transportation and Public Works, the Advanced Assistance Hurricane Safe Rooms Project, and numerous sub-grant applications to help create a more resilient island. "We are working tirelessly to support Puerto Rico through its recovery after multiple disasters destroyed infrastructure and disabled the island's ability to conduct daily business. Our aim since the beginning is to use our knowledge and expertise in disaster recovery and grant management to support the Government of Puerto Rico in all their recovery efforts," stated Bryan Koon , Vice President of Homeland Security and Emergency Management. "During the first contract, we developed more than 306 sub-grant applications, exceeding the goal of all four milestones set by COR3, and completed 84.9 percent more sub-grant applications than our competitors. We are excited to continue demonstrating our capacity to manage complex programs on the island aimed at building towards a more resilient future." IEM's investment in Puerto Rico continues to grow with over 260 local professionals supporting the island's reconstruction and resiliency efforts our largest office footprint and nine employees dedicated to this specific project. About IEM As the largest woman- and minority-owned crisis management firm in the world, IEM's 1,200+ consultants are at the forefront building a safe, secure, and resilient world. Founded in 1985, IEM integrates science, technology, and real-world experience to provide our clients with innovative solutions and outcomes that matter. Our services encompass emergency management, homeland security long-term disaster recovery, project and grants management, logistics, infrastructure security and resilience, public health, digital citizen services, innovative technologies for national security and law enforcement, and public engagement and outreach. For information, visit www.iem.com. View original content to download multimedia:https://www.prnewswire.com/news-releases/iem-selected-to-support-puerto-rico-with-hazard-mitigation-program-301902804.html SOURCE Innovative Emergency Management, Inc. PITTSBURGH , Aug. 16, 2023 /PRNewswire/ -- "I wanted to create a device for the deadly serious purpose of literally defeating the devil in your mind, heart and life," said an inventor, from Naperville, Ill. , "so I invented the DEFEAT THE DEVIL. My design is meant to scare and stop listeners from sinning by instilling the fear of the Lord and Hell's unquenchable fire." The invention provides an inspirational and educational device that would help Christians and others make the right choices and defeat the devil inside them. In doing so, it can be used to scare listeners and prevent them from doing the work of the devil. It also would educate users with Scriptures and other facts. The invention features an innovative design that is easy to use so it is ideal for Christians, would-be mass shooters, gang-bangers, pedophiles, and others tempted to sin and do the work of the devil. The original design was submitted to the Chicago sales office of InventHelp. It is currently available for licensing or sale to manufacturers or marketers. For more information, write Dept. 21-CHK-905, InventHelp, 217 Ninth Street , Pittsburgh, PA 15222, or call (412) 288-1300 ext. 1368. Learn more about InventHelp's Invention Submission Services at http://www.InventHelp.com. View original content to download multimedia:https://www.prnewswire.com/news-releases/inventhelp-inventor-develops-device-to-defeat-the-devil-chk-905-301899076.html SOURCE InventHelp JACKSON, Miss. , Aug. 16, 2023 /PRNewswire/ -- The Division of University Communications at Jackson State University (JSU) is a finalist for the prestigious 2023 PRNEWS Platinum Awards for the second consecutive year. The awards ceremony takes place Wednesday, Oct. 18 , in New York City . "This honor speaks to the dedication and high-quality work our Division of University Communications produces. It is a further testament to their elevation of the JSU brand by sharing the outstanding achievements of our faculty, staff, students and alumni," said Acting President Elayne Hayes-Anthony , Ph.D. "I'd like to thank all involved in this process for their commitment to telling the JSU story as we build upon our rich legacy of student success and academic excellence." The award submission for the "JSU is the Future" Spring 2023 Jacksonian Magazine highlights the division's public relations efforts to share the ongoing contributions of the robust JSU community with university stakeholders and its consummate alumni, current and future. The Jacksonian Magazine is the university's bi-annual alumni print and online publication, which recently began producing two yearly issues due to increased demand. "This recognition places Jackson State University shoulder-to-shoulder with esteemed national firms and global brands, underscoring the exceptional creativity and strategic prowess of our team," said Alonda Thomas , chief communications officer and associate vice president of marketing and communications. "We'd like to thank our colleagues in the Division of Institutional Advancement for their partnership in using this publication to shine a spotlight on our outstanding alumni, accomplished faculty and talented students." For over two decades, the Platinum Awards have been hailed as one of the most coveted competitions in the communications space. More than 200 judges narrowed the 1,000+ entries received this year to a list of roughly 300 finalists. JSU is recognized in the External Publication category. "Being named a finalist for the Platinum Awards is a distinction that further cements Jackson State University as a remarkable institution, devoted to fortifying the academic experiences of our extraordinary students, faculty and staff," said Director of Public Relations Rachel James-Terry . "I sincerely appreciate the recognition and am honored to collaborate with such a talented team of individuals who go above and beyond to amplify and celebrate JSU." About Jackson State University Jackson State University, founded in 1877, is a historically black, high research activity university located in Jackson , the capital city of Mississippi . Jackson State's nurturing academic environment challenges individuals to change lives through teaching, research and service. Officially designated as Mississippi's Urban University, Jackson State continues to enhance the state, nation and world through comprehensive economic development, healthcare, technological and educational initiatives. The only public university in metropolitan Jackson , Jackson State is located near downtown, with four satellite locations throughout the area. For more information, visit www.jsums.edu or call 601-979-2121 View original content to download multimedia:https://www.prnewswire.com/news-releases/jackson-state-university-communications-named-2023-prnews-platinum-awards-finalist-for-jacksonian-magazine-301903012.html SOURCE Jackson State University NEW YORK , Aug. 16, 2023 /PRNewswire/ -- Lanvin Group (NYSE: LANV, the "Group"), a global luxury fashion group, will release its unaudited results for the first half of 2023 on Wednesday, August 30, 2023 . On the same day, at 8:00 a.m. Eastern Daylight Time ( 8:00 p.m. China Standard Time), the Group will host a conference call and webcast to discuss the released results and provide an outlook for the second half of 2023. Management will refer to a slide presentation during the call, which will be made available on the day of the call. To view the presentation, please visit the "Events" tab of the Group's investor relations website at https://ir.lanvin-group.com. Listeners may access the call by dialing the following numbers: United States Toll Free: 1-888-346-8982 International: 1-412-902-4272 Mainland China Toll Free : 4001-201203 Hong Kong Toll Free: 800-905945 Hong Kong-Local Toll: 852-301-84992 Singapore Toll Free: 800-120-6157 A replay of the conference call will be accessible approximately one hour after the live call until September 6, 2023 , by dialing the following numbers: US Toll Free: 1-877-344-7529 International Toll: 1-412-317-0088 Canada Toll Free: 855-669-9658 Replay Access Code: 5791065 Additionally, an archived webcast of the conference call will be available on the Group's investor relations website at https://ir.lanvin-group.com. About Lanvin Group Lanvin Group is a leading global luxury fashion group headquartered in Shanghai, China , managing iconic brands worldwide including Lanvin, Wolford, Sergio Rossi , St. John Knits, and Caruso. Harnessing the power of its unique strategic alliance of industry-leading partners in the luxury fashion sector, Lanvin Group strives to expand the global footprint of its portfolio brands and achieve sustainable growth through strategic investment and extensive operational know-how, combined with an intimate understanding and unparalleled access to the fastest-growing luxury fashion markets in the world. Lanvin Group is listed on New York Stock Exchange under the ticker symbol "LANV". For more information about Lanvin Group, please visit www.lanvin-group.com, and to view our investor presentation, please visit https://ir.lanvin-group.com. Enquiries: Media Lanvin Group Miya He [email protected] Investors Lanvin Group James Kim [email protected] View original content to download multimedia:https://www.prnewswire.com/news-releases/lanvin-group-to-report-2023-first-half-results-on-august-30-2023-301902133.html SOURCE Lanvin Group New PSAs from Ad Council, Alzheimer's Association, and Lopez Negrete Communications highlight the early warning signs of Alzheimer's that can be mistaken for normal aging NEW YORK , Aug. 16, 2023 /PRNewswire/ -- The Ad Council, in partnership with the Alzheimer's Association and creative agency Lopez Negrete Communications, launched new public service advertisements (PSAs) "Some Things Come with Age" in an effort to increase early detection of Alzheimer's and other dementias within the Hispanic community by raising awareness of the early signs and symptoms. The new campaign, which celebrates the positive aspects of aging while educating about changes that could be signs of Alzheimer's, will be available in English and Spanish nationwide. "Early detection and diagnosis of Alzheimer's and other dementia offers important benefits to individuals and families affected by Alzheimer's, but even more so today with the advancements of new dementia treatments," said Carl V. Hill , Chief Diversity, Equity & Inclusion Officer, Alzheimer's Association. "Hispanic and Latino Americans are disproportionately affected by Alzheimer's, but are diagnosed later in the disease or not at all. This important campaign is designed to address this disparity by providing equitable information and other resources for Hispanic and Latino communities in the fight against Alzheimer's and other dementia." Since June 2019 , the Ad Council and Alzheimer's Association have partnered on multiple efforts to promote early detection of Alzheimer's and encourage family members to talk about visiting a doctor together. However, with Latinos having a longer life expectancy than most other ethnicities, according to 2022 CDC data , and quickly becoming the fastest-growing group of older adults in the U.S. with an older adult population expected to quadruple by 2060 , the Hispanic community remains disproportionately at-risk for Alzheimer's. Latinos are 1.5 times more likely than non-Hispanic Whites to develop Alzheimer's disease, according to the 2023 Alzheimer's Association Alzheimer's Disease Facts and Figures report. Due to overlapping systemic and cultural barriers that make access to diagnosis more challenging than for non-Hispanic Whites, early signs of cognitive change typically go unnoticed or undiscussed in Hispanic families, and too often a diagnosis happens only in a moment of crisis and/or emergency. "We know that for many families, it can be difficult to distinguish between early signs of Alzheimer's and normal signs of aging," said Heidi Arthur , Chief Campaign Development Officer, Ad Council. "With this new campaign we aim to educate individuals, especially in Hispanic communities, to recognize changes in their loved ones' behavior that could be Alzheimer's and empower them to have a conversation." Created and produced pro bono by Lopez Negrete Communications, this new campaign seeks to encourage Hispanic pre-care partners to recognize the early warning signs of Alzheimer's and other dementias in their loved ones. By celebrating the positive changes that come with getting older (like feeling more confident), the campaign also seeks to raise awareness around cognitive and behavior changes that aren't normal aspects of aging, and could be signs of Alzheimer's. The PSAs will run in Spanish and English on television, radio, out-of-home, and digital sites across the country, including donated media support. "This body of work brings to life the idea that, as Latinos, we tend to expect certain illnesses with aging. The "waving off" of early signs of any disease, Alzheimer's in this case, in the name of normal aging is keeping many Hispanic families from having the necessary conversations and doctor consultations," said Lopez Negrete Communications President and CEO, Alex Lopez Negrete. "We're hoping this campaign will open an important conversation about what getting older really brings, so we can avoid misconceptions that also reinforce ageism." The campaign's website 10signs.org in English and 10senales.org in Spanish, offers tools and resources to help families recognize early warning signs of Alzheimer's, tips for facilitating conversations about cognition, benefits of early detection and diagnosis, a discussion guide for use with doctors and health providers, and other disease-related information. About the Alzheimer's Association The Alzheimer's Association is a worldwide voluntary health organization dedicated to Alzheimer's care, support and research. Our mission is to lead the way to end Alzheimer's and all other dementia by accelerating global research, driving risk reduction and early detection, and maximizing quality care and support. Our vision is a world without Alzheimer's and all other dementia. Visit alz.org or call 800.272.3900. About the Ad Council The Ad Council convenes creative storytellers to educate, unite and uplift audiences by opening hearts, inspiring action and accelerating change around the most pressing issues in America. Since the non-profit's founding, the organization and its partners in advertising, media, marketing and tech have been behind some of the country's most iconic social impact campaigns Smokey Bear , A Mind Is a Terrible Thing to Waste, Love Has No Labels, Tear the Paper Ceiling and many more. With a current focus on mental health, gun safety, the opioid epidemic, skill-based hiring and other critical issues, the Ad Council's national campaigns encompass advertising and media content, ground game and community efforts, trusted messenger and influencer engagement, and employer programs, among other innovative strategies to move the needle on the most important issues of the day. To learn more or get involved, visit AdCouncil.org , join the Ad Council's communities on Facebook , Instagram , LinkedIn and Twitter , and view campaign creative on YouTube . About Lopez Negrete Communications, Inc. Lopez Negrete Communications stands as the largest independent, Hispanic-owned-and-operated, full-service agency in the United States , specializing in providing marketing services to corporations wishing to reach and engage with America's large and influential Hispanic consumer segment. Founded in 1985 by Alex and Cathy Lopez Negrete, the agency offers thought leadership and a full range of marketing, advertising, and communications services, including strategic planning, brand strategy, creative and production, research and consumer insights, media planning and buying, digital/social/mobile marketing services, public relations, and promotions. Award-winning throughout a rich 38-year history, Lopez Negrete counts as clients some of the nation's largest corporations and their prestigious brands, such as Bank of America, Walmart, McDonald's, Hyundai Motor America, Sam's Club, Mattress Firm, Phillips 66 Company, and Motiva Enterprises LLC. With headquarters in Houston, Texas , Lopez Negrete employs over 100 professionals who are dedicated to delivering the promise of Maximum Return On Cultural Intelligence and is a founding agency member of both the Hispanic Marketing Council and the ANA's Alliance for Inclusive and Multicultural Marketing (AIMM). View original content to download multimedia:https://www.prnewswire.com/news-releases/new-campaign-from-the-ad-council-and-alzheimers-association-encourages-hispanic-communities-to-recognize-the-differences-between-normal-aging-and-early-signs-of-alzheimers-disease-301901271.html SOURCE The Ad Council NEW YORK , Aug. 16, 2023 /PRNewswire/ -- In response to the opioid crisis, researchers at Hospital for Special Surgery (HSS) are looking for alternate ways to reduce pain after orthopedic procedures. In an innovative pilot study that was recently completed, investigators led by Michael P. Ast , MD, hip and knee surgeon and Chief Medical Innovation Officer at HSS, showed that a combination of oral ketamine and aspirin was safe and had few adverse effects in people undergoing total knee replacement surgery. Based on these findings, the team is planning a much larger, randomized clinical trial to compare it to standard opioid treatment. "There's been considerable research and effort into reducing opioid consumption after elective surgeries, and specifically after knee replacement. Many people consider knee replacements the most painful elective surgery someone can have," says Dr. Ast . "We've done a lot of great work, and we've already cut the amount of opioids that patients take by approximately 75% as compared to 10 years ago. But there's still room to go, which is why we want to focus on developing novel forms of non-opioid pain medication." Ketamine is a well understood drug that has been approved by the US Food and Drug Administration for postoperative pain management, but it is currently only administered intravenously in the inpatient setting. A noteworthy feature of the new formulation evaluated in this study was that it was an oral medication. "Our goal was to find an effective medication that patients could take home with them," explains Dr. Ast . "This is especially important because the average stay after total knee replacement surgery is only 24 hours, but we know that the worst postsurgical pain arises between days 3 and 10." Oral ketamine is already being studied as a safe alternative to opioids but has low bioavailability in its isolated form. Additionally, there are concerns about ketamine being abused, due to the feelings of dissociation that it creates. The ketamine used in this study was specially formulated for oral use and is manufactured in a way that potentially limits its ability be abused by melting or crushing. "We've learned through basic science studies that nonsteroidal anti-inflammatories like aspirin can buffer the effects of other drugs by adjusting the pH. Aspirin and ketamine work together synergistically on the body's pain receptors," says Dr. Ast . "This allows you to use relatively low doses of the ketamine, while also aids in preventing the dissociative feelings even at larger doses." The trial enrolled 22 men and women between the ages of 47 and 81 who were undergoing elective total knee replacement. The criteria for enrollment were tightly controlled, including being generally in good health and having no history of opioid use. All the study participants received an oral dose of aspirin and ketamine four times a day until discharge (up to 72 hours) and were monitored for the duration of the study, including being assessed for pain using standard pain scales. "At HSS, nothing matters more than patient safety," Dr. Ast says. "That's why it was so important to do this pilot study with a small number of patients who were closely followed for the entirety of their treatment before developing a larger trial." Overall, 18 of the 22 patients reported no side effects at all from the treatment. Two of them reported feelings of dizziness. Two others withdrew from the study early because they felt they needed more pain relief. "If this treatment proves to be as effective as we hope it's going to be, we can imagine a world where even after something as painful as knee replacement surgery patients go home with only a few opioid pills to take if needed, rather than a more typical amount of 25 or 30 pills that are often provided today," Dr. Ast says. "This could dramatically reduce the number of opioid pills that end up out on the streets every year, just from this one procedure." For Dr. Ast , the quest to find alternatives to opioids is personal: "Unfortunately, I grew up in an area that has been hit hard by the opioid epidemic and have seen people I knew pass away from opioid overdoses," he says. "That's one of the main reasons the focus of my research for the past 10 years has been on finding new forms of pain medication that can minimize opioid use and ultimately be developed and commercialized." A manuscript of this study is in preparation for presentation and publication. The next phase clinical trial is expected to begin at HSS in the near future. View original content to download multimedia:https://www.prnewswire.com/news-releases/novel-combination-of-oral-ketamine-and-aspirin-is-safe-form-of-pain-medication-after-total-knee-replacement-surgery-301902136.html SOURCE Hospital for Special Surgery TSXV: PGZ | OTCQX: PGZFF VANCOUVER, BC , Aug. 16, 2023 /PRNewswire/ - Pan Global Resources Inc. ("Pan Global" or the "Company") (TSXV: PGZ) (OTCQX: PGZFF) is pleased to announce that the Company has qualified for trading on the OTCQX Best Market operated by OTC Markets Group Inc. in the United States. The Company's common shares trade under the symbol "PGZFF" on the OTCQX and under the symbol "PGZ" on the TSX Venture Exchange (the "TSX-V"). "The upgrade to OTCQX is a milestone for Pan Global as the Company continues to make inroads into the U.S. market," said Andy Marshall , CFO of Pan Global. "Pan Global is exposed to a larger portion of the U.S. investment community with the graduation to the OTCQX. The Company's goal is to build a broader awareness of its advanced copper-tin-silver discovery at the Escacena Project, located in the prolific Iberian Pyrite Belt in the south of Spain . Pan Global is enhancing shareholder value with an ongoing multi-target drilling and exploration program and continues to identify additional mineralization." U.S. investors can find current financial disclosure and Real-Time Level 2 quotes for the company on www.otcmarkets.com. The OTCQX Market is designed for established, investor-focused U.S. and international companies. To qualify for OTCQX, companies must meet high financial standards, follow best practice corporate governance, and demonstrate compliance with applicable securities laws. Graduating to the OTCQX Market from the OTCQB Market marks an important milestone for Pan Global and builds visibility among U.S. investors. The 2023 Escacena Project plan is being executed on schedule and includes more than 50 additional drill holes at Escacena. Surface access to Romana West was achieved in June and initial indications are the La Romana mineralization continues in the 850-meter-long geophysical target as an extension of the 1.2km La Romana mineralization. Multiple additional targets have been identified and remain to be tested. Near-term catalysts for 2023 include: Publishing assay results from exploration drilling at La Romana and Romana West as well as Canada Honda and Zarcita targets (located 4 km north of La Romana) as well as Canada Honda and Zarcita targets (located 4 km north of La Romana) Gaining access to Romana East to test a highly prospective geophysical area at the Bravo target to test a highly prospective geophysical area at the Bravo target Issuing results from ongoing La Romana tin metallurgy testwork Testing additional drill targets from ongoing exploration About the Escacena Project The Escacena Project comprises a large, contiguous, 5,760-hectare land package controlled 100% by Pan Global in the east of the Iberian Pyrite Belt. Escacena is located near operating mines at Las Cruces and Riotinto and is immediately adjacent to the former Aznalcollar and Los Frailes mines where Minera Los Frailes/Grupo Mexico is in the final permitting stage with construction anticipated to start in 2023. The Escacena Project hosts the La Romana copper-tin-silver discovery and a number of other prospective targets, including Zarcita, Hornitos, La Jarosa, Romana Deep , Romana North , Romana West , Canada Honda, Bravo, Barbacena, El Pozo , and San Pablo. About Pan Global Resources Pan Global Resources Inc. is actively targeting copper-rich mineral deposits, given copper's compelling supply-demand fundamentals and outlook for strong long-term prices as a critical metal for global electrification and energy transition. The Company's flagship Escacena Project is located in the prolific Iberian Pyrite Belt in southern Spain , where infrastructure, mining and professional expertise, and support for copper as a Strategic Raw Material by the European Commission collectively define a tier-one jurisdiction for mining investment. The Pan Global team comprises proven talent in exploration, development, and mine operations - all of which are committed to operating safely and with utmost respect for the environment and our partnered communities. On behalf of the Board of Directors Forward-looking statements Statements which are not purely historical are forward-looking statements, including any statements regarding beliefs, plans, expectations or intentions regarding the future. It is important to note that actual outcomes and the Company's actual results could differ materially from those in such forward-looking statements. The Company believes that the expectations reflected in the forward-looking information included in this news release are reasonable but no assurance can be given that these expectations will prove to be correct and such forward-looking information should not be unduly relied upon. Risks and uncertainties include, but are not limited to, economic, competitive, governmental, environmental and technological factors that may affect the Company's operations, markets, products and prices. Readers should refer to the risk disclosures outlined in the Company's Management Discussion and Analysis of its audited financial statements filed with the British Columbia Securities Commission. The forward-looking information contained in this news release is based on information available to the Company as of the date of this news release. Except as required under applicable securities legislation, the Company does not intend, and does not assume any obligation, to update this forward-looking information. NEITHER TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. View original content to download multimedia:https://www.prnewswire.com/news-releases/pan-global-graduates-to-otcqx-venture-market-in-the-united-states-301901798.html SOURCE Pan Global Resources Inc. LOS ANGELES , Aug. 16, 2023 /PRNewswire/ -- Children have a fresh start to the school year due to Pathways LA's Back-to-School Resource Fair held earlier this month. Pathways LA, a resource and referral provider, hosted its annual event for families living in Metro Los Angeles at El Centro Del Pueblo . A total of 844 backpacks were distributed to families with children ages 3-13 years old to help prepare children for the new school year. School supplies, lunch bags with nutritious snacks, educational activity kits, and insulated water bottles were distributed. "With support from generous organizations and donors, we are able to promote healthy child and family well-being and support positive outcomes for all children through an array of free services and signature events like our Back-to-School Resource Fair," said CEO Pathways LA Tamika Farr . "Thanks to our partners we were able to help build brighter futures for children and families living in Los Angeles as they prepare for the new school year." Contributions were provided by Walmart through a community local grant in the amount of $1,000 , in addition to in-kind school supply donations. Also, Baby2Baby offered in-kind donations of 144 backpacks while CNY Global Foundation contributed school supplies. With support from Parents Educators/Teachers & Students in Action (PESA), Senator Maria Elena Durazo (D- Los Angeles ) donated 200 backpacks with school supplies. Target Commercial Production Company contributed additional backpacks and school supplies, while the agency also received diapers from Hello Bello to help families with babies and toddlers. Durazo welcomed children and families along with representatives from Pathways LA and PESA. Los Angeles Councilmember Hugo Soto-Martinez ( District 13 ) also participated by distributing backpacks to residents. In addition, families connected with community resources from PHFE WIC (Women's, Infants, and Children), Saban Community Clinic, Liberty Dental Plan, LIFT Los Angeles, Options for Youth, Los Angeles Public Library, Frank D. Lanterman Regional Center, PESA, and California Highway Patrol El Protector. Pathways LA is a nonprofit that strengthens low-income and vulnerable working families, promotes high quality early care and education services for children of all abilities, develops knowledgeable early care providers and promotes economically resilient communities. The nonprofit serves families living in Los Angeles communities of Central City, Downtown LA, Echo Park, El Sereno , Hollywood , Mid-City Wilshire, Monterey Hills , Mount Washington , Silverlake, West Hollywood , and Westlake . To learn how families can receive free or affordable child care, visit www.pathwaysla.org or call 213-427-2700. View original content to download multimedia:https://www.prnewswire.com/news-releases/pathways-la-distributes-over-800-backpacks-at-its-back-to-school-resource-fair-for-families-in-metro-la-301903010.html SOURCE Pathways LA BAKU, Azerbaijan, August 16. President Ilham Aliyev signed the law on amendments to the Code of Administrative Offences of the Republic of Azerbaijan, Trend reports. In this regard, President Ilham Aliyev signed a corresponding decree. The full text of the decree can be found at the link. NELSON, BC and BONNERS FERRY, ID , Aug. 16, 2023 /PRNewswire/ - The annual meeting of the International Kootenay Lake Board of Control will be held Tuesday, September 19 , from 6:30-8:00 p.m. (PDT) in Nelson, British Columbia . The public is encouraged to attend. This meeting is regarding the regulation of Kootenay Lake and the operation of Corra Linn Dam under the International Joint Commission's Orders of Approval. Board members will present information on Kootenay Lake water level management, board initiatives and hear comments from the public regarding the board's activities. The meeting will be held in the upstairs boardroom at the Nelson & District Chamber of Commerce's Visitor Information Center, located at 91 Baker St. in Nelson, B.C. Those unable to attend in person may join online to participate via the Zoom platform. Please register online to attend the webinar. No registration is required for in-person participation, although attendees are encouraged to sign in at the door. Everyone who plans to participate is encouraged to submit questions to the board before the meeting via an online contact form. There also will be an opportunity to ask questions at the meeting. For additional information on the board, visit ijc.org/en/klbc. Quick Facts Annual meetings of the International Kootenay Lake Board of Control normally alternate each year between Nelson, British Columbia , and Bonners Ferry, Idaho . normally alternate each year between , and . The board is led by Canadian Section Chair Evan Friesenhan and US Section Chair Col. Kathryn Sanborn . and US Section Chair Col. . Subscribe to receive periodic email updates on hydrologic conditions for the Kootenay basin, annual public meeting announcements and special projects in the basin at eepurl.com/ivl4jo. View original content:https://www.prnewswire.com/news-releases/public-invited-to-september-19-meeting-of-international-kootenay-lake-board-of-control-in-nelson-bc-301897280.html SOURCE International Kootenay Lake Board of Control MIAMI , Aug. 15, 2023 /PRNewswire/ -- SchoolWise Partners ("SchoolWise"), the nation's leading sell-side advisor to owners of early childhood, proudly announces its inclusion on the esteemed Inc. 5000 list for 2023. Recognized as the 470th fastest-growing private company in the United States , this placement stands as a testament to SchoolWise's commitment to excellence, innovation, and its unwavering dedication to improving the early childhood education landscape. The Inc. 5000 list, compiled annually by Inc. Magazine, is one of the most prestigious honors for private companies in the U.S. , spotlighting the nation's most successful, dynamic, and growth-driven organizations. To be named to such an elite group acknowledges SchoolWise Partners' sustained growth, exceptional service, and leadership in the industry. "We are both humbled and exhilarated by this recognition," said Charlie Zamora , Managing Partner of SchoolWise Partners. Ben Mayer , Managing Partner of SchoolWise Partners, said "Our team has worked relentlessly to realize our mission of being the first-choice advisor for those in need of sell-side services in this highly fragmented industry. Being named to the Inc. 5000 is a tremendous affirmation that our efforts are making a significant impact." With a firm understanding of where the early education industry has been, and where it is going SchoolWise Partners strives to maximize outcomes, identify areas of alignment and accelerate growth and impact across the early education industry. SchoolWise Partners was built on the understanding that smaller sized transactions deserve the same level of high service and attention that the mega-deals receive. SchoolWise thrive in attentive service, creative ideas, skilled execution, and extraordinary value creation. Earning a spot on the esteemed Inc. 5000 list isn't new territory for the founders of SchoolWise. Before their successful journey with SchoolWise Partners, Ben and Charlie founded and developed a highly notable private school company called Endeavor Schools. Starting with two schools in 2012, Endeavor Schools quickly grew to amass 42 locations across 11 states by 2018. The Inc. 5000 recognized the growth of Endeavor Schools in 2018 with a placement on the Inc. 5000. Ben and Charlie's consistent recognition is a testament to their dedication and prowess in the realms of education and business leadership. This acknowledgment by Inc. Magazine serves as a springboard for SchoolWise Partners, propelling the team to aim even higher and to continue pushing boundaries. "We see this not just as a milestone but as a stepping stone to greater achievements," added Charlie Zamora . "We're immensely grateful to our dedicated team, our loyal clients, and all those who have believed in and supported our vision. This accolade is as much theirs as it is ours." For more information on SchoolWise Partners and their industry-leading solutions, please visit www.schoolwisepartners.com or contact Charlie Zamora at [email protected] About SchoolWise Partners SchoolWise Partners is a middle market advisory firm focused exclusively on supporting for-profit providers of early and secondary education. Our firm advises those that are considering strategic options, such as selling their school business or real estate, and seeking to maximize value and unlock liquidity. As a result of our expertise and strategic approach, our firm has helped clients exceed valuation benchmarks, achieve maximum financial value, and set the stage for securing its client's legacy while taking care of its teachers and families. SchoolWise Partners is the only firm that combines an institutional, investment banking background with actual "school ownership" experience from developing and managing a family of 42 private schools. We have used this unique experience to successfully complete more than $750 million in transaction value on behalf of our clients as advisors in the education industry. Our extensive experience as both school owners and strategic advisors gives us a nuanced understanding of the challenges and opportunities in the market, and allows us to provide tailored solutions that deliver the best possible outcomes for our clients. For press inquiries, please contact: Jefferson Betancourt Vice President [email protected] View original content to download multimedia:https://www.prnewswire.com/news-releases/schoolwise-partners-celebrates-placement-on-inc-5000-as-the-470th-fastest-growing-company-301901836.html SOURCE SchoolWise Partners After iOS success, SkyGrid expands drone operation capabilities to Android users worldwide AUSTIN, Texas , Aug. 16, 2023 /PRNewswire/ -- SkyGrid, a Boeing, SparkCognition company, today announced the launch of SkyGrid Drone Central, a comprehensive application designed to automate every phase of flight in one unified solution. Now available for free on the Google Play Store for Android users, this launch follows the positive response to SkyGrid's iOS application, SkyGrid Flight Control. Powered by SkyGrid's Aerial Operating System, AerialOS, SkyGrid Drone Central is an all-in-one solution that allows drone operators to explore airspace, automate mission planning, and execute flights seamlessly, enabling safe, secure, and efficient operations. The free application continuously monitors and adapts to changing regulatory advisories, aircraft performance, and location information to safely enable a wide variety of drone operations. "Our goal with SkyGrid Drone Central is to provide a comprehensive solution that simplifies and enhances operations of smaller aircraft at low altitudes," said Fabrice Kunzi , Chief Operating Officer at SkyGrid. "Following the successful launch of our iOS application, we're excited to expand our reach to Android users, making advanced drone operations accessible to a broader audience." Key features of SkyGrid Drone Central include: Airspace Awareness: Offering insights into airspace classes, no-fly zones, roadway traffic, population density, and relevant advisories empowering operators to make informed decisions before they fly. Weather Intelligence: Providing real-time, hyper-local weather updates, including wind speed, temperature, visibility, and more, operators are given crucial insights that can influence flight decisions, enhancing safety and efficiency. Flight Operations: Through an intuitive interface, users can define flight parameters to automatically generate diverse missions such as area exploration, waypoints, and multi-objective missions. Autonomous Flight Execution: Operators can effortlessly connect drones and launch missions, performing predefined flight plans autonomously, making missions more streamlined and less labor-intensive. In an age where the skies are becoming more populated with drones, having an intelligent, unified solution to check airspace, plan and execute flights, and gather insights is necessary. Recognizing this challenge, SkyGrid has minimized the burden on drone operators by creating one solution that gives operators the assurance they need to execute safe, compliant missions. Download SkyGrid Drone Central in the Google Play Store today or visit the SkyGrid website for more information. About SkyGrid SkyGrid, a Boeing, SparkCognition company, is powering the next generation of aviation. We are solving the industry's biggest challenge of integrating unmanned aircraft in the global airspace and executing autonomous operations. SkyGrid is defining a future where highly automated aircraft operate without the need for onboard pilots. We provide the safety-of-life approved flight operations management services for such aircraft to ensure operations of all participants in the air transportation system are safe and secure. With SkyGrid's AerialOS we also help manage small UAS by providing airspace awareness, flight planning and fleet management services. Based in Austin, Texas , SkyGrid is enabling a wide variety of commercial drone operations from remote inspections to making autonomous flight a reality. For more information, visit skygrid.com, LinkedIn, or Twitter. View original content to download multimedia:https://www.prnewswire.com/news-releases/skygrids-all-in-one-drone-application-lands-on-android-301902738.html SOURCE SkyGrid MONTREAL , Aug. 16, 2023 /PRNewswire/ - Strategic Resources Inc. (TSXV: SR) (the "Company" or "Strategic") and Cipher Neutron Inc. ("Cipher Neutron" or "CN") jointly announce that they have executed a collaboration agreement (the "Collaboration Agreement") to study supplying the BlackRock Project's metallurgical facility (owned by Strategic), located in Saguenay, Quebec (Canada ) with Anion Exchange Membrane ("AEM") Electrolysers. These AEM Electrolysers manufactured by Cipher Neutron will be designed to produce Green Hydrogen in order to support the transition to Green Steel. As per Strategic's recent NI 43-101 Feasibility Study, Strategic plans on using natural gas for its Tenova HYL Energiron direct reduction plant, which can also use hydrogen. The Collaboration Agreement will enable Strategic to accelerate its hydrogen development roadmap and move towards producing near emissions free iron metallic products for use in electric arc furnaces and steel foundries. Collaboration Agreement Green Hydrogen AEM Electrolyser Technology of Cipher Neutron The Collaboration Agreement allows Strategic to consider the use of AEM Electrolysers from CN for the BlackRock Project including its iron, titanium and vanadium production at its metallurgical processing plant to be located adjacent to the Port of Saguenay , Quebec , in Canada . Cipher Neutron's innovative products, such as its proprietary AEM Electrolysers, have unique advantages over other Green Hydrogen production technologies and, more specifically, these are as follow: CN AEMs provide greater electrical efficiency versus its technology peers, leading to lower hydrogen costs. CN AEM Electrolysers are free of Platinum and Iridium materials, harmful PFAS (Polyfluoroalkyl Substances) chemicals and polluting metals, leading to lower costs, enhanced availability and shortened lead times and delivery schedules. CN's AEM membranes have demonstrated longer life, leading to lower sustaining capital and operating costs. CN's Green Hydrogen is provided at pressures of up to 30 bar, reducing the need for compressors and excess power required by compressors, further reducing the capital and operating costs. The BlackRock Project's leased industrial site at the Port of Saguenay provides an ideal location to deploy Cipher Neutron's Green Hydrogen AEM Electrolysers. Strategic can utilize the Green Hydrogen in its Hydrogen-ready Tenova HYL Energiron direct reduction plant for the production of high purity, clean metallic iron products, a key component of Green Steel. Strategic has an established business footprint in Quebec and CN's supply of AEM Electrolysers for this multi-Megawatt capacity project is well-suited for Strategic, Cipher Neutron, the Province of Quebec and North America . Magnitude of the Collaboration Strategic has completed a bankable feasibility of the fully-permitted BlackRock Project, which, along with it's Environmental Certificates of Authorization from the Province of Quebec , demonstrate significant achievements of advanced greenhouse gas ("GHG") reduction with proven technologies. The work contemplated by CN and Strategic for the first phase of the collaboration will consider the possibility of further advancing the GHG reduction achievements of Strategic towards even lower emissions. CN will provide the design for the initial implementation of approximately eighty-four (84) 250-Kilowatt AEM Green Hydrogen Electrolysers to produce approximately ten (10) tonnes of Green Hydrogen gas per day to be utilized in the reduction of iron and potentially other purposes for the BlackRock Project. If the initial project phase is implemented, the supply of AEM Green Hydrogen Electrolysers could represent meaningful revenue to Cipher Neutron and subject to the supply of available electrical energy and other factors, could eventually scale up to over two hundred (200) 250-Kilowatt Electrolysers to produce up to thirty (30) tonnes of Green Hydrogen per day for the BlackRock Project. Sean Cleary , CEO of Strategic Resources, stated: "Cipher Neutron's AEM technology has significant game-changing potential and is an excellent fit with the developing hydrogen strategy of Strategic's BlackRock Project. We look forward to incorporating the AEM electrolyser technology, such as that of Cipher Neutron, to foster a greener and more sustainable future in line with global reduction of GHG. Strategic Resources has a long history of fostering green and sustainable technology and we welcome the opportunity to be a part of the development of the growing Green Hydrogen Economy and support the transition to Green Steel for the Western World." Gurjant Randhawa , President and CEO of Cipher Neutron, stated: "Cipher Neutron welcomes this very significant alliance with Strategic Resources on its ongoing energy projects. Approximately seven percent (7%) of the total carbon dioxide produced in the world emanates from the iron and steel industries. We commend Strategic Resources for its leadership in Green Hydrogen adoption which can considerably change forever these highly intense GHG emissions sectors. We believe that carbon emissions and other harmful impacts on our environment can be reduced drastically in the Province of Quebec and across the globe by deploying CN's AEM Electrolysers." About Cipher Neutron Inc. Cipher Neutron is a rapidly growing disruptive technology company focused on AEM Electrolysers for Green Hydrogen production and Reversible Fuel Cells for power generation and Energy Storage Solutions. Cipher Neutron is a global group of scientists, engineers, technology developers, experts in hydrogen technology, investment bankers and people that have worked in the hydrogen space for decades. Cipher Neutron's innovative products, such as AEM Electrolysers and Reversible Fuel Cells have unique advantages over other Green Hydrogen production, power generation and energy storage solutions currently available in the global market. Please see our website for more information: www.cipherneutron.com About Strategic Resources Strategic Resources Inc. (TSXV: SR) is a critical mineral exploration and development company focused on high-purity iron and vanadium projects in Canada and Finland . The Company is developing its flagship BlackRock Project, which is a fully permitted and ready to construct mine, concentrator and metallurgical facility located at a seaport in Quebec with full access to the St. Lawrence Seaway. The Company's Head Office is in Montreal, Quebec . Further details are available on the Company's website at https://strategic-res.com/. To follow future news releases, please sign up at https://strategic-res.com/contact/. Follow us on: Twitter or Linkedin. STRATEGIC RESOURCES INC. Signed: "Sean Cleary" Sean Cleary , CEO & Chairman Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note Regarding Forward-Looking Information Certain statements and information herein, including all statements that are not historical facts, contain forward-looking statements and forward-looking information within the meaning of applicable securities laws. Such forward-looking statements or information include but are not limited to statements or information with respect to future study and deployment of the AEM technology and potential funding of the BlackRock Project. Often, but not always, forward-looking statements or information can be identified by the use of words such as "will" or "projected" or variations of those words or statements that certain actions, events or results "will", "could", "are proposed to", "are planned to", "are expected to" or "are anticipated to" be taken, occur or be achieved. Although management of the Company believes that the assumptions made and the expectations represented by all forward-looking statements or information are reasonable, there can be no assurance that a forward-looking statement or information herein will prove to be accurate. Forward-looking statements and information by their nature are based on assumptions and involve known and unknown risks, uncertainties and other factors which may cause the Company's actual results, performance or achievements, or industry results, to be materially different from any future results, performance or achievements expressed or implied by such forward-looking statements or information. These factors include, but are not limited to: risks associated with the business of the Company; business and economic conditions in the mining industry generally; the supply and demand for labour and other project inputs; changes in commodity prices; changes in interest and currency exchange rates; risks relating to inaccurate geological and engineering assumptions (including with respect to the tonnage, grade and recoverability of reserves and resources); risks relating to unanticipated operational difficulties (including failure of equipment or processes to operate in accordance with specifications or expectations, cost escalation, unavailability of materials and equipment, government action or delays in the receipt of government approvals, industrial disturbances or other job action, and unanticipated events related to health, safety and environmental matters); risks relating to adverse weather conditions; political risk and social unrest; changes in general economic conditions or conditions in the financial markets; and other risk factors as detailed from time to time in the Company's continuous disclosure documents filed with Canadian securities administrators. Strategic does not undertake to update any forward-looking information, except in accordance with applicable securities laws. View original content to download multimedia:https://www.prnewswire.com/news-releases/strategic-resources-signs-green-hydrogen-collaboration-agreement-with-cipher-neutron-301902381.html SOURCE Strategic Resources Inc. Simpson joined senior leaders from Tampa General and the Florida Poison Information Center Tampa, to discuss efforts to prevent unintentional consumption of high-potency THC products. TAMPA, Fla. , Aug. 16, 2023 /PRNewswire/ -- Tampa General Hospital (TGH) welcomed Florida's Commissioner of Agriculture Wilton Simpson Wednesday to host a roundtable on the risks of unintentional exposure to high-potency THC products and state-level efforts to protect children and Florida consumers. Joining Commissioner Simpson at the roundtable discussion were Kelly Cullen , executive vice president and chief operating officer at Tampa General; Dr. Justin Arnold , associate professor in the Division of Emergency Medicine at the USF Health Morsani College of Medicine and Medical Director at Florida Poison Information Center in Tampa ; Florida Senator Colleen Burton ; Florida Representative Will Robinson ; and Dr. Matt Curran of the FDACS Division Director of Food Safety. "At Tampa General, we offer high-quality care to patients of all ages, from the simplest to the most complex cases. Some of these cases involve pediatric patients who unintentionally consume high-potency THC products disguised as candy or other familiar snacks," said John Couris , president and CEO of Tampa General. "In partnership with Commissioner Simpson, we're working to protect children by raising awareness of the risks associated with these products and ensuring they are properly labeled." "Together with our medical and policy partners, we have taken significant and meaningful steps to safeguard our children from the risks of high-potency THC products but our job is not done," said Commissioner Wilton Simpson . "Whether through closing dangerous loopholes in the law, prohibiting marketing that targets children, establishing age requirements for purchasing hemp products, requiring poison prevention packaging, or conducting inspections sweeps to remove illegal products, we will continue to work with our partners to ensure the safety of our children." During the 2023 state legislative session, the Florida Legislature passed Senate Bill 1676, sponsored by Sen. Colleen Burton and Rep. Will Robinson , to increase protections for consumers and impose restrictions on the packaging and marketing of high-potency THC products. The bill was signed into law by Governor Ron DeSantis , and the law became effective July 1 . Commissioner Simpson is working to enforce this legislation through inspections of food establishments. The Florida Department of Agriculture and Consumer Services (FDACS) in July and August uncovered 81,334 packages of hemp extract products, including euphoric, high-potency THC products. The products are packaged to mimic branded candy and other popular food snacks that are recognizable and appealing to children. Ensuring that these products are properly labeled can prevent unintentional ingestion by children and reverse the rising trend of pediatric patients showing symptoms of high-potency THC. "In 2022, 933 children were exposed to high-potency-THC products across Florida , many of whom required medical care and hospitalization," Arnold said. "For these young minds and bodies at critical developmental stages, even a single exposure can be potentially life-threatening. We have also seen a significant rise in packaging for these products to be designed to target children." Poisoning from ingestion of high-potency THC can include central nervous system depression (e.g., lethargy, coma), confusion, agitation and ataxia. Nausea and vomiting are also common symptoms of THC ingestion. More significantly, low heart rate, low blood pressure, seizure activity and respiratory depression requiring intubation have been reported. Other notable clinical effects include tremors, hallucinations, abnormal eye movements, slurred speech and muscle weakness. The Florida Poison Information Center Tampa, located at Tampa General Hospital, is committed to serving the people of Florida as a resource for fast, free and accurate information on poisonings. The Florida Poison Information Center Tampa can be reached year-round, 24 hours a day by calling 800-222-1222. ABOUT TAMPA GENERAL HOSPITAL Tampa General Hospital, a 1,040-bed, not-for-profit, academic health system, is one of the largest hospitals in America and delivers world-class care as the region's only center for Level l trauma and comprehensive burn care. Tampa General Hospital is the highest-ranked hospital in the market in U.S. News and World Report's 2023-24 Best Hospitals, with six specialties ranking among the top 50 best hospital programs in the United States . Tampa General Hospital has been designated as a model of excellence by the 2022 Fortune/Merative 100 Top Hospitals list. The academic health system's commitment to growing and developing its team members is recognized by two prestigious Forbes magazine rankings in the top 100 nationally in the 2023 America's Best Employers for Women and sixth out of 100 Florida companies in the 2022 America's Best Employers by State. Tampa General is the safety net hospital for the region, caring for everyone regardless of their ability to pay, and in fiscal year 2021, provided a net community benefit worth more than $224.5 million in the form of health care for underinsured patients, community education, and financial support to community health organizations in Tampa Bay . It is one of the nation's busiest adult solid organ transplant centers and is the primary teaching hospital for the USF Health Morsani College of Medicine. With six medical helicopters, Tampa General Hospital transports critically injured or ill patients from 23 surrounding counties to receive the advanced care they need. Tampa General houses a nationally accredited comprehensive stroke center, and its 32-bed Neuroscience, Intensive Care Unit is the largest on the West Coast of Florida . It also is home to the Jennifer Leigh Muma 82-bed neonatal intensive care unit, and a nationally accredited rehabilitation center. Tampa General Hospital's footprint includes 17 Tampa General Medical Group Primary Care offices, TGH Family Care Center Kennedy, TGH Outpatient Center, TGH Virtual Health, and 21 TGH Imaging powered by Tower outpatient radiology centers throughout Hillsborough , Pasco , Pinellas and Palm Beach counties. Tampa Bay area residents also receive world-class care from the TGH Urgent Care powered by Fast Track network of clinics. To see a medical care professional live anytime, anywhere on a smartphone, tablet or computer, visit Virtual Health | Tampa General Hospital (tgh.org). As one of the largest hospitals in the country, Tampa General Hospital is the first in Florida to partner with GE Healthcare and open a clinical command center that provides real-time situational awareness to improve and better coordinate patient care at a lower cost. For more information, go to www.tgh.org. ABOUT USF HEALTH USF Health's mission is to envision and implement the future of health. It is the partnership of the USF Health Morsani College of Medicine, the College of Nursing, the College of Public Health, the Taneja College of Pharmacy, the School of Physical Therapy and Rehabilitation Sciences, the Biomedical Sciences Graduate and Postdoctoral Programs, and USF Health's multispecialty physicians' group. The University of South Florida is a high-impact global research university dedicated to student success. Over the past 10 years, no other public university in the country has risen faster in U.S. News & World Report's national university rankings than USF. For more information, visit health.usf.edu. Media Contact: Karen Barrera USF Health Media Contact: Sarah Worth Asst. Director of Communications & Partnerships Director of Communications (813) 928-1603 (cell) (813) 928-0861 [email protected] [email protected] View original content to download multimedia:https://www.prnewswire.com/news-releases/tampa-general-hospital-hosts-roundtable-discussion-with-floridas-commissioner-of-agriculture-wilton-simpson-on-efforts-to-protect-children-from-risks-of-high-potency-thc-products-301902874.html SOURCE Tampa General Hospital SHENZHEN, China , Aug. 16, 2023 /PRNewswire/ -- With the continuous development of storage technology, many vehicle-mounted monitoring applications have switched from traditional HDDs (hard disk drives) to faster, more stable and shockproof SSDs (solid state drives). FORESEE, an industrial storage brand under Longsys (301308.SZ) recently launched its first SATA SSD product that is specially designed for multi-channel vehicle-mounted monitoring systems. The SSD is already being widely used in vehicle-mounted devices. Available in both 2.5inch and M.2 2280, the SSD product boasts 3D TLC NAND flash chips with 3,000 P/E cycles, is DRAM-less, and features proprietary Longsys firmware. With capacity options of 512GB, 1TB, and 2TB, it supports 24-channel 1080p high-definition video recording equipment, providing vehicle-mounted monitoring system customers with more market-competitive storage choices. Generally, PC users will not be affected by regular garbage collection (GC) behaviors of SSDs when there is abundant free disk space. However, compared with traditional PC application scenarios, vehicle-mounted multi-channel video recording scenarios are a bit special. In such scenarios, logical block addresses (LBAs) are not written, covered, and reused in sequence, data blocks in partitions are in different sizes, and the disk space usage rate is 100%. But with the continuous writing of video data, LBAs become very random and GC behaviors are triggered frequently, resulting in slow terminal performance that fluctuates and frame drops. In addition, strong vibration, high and low temperature fluctuations, humidity changes, and other harsh environmental factors in such scenarios bring many challenges to vehicle-mounted monitoring systems. FW algorithm optimizations No frame drops in writing FORESEE SSDs for vehicle-mounted monitoring systems are specially made for vehicle-mounted application scenarios. In particular, the following algorithmic optimizations have been made. 1. Bad block management: Because SSDs are prone to bad blocks, especially in vehicle-mounted video recording scenarios where a large amount of data needs to be written and read continuously, it is necessary to adopt special bad-block management algorithms, such as bad block replacement and wear leveling, to prolong the service life of SSDs and ensure their stability and reliability. 2. Data caching: The read and write speeds of SSDs are relatively fast, so a data caching algorithm can be adopted to improve the efficiency of reading and writing data. In vehicle-mounted video recording, data caching technology can be used to cache a certain amount of data to ensure the smoothness of video recording and playback. 3. Data distribution: Data is distributed by identifying its sequence and randomness to reduce data fragmentation and implement efficient garbage collection. 4. TRIM function: SSDs require regular use of the TRIM function to clear useless data, which improves read and write efficiency and prolongs their service life. In vehicle-mounted video recording, the stability and reliability of SSDs can be ensured by regularly using the TRIM function. 5. Efficient garbage collection: Block reservation: Some blocks in SSDs are reserved for garbage collection. When a block is fully written, it can be directly marked as a garbage block, which does not require garbage collection. This can prevent frequently using garbage collection and improve the write performance. Fine-grained garbage collection: Splitting SSDs into smaller blocks can make garbage collection more fine-grained. This can prevent garbage collection in a whole block and improve garbage collection efficiency. By adopting various optimization algorithms such as bad-block management, data caching, data distribution, TRIM, and efficient garbage collection, FORESEE vehicle-mounted monitoring SSDs ensure that no frames drop during intense multi-channel data writing. These functions can improve the application efficiency and reliability of SSDs in vehicle video recording, and prolong the service life of SSDs in vehicle-mounted equipment by reducing data fragmentation and GC wear. They also support S.M.A.R.T firmware online upgrades, temperature control technology, LDPC, and other proprietary functions, providing terminal equipment with strong error correction capabilities in their life cycles, ensuring continuous stable operations in hot, cold, humid, and other climates and environments, and greatly improving the reliability and security of video data. SATA III interface support Compatible with mainstream on-board equipment in the market Vehicle-mounted monitoring systems support SATA I and SATA II interfaces. Designed in accordance with SATA III specifications, the interface of FORESEE SSDs features both backward compatibility and upward compatibility, preparing the SSDs for the future interface iterations of monitoring systems. FORESEE has joined hands with several on-board equipment providers to complete compatibility tests at the verification stage and gained extensive practical experience to ensure that the SSDs can adapt to mainstream vehicle-mounted monitoring systems in the market and meet the requirements for smooth speeds during simultaneous multi-channel writing. 4TB, QLC Diversified storage options Automotive electronics providers need to adopt more feasible storage solutions in response to the increasingly large amounts of data and costs generated by vehicle-mounted monitoring systems, wireless terminals, black boxes, and other similar devices. For this reason, FORESEE is developing 4TB QLC SSD product solutions for vehicle-mounted monitoring systems. These solutions are expected to launch this year. About FORESEE Founded in 2011, FORESEE is an industry storage brand which owned by Longsys (301308.SZ). Powered by technology, focused on customers, and driven by innovation, FORESEE has been engaged in the storage industry for many years. It owns four product lines: embedded storage(include industrial storage), mobile memory, solid-state drive, and memory module. To learn more visit: https://www.longsys.com/brand/foresee/ View original content to download multimedia:https://www.prnewswire.com/news-releases/the-first-foresee-large-capacity-ssd-for-vehicle-mounted-monitoring-systems-supports-24-channel-high-definition-recording-301901806.html SOURCE Longsys Electronics ORRVILLE, Ohio , Aug. 16, 2023 /PRNewswire/ -- The J.M. Smucker Co. (NYSE: SJM) announced today the election of Tarang Amin and Mercedes Abramo to its Board of Directors. The Company also announced the retirements of Richard Smucker , Paul Dolan and Sandra Pianalto from its Board of Directors. With his retirement, Richard Smucker will assume the role of Chairman Emeritus on the Company's board. Amin currently serves as the Chairman and Chief Executive Officer of e.l.f. Beauty, Inc., a leader in the beauty industry with a growing portfolio of popular brands. Prior to joining e.l.f. Beauty, Inc., he was President, Chief Executive Officer and Director of Schiff Nutrition International and held various leadership roles at The Clorox Company and The Procter & Gamble Company. Abramo is currently the global Deputy Chief Commercial Officer of international luxury goods retailer, Cartier, following her time as Chief Executive Officer of the company's North American business. Before joining Cartier, Abramo served in a series of leadership roles with Tiffany & Co., Loews Hotels and The Ritz-Carlton Hotel Company. "We are excited to welcome Tarang and Mercedes to our Board of Directors, further diversifying the expertise we have supporting the continued growth of our business," said Mark Smucker , Chair of the Board, President and Chief Executive Officer, The J.M. Smucker Co. "Tarang's entrepreneurial spirit and leadership acumen have allowed him to establish high performing teams and achieve success across several consumer-packaged goods categories. Mercedes has played a critical role supporting the continued leadership of an iconic international luxury brand through her deep experience in retail and operations along with her consumer centric approach. In addition to their extensive leadership experience, Tarang and Mercedes share in our values and appreciate their roles in supporting the continuity of our unique culture." "On behalf of the board, I also want to extend our appreciation to Richard, Paul and Sandra who have provided exceptional leadership in their roles on the board during a time of growth for our Company." About The J.M. Smucker Co. At The J.M. Smucker Co., it is our privilege to make food people and pets love by offering a diverse portfolio of brands available across North America . We are proud to lead in the coffee, consumer foods, dog snacks and cat food categories by offering brands consumers trust for themselves and their families each day including Folgers, Dunkin', Cafe Bustelo, Jif, Smucker's Uncrustables, Smucker's, Milk-Bone and Meow Mix. Through our unwavering commitment to producing quality products, operating responsibly and ethically and delivering on our Purpose, we will continue to grow our business while making a positive impact on society. For more information, please visit jmsmucker.com. The J.M. Smucker Co. is the owner of all trademarks referenced herein, except for Dunkin', which is a trademark of DD IP Holder LLC. The Dunkin'brand is licensed to The J.M. Smucker Co. for packaged coffee products sold in retail channels such as grocery stores, mass merchandisers, club stores, e-commerce and drug stores. This information does not pertain to products for sale in Dunkin'restaurants. View original content to download multimedia:https://www.prnewswire.com/news-releases/the-jm-smucker-co-elects-tarang-amin-and-mercedes-abramo-to-its-board-of-directors-301902430.html SOURCE The J.M. Smucker Co. CLEARWATER, Fla. , Aug. 16, 2023 /PRNewswire/ -- BayCare is introducing robotic technology to further improve its innovative supply distribution process. "The integration of robotics in our pick module area has been a game-changer for us." -- Victor Celiberti At the BayCare Integrated Service Center (BISC) in Temple Terrace , 25 state-of-the-art robots now work to support BayCare team members, improving accuracy in filling orders, reducing physical demands for team members and, most importantly, supporting prompt and seamless care to the community. "Being in supply chain with BayCare for almost 30 years, I was thrilled to have the ability to implement robotics. It's almost something that you could only dream of," said Victor Celiberti , BayCare's supply chain director. The robots are being deployed in the "pick module area," at the BISC, a massive, 258,000-sq ft. distribution center that stocks everything BayCare needs to serve its patients, from IV tubing and bags of solution, to bedside pitchers and bedpans, to personal protective equipment, surgical kits and more. In the pick module, team members walk the aisles to assemble supplies for approximately 12,000 orders a day to support BayCare's 16 hospitals and hundreds of locations, including doctor's offices, laboratories, ambulatory surgical centers and more across West Central Florida. The unified distribution model, unique in the industry when it debuted in 2007, has been instrumental to BayCare's ability to maximize purchasing efficiencies and managing supply pipelines, including during the COVID-19 pandemic. Approximately 100 team members work around the clock at the BISC to ensure excellent customer service, quality, and quick turnaround as they manage the inventory, distribution and transportation of medical supplies. Before the adoption of robotics at the distribution center, the order picking process involved team members pushing carts weighing nearly 300 pounds up and down each aisle, guided by voice technology through headsets. Software already assisted in making the team members' routes for picking supplies as efficient as possible. Nonetheless, Celiberti explained, the heavy carts made the picking process physically taxing for team members, limiting speed. Under the newly implemented process, gone are the days of team members pushing heavy carts across the warehouse. Now, robots have replaced carts and software helps integrate them as a true partner to the picking team. Orders are assigned to individual robots and displayed on a touchscreen for the team member's use. Mapping software builds the most efficient route for fulfilling each order and the robot travels to where the first item needs to be picked. A team member meets the robot, picks the item(s), places the item in the robot's tote and uses the touchscreen to tell the robot to move to the next item's location. Once an order is complete, the robot is dismissed to the docking area where team members prepare the fulfilled order for shipping to the ordering hospital or facility. "It has made my job significantly easier now that we don't have to make lengthy trips across the distribution center," said Shanelle Bradley , a distribution tech at the BISC. Team members have expressed greater satisfaction with their roles as they can now focus more on order fulfillment rather than the physically demanding aspects of the previous process. "The integration of robotics in our pick module area has been a game-changer for us," added Celiberti. "We have seen a remarkable increase in productivity, which has helped offset some of the labor-related challenges we faced earlier. Our team members now feel more engaged and empowered in their roles." Since its formation in 2007, the BISC has always been committed to delivering excellence, and the integration of robotics has taken their commitment to the next level. The BISC moved to its current location in 2016. About BayCare BayCare is a leading not-for-profit health care system that connects individuals and families to a wide range of services at 16 hospitals and hundreds of other convenient locations throughout the Tampa Bay and central Florida regions. The system is West Central Florida's largest provider of behavioral health and pediatric services and its provider group, BayCare Medical Group, is one of the largest in the region. BayCare's diverse network of ambulatory services includes laboratories, imaging, surgical centers, BayCare Urgent Care locations, wellness centers and one of Florida's largest home care agencies, BayCare HomeCare. BayCare is ranked in the top 20 percent of large health systems nationally by Fortune/Merative for clinical and patient experience. BayCare's mission is to improve the health of all it serves through community-owned, health care services that set the standard for high-quality, compassionate care. For more information visit BayCare.org. View original content to download multimedia:https://www.prnewswire.com/news-releases/unleashing-the-future-baycares-warehouse-transforms-with-the-use-of-robotics-301903008.html SOURCE BayCare Health System CALGARY, AB , Aug. 16, 2023 /PRNewswire/ - Top Strike Resources Corp. d.b.a. "Vencanna Ventures" (the "Company" or "Vencanna") (CSE: VENI) (OTCQB: TPPRF) provides the following corporate and operational updates: Cannavative Transaction Effective today, the Company and The Cannavative Group LLC ("Cannavative") have amended and restated the definitive unit exchange agreement originally entered into on April 25, 2022 (as amended, the "A&R Definitive Agreement"). Pursuant to the A&R Definitive Agreement, Vencanna will acquire all the membership units of Cannavative through the issuance of 29.4 million common shares of the Company ("Shares") and the issuance of 14.7 million Share purchase warrants, each warrant being exercisable for one Share at an exercise price of US$0.10 for a period of 18 months following the date of issuance ("B Warrants"). In addition, subject to the achievement of certain 2024 financial milestones and the receipt of certain cash receivables, holders of membership units of Cannavative will receive up to 75.0 earn-out units ("Earn-out Units") in respect of each membership unit, each Earn-out Unit consisting of one (1) Share plus a half () B Warrant (collectively, the "Transaction"). Further, pursuant to the Transaction, Cannavative's debt in the amount of US6.8 million will convert into 90.4 million Shares and 18.1 million Share purchase warrants, each exercisable for one Share at an exercise price of US$0.0.75 for a period of 9 months ("A Warrants"), and 18.1 million B Warrants. As a condition to the completion of the Transaction, Vencanna's unsecured convertible debenture issued July 3, 2022 in the principal amount of US$1,300,000 (the "Debenture"), plus its accrued interest, will convert into 38.1 million Shares. Upon completion of the Transaction and the conversion of the Debenture, the Company will have approximately 345.0 million Shares, 107.3 common share purchase warrants, 66.7 Earn-out Units, and 17.2 million options outstanding. In addition, the Company will be debt free with approximately $5.0 million in cash and net working capital. The Transaction value is US$12.5 million based on a deemed issue price of US$0.075 per Share (excluding the Earn-out Units). The Transaction is expected to constitute a "Change of Control" and a "Fundamental Change" pursuant to the policies of the Canadian Securities Exchange (the "CSE") and will be subject to the acceptance of the CSE and the approval of shareholders of Vencanna. Vencanna intends to seek shareholder approval of the Transaction by way of written resolution from shareholders holding not less than 50% plus one Share. It is anticipated that the Transaction will be completed on or about September 30, 2023 . Management and the board of directors will be comprised of professionals from both parties, creating a dynamic, integrated team, with the full capability to execute the go-forward business plan, which includes deeper market penetration in the state of Nevada , expansion into New Jersey , and potential acquisition opportunities. The Transaction will be truly transformative to Vencanna, converting the company from an investment company into a U.S. based cannabis operating company and is a great benefit to all stakeholders involved. However, it is with great sadness to announce the recent passing of Mr. Ross Kline , founder, chairman, and CEO of the Cannavative Group. This Transaction could not have occurred without Ross' stewardship. Ross leaves a loving wife, Lisa, and their three adoring children, Makenzie, Casey, and Derek. He was a pioneer and visionary, entering this new and exciting, but uncertain, industry with the same entrepreneur spirit and drive that brought him success at his prior founding enterprise; Logistics & Distribution Services. Ross was surrounded by so many likeminded people, where integrity is at your core, where family, friends, and the community matter most. These qualities were just as prevalent in his business life and we feel blessed to have had the opportunity of being a small part of that. Ross will be profoundly missed. Our deepest sympathies and prayers go out to his family. It is anticipated that at closing of the Transaction, the board and senior management of the combined company will hold 28% of the outstanding Shares (31% on a fully diluted basis). Transaction Attributes Attractive Price : Transaction value is estimated to equal Cannavative's 2023 revenues (first half revenues were US$6.1 million ). Fair Consideration : Vencanna's deemed share price of US$0.075 gives credit to cash on hand and its New Jersey opportunities. Alignment : The all-share exchange, including Cannavative's debt and the Debentures converting to equity, closely aligns all the stakeholders, and is a testament to the collective support for the Company's pro forma business plan. Balance Sheet : The Company will be debt free with cash and net working capital of approximately $5.0 million . Operational Balance : Immediately generating revenues and cash flows, while developing significant value add projects in New Jersey . Added Depth : Ability to bring the managerial and product success from Nevada to New Jersey and other potential new markets. David McGorman , Vencanna's CEO, commented "It's been our goal to convert from an investment company to a well-established cannabis operating company, and Cannavative is the transformative transaction that Vencanna has been looking for. Being one of the first companies in Nevada to be awarded both a cultivation and manufacturing license, they've developed deep roots in one of the most dynamic recreational states in the U.S. Their product development and talent pool will be easily exportable into new markets as cannabis reform in the U.S. continues to expand. We look forward to onboarding the Cannavative team." Jason Crum , Cannavative's President and Chief Revenue Officer, commented "Cannavative is thrilled to join forces with Vencanna, a partner that brings capital to fuel our continued growth and industry expertise to expand our footprint beyond Nevada . Vencanna's relationships, such as their recently announced opportunity in New Jersey , the newest state to initiate recreational sales, is a perfect example of how together we can exploit new frontiers. Combined, we'll be able to push the boundaries of innovation in cannabis, with a continued focus on delivering the highest quality products to consumers." Post-Transaction Management and Directors Jon Sharun , Executive Chairman & Interim CFO Mr. Sharun is the current Executive Chairman and interim CFO of Vencanna. He has over 20 diverse years of international investment, real estate, branding and business development experience. He is the founder and Managing Partner of Venexo Capital, a boutique private equity firm that has raised in excess of $100 million with investments in healthcare, hospitality and real estate. Venexo has been an early investor into the cannabis sector with over 50 international placements. Jon sits on many private, public and NFP boards, and is both a Top Forty Under 40 and a Top 100 in Finance award winner. Mr. Sharun holds an MBA, CPA, CSA, LEED AP, and ICD.D David McGorman , CEO & Director Mr. McGorman is a co-founder, and current CEO and director of Vencanna. He has over 25 years of experience in the financial industry providing M&A and corporate structuring advice to his clients, as well as leading their equity issues and debt placements. Prior to Vencanna, Mr. McGorman held senior positions at The Chase Manhattan Bank, and numerous Canadian investment banking firms including CEO of Jennings Capital Inc., and Vice-Chairman of Research Capital Corp. Mr. McGorman holds a Hon's B.Sc . in Theoretical Physics from the University of Guelph, and an M.B.A. from the DeGroote School of Business. Jason Crum , Chief Revenue Officer & Director Mr. Crum is the current President and Chief Revenue Officer of Cannavative. He has over 20 years of diversified CPG/FMCG background in alcohol sales management, including beer and wine with MillerCoors and Treasury Wine Estates, where he was directly responsible for supply, distribution, and brand building. His skill set includes developing high performing teams, maximizing strategic analytics, and streamlining efficiencies. Since joining Cannavative in 2019, Jason has been instrumental in increasing Cannavative's Nevada dispensary penetration and sales growth. Mr. Crum is a graduate of UT Austin . W. Scott McGregor , Independent Director Mr. McGregor is currently a director of Vencanna and the Managing Director at Invest Alberta Corp., a Crown corporation dedicated to attracting investment and supporting trade to the province of Alberta . He's a seasoned corporate finance professional with over 20 years of investment banking and corporate development experience. Mr. McGregor holds a B.A. from Queens University and a M.B.A. from the Rotman School of Management. Dr. Scott Wrye MD, Independent Director Dr. Wrye is a private practicing physician and co-founder and director of Cannavative. Scott was an early supporter of medical cannabis, educating and working directly with many patients in northern Nevada for their legal access of medical cannabis. In addition to his community support, Scott has been instrumental in helping Cannavative develop their products and brands. Dr. Wrye is a Cosmetic, Plastic & Reconstructive Surgery Specialist based in Reno Nevada . He's a graduate of New York State University, College of Medicine. New Jersey & NJ Entities The Company has made significant progress to advance its community partnerships in New Jersey , including TGC New Jersey LLC. ("TGC"), CGT New Jersey LLC ("CGT"), and October Gold LLC ("October Gold", collectively with TGC and CGT, the "NJ Entities"). To date, the New Jersey Cannabis Regulatory Commission ("CRC") has awarded TGC a conditional cultivation and manufacturing license, and has awarded each of CGT and October Gold a conditional retail license. With a population of 9.3 million, New Jersey is the 11th most populous state. While New Jersey medically legalized cannabis in 2012, they have experienced a modest roll out. There are currently only 27 dispensaries selling to the adult market, making New Jersey one of the most underserved state open for adult consumption. New Jersey is primarily served by the 12 Alternative Treatment Centre licenses ("ATC"), each of which are restricted to only 3 dispensaries, further limiting the competitive penetration. Retail locations face high barriers to entry with less than 25% of the state's municipalities allowing adult use stores, and most of those municipalities limit the number of licenses allowed and put in place restrictive zoning parameters, only adding to the barriers to entry. The New Jersey retail market is quite attractive, and is not expected to experience the excessive competition in the near term. In addition, given the current market environment, accessing capital poses additional challenges for licensees to build out their operation, further limiting the competition. The Company's balance sheet strength will be a competitive advantage for the NJ Entities, allowing them to be early entrants into this promising market. TGC has secured a site and has received municipal support from the township of Cinnaminson, NJ . The site is a 15,500-sf facility, allowing for cultivation, manufacturing and retail sales of cannabis and related products. TGC has submitted its conversion application for cultivation and manufacturing, and concurrently has submitted its retail application (in February 2023 , the state of New Jersey allowed cultivators and manufacturers to also be licenced retailers). TGC expects to receive its annual cultivation, manufacturing, and retail licenses in the second half of 2023, and become operational in 2024. This facility will be one of the very few single site vertical operations in New Jersey , which will allow it to showcase products directly to its customers. In addition to the township's restrictive zoning parameters, only three of the 7 adjacent municipalities allow retail cannabis sales. With the high barriers to entry, limited foreseeable competition within the area, and the unique "on-site" customer experience, the Cinnaminson facility is positioned for success. The Company continues to review attractive sties for CGT and October Gold, collaborating closely with local municipalities, and working with additional community partners, in order to expand its New Jersey network. In addition to supporting the New Jersey entities through funding and managerial oversight, Vencanna will also be able to bring its SOP's and top Nevada brands to this new market, further expanding our reach. About Cannavative Cannavative is a multiple award-winning cultivator and processor in Nevada , including winning Leaflink's Top Brand in Nevada in 2021, a gold and silver medal at the 2020 Las Vegas Cannabis Awards, the 2019 Jack Herer Cup for their vape pen, and Leafly's Best Flower Products brand in 2018. Cannavative has been operational since 2016 (they were the first in Nevada to hold both a cultivation and processing license), and are now selling in over 80% of the state's retail outlets. In addition to their high-quality flower, Cannavative produces a wide variety extracted products, including its award-winning infused pre-roll, the Motivator, and one of Nevada 's top selling vapes, Resin8. Nevada is a major cannabis market in the U.S with sales over $880 million in 2022 according to the State of Neveda Department of Taxation. Nevada 's strong sales are supported by their tourism, with over 38 million visitors in 2022, and a 10.5% jump YTD to May 2023 , according to the LVCVA Research Centre. The Nevada tourist industry, and Las Vegas in particular, offers Cannavative a unique exposure for its national brand development. Cannavative operates out of a 40,000-sf facility, situated on 8.5 acres in Northern Nevada . The facility includes a 10,000 square foot state-of-the-art, pharmaceutical-grade extraction lab and kitchen. In addition, there is a 2,800 square foot high-tech light deprivation greenhouse on site, with significant ability to expand on the property. About Vencanna On September 24, 2018 , the Company announced the completion of a recapitalization financing, the appointment of a new management team and board of directors and commencement of trading on the CSE. The transactions have transitioned the Company from an oil and gas issuer to a merchant capital firm, rebranded as "Vencanna Ventures". The recapitalized Corporation aims to be a go-to capital provider for early-stage global cannabis initiatives with an emphasis on strong management operating in state compliant jurisdictions with barriers to entry. The Company looks to provide investors with a diversified, high-growth, cannabis investment strategy through strategic investments focused through-out the value chain (cultivation, processing, and distribution, and including ancillary businesses). Reader Advisories Completion of the Transaction is subject to a number of conditions, including, but not limited to, the acceptance of the CSE and shareholder approval. The Transaction cannot close until the required CSE acceptance and shareholder approval is obtained. There can be no assurance that the Transaction will be completed as proposed or at all. Investors are cautioned that, except as disclosed in the listing statement to be prepared in connection with the Transaction, any information released or received with respect to the Transaction may not be accurate or complete and should not be relied upon. Trading in the securities of Vencanna should be considered highly speculative. The CSE has in no way passed upon the merits of the Transaction and has neither approved nor disapproved of the contents of this news release. Neither the CSE nor the Market Regulator (as that term is defined in the policies of the CSE) accepts responsibility for the adequacy or accuracy of this news release. Forward-Looking Statements This news release contains forward-looking statements and forward-looking information within the meaning of applicable securities laws. The use of any of the words "expect", "anticipate", "continue", "estimate", "objective", "ongoing", "may", "will", "project", "should", "believe", "plans", "intends" and similar expressions are intended to identify forward-looking information or statements. More particularly, and without limitation, this news release contains forward-looking statements and information concerning the Transaction, including the terms thereof; timing for completion of the Transaction; required approvals for the completion of the Transaction and the expected receipt thereof; the business plan of the Company, Cannavative and the NJ Entities, including the business plan of the go-forward entity after completion of the Transaction; the anticipated benefits of the Transaction; the market for adult-use cannabis in the United States ; the state of the adult-use cannabis market and U.S. regulatory changes in respect thereof. The forward-looking statements are founded on the basis of expectations and assumptions made by the Company, including expectations and assumptions concerning: the Transaction, including CSE acceptance and shareholder approvals, the satisfaction of customary closing conditions in accordance with the terms of the Amended Agreement; the future operations of, and transactions contemplated by, of the Company, Cannavative and the NJ Entities; the impact of increasing competition; timing and amount of capital expenditures; the legislative and regulatory environments of the jurisdictions where of the Company, Cannavative and the NJ Entities will carry on business, have operations or plan to have operations; the ability of the Company to enter into contracts with companies to provide financing on acceptable terms; conditions in general economic and financial markets; the ability of the Company's investments to execute on their business plan; and the Company's ability to obtain additional financing on satisfactory terms or at all. Forward-looking statements are subject to a wide range of risks and uncertainties, and although the Company believes that the expectations represented by such forward-looking statements are reasonable, there can be no assurance that such expectations will be realized. Readers are cautioned that the foregoing list is not exhaustive of all factors and assumptions which have been used. Although Vencanna believes that the expectations and assumptions on which such forward-looking statements and information are based are reasonable, undue reliance should not be placed on the forward-looking statements and information because Vencanna can give no assurance that they will provide to be correct. By its nature, such forward-looking information is subject to inherent risks and uncertainties, which could cause the actual results and expectations to differ materially from the anticipated results or expectations expressed. Without limitation, these risks and uncertainties include: the parties being unable to obtain CSE acceptance or shareholder approval; risks inherent in manufacturing and product development; actions and initiatives of federal, state and local governments and changes to government policies and the execution and impact of these actions, initiatives and policies; uncertainty caused by potential changes to regulatory framework; regulatory approval and permits; environmental, health and safety laws; risks associated with the cannabis industry in general; the ability of the Company to implement its corporate strategy; the state of domestic and international capital markets; the ability to obtain financing; and other factors more fully described from time to time in the reports and filings made by the Company with securities regulatory authorities. Readers are cautioned that the assumptions used in the preparation of forward-looking information, although considered reasonable at the time of preparation, may prove to be imprecise. Actual results, performance or achievement could differ materially from those expressed in, or implied by, these forward-looking statements and accordingly there can be no assurance that such expectations will be realized. Vencanna undertakes no obligation to update publicly or revise any forward-looking information, whether as a result of new information, future events or otherwise, except as required by law. The forward-looking information contained herein is expressly qualified by this cautionary statement. Certain information contained herein has been obtained from published sources prepared by independent industry analysts and third-party sources (including industry publications, surveys and forecasts). While such information is believed to be reliable for the purposes used herein, Vencanna does not assume any responsibility for the accuracy of such information. View original content:https://www.prnewswire.com/news-releases/vencanna-announces-amended-definitive-agreement-with-cannavative-group-and-provides-operational-update-301901872.html SOURCE Top Strike Resources Corp. New app employing advanced voice AI offers transcription, dictation, and AI integrations for users with speech disabilities; available to individuals and organizations through authorized reseller, RAZ Mobility. TEL AVIV, Israel and STAMFORD, Conn. , Aug. 16, 2023 /PRNewswire/ -- Voiceitt, the leading provider of speech recognition technology for non-standard speech, today announced the release of Voiceitt2. The new web application, powered by the company's next-generation technology, will be available to customers through its partner and authorized reseller, the assistive technology company, RAZ Mobility. Voiceitt's new web app employs voice AI to help people with disabilities connect, communicate, and be more independent. Voiceitt 2 revolutionizes the way people with speech disabilities interact with technology. After an initial onboarding, the web application allows people with speech disabilities to speak spontaneously and be understood by family, friends, teachers, and others. The app allows someone with a speech disability to be understood by translating the user's non-standard speech into standard speech. The app also enables users to transcribe conversations, dictate notes, and seamlessly integrate with popular AI assistants such as ChatGPT, in an abundance of new contexts. Webex capabilities are available as a Voiceitt add-on enabling accessible transcription and captioning during video meetings. Voiceitt2 will be available in English as a browser-based software license for purchase for organizations and individuals in North America . Availability in the UK and Australia is anticipated in 2024, with additional languages and geographies expected to follow. RAZ Mobility is a leading provider of mobile assistive technology and developer of their signature RAZ Memory Cell Phone for individuals experiencing cognitive decline, especially dementia. RAZ Mobility is also an innovator in the development and sale of mobile devices specifically for people who are blind, and it trains and supports people with disabilities on the use of iOS and Android smartphones and tablets. The company sells its products and services directly to consumers, government, and select resellers. A key component of RAZ Mobility's mission is to give back, which it does by sponsoring support groups for its customers caring for loved ones with dementia. "The launch of Voiceitt2 is an important milestone in the evolution of Voiceitt's technology and business," said Alyson Pace , CEO of Voiceitt. "Voiceitt's first product, an iOS app, was game changing for its ability to help people with speech disabilities express their wants and needs, and to access and control devices through voice commands, thanks to a unique integration with Amazon's Alexa. Voiceitt2 brings these existing use cases to the next level, by empowering people with speech disabilities to speak spontaneously. With the proliferation of new voice AI applications and use cases, I'm excited to witness the creative possibilities our community members will explore, enhancing productivity, fostering connections with loved ones, and bringing their ideas to life using their voices. We couldn't have found a better partner than RAZ Mobility to put Voiceitt2 in the hands of users." Both Voiceitt and RAZ Mobility are active participants of AARP's AgeTech Collaborative and have benefited substantially from the Collaborative's extensive support and activities. "We are thrilled to see the incredible advancements from Voiceitt and the natural affiliation with RAZ Mobility," said Rick Robinson , VP & GM of the AgeTech Collaborative from AARP. "This is exactly the kind of collision we hope to see among participants in the Collaborative portfolio, where both companies complement and connect with one another to the benefit of ever-larger audiences." Robert Felgar , the CEO of RAZ Mobility, acknowledged Voiceitt2's unique position in assistive technology, blending advanced and inclusive voice AI with an accessible user experience. He said, "Voiceitt2 occupies a special niche within our field. By catering to the diverse needs and preferences of users, Voiceitt has developed an inclusive solution that addresses the speech and motor challenges they face. The launch of Voiceitt2 allows RAZ Mobility to provide comprehensive support for individuals with disabilities, enabling them to express themselves confidently using their voices. We are proud to partner with Voiceitt to bring this impactful product to market." For full details on pricing and product specifications, please visit https://www.razmobility.com/solutions/voiceitt/ . Where to Buy Visit https://www.razmobility.com/solutions/voiceitt/ for further details on deploying the Voiceitt application to your teams and organizations, and to inquire about licensing. Reach out to learn more regarding general availability, staff training, licensing for the North America region. The Webex capabilities require a Voiceitt add-on, please contact Voiceitt at [email protected] for more information. About Voiceitt Voiceitt was founded with the mission to apply its machine learning and speech recognition technologies to help people with speech disabilities resulting from stroke, degenerative disease, or developmental disorders live more connected, independent lives. Its proprietary automatic speech recognition (ASR) makes voice AI accessible enabling people with speech impairments to interact with mainstream voice technologies, communicate by voice, and be understood. Voiceitt has been highlighted in international media, including Forbes, The New York Times, and the BBC. Investors include Cisco Investments, the Amazon Alexa Fund, AARP, and Microsoft's M12. Voiceitt was founded in 2012 and is headquartered in Israel with a subsidiary in Stamford, CT . About Raz Mobility RAZ Mobility offers cutting-edge mobile solutions for individuals with disabilities. These solutions encompass mobile devices and mobile applications. RAZ Mobility develops its own solutions, as well as resells the solutions of others, where RAZ Mobility believes that the solutions of others are unique and highly beneficial to individuals with disabilities. RAZ Mobility sells its solutions directly to consumers, wireless providers, to certain resellers, as well as government organizations, such as the United States Department of Veterans Affairs and State equipment distribution programs. Learn more about RAZ Mobility at www.razmobility.com. Media Contacts: For Voiceitt: Sara A Smolley Co-Founder & Vice President, Partnerships [email protected] For RAZ Mobility: Robert Felgar CEO robert@razmobility.com View original content to download multimedia:https://www.prnewswire.com/news-releases/voiceitt2-launched-first-of-its-kind-stand-alone-voice-application-for-people-with-speech-disabilities-now-available-to-customers-301901592.html SOURCE Voiceitt, Inc. BAKU, Azerbaijan, August 16. President Ilham Aliyev signed a decree on the conscription of citizens of the Republic of Azerbaijan for active military service from October 1 through October 30, 2023, and the discharge of active military service servicemen to the reserve, Trend reports. According to the decree, citizens of the Republic of Azerbaijan born in 2005, who by the day of conscription (including the day of conscription) turned 18 years old, as well as born in 1988-2004, under the age of 35, who did not complete active military service, those who do not have the right of deferral from conscription for active military service or who are not exempt from conscription for active military service in the Armed Forces of the Republic of Azerbaijan are called up for active military service from October 1 through October 30, 2023. The conscripts of active military service who have completed military service will be discharged to the reserve from October 1 through October 30, 2023, in accordance with Article 38.1.1 of the Law of the Republic of Azerbaijan "On Military Duty and Military Service". The Cabinet of Ministers of the Republic of Azerbaijan is instructed to take the measures provided for by the legislation for the execution of this order. QSC, Crestron and Extron Veteran Joins AVoIP Specialist Sales Force LITTLETON, Mass. , Aug. 16, 2023 /PRNewswire/ -- ZeeVee, a leading global provider of AV distribution products, has added Nam Le to its team as Western U.S. and Western Canada territory sales manager. Le will be responsible for business development in 12 western states Alaska , Arizona , California , Colorado , Hawaii , Idaho , Montana , Nevada , Oregon , Utah , Washington and Wyoming and four western provinces Alberta , British Columbia , Manitoba and Saskatchewan . His pro AV industry experience is extensive including national and regional sales manager positions at Mount-It-Pro, QSC and Crestron. Previously, he held regional sales and regional account manager posts with Extron over a 14-year period. "Nam's deep industry, knowledge, as well as the strong and time-tested relationships he has built, have led pro AV integrators to hold him in high regard," said Joe Chordas, vice president, North American sales and corporate marketing. "Having a sales veteran of his caliber based in California will provide U.S. and Canadian professionals with a critical regional resource to help build their businesses." "ZeeVee's philosophy reflects my own commitment to clients they always come first from initial contact, through ordering, system installation and ongoing questions and support," said Le. "I plan to help ZeeVee further expand its brand awareness in the U.S. and western Canada by carrying on the company's tradition of providing integrators, consultants, end-users and strategic partners with superior AV products and support services that fit their needs." Nam resides in Westminster, California just 35 miles south of Los Angeles with his wife Uyen Nguyen , and his three daughters. About ZeeVee ZeeVee (www.zeevee.com) is a leading provider of AV distribution technology. The company has transformed the digital video industry with its award-winning encoders, decoders and software solutions for the pro AV and IT marketplace. Integrators and consultants rely on ZeeVee for its innovative, cost-effective and easy to install AVoIP and RF distribution platforms for their corporate, higher education, government, healthcare, casino, museum, hospitality and retail customers. A founding member of the SDVoE Alliance, ZeeVee holds a GSA schedule, and its products are TAA-compliant. ZeeVee is headquartered in the greater Boston area with European HQ in Augsburg, Germany and SE Asian offices in Singapore . View original content to download multimedia:https://www.prnewswire.com/news-releases/zeevee-names-nam-le-us-west-and-canada-west-sales-manager-301902012.html SOURCE ZeeVee FILE PHOTO: The Joint Coordination Centre officials sail through cargo ship Mehmet Bey as she waits to pass the Bosphorus strait off the shores of Yenikapi during a misty morning in Istanbul, Turkey, October 31, 2022. REUTERS/Umit Bektas/File Photo By Jonathan Spicer and Ece Toksabay ISTANBUL (Reuters) - Russia's raid on a ship just off Turkey's coast brings the fallout from the Ukraine war to another NATO frontier and raises the stakes as Ankara seeks to coax Moscow back to a grain-export deal that would restore some calm to the Black Sea. Armed marines raided the Turkish-based vessel via helicopter on Sunday some 60 km (37 miles) off Turkey's northwest coast, in international waters but near Istanbul, in what Moscow called an inspection before it sailed on to Ukraine. Turkey, NATO's second-largest military, has made no public comment on the incident that occurred far south of the war that has raged for a year-and-a-half in the north Black Sea. Analysts said it tests President Tayyip Erdogan's resolve to maintain good relations with Russian President Vladimir Putin, whom he has invited to Turkey this month to discuss resuming the UN-brokered deal that had protected grain exports from Ukraine. "This type of aggression being exercised so close to Istanbul went unchecked and doesn't respect Turkey's overall rights," said Yoruk Isik, an Istanbul-based geopolitical analyst at the Bosphorus Observer consultancy. "Ankara's silence is strange but shows it is still counting on Putin to visit and return to the grain deal." Since Russia exited the agreement last month, both it and Ukraine have issued warnings and carried out attacks on vessels off their coasts, stirring worries that commercial-shipping could grow riskier across the entire sea. While Ukraine and some other Western states have promoted alternative routes for Ukrainian exports, Ankara, which also has good relations with Kyiv, quietly opposes them on safety grounds. It wants the West to accept some Russian demands, and for Russia to drop others, to restart Ukraine grain exports under UN and Turkish oversight. On Wednesday, Russia struck more Ukrainian port facilities even as Kyiv announced that a container ship departed Odesa under its own "humanitarian corridor", one of the alternative options. Rebeca Grynspan, Secretary-General of the United Nations Conference on Trade and Development, said on Wednesday it is in contact with all sides to return to the table though it was "difficult" partly given the recent bombardment of grain infrastructure. FINE BALANCE The Black Sea and Turkish straits are the main route Ukraine and Russia - two of the world's top agriculture producers - use to reach world markets. Since the year-long grain deal collapsed, boosting global commodity prices and raising UN concerns over world hunger, Russia and Ukraine have said they will treat ships approaching the other's ports as potential military vessels. Aydin Sezer, a former Turkish diplomat and Ankara-based foreign policy analyst, said Russia's inspection of the Palau-flagged Sukru Okan vessel technically took place in a war zone, given Moscow and Kyiv's warnings over ships. Given Turkey has sent armed drones and other weapons to Ukraine while also claiming to be neutral in the war, "it is very challenging for Turkey to find its voice on this matter," he said. Turkey has positioned itself to facilitate any peace talks between Ukraine and Russia. It has opposed the Russian invasion but also the Western sanctions on Moscow, and ramped up economic cooperation with Russia through the war. A Turkish defence ministry official, requesting anonymity, said Ankara was looking into the Black Sea raid but gave no more details. The vessel has since sailed on to Romanian waters, according to Refinitiv Eikon data. Russia has not commented on a potential visit by Putin, though Turkey has promoted it repeatedly including in a leaders call on Aug. 2. Russia has said it would return to the grain deal once the West fulfils obligations meant to ensure the smooth export of its own grain and fertilisers, including payments and logistics. Sezer said its two key demands are including a Russian bank in the global SWIFT payments system and allowing it to import agriculture-related goods. "Therefore Erdogan should negotiate and try to convince Western countries, not Putin, for the reinstatement of the grain deal," he said. (Additional reporting by Huseyin Hayatsever and Gabrielle Tetrault-Farber; Editing by Kirsten Donovan) U.S. President Joe Biden and first lady Jill Biden disembark from Marine One at Delaware Air National Guard Base en route to Wilmington, in New Castle, Delaware, U.S., August 4, 2023. REUTERS/Elizabeth Frantz/File Photo By Jonathan Allen LAHAINA, Hawaii (Reuters) -Maui's emergency management chief on Wednesday defended his agency's decision against sounding sirens during last week's deadly wildfire amid questions about whether doing so might have saved lives. Herman Andaya, administrator of the Maui County Emergency Management Agency, said sirens in Hawaii are used to alert people to tsunamis. Using it during the fire might have led people to evacuate toward the danger, he told reporters. The grassland fire on Aug. 8 raced down the base of a volcano sloping into the tourist resort town of Lahaina, killing at least 110 people and destroying or damaging some 2,200 buildings. "The public is trained to seek higher ground in the event that the siren is sounded," Andaya said during a press conference, which grew tense at times as reporters questioned the government response during the fire. "Had we sounded the siren that night, we're afraid that people would have gone mauka (to the mountainside) and if that was the case then they would have gone into the fire," Andaya said. Maui instead relied on two different alert systems, one that sent text messages to phones and another that broadcast emergency messages on television and radio, Andaya said. Because the sirens are primarily located on the waterfront, they would have been useless to people on higher ground, he said. Hawaii Governor Josh Green also defended the decision not to sound sirens. Green has ordered the state attorney general to conduct a comprehensive review of the emergency response that would bring in outside investigators and experts, clarifying on Wednesday that the review is "not a criminal investigation in any way." "The most important thing we can do at this point is to learn how to keep ourselves safer going forward," Green said. In other developments: -- U.S. President Joe Biden and first lady Jill Biden will travel to Hawaii on Monday to survey the devastation and meet with first responders, survivors and federal, state and local officials, the White House said in a statement. -- Officials on Wednesday reopened a main road through town for the first time in days, responding to frustration from residents. The highway, which bypasses the charred waterfront and town center, was previously closed to all but residents of the surrounding area, first responders and people who work in local businesses. -- Hundreds of people are still unaccounted for. Twenty cadaver dogs have led teams on a block-by-block search that have covered 38% of the disaster area as of Wednesday. The number of dogs would soon double to 40, Green said at Wednesday's press conference, where he also announced the death toll had risen to 110. -- Identification of the remains has been slow, in part because of the intensity of the fire. Maui County released the first two names on Tuesday: Robert Dyckman, 74, and Buddy Jantoc, 79, both of Lahaina. Three other individuals have been identified but their names have been withheld pending family notification. The other remains await identification, Maui County said. -- As officials work to identify the deceased, stories about those injured or killed in the flames have emerged from loved ones. Laurie Allen was burned over 70% of her body when the car she was escaping in was blocked by a downed tree, forcing her to flee across a burning field, according to a GoFundMe post by her family. She is burned to the bone in some places, but doctors hope she will regain partial use of her arms, the post said. "The Burn Team has expressed more than once that she shouldn't be alive!" a relative wrote on the page. Allen is now at a burn center in Oahu, according to the fundraiser post. -- The incongruous sight of tourists enjoying Maui's tropical beaches while search-and-rescue teams trawl building ruins and waters for victims of the deadliest U.S. wildfire in more than a century has outraged some residents. (Reporting by Jonathan Allen, Jorge Garcia and Sandra Stojanovic in Maui; additional reporting by Brendan O'Brien in Chicago, Julia Harte in New York, Eric Beech in Washington and Daniel Trotta in Carlsbad, California; Writing by Daniel Trotta; editing by Colleen Jenkins and Stephen Coates) FILE PHOTO: U.S. Secretary of State Antony Blinken gives his remarks to the media after attending the U.N. Security Council meeting in New York, U.S., August 3, 2023. REUTERS/Eduardo Munoz/File Photo WASHINGTON (Reuters) -U.S. Secretary of State Antony Blinken spoke by phone on Wednesday with American citizen Paul Whelan, who is being held in a Russian prison, according to a source familiar with the call. Blinken told Whelan to "keep the faith and were doing everything we can to bring you home as soon as possible," CNN reported, citing a source. Whelan, a former U.S. Marine, was arrested in 2018, held for 18 months in Lefortovo prison in Moscow and jailed for 16 years in 2020 on spying charges. He has denied the accusations. The United States has designated Whelan as "wrongfully detained," a term that effectively says the charges are bogus and the case is politically driven. U.S. ambassador to Russia, Lynne Tracy, visited Whelan in May in the prison in eastern Russia where he was being held. (Reporting by Eric Beech, additional reporting by Humeyra Pamuk; Editing by Rami Ayyub, Alistair Bell and Deepa Babington) FILE PHOTO: A sign for the British Museum which houses the Parthenon sculptures is seen in London, Britain, January 25, 2023. REUTERS/Toby Melville/File Photo LONDON (Reuters) - The British Museum said on Wednesday a member of staff had been dismissed after items from its collection, including gold jewellery and gems, had been found to be missing, stolen or damaged. The museum, one of the most visited in the world, said it was taking legal action against the individual and had also launched a review of security. London's Metropolitan Police is also investigating, the museum said. It said the majority of the items involved were small pieces kept in a storeroom and included gold jewellery, gems and semi-precious stones and glass dating from the 15th century BC to the 19th century AD. None of the items had recently been on public display and were kept primarily for academic and research purposes, it added. "This is a highly unusual incident. I know I speak for all colleagues when I say that we take the safeguarding of all the items in our care extremely seriously," said Hartwig Fischer, Director of the British Museum. "We have already tightened our security arrangements and we are working alongside outside experts to complete a definitive account of what is missing, damaged and stolen. This will allow us to throw our efforts into the recovery of objects." The museum's chair, former finance minister George Osborne, Chair of the British Museum, said the trustees were extremely concerned when they had learnt of the theft "earlier this year". (Reporting by Kylie MacLellan; editing by Barbara Lewis) FILE PHOTO: Minister of Mining Aurora Williams speaks with the media near the mine Delia II where authorities are trying to locate two miners who went missing after a landslide sparked flooding of the mine in Chile Chico, Chile June 17, 2017 REUTERS/Alvar By Fabian Cambero and Natalia A. Ramos Miranda SANTIAGO (Reuters) -Chilean President Gabriel Boric on Wednesday named Aurora Williams his new mining minister as he reshuffled his cabinet and looked to push through reforms to increase state income from mining in the world's largest copper producing nation. Williams, who will replace Marcela Hernando, held the same position during the 2014-18 administration of former President Michelle Bachelet. "I invite the ministers to consolidate our national lithium policy and to continue to maintain Chile's global mining leadership," Boric said in a ceremony, highlighting the mining industry's role in reducing poverty and inequality. "I would have liked this cabinet change to take place in a different political climate," he added, calling on political parties to resume talks over proposed tax and pension reforms. Chile's mining sector is seeing a dramatic overhaul since the government announced a plan in April to boost state control of the country's vast lithium reserves, seen as key to the transition away from fossil fuels. Boric has suffered major legislative defeats in Congress, though his lithium reform largely does not require legislative approval. Talks with private lithium miners are set to be led by state miner Codelco. Codelco has faced months of declining copper output and warned that 2023 output would be lower than expected, citing weather and operational problems. UPHILL BATTLE Boric has said he will send new bills to reform Chile's tax system, but would not insist on an original reform rejected last March. The government also faces a battle to push through a pension reform, which Congress will vote on next month. In his third cabinet reshuffle, Boric also named new ministers of culture, education, national assets and social development. Social development was previously headed by Giorgio Jackson, who resigned last Friday after accusations that members of his party were involved in a scandal involving multimillion-dollar transfers of public funds. Jackson will be replaced by Chile's National Assets Minister Javiera Toro. Nicolas Cataldo, who previously worked in regional development, will replace Marco Antonio Avila to oversee the education sector, which is still suffering from fallout of extensive school closures during the COVID-19 pandemic. (Reporting by Fabian Cambero and Natalia Ramos; Writing by Sarah Morland; Editing by David Alire Garcia, Nick Macfie and David Gregorio) Taiwan's Vice President William Lai speaks during a welcome dinner in Asuncion, Paraguay, in this handout picture released on August 15, 2023. Taiwan Presidential Office/Handout via REUTERS TAIPEI (Reuters) - Any Chinese military action in response to stopovers in the U.S. by Taiwan Vice President William Lai would be an attempt by China to interfere in the island's elections, Lai said during a trip to Paraguay. Taiwanese officials say China could launch military drills this week, using Lai's stopovers in the United States as a pretext to intimidate voters ahead of an election next year and make them "fear war". China, which claims Taiwan as its own territory, has a particular dislike of Lai who has in the past described himself as a "practical worker for Taiwan independence". He is the front-runner to become the next president in January's election. Speaking to reporters on Tuesday in Paraguay, where he arrived via New York, Lai said such U.S. transits were routine and China had no cause to use them as an excuse to "verbally and militarily intimidate Taiwan", the island's official Central News Agency reported. "If China uses the transits as an excuse to again launch verbal and military intimidation or other threatening methods, it just confirms international media reports that China is attempting to intervene in Taiwan's election with military threats," the news agency cited Lai as saying. Lai, however, said he had confidence in Taiwan's people. Taiwan's defence ministry said on Tuesday it had yet to see any large-scale Chinese manoeuvres near the island. In April, China held war games around Taiwan after President Tsai Ing-wen returned from California where she met U.S. House Speaker Kevin McCarthy on her way back from Central America. China has denounced Lai's New York stop - he is due in San Francisco on Wednesday on his way back to Taipei - and said he is a separatist "troublemaker". Both Taiwan and the United States have sought to keep Lai's U.S. stopovers low key, and Lai said there were "no special arrangements" to meet with U.S. officials. China considers Taiwan to be its most sensitive and important political and diplomatic issue, and it is a constant source of Sino-U.S. friction. Speaking at a conference in Moscow on Tuesday, Chinese Defence Minister Li Shangfu said "playing with fire on the Taiwan issue and vainly trying to 'control China with Taiwan' is bound to end in failure". Lai has been in Paraguay for the inauguration of its new president. It is one of only 13 countries to maintain formal diplomatic ties with Taiwan. Lai posted on his Facebook pages pictures of him in Asuncion shaking hands with and chatting to U.S. Interior Secretary Deb Haaland, as well as Spain's King Felipe VI and Brazilian President Luiz Inacio Lula da Silva, who were there for the same event. China says Taiwan has no right to state-to-state ties and has been trying to pick off Taiwan's remaining diplomatic allies. Honduras, once a stalwart friend of Taipei's, switched ties to Beijing in March. (This story has been refiled to correct a typographical error in paragraph 3) (Reporting by Ben Blanchard; Editing by Lincoln Feast) Republican presidential candidate and former U.S. President Donald Trump speaks as he campaigns at the Iowa State Fair in Des Moines, Iowa, U.S. August 12, 2023. REUTERS/Evelyn Hockstein/File Photo (Reuters) - Former U.S. President Donald Trump faces a tangled calendar in the year ahead as he seeks the 2024 Republican nomination while trying to fend off four criminal and three civil trials, some related to his attempts to overturn his 2020 loss. Here are key dates in Trump's legal and political schedule: AUG. 23, 2023 First Republican presidential debate. Trump has qualified but has not yet said whether he will participate. AUG. 25, 2023 Deadline for Trump and other defendants to surrender to Fulton County authorities in the Georgia racketeering case, which charges them with engaging in a wide-ranging plot to reverse his 2020 defeat. SEPT. 27, 2023 Second Republican presidential debate. OCT. 2, 2023 Start of a state civil trial in Manhattan, in which New York Attorney General Letitia James is seeking at least $250 million from Trump, his business and his adult sons for allegedly lying about the value of his assets to get better terms from lenders and insurers. James is also seeking to stop the Trumps from running businesses in New York. JAN. 2, 2024 U.S. Special Counsel Jack Smith's proposed trial date in the federal case charging Trump with illegally trying to reverse his 2020 election loss. Trump's lawyers are expected to push for a later start. JAN. 15, 2024 Start of a federal civil trial in Manhattan, in which writer E. Jean Carroll is seeking at least $10 million from Trump for defamation. Carroll has already won $5 million from Trump for defamation and sexual assault. Trump is appealing that verdict. JAN. 15, 2024 The Republican state-by-state nominating contest begins with caucuses in Iowa. New Hampshire is expected to hold the first primary shortly after, though a date has not been set. JAN. 29, 2024 Federal class-action trial starts in Manhattan, accusing Trump and his company of participating in an illegal pyramid scheme. FEB. 8, 2024 Nevada Republican presidential caucuses MID-FEBRUARY, 2024 Fulton County prosecutor Fani Willis has said she will try to have the Georgia trial start around this time, though Trump's team is expected to push for a later date. FEB. 24, 2024 South Carolina Republican presidential primary MARCH 5, 2024 "Super Tuesday," in which 14 state presidential primaries take place. This event often whittles down the primary field to a handful of candidates. MARCH 25, 2024 Scheduled start of a New York criminal trial, in which Trump is accused of falsifying business records to cover up a hush-money payment to a porn star before the 2016 presidential election. MAY 20, 2024 Scheduled start of a federal criminal trial in Miami, in which Trump is charged with unlawfully keeping classified government documents after leaving office and lying to officials who sought to recover them. JUNE 4, 2024 The final presidential primaries are scheduled to take place, in five states. Some states have not yet set their primary or caucus dates. JULY 15-18, 2024 Republican National Convention, where the party formally chooses its candidate. NOV. 5, 2024 Election Day (Reporting by Andy Sullivan; Editing by Scott Malone and Deepa Babington) People walk in downtown Quito prior to Sunday's presidential election, in Quito, Ecuador, August 16, 2023. REUTERS/Henry Romero (Reuters) - Global ratings agency Fitch downgraded Ecuador deeper into junk territory on Wednesday, citing financing risks arising from a significant deterioration in fiscal accounts as the country heads to polls. Ecuador's long-term foreign currency issuer default rating was cut to "CCC+" from "B-" by Fitch. "Liquidity constraints have resulted in a sizeable build-up in arrears since YE 2022," the rating agency said. The country has leaned on international financing since its economy was battered by the COVID-19 pandemic. The country's snap general elections are scheduled to be held on Aug. 20 amid nationwide turmoil following the assassination of presidential candidate Fernando Villavicencio at a campaign event earlier this month. With increasing political risk and governability challenges, the rating agency said that it does not anticipate significant reform progress to address Ecuador's fiscal and financing challenges in the remaining 18-month presidential term. This will continue to hinder the sovereign's market access and ability to secure an IMF successor program, Fitch added. (Reporting by Akshita Toshniwal and Sri Hari N S in Bengaluru; Editing by Anil D'Silva) FILE PHOTO: Fulton County District Attorney Fani Willis speaks to the media after a Grand Jury brought back indictments against former president Donald Trump and 18 of his allies in their attempt to overturn the state's 2020 election results, in Atlanta, By Jacqueline Thomsen WASHINGTON (Reuters) - The district attorney prosecuting former U.S. President Donald Trump on election interference charges in Georgia has proposed that his trial start in March of next year, a date that would have Trump in court mid-campaign for the 2024 Republican presidential nomination. The proposed March 4 trial date is one day before Super Tuesday, during which voters in more than a dozen states are set to cast their ballots for the Republican presidential nomination. Fani Willis, the Fulton County district attorney, submitted her recommendation in a court filing on Wednesday, which also said that initial appearances for the various defendants charged in the Georgia election case should happen during the week of Sept. 5. Lawyers and a spokesperson for Trump did not immediately return a request for comment. A Fulton County grand jury on Tuesday indicted Trump and 18 others, accusing the former president of seeking to undo his 2020 election loss to U.S. President Joe Biden. Trump is set to be on trial in New York on March 25, 2024, on separate charges of concealing a hush money payment to a porn star - a schedule that the former president is certain to raise in response to the recommended start date in Georgia. Willis said in Wednesday's filing that the proposed schedule does "not conflict" with other hearings and trial dates set in Trump's other criminal cases. Manhattan District Attorney Alvin Bragg said in an interview with WNYC radio last month -- before Trump was indicted in two other cases, including Georgia -- that the various judges involved may "confer" about the schedules. Trump's attorneys have argued in other criminal cases that any trial be scheduled until after the November 2024 presidential election. He is set to go on trial in Florida in May on charges of retaining sensitive government documents after leaving office. U.S. Special Counsel Jack Smith's office has also asked a Washington, D.C., federal judge to schedule a Jan. 2 trial start date on charges that Trump plotted to overturn his 2020 election loss. Trump's attorneys face a Thursday deadline to propose their own trial date in that case. (Reporting by Kanishka Singh and Jacqueline Thomsen in Washington; Editing by Caitlin Webber, Grant McCool and Alistair Bell) BAKU, Azerbaijan, August 16. Azerbaijan has established fines for admission of foreigners and stateless persons to political parties, Trend reports. This is reflected in the law on amendments to the Code of Administrative Offenses of the Republic of Azerbaijan signed by President Ilham Aliyev. According to the law, officials will be fined from 800 ($470) to 1,000 manat ($588) for accepting foreigners and stateless persons into political parties, while legal entities will be fined from 3,000 ($1,764) to 5,000 manat ($2,941). By Timour Azhari BAGHDAD (Reuters) - Iraq has made strides implementing U.S. dollar supply restrictions targeting Iran but faces an uphill battle with a banking system unaccustomed to strict oversight and persistent currency smugglers, central bank governor Ali al-Allaq said. "It is really a battle, because the people benefiting from this situation and those harmed (by the new measures) will try in various ways to continue their illegal activities," Allaq said in an interview with Reuters. Allaq did not mention Iran by name and said he did not have data on how much of Iraq's dollars been smuggled to Iran or other neighbouring countries, including Turkey and Syria, before the United States tightened regulations in November. The U.S. measures that aim to enforce sanctions on Iran are a sensitive matter in a country that has often been a front line in the rivalry between Washington and Tehran. Iraq's government is reliant on Washington's continued goodwill to ensure oil revenues and finances do not face U.S. censure, but it came to power with the support of powerful, Tehran-backed groups and so cannot afford to alienate Iran. The latter groups have accused the U.S. of meddling in Iraq's internal affairs and creating a currency crisis, as businesses either struggling or unwilling to abide by the new measures sourced dollars from exchange shops, driving down the value of the Iraqi dinar. Iraq has more than $100 billion dollars in reserves, Allaq said, but could not freely intervene in the market to bring the rate down due to the restrictions. Last month, the U.S. Treasury Department and the Fed barred 14 Iraqi banks from conducting dollar transactions as part of a wider crackdown on dollar smuggling to Iran via the Iraqi banking system, U.S. officials said. Allaq said that action related to transfers from 2022, before a new platform that aimed to improve transparency went live. He said the central bank was undertaking a review of the banking sector and introducing new regulations that he said would likely see some banks close. "It would be very normal in the coming period to see a reduction in the (number of private banks)," he said. "There are always side-effects, but at the same time we have a responsibility to protect the country's interests by trying to find the necessary means for monitoring and oversight so as not to expose the country to any issues on this front," he said. 'TRANSFORMATION' The U.S. measures have targeted Iraq's so-called dollar auction, where the central bank requests dollars from the U.S. Federal Reserve before selling them to commercial banks, which in turn sell the funds to businesses in the highly import-dependent economy. U.S. and Iraqi officials have said the auction allowed large sums of money to be illegitimately acquired by groups who would provide fake invoices and then either transfer or physically smuggle the funds to neighbouring countries, chiefly Iran. A feature of a highly informal economy, the system was also used by thousands of small businesses that are not registered with the state, Allaq said, a widespread phenomenon in Iraq that allows them to dodge taxes and customs fees. Since January the central bank has asked banks to provide detailed information on senders and recipients of transfers via an online platform. When companies began trying to use the platform in January, less than 20% of requests were approved by U.S authorities, Allaq said. That number had now risen to around 85 percent, signalling growing ease with the new regulations, he said. Allaq said that tighter regulations along with government plans to promote digital payment were forcing a wider shift in the Iraq economy in a country where cash remains king and the majority of adults do not have bank accounts. "It is not just an electronic platform, it will lead to a total reorganisation of trade and the movement of money, and control on a lot of avenues for suspicious activity." (Reporting by Timour Azhari; Editing by Angus MacSwan) Members of the Security personnel affiliated with the Ministry of Interior secure the streets after yesterday's clashes between armed factions in Tripoli, Libya, August 16, 2023 REUTERS/Hazem Ahmed TRIPOLI (Reuters) -A Libyan factional commander whose seizure triggered the worst fighting in Tripoli for years, with 55 killed and 146 wounded, was returned to his unit on Wednesday, officials in the commander's organization said. Mahmoud Hamza, head of the powerful 444 Brigade, was seized by the Special Deterrence Force on Monday as he tried to travel from Tripoli's Mitiga airport, which the SDF controls. Under a deal brokered by city elders, the SDF late on Tuesday handed Hamza over to a third faction, the Stabilisation Support Apparatus. That group released him to the 444 Brigade late on Wednesday, two officials with the brigade told Reuters. Pictures sent by one of the 444 Brigade officials showed Hamza in his uniform hugging fellow fighters upon his return. Members of the force fired guns into the air on Wednesday evening as news of his expected release was reported. Fighting broke out between the SDF and 444 Brigade across the capital late on Monday after Hamza's capture. The death toll from the fighting was announced on Wednesday by Tripoli health authorities, which also said 146 people had been injured. Airlines that on Tuesday stopped using Mitiga airport, where some of the worst fighting took place, resumed flights on Wednesday, they said. As part of the deal announced by the elders, police and other security forces that stayed neutral in the clashes moved into areas where the fighting took place. Major warfare in Libya has been paused since a 2020 truce between the main eastern and western sides. But rival factions still hold most territory and a lasting solution to the conflict that has raged since a 2011 NATO-backed uprising looks distant. (Reporting by Reuters Libya newsroom, writing by Angus McDowall, editing by Angus MacSwan and Cynthia Osterman) FILE PHOTO: 'X' logo is seen on the top of the headquarters of the messaging platform X, formerly known as Twitter, in downtown San Francisco, California, U.S., July 30, 2023. REUTERS/Carlos Barria/File Photo By Sheila Dang (Reuters) - Social media company X, formerly known as Twitter, delayed access to links to content on the Reuters and New York Times websites as well as rivals like Bluesky, Facebook and Instagram, according to a Washington Post report on Tuesday. Clicking a link on X to one of the affected websites resulted in a delay of about five seconds before the webpage loaded, the Washington Post reported, citing tests it conducted on Tuesday. Reuters also saw a similar delay in tests it ran. By late Tuesday afternoon, X appeared to have eliminated the delay. When contacted for comment, X confirmed the delay was removed but did not elaborate. Billionaire Elon Musk, who bought Twitter in October, has previously lashed out at news organizations and journalists who have reported critically on his companies, which include Tesla and SpaceX. Twitter has previously prevented users from posting links to competing social media platforms. Reuters could not establish the precise time when X began delaying links to some websites. A user on Hacker News, a tech forum, posted about the delay earlier on Tuesday and wrote that X began delaying links to the New York Times on Aug. 4. On that day, Musk criticized the publication's coverage of South Africa and accused it of supporting calls for genocide. Reuters has no evidence that the two events are related. A spokesperson for the New York Times said it has not received an explanation from X about the link delay. "While we don't know the rationale behind the application of this time delay, we would be concerned by targeted pressure applied to any news organization for unclear reasons," the spokesperson said on Tuesday. A Reuters spokesperson said: "We are aware of the report in the Washington Post of a delay in opening links to Reuters stories on X. We are looking into the matter." Bluesky, an X rival that has Twitter co-founder Jack Dorsey on its board, did not reply to a request for comment. Meta, which owns Facebook and Instagram, did not immediately respond to a request for comment. (Reporting by Sheila Dang in Dallas; Editing by Kenneth Li and Deepa Babington) FILE PHOTO: An aerial view of the streets in the capital Niamey, Niger July 28, 2023. REUTERS/Souleymane Ag Anara/File Photo WASHINGTON (Reuters) - The new U.S. ambassador to Niger, Kathleen FitzGibbon, will arrive in Niamey following a coup last month, the State Department said on Wednesday, in a signal of Washington's continued engagement with the situation. A U.S. official said she is expected to arrive in Niger later this week. The Senate confirmed FitzGibbon, a career foreign service officer, as U.S. ambassador late last month just after the coup, nearly a year after she was nominated. State Department deputy spokesperson Vedant Patel told reporters that there are no plans for her to present her credentials to coup leaders and that it is not necessary for the work at the embassy. "She is going there to lead the mission during a critical time and to support the American community and to coordinate on the U.S. government's efforts," Patel said. "Her arrival does not reflect a change in our position and we continue to advocate for a diplomatic solution that respects the constitutional order in Niger." Western powers and democratic African governments have called for the coup leaders to reinstate ousted President Mohamed Bazoum, whom coup leaders have been detaining since July 26, but the military leaders have refused and rejected attempts at negotiation. The coup and its aftermath have sucked in international powers with strategic interests in the region. The U.S. State Department's acting No. 2 traveled to Niger and held talks earlier this month with senior officials from the country's junta which seized power on July 26, but made no progress in meetings she described as "difficult." (Reporting by Humeyra Pamuk, Kanishka Singh and Daphne Psaledakis; Editing by Sandra Maler) FILE PHOTO: U.S. flag and TikTok logo are seen in this illustration taken, June 2, 2023. REUTERS/Dado Ruvic/Illustration/File Photo By Kanishka Singh WASHINGTON (Reuters) - New York City on Wednesday banned TikTok on government-owned devices, citing security concerns, joining a number of U.S. cities and states that have put such restrictions on the short video sharing app. TikTok, which is used by more than 150 million Americans and is owned by Chinese tech giant ByteDance, has faced growing calls from U.S. lawmakers for a nationwide ban over concerns about possible Chinese government influence. TikTok "posed a security threat to the city's technical networks," the administration of New York City Mayor Eric Adams said in a statement. New York City agencies are required to remove the app within 30 days and employees will lose access to the app and its website on city-owned devices and networks. New York State had already banned TikTok on state-issued mobile devices. TikTok said it "has not shared, and would not share, U.S. user data with the Chinese government, and has taken substantial measures to protect the privacy and security of TikTok users." Top U.S. security officials including FBI Director Christopher Wray and CIA Director William Burns have said TikTok poses a threat. Wray said in March that China's government could use TikTok to control software on millions of devices and drive narratives to divide Americans, adding the app "screams" of national security concerns. Former President Donald Trump in 2020 sought to bar new downloads of TikTok, but a series of court decisions blocked the ban from taking effect. Many U.S. states and cities have restricted TikTok on government devices. Montana recently passed a bill banning the app across the state, a rule set to go into effect on Jan. 1 and being challenged legally. Close to half of American adults support a ban on TikTok, according to a new Reuters/Ipsos survey released on Wednesday. (Reporting by Kanishka Singh in Washington; Editing by Jamie Freed) FILE PHOTO: Nigeria's Central Bank Governor Godwin Emefiele briefs the media during the MPC meeting in Abuja, Nigeria January 24, 2020. REUTERS/Afolabi Sotunde/File Photo By Camillus Eboh ABUJA (Reuters) - Nigeria's suspended central bank governor Godwin Emefiele will appear in a high court in Abuja on Thursday, when he is expected to enter a plea in a 20-count indictment, a government lawyer said on Wednesday. Government lawyers, on Tuesday, said they had filed additional graft charges against the governor, including allegedly "conferring unlawful advantages" and "unlawful procurement". Emefiele is being charged alongside a central bank employee and a private firm for the alleged illegal procurement of 98 luxury vehicles and armoured buses, according to papers filed by the public prosecutor. Local papers said the central bank employee was a director in the private company. Neither the employee, who was not named in court documents, or Emefiele, who is in detention, were immediately available for comment. Emefiele, suspended by President Bola Tinubu in June, had already pleaded not guilty to charges of possessing a firearm illegally, which were subsequently withdrawn. Government lawyers said they withdrew the firearm charge "because of emerging facts pending further investigations." A judge in Lagos granted him bail following his plea on July 25 but he was immediately rearrested. Tinubu, who is embarking on the boldest reforms in Africa's biggest economy in more than a decade, has launched a probe of the central bank under Emefiele after criticising its policies at his inauguration in May, especially moves to prop up the naira currency. ($1 = 784.39 naira) (Reporting by Camillus Eboh; Writing by Chijioke Ohuocha; Editing by Sharon Singleton) FILE PHOTO: An illustration picture shows a projection of binary code on a man holding a laptop computer, in an office in Warsaw June 24, 2013. REUTERS/Kacper Pempel/File Photo LONDON (Reuters) - A 39-year-old man has been arrested as part of an investigation linked to an accidental breach of sensitive data last week which likely left militant groups in possession of the details of officers, Northern Irish police said on Wednesday. The surnames, initials, work location and departments of all serving Northern Irish police officers and staff, numbering more than 9,000, were available to the public online for more than two hours last week, after they were included in error in response to a freedom of information request by a member of the public. At the time, police said that while the data had been made available as a result of its own error, anyone who accessed the information before it was taken down "is responsible for what they do with it next" and should delete it immediately. The breach is a hugely sensitive matter in Northern Ireland, where police officers are still sporadically targeted by dissident groups in bomb and gun attacks, despite a 1998 peace deal largely ending three decades of sectarian violence in the province. The Police Service of Northern Ireland (PSNI) said Wednesday's arrest was made by "detectives investigating criminality linked to last weeks freedom of information data breach". "A 39-year-old man has been arrested on suspicion of Collection of Information likely to be useful to Terrorists and is being questioned by detectives," the PSNI said. Police said on Monday they were confident that militant groups were in possession of the details of officers and it was their assumption such groups would use the list to intimidate or target officers and staff. According to the PSNI's website, it has 6,812 officers and 2,437 other staff. "We are working tirelessly to address the risk posed to officers and staff," Detective Chief Superintendent Andy Hill said. "We will continue in our efforts to disrupt criminal activity associated with this freedom of information data breach and to keep communities, and our officers and staff who serve them, safe." (Reporting by Kylie MacLellan; Editing by Bernadette Baum) People stand near objects set on fire on a street, in Jaranwala, Pakistan August 16, 2023 in this screengrab obtained from a video by REUTERS TV via REUTERS By Mubasher Bukhari and Asif Shahzad LAHORE, Pakistan (Reuters) - A Muslim crowd attacked a Christian community in eastern Pakistan on Wednesday, vandalising several churches and setting scores of houses on fire after accusing two of its members of desecrating the Koran, police and community leaders said. The attack took place in Jaranwala in the industrial district of Faisalabad, police spokesman Naveed Ahmad said. The two Christians were accused of blasphemy, he said, adding they and family members had fled their homes. Resident Shakil Masih said he heard announcements inciting the mob and then saw crowds heading towards his Christian area. "I left my home immediately with my family. Several other families did the same," he told Reuters. Over 100 people were arrested, Punjab's caretaker information minister, Amir Mir, said later on Wednesday. "People who attacked the churches are being identified through video footage," he said. Police said the case against the Christians relates to pages of the Koran found with some derogatory remarks written in red. Blasphemy is punishable by death in Pakistan and though no one has ever been executed for it, numerous accused people have been lynched by outraged crowds. A former provincial governor and a minister for minorities have also been shot dead because of blasphemy accusations. Rights groups say accusations of blasphemy are sometimes used to settle scores. Hundreds of people are languishing in prison after being accused because judges often put off trials, fearing retribution if they are seen as being too lenient, they say. "The frequency and scale of such attacks which are systematic, violent and often uncontainable appear to have increased in the last several years," said the Human Rights Commission of Pakistan. The rights group called for the setting up and equipping of special police forces to protect religious minorities' sites of worship, as directed by a 2014 Supreme Court judgment. Caretaker Prime Minister Anwar ul Haq Kakar called for stern action against those responsible for Wednesday's violence. "I am gutted by the visuals coming out," he said. The United States was "deeply concerned that churches and homes were targeted," said State Department Deputy Spokesperson Vedant Patel. "We urge Pakistani authorities to conduct a full investigation into these allegations and call for calm for all those involved," Patel told reporters. A Christian leader, Akmal Bhatti, said the crowd had "torched" at least five churches and looted valuables from houses that had been abandoned by their owners. Hundreds of people also blocked a nearby highway. Video showed men attacking a church with sledgehammers and setting fires. The mob was made up of thousands of people led by local clerics, mainly from an Islamist political party called Tehreek-e-Labaik Pakistan (TLP), a government source said. The TLP denied inciting the violence and said it had worked with police to try to calm things down. (Additional reporting by Humeyra Pamuk and Simon Lewis in Washington; Editing by Alexandra Hudson and Rosalba O'Brien) LIMA (Reuters) - An 11-year-old rape victim was allowed an abortion in Peru over the weekend after being initially refused the procedure, in a case that rights groups say highlights the lack of support for minors who suffer sexual abuse. The girl, publicly identified only as "Mila," was raped for years by her stepfather, according to a police report. Earlier this month, Mila - approaching 18 weeks pregnant - was turned away at a hospital in the Amazon region of Loreto, which refused to perform the abortion. The case caused a furor and after the United Nations urged the Peruvian state to intervene, Mila was brought to the capital Lima and state doctors authorized the abortion. She is now recovering well, said Susana Chavez, director of the feminist non-governmental organization PROMSEX, and will remain in state care after being discharged. But Mila's experience highlights the state's failings to protect young sexual abuse victims, Chavez told Reuters, adding that there are likely many more rapes of minors than reported. "We estimate that for every pregnant girl who comes to hospital, there are at least 10 ... victims of sexual abuse," Chavez said. Official data shows live births in girls between the ages of 10 and 14 in Peru rose 14% last year to 1,625. In the first half of this year, 14,500 sexual assaults were recorded, 70% of which involved minors under 17. Abortion is only legal in Peru if the mother's life is endangered, and Chavez said even then access is being blocked by an "ultra-conservative" backlash. Authorities are now searching for Mila's stepfather, who was arrested in July but later released on grounds of insufficient evidence. The judge's decision to release him was widely criticized and President Dina Boluarte has demanded his "immediate capture." His whereabouts are currently unknown. (Reporting by Carlos Valdez and Anthony Marina, Writing by Isabel Woodford; Editing by Rosalba O'Brien) A view shows the accident scene following a fire and blasts at a fuel station in Makhachkala in the region of Dagestan, Russia, August 15, 2023. REUTERS/Kazbek Basayev MOSCOW (Reuters) - Russian President Vladimir Putin on Wednesday expressed condolences to the families of 35 people killed in a major fire and explosion that tore through an auto-repair shop beside a highway in the Dagestani capital of Makhachkala Russian investigators said the fire broke out at about 9:50 pm on Monday at an auto-repair shop which swiftly spread to a neighbouring building where fertiliser was stored, resulting in an explosion that in turn tore through a fuel station. "We offer our condolences to all the families of our citizens and our people who died," Putin told a video conference he chaired from the Kremlin. Deputy Prime Minister Tatiana Golikova told Putin that 35 people were killed, including three children, and that 119 people had been injured, including 20 children. The head of Dagestan, Sergei Melikov, has said the causes of the incident were "greed and avarice" which had allowed all rational safety rules to be broken. Russia's emergencies minister, Alexander Kurenkov, told Putin that he was concerned about the causes of the fire. Putin said investigators were looking into the causes of the fire. Video from the scene showed an intense fire followed by a major explosion which left a vast crater. (Reporting by Guy Faulconbridge; Editing by Gareth Jones) BAKU, Azerbaijan, August 16. The Ministry of Foreign Affairs of Azerbaijan strongly condemned the continuation of military provocations by Armenia on the day of the UN Security Council meeting, Ministry of Foreign Affairs of Azerbaijan said, Trend reports. "According to the information provided by the Ministry of Defense of the Republic of Azerbaijan, in order to commit provocative terrorist operations a reconnaissance-sabotage group of the Armenian armed forces attempted to advance into the territory of Azerbaijan on August 16. However, this next provocation of Armenia was prevented, and one of the members of the sabotage group was detained," said the statement. We condemn the military provocations committed by Armenia in continuation of the manipulative policy on the day of the UN Security Council's meeting on the situation in the region. We call on Armenia to refrain from provocative steps and statements that aggravate the situation in the region, to stop interfering in the internal affairs of Azerbaijan, to fulfill its obligations and to abandon the continuation of attempts to mislead the international community, said the statement. According to the ministry, the responsibility for the aggravation of the situation in the region is directly due to the military-political leadership of Armenia. (Reuters) - Russian mobile and streaming service operator Vimpelcom was fined on Wednesday for failing to put an 18+ age rating on a movie referencing LGBT relationships, Russian news agencies reported from a Moscow courtroom. Russia toughened its anti-LGBT laws last year, allowing authorities to fine any individual or organisation found to have promoted homosexuality in public, online, or in films, books or advertising. Vimpelcom was fined 1 million roubles ($10,401) for distributing the film "Little Italy", which contains LGBT scenes, without an 18+ label, the RIA news agency reported. Kinopoisk, owned by tech giant Yandex and streaming site Ivi, have been fined for the same offence in recent weeks. Vimpelcom, which provides mobile services under the Beeline brand and operates streaming site Beeline TV in Russia, did not respond to a Reuters request for comment. The company did not acknowledge guilt, RIA reported, citing its representative in court. Vimpelcom's lawyer said that Beeline TV was available through a subscription. "Each subscriber knows what they are choosing and watching, there is no widespread access," the lawyer was quoted as saying. Russian lawmakers argue that Russia's laws are necessary to protect society from what they see as decadent "Western values". Rights groups say the laws are designed to outlaw representations of lesbians, gay men, bisexuals and transgender people (LGBT) in public life. ($1 = 96.1450 roubles) (Reporting by Gleb Stolyarov; Editing by Alexander Marrow and Gareth Jones) FILE PHOTO: A customer displays the package of a Safaricom Ethiopia sim card during the service launch in Addis Ababa, Ethiopia, October 6, 2022. REUTERS/Tiksa Negeri/File Photo By Dawit Endeshaw ADDIS ABABA (Reuters) - Safaricom's M-Pesa mobile money service went live in Ethiopia on Wednesday, in a boost to the Kenyan telecoms operator as it seeks to kickstart growth in one of Africa's biggest economies. Safaricom, which is part owned by South Africas Vodacom and Britains Vodafone, launched its voice and data network in the Horn of Africa country last year and has signed up more than 2 million active users. Safaricom introduced M-Pesa in Kenya in 2007. The service has grown to become the company's biggest moneymaker and is also offered in the Democratic Republic of Congo, Egypt, Ghana, Kenya, Lesotho, Mozambique and Tanzania. "M-Pesa is known to be a game-changer for financial inclusion," said Stanley Njoroge, Safaricom Ethiopia's interim CEO. "We will continue to broaden the services our customers receive from the M-Pesa platform." Safaricom became the first private telecoms provider in Ethiopia after the government in 2019 liberalised a sector that had long been dominated by the state-controlled Ethio Telecom. The company is betting that Ethiopia, which has around 120 million people and one of Africa's youngest populations, will power growth for years to come. Analysts said the market offers enormous opportunities, but also requires huge investments that will put Safaricom under pressure to deliver quick results. Safaricom's core earnings fell by a fifth in the year to March 31, hit by the cost of starting operations in Ethiopia. The company also faces stiff competition from Ethio Telecom, whose profits more than doubled in its latest financial year. In July, Ethio Telecom reported having more than 34 million subscribers to its mobile money service Telebirr. Mobile money services are common in East Africa, allowing customers to send and receive money and pay for goods and services. (Reporting by Dawit Endeshaw; editing by Elias Biryabarema, Aaron Ross and Jane Merriman) FILE PHOTO: U.S. Senate Majority Leader Chuck Schumer (D-NY), with Senator Jack Reed (D-RI), Senator Tim Kaine (D-VA) and Senator Catherine Cortez Masto (D-NV), holds a press conference after the weekly Democratic caucus policy luncheon at the U.S. Capito WASHINGTON (Reuters) - U.S. Senate Majority Leader Chuck Schumer on Wednesday said he met with House Speaker Kevin McCarthy a few weeks ago and agreed to a resolution that could extend current federal government spending for a few months. "We agreed we should do a CR ... where you just extend the existing funding for a few months so we could work this out, and I thought that was a good sign," the chamber's top Democrat told MSNBC, referring to a temporary spending measure known as a continuing resolution. Current government funding is due to expire Sept. 30 with the fiscal year beginning Oct 1, and no action to fund the federal government could trigger a shutdown. Any spending measures would have to pass both the Democratic-led Senate and the Republican-controlled House of Representatives. Schumer warned against McCarthy, a Republican, following hardline members of his party who may be pushing for a shutdown over Ukraine funding and other issues, and noted that there is bipartisan support in the Senate to pass regular appropriations bills to fund the U.S. government. (Reporting by Susan Heavey; editing by Christina Fincher) (Reuters) -Taiwan-based Silicon Motion on Wednesday blamed MaxLinear for breaching their merger agreement and said it would seek damages in excess of the termination fee from the U.S. company. MaxLinear scrapped a nearly $4 billion cash-and-stock deal in July to acquire memory-controller maker Silicon Motion. The acquisition was announced in May last year. MaxLinear may be required to pay Silicon Motion a termination fee of $160 million, according to the agreement in May last year. However, Silicon Motion would be liable to pay $132 million if the deal was terminated under some circumstances. MaxLinear said Silicon Motion's action is without merit and it would "vigorously defend" its right to terminate the deal without paying a penalty in arbitration. In July, MaxLinear had said the company had suffered a "material adverse effect", giving it the right to terminate the merger agreement. "MaxLinear's professed reason .... is a pretext and has been rejected in case after case under Delaware law, which governs the MAE issue, where buyers have sought to back out of merger agreements at the eleventh hour," Silicon Motion said on Wednesday. The company's termination of the deal will be subject of an arbitration in the Singapore International Arbitration Centre. Silicon Motion also said the company intends to resume declaring and paying dividends on an annual basis. (Reporting by Akash Sriram in Bengaluru, additional reporting by Sourasis Bose; Editing by Saumyadeb Chakrabarty and Anil D'Silva) By Siddharth Cavale NEW YORK (Reuters) - Big-box retailer Target said on Wednesday that losses caused by theft and organized retail crime, which had spiked in the first half of the year, are showing signs of stabilizing. Target shares were up 3.5% at $129.40 in afternoon trading after the company's second-quarter profit exceeded analysts' expectations earlier on Wednesday. In May, Target had warned that theft and organized retail crime was hurting profitability and could reduce it by more than $500 million, on top of the $650 million in losses it incurred in 2022. Organized retail crime is defined as the phenomenon when gangs of thieves coordinate to steal millions of dollars of merchandise - often perfume, cosmetics, electronics or power drills - from retailers to then resell it on the black market. The reselling is generally at online auction sites and flea markets, and can even be done via third-party merchants on marketplaces like Amazon.com and Walmart.com. While rising theft is impacting all retailers, including Walmart and Home Depot, Target has been the most vocal about the issue as it has struggled with excess inventories and disappointing margins. Its large concentration of stores in urban areas also makes it more vulnerable to higher levels of crime, analysts said. Target said on Wednesday that losses stemming from theft dented margins by nearly 1 percentage point in the second quarter, a trend it expects to continue next quarter but ease as it enters the last two months of the year. "So far, we've only seen indications that loss rates might soon be reaching a plateau, but have not yet seen evidence that loss rates will begin to come down," Target CFO Michael Fiddelke told analysts on a conference call on Wednesday. He said the company would not be changing its $500 million inventory-loss forecast for the year. The Minneapolis-based retailer has also had a spike in violent theft and confrontations between employees and customers at its stores. Threats and thefts involving violence at stores jumped 120% in the first five months of the year, Target CEO Brian Cornell said, calling it a trend that was "moving in the wrong direction." Some of the threats were related to the company's LGBTQ Pride merchandise and were not all associated with theft, the company said. Target was embroiled in a controversy over its LGBTQ-themed merchandise in May that forced it to pull products from shelves after facing threatening behavior from shoppers. Cornell on Wednesday said Target was working "tirelessly" with retail industry groups and communities to improve the safety of staff and customers. Huntington Private Bank Senior Equity Analyst David Klink said it was encouraging to see Target increase its gross margins despite its struggles with retail theft. Target's gross margin rate came in at 27%, or 5.5 percentage points higher than a year ago, and an improvement from the 26.3% in the prior quarter. Klink, whose firm owns more than $10 million in Target shares, said he was disappointed earlier this year with the company's first-quarter margin growth and the fact that it blamed the weakness on retail theft. "If it's weak (margins) and you claim it's 'organized crime,' that raises eyebrows the reverse not so much ... So even holding the line on gross margins is a big positive for Target," Klink said on Wednesday. (Reporting by Siddharth Cavale in New York; Additional reporting by Savyata Mishra in Bengaluru; Editing by Matthew Lewis) FILE PHOTO: U.S. Private Travis T. King (wearing a black shirt and black cap) is seen in this picture taken during a tour of the tightly controlled Joint Security Area (JSA) on the border between the two Koreas, at the truce village of Panmunjom, South Ko By Josh Smith and Soo-hyang Choi SEOUL (Reuters) - North Korea's claim on Wednesday that U.S. soldier Travis King fled racism and abuse in America comes as Pyongyang pushes back on Washington's criticism of the North's human rights record. North Korea broke nearly a month of silence on King, who is Black, issuing a state media report that he had confessed to illegally and deliberately entering the North, driven by "ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army" and disillusionment with inequality in U.S. society. King has not been directly heard from, but an uncle in United States told media this month his nephew said he experienced racism during his military service. The state media report comes a day before the United Nations Security Council is due to meet at the behest of Washington to discuss human rights abuses in North Korea. For decades Pyongyang has highlighted racial discrimination in the United States as what it says is an example of Washington's hypocrisy, and analysts said North Korea is likely to use King's case to resist pressure over human rights. "North Korea will likely highlight racism in the United States and use it as a means to counter the United States' criticism of North Korea's human rights situation, rather than engaging in negotiations with the U.S.," said Lim Eul-chul, a professor of North Korean studies at South Korea's Kyungnam University. North Korea highlights racism in the United States to cast a negative light on it, and to make the point that the United States, which regularly points to human rights conditions in other countries, is in no position to do so, said Rachel Minyoung Lee of the U.S.-based Stimson Center. North Korea's foreign ministry cited racial discrimination, among other ills, in a statement on Tuesday calling it a "mockery of human rights and deception on the international community" for the United States to call Thursday's meeting on human rights. "Not content with conniving at and fostering racial discrimination, gun-related crimes, child maltreatment and forced labour rampant in its society, the U.S. has imposed unethical human rights standards on other countries and fomented internal unrest and confusion," the statement said. In 2018, Pyongyang released a "White Paper on Human Rights Violations in the U.S.", which accused the administration of Donald Trump of aggravating the "racial discrimination and misanthropy" already "inherent to the social system of the U.S.", citing white supremacist violence in Charlottesville, Virginia. During the protests after the police killing of George Floyd in 2020, North Korean officials cited "extreme racists" in America and criticised authorities' response for threatening to "unleash even dogs for suppression". In a report at the time, C. Harrison Kim, a professor at the University of Hawaii, told NK News, a Seoul-based site that monitors North Korea, that although the relationship had waned, Pyongyang's "alliance with the Black Power movement was a very real thing". In 1969 Pyongyang hosted American author and activist Eldridge Cleaver, head of international affairs at the Black Panther Party (BPP), who wrote that North Korea and its "great leader" had "heightened our consciousness to a level that makes us equal to the task of dealing with our number one enemy, the U.S. imperialist aggressors. North Korean state media has its own history of issuing racially charged statements. In 2014, the state news agency published a report saying then-U.S. President Barack Obama "looks like an African native monkey with a black face", among other quotes comparing him to an animal. A landmark 2014 U.N. report on North Korean human rights concluded that North Korean security chiefs - and possibly leader Kim Jong Un himself - should face justice for overseeing a state-controlled system of Nazi-style atrocities. That report included allegations that North Korea conducts forced abortions on women suspected to have been impregnated by men in China, driven by an underlying belief in a pure Korean race in North Korea to which mixed-race children are considered a contamination of its pureness. (Reporting by Josh Smith and Soo-hyang Choi. Editing by Gerry Doyle) (Reuters) - An American alternative-rock band, the Killers, has apologised for bringing a Russian drummer on stage during a show in Georgia and for describing fans as "brothers and sisters," which prompted boos from the audience. Georgia has a long history of tension with its northern neighbour, exacerbated by Moscow's invasion of Ukraine in February 2022 and a subsequent big influx of Russian emigrants escaping their country. The band, seven times nominated for the music industry's Grammy Awards, performed on Tuesday in the Black Sea resort of Batumi during a European tour. "Good people of Georgia, it was never our intention to offend anyone!" the band said in a statement on its Facebook page, adding that it had a longstanding tradition of inviting people to play the drums. "We recognise that a comment, meant to suggest that all of the Killers' audience and fans are 'brothers and sisters,' could be misconstrued," it added. The reference was to a remark band leader Brandon Flowers made to the crowd, saying he did not want the situation to turn "angry". "I see you as my brothers and my sisters," Flowers added to the sound of boos and whistles in a video published by the Russian state RIA news agency. Videos on social media showed people leaving the show, in addition to the booing. Georgian public opinion is overwhelmingly pro-Ukrainian. The band has sold millions of albums, with many songs topping the charts since it formed in the city of Las Vegas in the early 2000s. (This story has been refiled to correct the spelling of "Georgia" in paragraph 1) (Reporting by Lidia Kelly in Warsaw; Editing by Clarence Fernandez) (Reuters) - Ukraine's air force on Wednesday said a large group of Russian army drones entered the mouth of the Danube river and headed toward the Izmail river port near the border with Romania. Social media groups reported hearing air defence systems firing in the area near two Danube ports - Izmail and Reni. The governor of southern Odesa region, Oleh Kiper, asked residents of Izmail district to take shelter at around 1:30 a.m. (2230 GMT) and cancelled the air raid alert one hour later. Ukraine's Danube ports accounted for around a quarter of grain exports before Russia pulled out of a U.N.-backed deal to provide safe passage for the export of Ukrainian grain via the Black Sea. They have since become the main route out, with grain sent on barges to Romania's Black Sea port of Constanta for shipment onwards. A Russian attack on the Izmail port sent global food prices higher in early August. (Reporting by Maria Tsvetkova; Editing by Mark Porter and Stephen Coates) A bronze seal for the Department of the Treasury is shown at the U.S. Treasury building in Washington, U.S., January 20, 2023. REUTERS/Kevin Lamarque/File Photo WASHINGTON (Reuters) -The United States on Wednesday imposed sanctions on three entities it accused of being tied to arms deals between North Korea and Russia as Washington cracked down on those seeking to support Russia's war in Ukraine. The U.S. Treasury Department in a statement said that Russia has increasingly been forced to turn to North Korea and other allies to sustain its war in Ukraine as it expends munitions and loses heavy equipment on the battlefield. The action is the latest by Washington, which has imposed rafts of sanctions targeting Moscow and Russian President Vladimir Putin since the start of the war, which has killed tens of thousands of people and turned cities to rubble. The United States continues to root out illicit financial networks that seek to channel support from North Korea to Russias war machine, Treasury's Under Secretary for Terrorism and Financial Intelligence, Brian Nelson, said in the statement. Alongside our allies and partners, we remain committed to exposing and disrupting the arms trade underpinning Putins brutal war in Ukraine." Russia's embassy in Washington and North Korea's mission to the United Nations in New York did not immediately respond to requests for comment. The entities targeted in Wednesday's action are Limited Liability Company Verus, Defense Engineering Limited Liability Partnership and Versor S.R.O. The Treasury said Slovakian national Ashot Mkrtychev, already under U.S. sanctions, is the president of Versor, founder and owner of Verus and director of Defense Engineering. Washington accused Mkrtychev of negotiating with North Korean and Russian officials to organize potential plans to transfer over two dozens kinds of weapons and munitions to Russia in exchange for goods to North Korea. Wednesday's action freezes any US assets of those designated and generally bars Americans from dealing with them. Those that engage in certain transactions with the sanctioned entities can also be hit with punitive measures. (Reporting by Daphne Psaledakis; Editing by Chizu Nomiyama and Bernadette Baum) UNITED STATES SECURITIES AND EXCHANGE COMMISSION WASHINGTON, D.C. 20549 FORM 8-K CURRENT REPORT Pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934 Date of Report (Date of earliest event reported): August 16, 2023 First Light Acquisition Group, Inc. (Exact name of registrant as specified in its charter) Delaware 001-40789 86-2967193 (State or other jurisdiction of incorporation) (Commission File Number) (I.R.S. Employer Identification No.) 11110 Sunset Hills Road #2278 Reston, VA 20190 (Address of principal executive offices) (Zip Code) Registrants telephone number, including area code: (202) 503-9255 Not Applicable (Former name or former address, if changed since last report) Check the appropriate box below if the Form 8-K filing is intended to simultaneously satisfy the filing obligation of the registrant under any of the following provisions (see General Instruction A.2. below): Written communications pursuant to Rule 425 under the Securities Act (17 CFR 230.425) Soliciting material pursuant to Rule 14a-12 under the Exchange Act (17 CFR 240.14a-12) Pre-commencement communications pursuant to Rule 14d-2(b) under the Exchange Act (17 CFR 240.14d-2(b)) Pre-commencement communications pursuant to Rule 13e-4(c) under the Exchange Act (17 CFR 240.13e-4(c)) Securities registered pursuant to Section 12(b) of the Act: Title of each class Trading Symbol Name of each exchange on which registered Units, each consisting of one share of Class A common stock and one-half of one redeemable warrant FLAGU NYSE American LLC Class A common stock, par value $0.0001 per share FLAG NYSE American LLC Redeemable warrants, each whole warrant exercisable for one share of Class A common stock at an exercise price of $11.50 per share FLAGW NYSE American LLC Indicate by check mark whether the registrant is an emerging growth company as defined in Rule 405 of the Securities Act of 1933 (230.405 of this chapter) or Rule 12b-2 of the Securities Exchange Act of 1934 (240.12b-2 of this chapter). Emerging growth company If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act. Item 7.01. Regulation FD Disclosure. Attached as Exhibit 99.1, and incorporated by reference, is a presentation prepared by Calidi Biotherapeutics, Inc. for discussions with investors. On August 16, 2023, First Light Acquisition Group, Inc. (FLAG) issued the press release attached hereto as Exhibit 99.2. The information in this Item 7.01, including Exhibits 99.1, and 99.2, is furnished and shall not be deemed filed for purposes of Section 18 of the Securities Exchange Act of 1934, as amended (the Exchange Act), or otherwise subject to liabilities under that section, and shall not be deemed to be incorporated by reference into the filings of First Light Acquisition Group, Inc. under the Securities Act of 1933, as amended or the Exchange Act, regardless of any general incorporation language in such filings. This Current Report will not be deemed an admission as to the materiality of any information of the information in this Item 7.01, including Exhibits 99.1, and 99.2. Item 9.01. Financial Statements and Exhibits. (d) Exhibits. The Exhibit Index is incorporated by reference herein. Forward-Looking Statements This Current Report on Form 8-K contains forward-looking statements for purposes of the safe harbor provisions under the United States Private Securities Litigation Reform Act of 1995. Terms such as anticipates, believe, continue, could, estimate, expect, intends, may, might, plan, possible, potential, predicts, project, should, would as well as similar terms, are forward-looking in nature. The forward-looking statements contained in this discussion are based on Calidis current expectations and beliefs concerning future developments and their potential effects. There can be no assurance that future developments affecting Calidi will be those that it has anticipated. These forward-looking statements involve a number of risks, uncertainties (some of which are beyond Calidis control) or other assumptions that may cause actual results or performance to be materially different from those expressed or implied by these forward-looking statements. Factors that may cause actual results to differ materially from current expectations include, but are not limited to: the occurrence of any event, change or other circumstances that could give rise to the termination of negotiations and any subsequent definitive agreements with respect to the business combination between FLAG and Calidi (the Business Combination); the outcome of any legal proceedings that may be instituted against FLAG, Calidi, the combined company or others following the announcement of the Business Combination, the PIPE Investment proposed to be consummated concurrently with the Business Combination, and any definitive agreements with respect thereto; the inability to complete the Business Combination due to the failure to obtain approval of the shareholders of FLAG, the inability to complete any PIPE Investment or other financing needed to complete the Business Combination, or to satisfy other conditions to closing; changes to the proposed structure of the Business Combination that may be required or appropriate as a result of applicable laws or regulations or as a condition to obtaining regulatory approval of the Business Combination; the ability to meet stock exchange listing standards following the consummation of the Business Combination; the risk that the Business Combination disrupts current plans and operations of Calidi as a result of the announcement and consummation of the Business Combination; the ability to recognize the anticipated benefits of the Business Combination or to realize estimated pro forma results and underlying assumptions, including with respect to estimated shareholder redemptions; costs related to the Business Combination; changes in applicable laws or regulations; the evolution of the markets in which Calidi competes; the inability of Calidi to defend its intellectual property and satisfy regulatory requirements; the ability to implement business plans, forecasts, and other expectations after the completion of the proposed Business Combination, and identify and realize additional opportunities; the risk of downturns and a changing regulatory landscape in the highly competitive pharmaceutical industry; the impact of potential global conflicts (including the current conflict in Ukraine) may have on capital markets or on Calidis or FLAGs business; the impact of the COVID-19 pandemic on Calidis business; and other risks and uncertainties set forth in the section entitled Risk Factors and Cautionary Note Regarding Forward-Looking Statements in FLAGs final prospectus dated September 9, 2021 and Annual Report on Form 10-K for the year ended December 31, 2022, as filed with the SEC on March 31, 2023, and the risks and uncertainties indicated in the Registration Statement and the definitive proxy statement to be delivered to FLAGs shareholders, including those set forth under Risk Factors therein, and other documents filed or to be filed with the SEC by FLAG. Additional Information and Where to Find It FLAG has filed with the SEC a registration statement on Form S-4 (as may be amended from time to time, the Registration Statement), which includes a definitive proxy statement of FLAG, and a prospectus in connection with the proposed business combination transaction involving FLAG and Calidi. The definitive proxy statement and other relevant documents have been mailed to FLAG shareholders as of July 11, 2023, the record date established for voting on the Business Combination. FLAG securityholders and other interested persons are advised to read the definitive proxy statement/prospectus, in connection with FLAGs solicitation of proxies for the special meeting because these documents will contain important information about FLAG, Calidi, and the Business Combination. Investors, securityholders and other interested persons will also be able to obtain copies of the Registration Statement, the proxy statement/prospectus and all other relevant documents filed or that will be filed with the SEC by FLAG, once such documents are filed, free of charge, on the SECs website at www.sec.gov or by directing a request to: First Light Acquisition Group, Inc., 11110 Sunset Hills Road #2278, Reston, VA 20190. Participants in the Solicitation FLAG and Calidi and their respective directors and officers and other members of management and employees may be deemed participants in the solicitation of proxies in connection with the proposed business combination. FLAG shareholders and other interested persons may obtain, without charge, more detailed information regarding directors and officers of FLAG in FLAGs Annual Report on Form 10-K for the year ended December 31, 2022, as filed with the SEC on March 31, 2023. Information regarding the persons who may, under SEC rules, be deemed participants in the solicitation of proxies from FLAGs shareholders in connection with the proposed business combination will be included in the definitive proxy statement/prospectus that FLAG intends to file with the SEC. No Offer or Solicitation This communication does not constitute (i) a solicitation of a proxy, consent or authorization with respect to any securities or in respect of the proposed Business Combination or (ii) an offer to sell, a solicitation of an offer to buy, or a recommendation to buy any security of Calidi, FLAG or any of their respective affiliates. There shall not be any sale of any securities in any state or jurisdiction in which such offer, solicitation, or sale would be unlawful prior to registration or qualification under the laws of such other jurisdiction. No offering of securities shall be made except by means of a prospectus meeting the requirements of Section 10 of the Securities Act of 1933, as amended, or an exemption therefrom. EXHIBIT INDEX Exhibit No. Description 99.1 Analyst Day Presentation. 99.2 Press release issued August 16, 2023. 104 Cover Page Interactive Data File (embedded within the Inline XBRL document). SIGNATURE Pursuant to the requirements of the Securities Exchange Act of 1934, the Registrant has duly caused this report to be signed on its behalf by the undersigned hereunto duly authorized. First Light Acquisition Group, Inc. Dated: August 16, 2023 By: /s/ Michael J. Alber Name: Michael J. Alber Title: Chief Financial Officer Exhibit 99.1 The following is a presentation for use by Calidi Biotherapeutics, Inc. and First Light Acquisition Group (FLAG) at an analyst day on August 16, 2023 in connection with their proposed business combination. ANALYST TEACH -IN DAY | AUGUST 2023 Arming the Immune System to Kill Cancer A novel stem cell-based therapy with payload designed to target and kill tumor cells Forward-Looking Statements and Legal Disclaimer Forward-Looking Statements This presentation contains forward-looking statements for purposes of the safe harbor provisions under the United States Private Securities Litigation Reform Act of 1995. Terms such as anticipates, believe, continue, could, estimate, expect, intends, may, might, plan, possible, potential, predicts, project, should, would as well as similar terms, are forward-looking in nature. The forward-looking statements contained in this presentation are based on Calidis current expectations and beliefs concerning future developments and their potential effects. There can be no assurance that future developments affecting Calidi will be those that it has anticipated. These forward-looking statements involve a number of risks, uncertainties (some of which are beyond Calidis control) or other assumptions that may cause actual results or performance to be materially different from those expressed or implied by these forward-looking statements. Factors that may cause actual results to differ materially from current expectations include, but are not limited to: the occurrence of any event, change or other circumstances that could give rise to the termination of negotiations and any subsequent definitive agreements with respect to the Business Combination; the outcome of any legal proceedings that may be instituted against FLAG, Calidi, the combined company or others following the announcement of the Business Combination, any PIPE Investment that may be proposed to be consummated concurrently with the Business Combination, and any definitive agreements with respect thereto; the inability to complete the Business Combination due to the failure to obtain approval of the shareholders of FLAG, the inability to complete any PIPE Investment or other financing needed to complete the Business Combination, or to satisfy other conditions to closing; changes to the proposed structure of the Business Combination that may be required or appropriate as a result of applicable laws or regulations or as a condition to obtaining regulatory approval of the Business Combination; the ability to meet stock exchange listing standards following the consummation of the Business Combination; the risk that the Business Combination disrupts current plans and operations of Calidi as a result of the announcement and consummation of the Business Combination; the ability to recognize the anticipated benefits of the Business Combination or to realize estimated pro forma results and underlying assumptions, including with respect to estimated shareholder redemptions; costs related to the Business Combination; changes in applicable laws or regulations; the evolution of the markets in which Calidi competes; the inability of Calidi to defend its intellectual property and satisfy regulatory requirements; the ability to implement business plans, forecasts, and other expectations after the completion of the proposed Business Combination, and identify and realize additional opportunities; the risk of downturns and a changing regulatory landscape in the highly competitive pharmaceutical industry; the impact of potential global conflicts (including the current conflict in Ukraine) may have on capital markets or on Calidis or FLAGs business; the impact of the COVID-19 pandemic on Calidis business; and other risks and uncertainties set forth in the section entitled Risk Factors and Cautionary Note Regarding Forward-Looking Statements in FLAGs final prospectus dated September 9, 2021 and Annual Report on Form 10-K for the year ended December 31, 2022, as filed with the SEC on March 31, 2023, and the risks and uncertainties indicated in the Registration Statement and the definitive proxy statement delivered to FLAGs shareholders, including those set forth under Risk Factors therein, and other documents filed or to be filed with the SEC by FLAG. Presentation Disclaimer This presentation (the Presentation) is preliminary in nature and for informational purposes only to assist parties in making their own evaluation with respect to the proposed business combination between First Light Acquisition Group, Inc., a Delaware special purpose acquisition corporation (FLAG) and Calidi Biotherapeutics, Inc., a Nevada corporation (together with its subsidiaries and divisions, Calidi), and which we refer to as the Business Combination. The information contained herein does not purport to be all-inclusive and does not constitute or involve any recommendation with respect to the voting, purchase or sale of any security or as to any other matter by FLAG, Calidi or any other person and none of FLAG, Calidi, nor any of their respective affiliates makes any representation or warranty, express or implied, as to the accuracy, completeness or reliability of the information contained in this Presentation. FLAG and Calidi expressly disclaim any and all liability for representations, expressed or implied, contained in, or for omissions from, this Presentation or any other written or oral communication transmitted to any interested party in the course of its evaluation of the Company. Certain information contained herein has been derived from sources prepared by third parties. While such information is believed to be reliable for the purposes used herein, the FLAG and Calidi make no representation or warranty with respect to the accuracy of such information. This Presentation is not intended to form the basis of any investment decision by the recipient and does not constitute investment, tax, financial or legal advice. Accordingly, neither Calidi nor FLAG nor any of their respective affiliates, directors, officers, employees or advisers or any other person shall be liable for any direct, indirect or consequential loss or damages suffered by any person as a result of relying on any statement in or omission from this Presentation and any such liability is expressly disclaimed. Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 2 Forward-Looking Statements and Legal Disclaimer (continued) Additional Information and Where to Find It FLAG has filed with the SEC a registration statement on Form S-4 (as may be amended from time to time, the Registration Statement), which includes a definitive proxy statement of FLAG, and a prospectus in connection with the proposed business combination transaction involving FLAG and Calidi. The definitive proxy statement and other relevant documents have been mailed to FLAG shareholders as of July 11, 2023, the record date established for voting on the Business Combination. FLAG securityholders and other interested persons are advised to read the definitive proxy statement/prospectus, in connection with FLAGs solicitation of proxies for the special meeting because these documents will contain important information about FLAG, Calidi, and the Business Combination. Investors, securityholders and other interested persons will also be able to obtain copies of the Registration Statement, the proxy statement/prospectus and all other relevant documents filed or that will be filed with the SEC by FLAG, once such documents are filed, free of charge, on the SECs website at www.sec.gov or by directing a request to: First Light Acquisition Group, Inc., 11110 Sunset Hills Road #2278, Reston, VA 20190. Participants in the Solicitation FLAG and Calidi and their respective directors and officers and other members of management and employees may be deemed participants in the solicitation of proxies in connection with the proposed business combination. FLAG shareholders and other interested persons may obtain, without charge, more detailed information regarding directors and officers of FLAG in FLAGs Annual Report on Form 10-K for the year ended December 31, 2022, as filed with the SEC on March 31, 2023. Information regarding the persons who may, under SEC rules, be deemed participants in the solicitation of proxies from FLAGs shareholders in connection with the proposed business combination will be included in the definitive proxy statement/prospectus that FLAG intends to file with the SEC. No Offer or Solicitation This presentation does not constitute (i) a solicitation of a proxy, consent or authorization with respect to any securities or in respect of the proposed Business Combination or (ii) an offer to sell, a solicitation of an offer to buy, or a recommendation to buy any security of Calidi, FLAG or any of their respective affiliates. There shall not be any sale of any securities in any state or jurisdiction in which such offer, solicitation, or sale would be unlawful prior to registration or qualification under the laws of such other jurisdiction. No offering of securities shall be made except by means of a prospectus meeting the requirements of Section 10 of the Securities Act of 1933, as amended, or an exemption therefrom. Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 3 Agenda Topic Presenter Calidi Overview Stephen Thesing Strategy Allan Camaisa Oncolytic Viruses, Stem Cells, and IP Antonio F. Santidrian, PhD CLD-101 | NeuroNova Boris Minev, MD CLD-201 | SuperNova Boris Minev, MD Manufacturing Capability Amish Patel, PhD Corporate Governance & Government Affairs Wendy Pizarro Finance Tony Kalajian The Path Forward Allan Camaisa 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 4 Executive Team with Proven Track Record Boris Minev, Stephen M.D. Allan Camaisa Thesing President, Medical & Chairman & CEO Scientific Affairs, Acting Chief Business Officer CMO Antonio F. Wendy David Sans, Pizarro, Esq. Santidrian, PH.D. PH.D. Chief Administrative Chief Corporate Officer & Chief Legal Development Officer SVP, Global Head of Officer R&D Amish Patel, Tony Kalajian PH.D. Acting CFO Vice President of Technical Operations Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 5 Board of Directors with Deep Biopharma Expertise Allan Camaisa Dr. Heehyoung Lee Chairman of the Board Director Scott Leftwich James Schoeneck Vice Chairman of the Board Director George Ng Alfonso Zulueta Director Director 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 6 Calidi Board of Advisors Bernie Fox, Ph.D. Maciej (Matt) S Lesniak, MD Chair, Department of Neurological Surgery 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 7 Calidi Board of Advisors continued Santosh Kesari, MD, PhD Ewa Carrier, MD Vice President, Clinical Development, FibroGen, Inc. Chair and Professor, Department of Translational Neuro-Oncology and Neurotherapeutics, Saint She has published many high-impact Johns Cancer Institute articles in the areas of stem cell transplantation, cancer stem cells, and cancer vaccines. Dr. Dmitriy Zamarin, MD PhD George Peoples, MD, FACS An accomplished medical oncologist who specializes in Founder and CMO, Cancer Insight CRO the care of women with gynecologic cancers, including Professor, Surgery, Uniformed Services University cervical, ovarian, and endometrial cancers at Sloan Professor (adjunct), Surgical Oncology, MD Kettering Cancer Center in New York Anderson Cancer Center Dr. Ashok Srivastava, MD, PhD, MBA Chief Medical Officer, Senior Vice President Immuno-Oncology, Medical Oncology and Hematology Drug Development, Medical Affairs and Pharmacovigilance for CliniFomatrix Page 8 First-In-Class Stem Cell Based Platforms to Deliver Oncolytic Payloads Increase survival rate and therapeutic efficacy in patients suffering targeted delivery of from a wide variety of cancers through allogeneic stem cells loaded with oncolytic viruses (OV) Calidis Vision Provide safe and tolerable therapeutic options for cancer patients that improve quality of life and reduce frequency of treatments Arming the Immune System to Kill Using proprietary and scalable, commercially viable state-of-the-art OV and cell-based delivery platforms Cancer Leverage first-in-class platform to drive partnerships with big pharma, government and academia to streamline development pathways Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 9 Highlights Calidi Biotherapeutics is a clinical-stage biopharmaceutical company revolutionizing oncolytic viral therapies with stem cell-based platforms CLD-101: Neural stem cell (NSC) product candidates loaded with oncolytic adenovirus Two Differentiated Stem Cell Platforms 1 CLD-201: Allogeneic Adipose-derived Mesenchymal Stem Cells (AD-MSC) loaded with oncolytic vaccinia virus Large Target Markets in Areas of High First CLD-101 programs target HGG, with a well-defined regulatory pathway and accelerated approval potential 2 Unmet Need CLD-201 trials will focus on multiple solid tumor types (Triple Negative Breast Cancer, Head & Neck, Metastatic Melanoma) CLD-101: Phase1 trial in newly diagnosed HGG - COMPLETED; Phase 1b trial initiating 1H 2024 Three Clinical Development Programs 3 CLD-101: Phase 1 trial in recurrent HGG initiated in 1H 2023 CLD-201: Phase 1 trial in solid tumor indications (Triple Negative Breast, Head & Neck, Metastatic Melanoma) 2H 2024 Scalable, cost-efficient manufacturing platform and GMP-grade adipose-derived allogeneic stem cell bank and NSC bank Cutting Edge Stem Cell Manufacturing sufficient to support commercial launch 4 Processes Opportunity to license cell bank products Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 10 Differentiated Wholly-Owned Pipeline in Multiple Cancer Indications Multiple partnership opportunities to potentiate and deliver other existing OVs, combination therapies and joint development of next generation therapies Non-clinical Pivotal Product Platform Target Indications Discovery Phase 1 Phase 2 Partner studies Trial Newly Diagnosed High Entering Phase 1b/2 Grade Glioma CLD-101 NeuroNova Recurrent High Grade Phase 1 started Glioma Advanced Solid Tumors FDA Pre-IND Planned Phase 1 (TNBC, Melanoma, Head CLD-201 and Neck) SuperNova Metastatic Solid Tumors CLD-202 Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 11 Cancer indications Company Strategy Allan Camaisa Chief Executive Officer & Chairman of the Board Novel platform: off-the-shelf, cell-based allogeneic stem cells combined with oncolytic viruses Cell Therapy with OV Calidi is revolutionizing the effective delivery of oncolytic viruses for targeted therapy against difficult-to-treat cancers with an off-the-shelf, potent universal cell-based delivery allogeneic stem cell and oncolytic virus combination for use in multiple oncology indications. 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Image from De Bousser, E.; Callewaert, N.; Festjens, N. T Cell Engaging Immunotherapies, Highlighting Chimeric Antigen Receptor (CAR) T Cell Therapy. Cancers 2021, 13, 6067. https://doi.org/10.3390/ cancers13236067 13 Calidis Unique Value Proposition Overcoming Obstacles to Prior Universal Cancer Therapeutic Generation Oncolytic with Potential to Address a Immunotherapy Wide Range of Solid Tumors Track Record of Successful Solving the Challenge of Non-Dilutive Fundraising Manufacturing with Scalable, through Government and Commercially Viable Non-Profit Grants Allogeneic Stem Cell Platform 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 14 Key Partners Partnerships with leading cancer organizations provide validation to Calidis unique approach, financing to support clinical trials, and deep expertise in oncology 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 15 From Autologous to Allogeneic, developing a Universal Cancer therapy Prior safety study was conducted using autologous stem cells Future studies will be conducted using allogeneic stem cells The Past The Present and Future Autologous Allogeneic Immune Rejection Stealth Low immunogenicity (Stealth) Cost High Low Potency Patient stem cell dependent Normalized No, requires personalized Off-the-shelf, Product Availability manufacturing Available when needed Patient target Personalized Universal Opportunity to Scale No Yes 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 16 % Cytotoxicity .1 1 10 .1 1 10 100 100 BT-549 BT-549 HS-578T HS-578T Breast Breast MCF7 MCF7 MDA-MB-231 MDA-MB-231 MDAMB468 MDAMB468 SF-268 SF-268 SF-295 SF-295 SF-539 SF-539 CNS CNS SNB-19 SNB-19 SNB75 SNB75 U251 U251 Calidis SuperNova: CLD-201 Pre-Clinical Program: Universal Therapeutic HCT-116 HCT-116 HCT-15 HCT-15 Colon HT29 HT29 Colon KM12 KM12 Cancer Treatment SW620 SW620 HL-60 HL-60 Leukemia RPMI-8226 Leukemia RPMI-8226 For Multiple Solid Tumor Types SR SR Personalized Cancer treatment LOX IMVI LOX IMVI CLD-201 observed pre-clinical indications of killing M14 M14 MALME-3M MelanoM mealanoma MALME-3M nearly all tested tumor cell lines (>50) MDAMB435 MDAMB435 SK-MEL S -2 K-MEL-2 SK-MEL-28 SK-MEL-28 0.1 1 10 0.1 1 10 .1 1 10 .1 1 10 .1 1 10 UACC-62 UACC-62 100 A498 100 100 100 1 A50 490 100 A549 A A4 59 48 9 A498BT-549 BT-549 ACHN AsPC1 EKVX Ability to treat only EKVX 50 HS-578T A549 50 HS-5 A 75 84T 9 BT-549 HOP-62 HOP-B 6 x2 PC3 Breast Breast MCF7 ACHN MCF7 one (1) Patient ACHN CAKI-1 HOP-92 HOP- C9 aP2 an2 MDA-MB-231 AsPC1 MDA-MB-231 DU-145 AsPC1 NSCL H226 H226 NSCL EKVX MDAMB468 MDAMB468 BT-549 FG BT-549 NCI-H23 NCI-H23 H226 Manufacturing SF-268 HCI-H460 SF-268 BxPC3 BxPC3 NCI-H322M NCI-H322M HCT-116 SF-295 HCT-15 SF-295 CAKI- H 1CI-H460 Cancer patient HCI-H460 Personalized Therapy CAKI-1 HL-60 SF-539 HO N P-C 62I-H522 SF-539 NC Ca I-P Ha 5n 22 2 CaPan2 CNS HOP-92 CNS SNB-19 IGR-O HV S-5I1 7G 8TR-OV1 SNB-19 DU-145 DU-145 HT29 NCI/ADR-RES SNB75 NCI/ADR-R IGE R-S OV1 SNB75 EKVX EKVX KM12 Calidis Universal Cancer treatment OVCAR-3 OVCA LR OX- 3 IMVI U251 U251 FG M14 FG OvarianOvarian OVCAR4 OVCAR4 MALME-3M HCT-116 HCT-116 H22 M6 CF7 H226 OVCAR5 OVCAR5 MDA-MB-231 HCT-15 HCT-15 MDAMB435 HCI-H46 O 0VCAR-8 50 OVCAR-8 50 HCI-H460 MDAMB468 Colon HT29 Colon HT29 MiapacaS 2 KOV3 HC SK T-O 1V 16 3 HCT-116 NCI/ADR-RES KM12 KM12 AsP NC CI-1 H23AsPC1 Ability to treat HCT-15 HCT-15 NCI-H322M SW620 SW620 BxPC3 BxP NCC I-H3 522 HL-60 1- 1.7 million of HL-60 OVCAR-3 HL-60 HL-60 Pancreas CaPan2 Pancreas CaPa OVn C2 AR4 HOP-62 OVCAR5 HOP-62 FG Leukemia RPMI-8226 FG patients per year Leukemia RPMI-8226 OVCAR-8 HOP- P9 AN2 C-1 HOP-92 Miapaca2 SR Miapaca2 SR RPMI-8226 HS-578 SFT -268 HS-578T PANC-1PANC-1 LOX IMVI LOX IMVI SF-295 SF-53D 9 U-145 DU H-T 12 49 5 HT29 Prostate MP 1r 4ostate M14 SK-MEL-2 SK-MEL-28 A498 IGR- A O 4V 91 8 Manufacturing One (1) treatment IGR-OV1 Melanoma MALME M -3 A M LME-3M SKOV3 Melanoma ACHN ACH SN N 12C KM12 K MM D1 A 2MB435 SNB-19 MDAMB435 Universal Therapy for all patients CAKI-1 CAKS I- N1 B75 LOX IMVI LOX IM SK VI-MEL-2 SR SK-MEL-2 SN12C Renal SN12C Renal SW620 M14 S M K1 -4 MEL-28 TK10 SK-MEL-28 TK10 TK10 U251 UACC-62 MALME- U3 AC M C-62 MU AA LC MC E- -3 6M 2 UO-31 UO-31 UO-31 0 0 0 0 MCF7 A549 A M5 C4 F9 7 EKVX MDA-MB-231 EKVX 50 MDA-MB-231 50 HOP-62 HOP-62 MDAMB435 MDAMB435 50 50 HOP-92 HOP-92 MDAMB468 MDAMB468 H226 NSCL H226 Miapaca2 NSCL Miapaca2 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending NCI-H23 NCI-H23 NCI/ADR-RES Page 17 NCI/ADR-RES NCI-H322M NCI-H322M NCI-H23 NCI-H23 HCI-H460 HCI-H460 NCI-H322M NCI-H322M NCI-H522 NCI-H522 NCI-H522 NCI-H522 IGR-OV1 IGR-OV1 OVCAR-3 OVCAR-3 NCI/ADR-RES NCI/ADR-RES OVCAR4 OVCAR4 OVCAR-3 OVCAR-3 OVCAR5 OVCAR5 Ovarian Ovarian OVCAR4 OVCAR4 OVCAR-8 OVCAR-8 OVCAR5 OVCAR5 PANC-1 PANC-1 OVCAR-8 OVCAR-8 RPMI-8226 RPMI-8226 SKOV3 SKOV3 SF-268 SF-268 AsPC1 AsPC1 SF-295 SF-295 BxPC3 BxPC3 SF-539 SF-539 CaPan2 PancrePaasncreas CaPan2 SK-MEL-2 SK-MEL-2 FG FG SK-MEL-28 SK-MELM -2i8apaca2 Miapaca2 SKOV3 SKOV3 PANC-1 PANC-1 SN12C SN12C DU-145 DU-145 Prostate Prostate A498 SNB-19 SN AB 4-9 18 9 SNB75 ACHN A SN CB H7 N 5 CAKI-1 SR CAKI-1 SR Renal SN12C SW620 Renal S S N W 16 22 C 0 TK10 TK10 TK10 TK10 UO-31 UO-31 U251 U251 0 0 UACC-62 UACC-62 UO-31 UO-31 0 0 Calidi Financing Timeline Series B $25M SPAC $3.1M Funds to Date $1M $4M $50M 2015 2016 2017 2018 2019 2020 2021 2022 2023 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Oncolytic Viruses, Stem Cells, and Intellectual Property Antonio F. Santidrian, PhD Senior Vice President, Global Head of R&D ONCOLYTIC VIRUSES: ANTI-TUMOR MECHANISMS Once the OV reaches the tumor it TUMOR cells triggers three distinct anti-tumor mechanisms: 2.DIRECT ONCOLYSIS 3.ANTITUMOR IMMUNITY 1.VASCULAR COLLAPSE (Killing) Activated T cells can now locate, attack and destroy distant tumors. Durable Anti-tumor immunity Adapted from Lee et al Nature Rev Urol 2018 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Page 20 Oncolytic Viruses Lead to High Therapeutic Index Minimal systemic toxicity, toxicity profile non-overlapping with Standard of Care Mechanism of Action non- overlapping with Standard of Care Low probability to generate resistance (not seen so far), target multiple oncogenic pathways Virus dose in the tumor increases with time, as opposed to classical drug pharmacokinetics 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 21 Calidi Overcomes the Obstacles to Oncolytic Viral Therapy Challenges with Naked OV Therapy Calidis Solution Allogeneic Oncolytic Virus-Loaded Stem Cells Unprotected Oncolytic Virus Stem cell loaded with Active Rapid inactivation Inactivated Stem cell protects Oncolytic Viruses Oncolytic by immune Oncolytic (OV) and amplifies OV Viruses system Viruses Successfully Tumor is Targeted Untouched Tumor Allogeneic Stem Cells Naked oncolytic viruses are quickly Calidis Allogeneic eliminated by the patients immune system, Protect, Amplify, Deliver Stem Cell Platforms leading to limited therapeutic potential and Potentiate OVs 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 22 Induction of Anti-Tumor Immune Response 2. ANTIGEN PRESENTING 1. TUMOR CELL CELLS PROCESS AND RELEASES TARGET PRESENT ANTIGENS TO T ANTIGENS CELLS 4. ACTIVATED T CELLS INACTIVE CAN NOW DETECT T CELL AND DESTROY 3. T-CELL INITIATES ACTIVATED DISTANT TUMORS ACTIVATION AND T CELL PROLIFERATION CALIDIS ADVANCED THERAPEUTIC CANDIDATES ARE INTENDED TO ACT AS MONOTHERAPY OR IN COMBINATION WITH MODERN IMMUNO-ONCOLOGY AGENTS (CHECKPOINT INHIBITORS OR CAR-T, AMONG OTHERS) 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 23 Allogeneic Stem Cell Platforms CLD-101 and CLD-201 use oncolytic viruses loaded into stem cells Possess an inherent ability to distribute throughout a brain tumor mass as well as migrate to distant tumor sites Neural Stem Cells (NSC) regardless of tumor size, anatomic location, or tissue type CLD-101: NeuroNova (NNV) is composed of an immortalized NSC line loaded with an engineered oncolytic adenovirus Completed clinical trial documenting the therapy was well-tolerated and observed signals of efficacy in patients with newly diagnosed glioblastoma (GBM) Publication in the journal Lancet Oncology AD-MSC have significant advantages over other MSCs because of their ease of extraction, maintained potency Adipose-derived with age of the donor, significant anti-inflammatory & immune-suppressive properties and documented tumor Mesenchymal Stem homing ability Cells (AD-MSC) CLD-201: SuperNova (SNV) is composed of a GMP manufactured AD-MSC line loaded with an oncolytic vaccinia virus Completed autologous cell clinical trial documenting the therapy was well-tolerated and observed signals of efficacy in 24 patients with advanced solid tumors and 2 patients with AML Publication in the Journal of Translational Medicine (Autologous) and Cancers journal (Allogeneic) 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 24 Calidis Platform Advantages Cell Protected: Oncolytic Virus Herpes Virus Vaccinia Virus Herpes Virus Adenovirus Vaccinia Virus Platform Adenovirus Engineered Virus FDA Approved Virus X X X X Reduced viral elimination by the X X X X immune system Virally-encoded therapeutic expressed X X X X at administration Stem cell-derived X X X X immune modulators 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 25 Maximizing Therapeutic Responses with Directed Localized Administration CLD-101 Directed Localized administration (Neuronova) u High therapeutic index of treated lesion/areas u Low toxicity CLD-201 u Strong activation of local and systemic antitumor (Supernova) immunity u In situ vaccination u Efficacy of intratumoral approach shown in clinic trials Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 26 Broad IP Protection Across All Clinical Programs in Development Allogeneic Adipose Tissue-derived and Neural Stem Cell Platforms for Delivery of Oncolytic Viruses Tropic Cell-Based Two US Issued Virotherapy for the Use of Neural Stem Cells to Deliver Oncolytic Adenovirus Patents, One Pending Treatment of Cancer Issued in multiple Use of Adipose Derived Stromal Cells (Autologous & Allogeneic) to Smallpox Vaccine for territories Treatment of Cancer Deliver Oncolytic Viruses Combination Immunotherapy Use of Stem Cells and Oncolytic Viruses, in Combination with Issued in multiple Approach for Treatment of territories Immunotherapies Cancer Enhanced Systems for Cell New Patent-May 2023 Methods to Potentiate and Deliver Naturally Occurring and Armed Mediated Oncolytic Viral -Issued in 1 territory, Viruses Using Stem Cells Pending multiple territories Therapy Issued and allowed in 2 Cell-Based Vehicle for territories. Potentiation of Viral New Genetically Modified Cell Delivery Vehicles Improving Potency Pending in multiple Therapy territories Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 27 CLD-101 (NeuroNova) Boris Minev, MD President, Medical & Scientific Affairs High-Grade Glioma: Common and Difficult to Treat US Glioblastoma New Diagnoses 13,400 13,200 13,000 12,800 12,600 12,400 Sen. John McCain Sen. Ted Kennedy Beau Biden 12,200 12,000 Glioblastoma market to reach $10.2B in 2030 with an 11,800 anticipated CAGR of 12.8% during forecast period 21-30 11,600 $300k to $450k treatment cost 300,000 cases WW every year Sources: EF Hutton Group Research 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Cancer.org 29 R. Stupp, et al., NEJM, 2005, vol. 352, p 987 2022 2023 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 2034 2035 2036 2037 2038 High-Grade Gliomas High-Grade Gliomas have the most rapid tumor progression rate of any glioma, with poor survival rates 5-Year Relative Survival Rate of Patients with High-Grade Gliomas Age 20-44 45-54 55-64 64+ 5-Year Survival Rate 22% 9% 6% <6% Standard of Care was developed 18 years ago, and essentially has not changed. Pioneered by Roger Stupp in 2005 using radiotherapy, temozolomide, and surgical resection Sources: EF Hutton Group Research 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Cancer.org 30 R. Stupp, et al., NEJM, 2005, vol. 352, p 987 CLD-101: Platform Overview Adenovirus (CRAd-S-pk7) for CLD-101 Amplifies selectively in tumor cells Radiation treatment upregulates Survivin expression Possess an inherent ability to distribute throughout a brain tumor mass as well as migrate to distant tumor sites regardless of tumor size, anatomic location, or tissue type Neural Stem Cells Calidis product CLD-101 is composed of an immortalized NSC line loaded with an engineered oncolytic adenovirus (NSC): Completed Phase 1 clinical trial documenting excellent safety and signals of efficacy in patients with newly diagnosed high grade glioma (HGG) Calidis manufacturing of virus-loaded neural stem cells is proprietary, scalable, reproducible and commercially viable Page 31 2 20 02 23 3 C Ca alliid dii B Biio otth he er ra ap pe eu uttiic cs s,, IIn nc c.. Co Com mp pa an ny y P Pr ro op pr riie etta ar ry y;; P Pa atte en ntts s iis ss su ue ed d a an nd d p pe en nd diin ng g CLD-101: Significant Published Clinical Results Neural Stem Cell Delivery of an Oncolytic Adenovirus in Newly Diagnosed Patients with Malignant Glioma: A First-in-Human, Phase 1 Clinical Trial (Lancet Oncology, 2021 Aug;22(8):1103-1114) Agent: CLD-101 (NSC-CRAd-S-pk7): Neural stem cells loaded with CRAd-S-pk7 - a chimeric adenoviral vector containing a pk7 fiber modification and a survivin promoter driving E1A replication Methods: After neurosurgical resection, NSC/CRAd-S-pk7 was injected into the walls of the resection cavity. Within 10-14 days, treatment with temozolomide and radiotherapy was initiated Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 32 CLD-101: Significant Published Clinical Results Treatment with CLD-101 (NSC-CRAd-S-pk7) was safe and tolerable Treatment achieved favorable therapeutic outcomes in patients with newly diagnosed malignant glioma: best overall response saw one patient responding partially to treatment, another progressing, and 10 with stable disease The median progression-free survival was 9.1 months, and the median overall survival was 18.4 months Importantly, in the subset of patients with glioma containing an unmethylated MGMT promoter, the median progression- free survival and overall survivals were 8.8 vs. 5.3 months and 18 vs. 12.7 months, respectively 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 33 CLD-101: Clinical Trial Results in Patients with Newly-diagnosed High Grade Glioma Patient Demographics Tumor Type Tumor Criteria N (N=12) % Demographic Criteria N (N=12) % 18-29 1 8 Wild type 10 83.3 40-49 3 25 IDH1 mutant 2 16.7 IDH1/2 status Age (years) 50-59 3 25 IDH2 mutant 0 0 60-69 4 33 70-79 1 8 Methylated 3 25 MGMT Male 5 42 Unmethylated 9 75 Gender Female 7 58 Number of Cohort Cohort Cohort Total Response Best Response 95% CI Subjects 1 2 3 Evaluable Rate Partial Response 1 1 0 0 12 8.33% (0.21%, 38.48%) iRANO Tumor Response Rate Stable Disease 10 2 2 6 12 83.33% (51.59%, 97.91%) Pseudo- 1 0 1 0 12 8.33% (0.21%, 38.48%) Progression 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 34 CLD-101: Ongoing Trial in Patients with Recurrent High-Grade Glioma Ongoing Phase 1 trial at City of Hope Indication: Recurrent High-Grade Glioma First Patient In: Q2 2023 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 35 CLD-101: Planned Trial in Patients with Newly-diagnosed High-Grade Glioma Planned Phase 1b/2 Trial at Northwestern University Indication: Newly-Diagnosed High-Grade Glioma T1 First Patient In: 1H 2024 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 36 CLD-201 (SuperNova) Boris Minev, MD President, Medical & Scientific Affairs Solid Tumors: Significant Total Addressable Market Global Solid Tumors Market Growth U.S. Estimated Addressable Market Solid Tumors Tumor Type U.S. Annual Incidence U.S. Annual Deaths 600 NSCLC - Squamous 59,500 33,500 CAGR: 500 NSCLC Adeno 79,500 44,600 11.09% SCLC 33,000 22,500 400 Breast 287,900 43,300 300 Head & Neck 67,000 15,400 200 Cut. Melanoma 99,800 7,700 Pancreatic 64,000 50,550 100 Prostate 288,300 34,700 0 2022 2032 Ovarian 19,900 12,800 998,900 265,050 Sources: Guggenheim Research 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending H.C. Wainwright Research 38 American Cancer Society Precedence Research USD, Billions Calidis Oncolytic Vaccinia Virus CAL1 Vaccinia Virus Advantages: Not a human pathogen Safely used as a vaccine for smallpox Key natural attenuations improve tumor selectivity Highly cytolytic for most tumor types Large insertion capacity allows cloning of therapeutic payloads 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Kaufman, H., Kohlhapp, F. & Zloza, A. Oncolytic viruses: a new class of immunotherapy drugs. Nat Rev Drug Discov 14, 642662 (2015). 39 https://doi.org/10.1038/nrd4663 Completed study with AUTOLOGOUS Stem Cells loaded with the CAL1 Virus Trial Results: Combined application of Stem cells and CAL1 virus was well-tolerated in all patients The results of the plasma cytokine assays suggested inflammatory reaction starting approximately 1 week after treatment. No cytokine storm was observed in any patient The results of the flow cytometry assays show induction of immune response with memory T cells approximately 1 month after treatment. In 38% of the patients, viral DNA reappeared in patients peripheral blood 1 week after treatment, indicative of intra-tumoral virus amplification without uncontrolled viremia or systemic toxicity. This viral DNA reappearance correlated with an increased survival. Strong initial signals of efficacy observed 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 40 Durable Tumor Regression and Survival Calidi Autologous Study: Positive Results in Combination With Checkpoint Inhibitor Stage IV_B Age/Sex: 70/M Injected tumor was previously resistant to chemo- and radio-therapy Diagnosis: Metastatic Head & Neck SCC Patient Case: Patient #SI01-021 Day 194 post-treatment: Day 17 post-treatment Day 45 post-treatment Day 52 post-treatment complete response previously resistant tumor Primary objective - Safety: There were no treatment-related side effects has fully regressed Secondary objective, Response and Patient Survival: 43 days after treatment the patient received Opdivo (anti-PD-1 treatment) and 76 days after treatment the patient received local radiation therapy 194 days post treatment the previously resistant tumor had fully regressed 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Minev, et al. Journal of Translational Medicine (2019) 17:271 41 Durable Tumor Regression and Survival (continued) Age/Sex: 68/M Diagnosis: Thyroid Papillary Carcinoma Stage IV Patient Case: Patient #SI01-047 Day 85 post-treatment: tumor Day 30 post-treatment Day 65 post-treatment has fully regressed Primary objective - Safety: There were no treatment-related side effects Secondary objective, Response and Patient Survival: 36 hours after treatment, patient received Ipilimumab (anti-CTLA-4), by 85 days tumor fully regressed 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Minev, et al. Journal of Translational Medicine (2019) 17:271 42 Calidis next generation product: CLD-201 ALLOGENEIC Stem Cells loaded with the CAL1 Virus ADVANTAGES of Using Allogeneic Stem Cells: IMPROVED POTENCY: larger number of viral particles inside the stem cells BETTER REPRODUCIBILITY: CLD-201 product is composed of cultured and expanded mesenchymal stem cells only, ensuring reproducibility with very minimal lot-to-lot variations SCALE: CLD-201 can be used to treat many patients, as billions of doses can be manufactured from a single mini liposuction of a healthy donor, using Calidis manufacturing protocols IMPROVED PATIENT COMPLIANCE: Patients are not subjected to a liposuction procedure, which has a potential to cause infection and other complications REDUCED COST: Significantly lower cost per dose as there is no need to manufacture, characterize and release individual product from each patient 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 43 Development of Allogeneic Cell-Based Product VP-001 GMP master cell bank p2 Calidi owns 8 allogeneic adult adipose (AAA)-derived stem cell (AD-MSC) banks + 1 NSC proliferation/scalability profile Allogeneic GMP Master cell bank p2 (VP01), is used in several clinical trials to treat patients with COVID-19-induced acute respiratory distress syndrome (ARDS) (Pivotal trial ongoing via partnership) VP-001 is avaliable for additional partnerships New manufacturing protocols offer the potential to generate quadrillions of doses of stem cells from a single donor 1 single donor can be used for multiple indications, clinical development programs and commercialization Scaled-up VP-001 cells maintained: - Genetic stability - Identity - Biological activity at thawing 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 44 Advantages of Calidis Technology Vaccinia virus (VACV) engineered to express red fluorescent protein. RED signal indicates virus amplification leading to killing of cancer cells Treatment: CAL1 naked virus Treatment: CAL1 - naked virus Treatment: CAL1 + AD-MSC PC3 (prostate cancer) PC3 (prostate cancer) PC3 (prostate cancer) + HUMAN SERUM + HUMAN SERUM 24h 24h 24h 24h 24h Treatment efficacy is restored when Human serum can inhibit oncolytic Preclinical in vitro data shows adipose derived stem cells (AD-MSC) virus activity by blocking its capacity that Vaccinia Virus (VACV) kills are used to protect and potentiate tumor cells to infect and kill tumor cells the oncolytic vaccinia virus Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 45 CLD-201: Improved efficacy in tumor models in vivo Stem cells (AD-MSCs) increase therapeutic efficacy in mouse models of CAL1-vaccinia virus in vivo after intra- tumoral administration CT26 PC3 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 46 CLD-201: Therapeutic Response in Different Mouse Models Cell Line Cancer Type Growth Inhibition Models B16-F10 Melanoma 78% Syngeneic EMT-6 Breast 69% Syngeneic CT-26 Colon 77% Syngeneic Tramp-C2 Prostate 99% Syngeneic RM-1 Prostate 57% Syngeneic PC3 Prostate 59% Xenograft MDA-MB-231 Breast 98% Xenograft 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 47 CLD-201: Intratumoral administration induces significant systemic therapeutic response (abscopal effect) Bilateral tumor-bearing colon cancer (CT26) mouse model. CAL1 (naked) CLD-201 (+ stem cells) Distant tumor Left untreated Only 1 tumor was treated. Therapeutic response was followed in both tumors Three (3x) intratumoral administrations of CLD-201 (Stem cells + CAL1) but not naked virus (CAL1) inhibit tumor growth of both treated and distant untreated tumors Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 48 Control Cal1 (1X) Cal1 (3X) CLD-201 (1X) CLD-201 (3X) Control Cal1 (1X) Cal1 (3X) CLD-201 (1X) CLD-201 (3X) CLD-201: Local administration induced both robust local and systemic immune cell infiltration CD4 Teffs/ Tregs CD4 (T cells) CD8 (T cells) Tregs CD8/Treg Control Treatment Control Treatment Control Treatment Control Treatment Control Treatment Groups were compared by unpaired two-tailed T-test (*P < 0.05, **P < 0.01, ***P < 0.001 ) Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 49 CLD-201: Development Pathway Pre-IND meeting held Next Steps cGMP Final Drug Product Manufacturing to be completed in 1Q 2024, Phase 1 clinical trial initiation in 2H 2024: A Phase 1/2 study of intra-tumoral administration of CLD-201, alone or in combination with checkpoint inhibitors, in patients with advanced metastatic solid tumors Indications: TNBC, unresectable melanoma, squamous cell head and neck carcinoma Page 2 20 02 23 3 C Ca alliid dii B Biio ot th he er ra ap pe eu ut tiic cs s, , I In nc c. . Co Com mp pa an ny y P Pr ro op pr riie et ta ar ry y; ; P Pa at te en nt ts s iis ss su ue ed d a an nd d p pe en nd diin ng g 50 CLD-201: Planned Clinical Development A Phase 1/2 study of intra-tumoral administration of CLD-201, alone or in combination with checkpoint inhibitors, in patients with advanced metastatic solid tumors Part 1: Dose Escalation Classical 3+3 trial design. Three dose levels will be tested, alone or in combination with Checkpoint Inhibitor (anti PD-L1) Three to 6 patients will be enrolled at each dose level depending on DLTs observed. Part 2: Expansion in Three Indications Ten patients with metastatic melanoma, ten patients with triple-negative breast cancer (TNBC), and ten patients with squamous cell head & neck carcinoma will be treated The single SNV1 dose is identified in Part 1 of this trial. Part 3: Expansion in the Best-Responding Indication Phase 2 30 to 50 patients with the best responding indication determined in Part 2 The single SNV1 dose is identified in Part 1 of this trial. 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 51 Clinical and Commercial Manufacturing and Supply Chain Amish Patel, PhD VP, Technical Operations Manufacturing and Supply Chain: Overview First in Class stem cell loaded oncolytic virus therapy platform with successful GMP production Scalable, cost-efficient manufacturing platform GMP-grade adipose-derived allogeneic stem cell bank and Virus banks sufficient to support clinical and commercial launch Treatment designed for (CLD201 SNV) or (CLD101 NNV) as a cryopreserved vial ready to use Opportunity to license cell bank products 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 53 Virus amplification Manufacturing activities for CLD-101 (NeuroNova NNV) CLD-101 (NNV): Neural stem cells (NSC) delivering CRAd-s-pk7 oncolytic Adenovirus Virus Seed Master Virus Seed Virus amplification CRAd-s-pk7 oncolytic Stock (MVSS) Adenovirus CRAd-s-pk7 Master Cell Bank (MCB) A549 Virus host cell line Finished Drug Working Virus Seed Virus loading into stem cells Product (FDP) Stock (WVSS) Virus loaded stem CRAd-s-pk7 Indications: cells Newly Diagnosed High Grade Glioma Master Cell Bank (MCB) Recurrent High Grade Glioma Neural stem cells (NSC) For allogeneic use Cryopreservation Release and stability 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Virus amplification Manufacturing activities for CLD-201 (SuperNova SNV) CLD-201 (SNV): Adipose-derived MSC (AD-MSC) delivering oncolytic Vaccinia Virus CAL1 Master Virus Bank Virus amplification Virus Seed (MVB) Vaccinia Virus CAL1 Vaccina Virus CAL1 Master Cell Bank (MCB) CV-1 Virus host cell line Working Virus Banks Virus loading into stem cells Finished Drug Product Indications: (WVB) (FDP) Advanced Solid Tumors Vaccina Virus CAL1 Virus loaded stem cells Triple-Negative Breast Cancer Melanoma Master Cell Bank (MCB) Head and Neck Cancer AD-MSC For allogeneic use Cryopreservation Release and stability 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending Roadmap to Phase 2 and commercialization: CDMO selection progress Preclinical development Phase 1 Phase 2/Phase 3/Commercial Development 2025 onwards Timeline 2021 2022 2023 2024 Today GMP Production at CDMO Current CDMO vendors Initiate new CDMO Commercial-ready process Pilot/GMP drug product batches evaluation Partnering with selected CDMOs Scale-up Tech Transfer Scaleup in house SNV NNV Supply Chain CDMO Analytical Drug &storage Virus banks Stem Cell banks Virus banks Stem Cell banks Drug Product Product O Quote Available 1 O O O O O O O / O Quote Pending 2 O O O O O O O / O O O O O O O 3 / Table listed selected CDMOs with good capability match and quality and regulatory experience Top 3 of 26 evaluated CDMOs 2023 Calidi Biotherapeutics, Inc. Confidential and Proprietary; Patents issued and pending Corporate Governance & Government Affairs Wendy Pizarro Chief Legal Officer Commitment to Diversity, Equity & Inclusion in Clinical Trials Increase under-represented communities in clinical trials For Example: Non-Hispanic Black women are disproportionately burdened with the highest breast cancer death rates in Los Angeles (18%). Strategic Planning Education, Access and Community Outreach Initiatives include: Unbiased and inclusive screening protocols for diverse enrollment Outreach sensitive to diverse languages & cultures Partnerships with local clinics, community centers, leaders & volunteers Accessible & inclusive marketing, e.g., social media, flyers, & outreach Participation in community/cultural fairs and womens advocacy events Translation services and referral networks for ongoing engagement Educational workshops, health fairs, family days *Key Differentiator for Government Contracts & Grants* 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 58 CIRM Grants Awarded Supporting Calidis Lead Programs Grant type: CLIN2 - Clinical Trial Stage Program $12 Granted to: City of Hope (PI: Dr. Portnow) Million Product: CLD-101 (NNV2) Objective: Support Phase 1 clinical trial in Recurrent High-Grade Glioma Dec. 22 Grant type: CLIN1 Late-Stage Pre-clinical Program $3.1 Granted to: Calidi Biotherapeutics (PI: Dr. Minev) Million Product: CLD-201 (SNV1) Objective: Support manufacturing, Non-clinical and other IND-enabling activities Dec. 22 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 59 Finance Tony Kalajian Chief Financial Officer Financials and Timing of Filings Marcum LLP engaged as new auditor effective November 2022 PCAOB/SEC compliant audits of FY2022 and FY2021 consolidated financial statements completed Interim period Q1 2023 review completed and signed off included in the S-4 A/3 filed Q2 2023 interim period financials due by August 14, 2023 - expect to include in the Super 8-K after we close Q3 2023 interim period financials due by November 14, 2023 - expect to be filed in our first Form 10Q Commenced SOX implementation expect to complete project by end of year 2023 for management certifications (404a only) in the 2023 annual report. 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 61 The Path Forward Allan Camaisa Chief Executive Officer & Chairman of the Board Rapidly Growing OV Market With High Unmet Medical Needs Large total addressable Rapidly growing OV market Differentiated technology market with high unmet needs with early signals of efficacy Large total addressable Additional data needed to market across current show clinical differentiation 2021 2030 indications of focus: vs. competitor base Melanoma, GBM, TNBC, H&N 1 6-8 40 assets in OV Clinical approved approved ~56k patients across all Calidi pipeline OV OVs indications** (U.S. only) $150M* $2.4B* 5-6 key OV Competitors $9-11B*** (U.S. TAM) (*) Oncolytic Virus Immunotherapy Market Size is Predicted to Hit US$ 2.4 Billion by 2030 | BioSpace (**) Types of Cancer | Cancer.Net (***) Oncology Cancer Drugs Market Size is projected to reach USD (globenewswire.com) 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 63 Initial Target Indications Have a U.S. Estimated Annual TAM of $9B+ Calidi U.S. total addressable market (2022E) Estimates do not account for treatment rate, Billions of USD physician prescribing behavior, competition Assuming annual pricing similar to other or other correction adjustments 12 I/O therapies (e.g., Keytruda), Calidis $9-11B U.S. TAM was estimated to be $9-11B in 10 2022 Given the sizable patient 8 $3.5-4.5B populations, there is particularly 6 significant opportunity within Triple Negative Breast Cancer (TNBC) and $2-2.5B 4 Head & Neck Cancer indications $2.5-3.5B 2 Note: Exclusive of other solid tumor indications $0.5-1B 0 Melanoma TNBC* GBM** Head & Neck 2022E Projected ~3.6K ~17K ~12K ~23K ~56K Patients 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending (*) https://www.cancer.org/cancer/breast-cancer/about/how-common-is-breast-cancer.html 64 Upcoming Key Milestones: 2023 & 2024 2023 2024 1H 2H 1H 2H First patient dosed CLD-101 CLD-101 (NNV1) (NNV1) First patient Interim Clinical trial CLD-101 dosed CLD-101 results CLD-101 (NNV2) (NNV2) (NNV2) First patient dosed CLD-201 cGMP Final Drug CLD-201 (SNV1) Product (SNV1) Manufactured Anticipated Calidi Corporate deSPAC IPO Updates 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 65 Review of Highlights Calidi Biotherapeutics is a clinical-stage biopharmaceutical company revolutionizing oncolytic viral therapies with stem cell-based platforms CLD-101: Neural stem cell (NSC) product candidates loaded with oncolytic adenovirus Two Differentiated Stem Cell Platforms 1 CLD-201: Allogeneic Adipose-derived Mesenchymal Stem Cells (AD-MSC) loaded with oncolytic vaccinia virus Large Target Markets in Areas of High First CLD-101 programs target HGG, with a well-defined regulatory pathway and accelerated approval potential 2 Unmet Need CLD-201 trials will focus on multiple solid tumor types (Triple Negative Breast Cancer, Head & Neck, Metastatic Melanoma) CLD-101: Phase1 trial in newly diagnosed HGG - COMPLETED; Phase 1b trial initiating 1H 2024 Three Clinical Development Programs 3 CLD-101: Phase 1 trial in recurrent HGG initiated in 1H 2023 CLD-201: Phase 1 trial in solid tumor indications (Triple Negative Breast, Head & Neck, Metastatic Melanoma) 2H 2024 Scalable, cost-efficient manufacturing platform and GMP-grade adipose-derived allogeneic stem cell bank and NSC bank Cutting Edge Stem Cell Manufacturing sufficient to support commercial launch 4 Processes Opportunity to license cell bank products Page 2023 Calidi Biotherapeutics, Inc. Company Proprietary; Patents issued and pending 66 Thank You Contact: Stephen Thesing [email protected] Exhibit 99.2 FLAG STOCKHOLDERS: REMINDER TO VOTE FOR PROPOSED BUSINESS COMBINATION RESTON, Va. August 16, 2023 First Light Acquisition Group, Inc. (FLAG) (NYSE American: FLAG), a special purpose acquisition company, reminds FLAG stockholders that the FLAG Board of Directors unanimously recommends that FLAG stockholders vote FOR the proposed business combination (the Business Combination) with Calidi Biotherapeutics, Inc. (Calidi), a clinical-stage biotechnology company that is pioneering the development of allogeneic cell-based delivery of oncolytic viruses. The special meeting to consider and vote on the Business Combination will be held at 10:30 a.m. Eastern Time on August 22, 2023 via live webcast, as described in FLAGs proxy statement/prospectus dated August 4, 2023 (the Proxy Statement). The Proxy Statement is available in the Investor Resources section of FLAGs website as well as on www.sec.gov . Holders of FLAG common stock as of the close of business on July 11, 2023 are entitled to vote at the special meeting. Closing of the Business Combination is currently expected to occur on August 24, 2023, subject to final stockholder approval and satisfaction of other closing conditions. FLAG public stockholders are entitled to elect to redeem their public shares for a pro rata portion of the funds held in FLAGs trust account prior to the redemption deadline of 5:00 pm ET on August 18, 2023. FLAG public stockholders who do not redeem their shares are entitled to their pro rata portion of up to an additional 2,000,000 shares of the post-combination company at closing. Any such shares that are not issued to non-redeeming public stockholders, may be used as incentives in connection with an equity or debt-linked security investment in FLAG or Calidi that facilitates the closing or the post-closing liquidity of FLAG and its subsidiaries. If you are a stockholder and have any questions about how to vote or direct a vote in respect of your shares of FLAG Common Stock, you may call MacKenzie Partners, Inc., FLAGs proxy solicitor, at (212) 929-5500 (Call Collect) or (800) 322-2885 (Call Toll Free) or by email at [email protected] . About Calidi Biotherapeutics, Inc. Calidi Biotherapeutics is a clinical-stage immuno-oncology company with proprietary technology that is revolutionizing the effective delivery and potentiation of oncolytic viruses for targeted therapy against difficult-to-treat cancers. Calidi Biotherapeutics is advancing in clinical development a potent allogeneic stem cell and oncolytic virus combination for use in multiple oncology indications. Calidis off-the-shelf, universal cell-based delivery platforms are designed to protect, amplify, and potentiate oncolytic viruses currently in development leading to enhanced efficacy and improved patient safety. Calidi Biotherapeutics is headquartered in San Diego, California. For more information, please visit calidibio.com. About First Light Acquisition Group, Inc. First Light Acquisition Group is a blank check company organized for the purpose of effecting a merger, share exchange, asset acquisition, share purchase, recapitalization, reorganization, or other similar business combination with one or more businesses or entities. The company is sponsored by a group of former industry and federal leaders with extensive experience operating public companies and organizations in highly regulated industries, and is led by Thomas Vecchiolla, Chief Executive Officer of FLAG. Additional Information and Where to Find It FLAG has filed with the SEC a registration statement on Form S-4 (as may be amended from time to time, the Registration Statement), which includes a definitive proxy statement of FLAG, and a prospectus in connection with the proposed business combination transaction involving FLAG and Calidi. The definitive proxy statement and other relevant documents have been mailed to FLAG shareholders as of July 11, 2023, the record date established for voting on the Business Combination. FLAG securityholders and other interested persons are advised to read the definitive proxy statement/prospectus, in connection with FLAGs solicitation of proxies for the special meeting because these documents will contain important information about FLAG, Calidi, and the Business Combination. Investors, securityholders and other interested persons will also be able to obtain copies of the Registration Statement, the proxy statement/prospectus and all other relevant documents filed or that will be filed with the SEC by FLAG, once such documents are filed, free of charge, on the SECs website at www.sec.gov or by directing a request to: First Light Acquisition Group, Inc., 11110 Sunset Hills Road #2278, Reston, VA 20190. Participants in the Solicitation FLAG and Calidi and their respective directors and officers and other members of management and employees may be deemed participants in the solicitation of proxies in connection with the proposed business combination. FLAG shareholders and other interested persons may obtain, without charge, more detailed information regarding directors and officers of FLAG in FLAGs Annual Report on Form 10-K for the year ended December 31, 2022, as filed with the SEC on March 31, 2023. Information regarding the persons who may, under SEC rules, be deemed participants in the solicitation of proxies from FLAGs shareholders in connection with the proposed business combination will be included in the definitive proxy statement/prospectus that FLAG intends to file with the SEC. No Offer or Solicitation This communication does not constitute (i) a solicitation of a proxy, consent or authorization with respect to any securities or in respect of the proposed Business Combination or (ii) an offer to sell, a solicitation of an offer to buy, or a recommendation to buy any security of Calidi, FLAG or any of their respective affiliates. There shall not be any sale of any securities in any state or jurisdiction in which such offer, solicitation, or sale would be unlawful prior to registration or qualification under the laws of such other jurisdiction. No offering of securities shall be made except by means of a prospectus meeting the requirements of Section 10 of the Securities Act of 1933, as amended, or an exemption therefrom. 2 BAKU, Azerbaijan, August 16. A criminal case has been opened on the fact of violation of the state border in Azerbaijan's Kalbajar, Prosecutor General's Office said, Trend reports. During the investigation, it has been revealed that, on August 16, at about 11:00 (GMT+4), members of the Armenian armed formations and a group of persons who colluded with them illegally crossed the state border of the Republic of Azerbaijan with firearms and ammunition. They opened fire from firearms at the servicemen of the military unit, located on the territory of the Istisu village of the Kalbajar district of Azerbaijan, threatening to use force against them and commit a terrorist act. A member of a sabotage group was detained by the Azerbaijani Armed Forces, weapons and ammunition were seized from him. SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 Form 6-K REPORT OF FOREIGN PRIVATE ISSUER PURSUANT TO RULE 13A-16 OR 15D-16 UNDER THE SECURITIES EXCHANGE ACT OF 1934 August, 2023 Commission File Number 1-15182 DR. REDDYS LABORATORIES LIMITED (Translation of registrants name into English) 8-2-337, Road No. 3, Banjara Hills Hyderabad, Telangana 500 034, India +91-40-49002900 (Address of principal executive office) Indicate by check mark whether the registrant files or will file annual reports under cover of Form 20-F or Form 40-F. Form 20-F x Form 40-F Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(1): ______ Note: Regulation S-T Rule 101(b)(1) only permits the submission in paper of a Form 6-K if submitted solely to provide an attached annual report to security holders. Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(7): ______ Note: Regulation S-T Rule 101(b)(7) only permits the submission in paper of a Form 6-K if submitted to furnish a report or other document that the registrant foreign private issuer must furnish and make public under the laws of the jurisdiction in which the registrant is incorporated, domiciled or legally organized (the registrants home country), or under the rules of the home country exchange on which the registrants securities are traded, as long as the report or other document is not a press release, is not required to be and has not been distributed to the registrants security holders, and, if discussing a material event, has already been the subject of a Form 6-K submission or other Commission filing on EDGAR. Indicate by check mark whether by furnishing the information contained in this Form, the registrant is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934. Yes No x If Yes is marked, indicate below the file number assigned to registrant in connection with Rule 12g3-2(b): 82-________. EXHIBITS SIGNATURES Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. DR. REDDYS LABORATORIES LIMITED (Registrant) Date: August 14, 2023 By: /s/ K Randhir Singh Name: K Randhir Singh Title: Company Secretary ATTACHMENTS / EXHIBITS EXHIBIT 99.1 Three B-2 Spirit stealth bombers from a Missouri Air Force base have been sent to Iceland on a bomber task force mission, the first overseas deployment of the planes since a five-month safety pause ended in May, according to the Air Force. Its the militarys latest mission in the High North, which has taken on growing importance for the U.S. as it deals with Russias military aggressiveness abroad. The planes, from the 509th Bomb Wing at Whiteman Air Force Base, and more than 150 American airmen arrived Sunday to train with other NATO allies, the Air Force said in a statement Monday. Bomber task force missions are aimed at improving the Air Forces ability to operate with allies and partners in unfamiliar locations. Theyve been held since 2018 and usually last between two and six weeks. They demonstrate the prowess of our armed forces in navigating todays intricate and unpredictable global security terrain, with a focus on fostering stability, security and freedom across Europe, Gen. James Hecker, commander of U.S. Air Forces in Europe-Air Forces Africa, said in the statement. The Air Force did not immediately reply to questions about how long the B-2s will remain in Iceland and which allies are participating in the training. Iceland has no military of its own but is a part of NATO. The stealth bombers, which can carry conventional and nuclear weapons, are seen by experts as a means to deter Russia from using nuclear weapons in Ukraine or against NATO members. In December 2022, a B-2 caught fire at Whiteman Air Force Base after an emergency landing. No one was injured. That followed another landing incident involving a B-2 at the base about a year earlier. In the wake of those two episodes, the Spirit fleet was grounded to allow for safety inspections. All operational B-2 aircraft are hosted at Whiteman. Lt. Col. Andrew Kousgaard, commander of the 393rd Bomb Squadron, who is leading the deployment to Iceland, said its important to be back in the field. There is simply no substitute for the hands-on integration with our allies and partners that were able to accomplish during a (bomber task force) deployment like this one, he said. YOKOTA AIR BASE, Japan The 374th Airlift Wing in its 75 years has flown prisoners of war home, orphans to safety and aid to survivors of Japans worst natural disaster in modern times. The wing celebrated its birthday Wednesday at the Enlisted Club at Yokota Air Base, the wings home and an integral airlift hub in western Tokyo. About 100 people, including wing commander Col. Andrew Roddan and wing historian Lesleigh Jones, recalled the wings history over lunch and birthday cake. Guests from the Japanese Air Self-Defense Force, which shares Yokota with the 374th, and dignitaries from surrounding cities helped celebrate the day. In military tradition, Roddan and Airman 1st Class Renny Rodriguez, the wings youngest airman in attendance, together sliced the birthday cake. Now weve come to the 75th anniversary of the 374th Airlift Wing, Roddan said in his speech. Whether at Tama Army Airfield, or Yokota Air Base, there is no doubt that the ground we stand on is a place that will forever be etched in history. The 374th Airlift Wing was established Aug. 10, 1948, and activated on Aug. 17 at Harmon Airfield, Guam. Originally called the 374th Troop Carrier Wing Heavy, the wings primary mission was to ferry troops around the Pacific. The wing has flown through at least four major conflicts involving U.S. forces, from the Korean War to the Vietnam War and the wars in Iraq and Afghanistan. In 1949, the 374th moved from Guam to Tachikawa Air Station, Japan, not far from Yokota, until 1957. During those years, we had three troop carrier squadrons, a flying training squadron and an operations squadron, Jones said during a retelling of the wings history. In that period, wing crews flew in operations Big Switch and Little Switch, carrying U.S. and U.N. former prisoners of war from South Korea to Japan. The 374th also helped train Japanese Air Self-Defense Force pilots before the wing was deactivated in 1957. Nine years later, the wing came to life again on Okinawa flying C-130 Hercules as the 374th Tactical Airlift Wing in 1967. During the Vietnam War, the 374th flew airlift for U.S. troops and missions for Operation Blind Bat, a 6-year effort to deny enemy forces the cover of night by routinely dropping flares over designated areas. Relocated in May 1971 to Ching Chuan Kang Air Base in Taiwan, the wing in March 1973 repatriated U.S. POWs again, this time from Hanoi, North Vietnam, in Operation Homecoming. After the fall of South Vietnam, the wing evacuated orphans and refugees in Operations Baby Lift and New Life in April 1975. In 1987 the 374th took responsibility for the airlift portion of Operation Christmas Drop, an annual event to parachute supplies to far-flung South Pacific islands since 1952. The 374th moved in 1989 to Yokota, formerly Tama Army Air Field during World War II, and was redesignated the 374th Airlift Wing in 1992. In March 2011, the wing took part in Operation Tomodachi, the U.S. militarys response to the earthquake and tsunami response that struck northeastern Japan. The twin disasters accounted for about 20,000 fatalities, 6,000 injured and 470,000 people displaced from their homes. The tsunami overwhelmed reactors at the Fukushima Daiichi nuclear power plant, which led to a partial meltdown of three radioactive reactor cores. WASHINGTON The Marine Corps has dismissed the top enlisted adviser at one of its two basic infantry training schools because the service has lost confidence in his leadership, officials said. Sgt. Maj. Steven Burkett, who has been the top noncommissioned officer at the Marine Corps School of Infantry-West for a little more than a year, was removed from the position last month, according to a Marine spokesperson. Burkett was formally relieved by the commanding officer of the School of Infantry-West for a loss of trust and confidence in his ability to perform his duties as the command sergeant major, said Maj. Joshua Pena, a spokesman for Marine Corps Training Command, which oversees the school. A preliminary inquiry was conducted into the matter. There is no additional information available at this time. Pena said Col. Seth MacCutcheon, commander of the School of Infantry-West at Camp Pendleton near San Diego, relieved Burkett of his duties on July 13. Sgt. Maj. Joseph Powers has taken over as Burketts interim successor. At the school, Burkett was its top enlisted Marine and MacCutcheons top NCO adviser. Burkett wrote on his LinkedIn webpage that he functioned as the operations director for the Marine Corps' largest school and guided the educational pathways of more than 1,000 Marines. Burkett is a longtime NCO in the Marine Corps, having joined the service in 1994. During his time in the military, he was deployed to several countries, including Iraq and Turkey. In the late 1990s, he was a guard at the U.S. Embassy in Paris. In April, Burkett won the title of Male Marine Athlete of the Year at Camp Pendleton and holds a world record for heaviest weight lifted in a kettlebell swing in one hour. The achievement and related content that he posted to the social media platform Instagram earned him the nickname Sergeant Major Kettlebell at the Southern California base. A kettlebell is a ball-shaped weight with a handle that a weightlifter swings between their legs before lifting it above their head. I deployed to Iraq in 2017 and brought a single 53-pound kettlebell with me. I began training with it every single day during the deployment and learned a lot about functional movement and kettlebell basics on my own, Burkett told Military Families magazine in June. If you want to be the best at something, you must commit. Your time, effort, and a great deal of thought. The School of Infantry-West is one of two schools where new Marines must go for the second stage of initial military education after they finish recruit training. The School of Infantry-East is located at Camp Lejeune in North Carolina. Recruits from areas east of the Mississippi River are usually sent to the North Carolina school while those from west of the river attend the California school. It is common for military officials to cite a loss of confidence when leaders are removed from their posts. Earlier this year, for example, Navy Cmdr. Jeffrey Applebaugh, Army Lt. Col. Damasio Davila and Air Force Maj. Gen. Philip Stewart were all fired over issues relating to a loss of confidence in their ability to command or lead. In October 1871, the blaze that would become America's deadliest forest fire arrived in the lumber town of Peshtigo, Wis., with a roar that sounded like a freight train. Then, everything seemed to happen at once. "Countless fiery tongues" whipped down from the sky, fire blasts came "from every side," according to a retelling in the New York Tribune. There was "no beginning to the work of ruin," the newspaper's correspondent wrote. "The flaming whirlwind swirled in an instant through the town." Similar to last week's fires that ripped through the island of Maui, in Hawaii, killing at least 106 people and razing the historic town of Lahaina, the 1871 Peshtigo fire was amplified by extreme winds and an unusually dry season that primed vegetation to spread the blaze. The fire ravaged the Wisconsin town rapidly. "It rained fire; the air was on fire," one survivor wrote in a letter. "Some thought the last day had come." For many, it had. In an hour, the town of Peshtigo is said to have been virtually wiped off the map. It is estimated that some 1,200 people died 800 in Peshtigo alone as the fire blazed through 17 towns on both sides of Green Bay, burning more than a million acres. Despite the mass destruction, America's most lethal wildfire has long been overlooked, overshadowed by the Great Chicago Fire, which erupted that same night in 1871 and consumed public attention. As the rising death toll reported from Maui saw it become the deadliest U.S. wildfire of the past century, the devastating events in Peshtigo carry renewed significance. Even with technological advances in firefighting systems, increasingly severe, mass-casualty fires are still occurring in the United States. The Lahaina fire is the most recent example, and before that, the 2018 Camp Fire, which killed 85. The effects of climate change are also likely to create conditions dry spells, more intense storms that could worsen fires in the future, making the horrors of the past an important guide. "I think that most people have no clue about the Peshtigo fire and it's one of the most deadly natural disasters in U.S. history," said Chris Dicus, a professor of wildland fire management at California Polytechnic State University. More than a century later, "we're doing many of the same things that we were doing back in 1871," he said, such as continuing to build with fire-prone materials in fire-prone areas. The Maui fires have parallels to Peshtigo, he said. "Whether it's Peshtigo, Black Saturday in Australia, or the Tubbs Fire in Sonoma County, California, there were extreme winds that pushed the fire very rapidly through dry grasses," he said, referring to historic blazes in 2009 and 2017. "When it hit the towns, it was just building-to-building spread." These severe fires were also worsened by a lack of communication. "Many people had no idea that there was even a fire happening until it was right on their doorstep," Dicus said. In 1871, Peshtigo was especially vulnerable. It had a booming lumber industry and wood was everywhere in buildings, houses, logs stacked outside homes for the winter, even sidewalks and roads. To make matters worse, lumberjacks, farmers and railroad crews regularly set fires to clear out areas of the forest for their work, heightening the risk of uncontrolled flames. When the Peshtigo fire came, Peter Pernin, a missionary priest who wrote the best-known account of the event, described air that was "no longer fit to breathe" and smoke that made it "almost impossible" to keep one's eyes open. As he attempted to flee, he recalled vehicles and pedestrians "crashing into each other," all struggling "in the grasp of the hurricane" and "struck dumb by terror." The next day "revealed a picture exceeding in horror any battlefield," the New York Tribune report said. Pernin, who had survived by submerging himself in the river, reported seeing an entire deceased family "blackened and mutilated by the fire fiend." Today, the Peshtigo fire is studied "as an example of bad forestry practices and the power of catastrophic wildfire," the Forest Service Employees for Environmental Ethics said in a newsletter. It's tempting to see calamity as a thing of the past before satellites could tell firefighters exactly where the flames were or helicopters could blast water from overhead. But "we are in a challenging century when it comes to managing fire," said Tom Fairman, a Future Fire Risk analyst at the University of Melbourne. Going forward, "it has to be about how we improve 'living with fire,' " he said, noting the importance of smooth emergency communications, managing forest lands to be resilient and "wisely" applying prescribed burns. Looking back at Peshtigo, Dicus cautions, "Not a lot has changed in the heat of the battle." There's better equipment and technology, he said. "But when the winds come up in a very fire-prone vegetation, all bets are off and it's just everyone in survival mode." The Washington Post's Michael S. Rosenwald contributed to this report. GENEVA Twenty United Nations agencies and other international organizations called Tuesday for peace, access to humanitarian support and respect for human rights in Sudan, where a war that has led to deaths, sexual violence and food shortages reached the four-month mark. Sudan was plunged into chaos in April when months of simmering tensions between the military, led by Gen. Abdel Fattah Burhan, and the paramilitary Rapid Support Forces, commanded by Mohammed Hamdan Dagalo, exploded into open fighting in Khartoum and elsewhere. Since then, the U.N and rights groups have accused both the military and the RSF of numerous human rights violations. The warring parties have rejected the accusations. World Health Organization spokesperson Margaret Harris appealed to the global community to do more to ease the suffering of Darfur's people, saying at a U.N. briefing in Geneva: "The world is ignoring the dire needs." In western Sudan's Darfur region, the scene of a genocidal war in the early 2000s, the latest fighting has also morphed into ethnic violence, with the RSF and allied Arab militias targeting African communities, U.N. officials say. Sudan's capital, Khartoum, has been reduced to an urban battlefield. Across the city, RSF forces have commandeered homes and turned them into operational bases, residents and doctors' groups say. The army, in turn, has struck residential areas from the air and ground with artillery fire. U.N. agencies specializing in health, migration, refugees, human rights and food were among the organizations highlighting the crisis in Sudan, saying their two appeals for financial support totaling more than $3 billion were less than 27% funded. The war is estimated to have killed at least 4,000 people, according to Liz Throssell, a spokesperson for the U.N. human rights office. Activists and doctors on the ground say the death toll is likely far higher. The war has displaced more than 4.3 million people, including some 3.2 million within the country, said William Spindler, a spokesperson for the UNHCR refugee agency. The U.N. has documented at least 28 incidents of rape, Throssel said, but that is believed to be fewer than the actual number. Earlier this month, Amnesty International accused both sides of committing extensive war crimes, including deliberate killings of civilians and mass sexual assault. The group said almost all rape cases were blamed on the RSF and its allied Arab militias. The U.N. humanitarian aid coordinator said its appeal for $2.57 billion for aid into Sudan has received only $651 million, while UNHCR said its appeal for $566 million has brought in just under $175 million. "For four gruesome months, the people of Sudan have been engulfed in a war that is destroying their lives and their homeland and violating their basic human rights," leaders of the organizations said in a joint statement. "People are dying because they cannot access health care services and medicine. And now, because of the war, Sudan's children are wasting away for lack of food and nutrition," it said. A recent uptick in violence in South Darfur state has made aid deliveries to the remote area difficult, said David MacDonald, aid group Care International's country director for Sudan. Dozens were killed in the Kubum area of the state last week during a raid by Arab tribesmen in RSF vehicles, a Sudanese legal group said. Previous attempts to halt the violence failed. There have been at least nine cease-fire agreements between the warring parties, brokered largely by Washington and Riyadh in the Saudi Arabian city of Jeddah during May and June, but all foundered. Jeffery reported from Cairo. UNITED NATIONS The United Nations chief urged the international community on Tuesday to deploy a multinational force comprising "police special forces and military support units" to Haiti to combat gangs with sophisticated weapons and restore security to the impoverished Caribbean nation. Secretary-General Antonio Guterres said in a 12-page letter to the U.N. Security Council obtained by The Associated Press that " Addressing the security situation in Haiti requires a range of coercive law enforcement measures, including active use of force in targeted police operations against heavily armed gangs." The letter was a response to a Security Council resolution adopted on July 14 asking Guterres to come up with "a full range of options" within 30 days to help combat Haiti's armed gangs including a non-U.N. multinational force. Guterres welcomed Kenya's offer to lead an international force as well as renewed pledges of support from the Bahamas and Jamaica, and the announcement by Antigua and Barbuda that it is considering contributing to the force. He urged more countries, especially from the Americas, to contribute and "build on this new momentum." Gangs have overpowered Haiti's police, with experts estimating they now control some 80% of the capital, Port-au-Prince. There are only about 10,000 police officers for the country's more than 11 million people, and more than 30 were killed from January to June, according to Human Rights Watch. Guterres said the gangs have encircled the capital, effectively cutting roads from the north, south and east of the country, and violence is spreading to the Artibonite region in central Haiti and other areas, blocking the delivery of aid and goods. He cited reports of gangs shooting people in public spaces and their homes, burning people alive in public transportation vehicles, mutilating and executing perceived opponents, recruiting children and using sexual violence and rape against women and girls. "Gangs have become more structured, federated, and autonomous in their efforts to confront state authority, weakening state institutions, and consolidating control over the population," the secretary-general said. "They target police stations, courts, prisons, schools, hospitals, and strategic installations such as ports, oil terminals and major roadways." Haiti's Prime Minister Ariel Henry sent an urgent appeal last October for "the immediate deployment of a specialized armed force, in sufficient quantity" to stop the gangs. However, no country stepped up to lead such a force until Kenya's offer in late July. U.S. Ambassador Linda Thomas-Greenfield said on Aug. 1 that the United States would introduce a U.N. Security Council resolution authorizing Kenya to lead a multinational police force to fight the gangs and provide 1,000 officers. However, she gave no timetable. Since its offer, Kenya's police force has come under scrutiny especially by human rights watchdogs, for alleged killings and torture, including gunning down civilians during the country's COVID-19 curfew. As the U.S. was considering Kenya to lead the force, it was also openly warning Kenyan police officers against violent abuses. Guterres said the Haitian National Police "most concerningly" face persistent reports of gang infiltration. The force lost 774 officers during the first half of the year "a staggering loss compared to an average attrition of around 400 police per year in the past," he said. And the state of police infrastructure is "dire," with around 40 of its 412 premises nationwide unusable "due to gang territorial control." Guterres made clear in the letter that "Haiti's current context is not conducive to peacekeeping" by the United Nations. He said law and order must be restored and human rights abuses and violence reduced "by deterring, neutralizing, and disarming heavily armed gangs capable of mounting robust resistance to anti-gang police operations." Guterres stressed that securing strategic installations and major roadways to restore freedom of movement and re-establishing the government's presence to restore services requires "the robust use of force" by a specialized multinational police force. In parallel to deploying such a force, he said there are two potential options for the U.N. to provide logistical support to the multinational force and the national police, and to strengthen the U.N. political mission in Haiti to expand its mandate to train and advise the national police and create "an enabling environment" for long-delayed elections and the restoration of democratic institutions. Given the dire situation in Haiti and the need for security, Guterres said both options may be required to maximize the impact of a multinational police force. TOKYO The annual memorial to mourn the about 3.1 million Japanese who died in World War II was held at the Nippon Budokan hall in Tokyo on Tuesday, the 78th anniversary of the end of the war. Due to Typhoon Lan, relatives of the war dead from 10 prefectures did not attend the ceremony. As a result, there were only 1,600 participants overall. The memorial service was attended by the Emperor and Empress, the heads of the three branches of government including Prime Minister Fumio Kishida, and members of families of the dead. A moment of silence was observed as the clock struck noon. It was the first government-sponsored memorial service to be held since the pandemic restrictions. According to the Health, Labor and Welfare Ministry, 2,040 relatives, more than three times the number last year, had been scheduled to attend the ceremony. However, mainly due to transportation cancellations caused by the approaching typhoon, relatives in the 10 prefectures of Shizuoka, Aichi, Mie, Shiga, Kyoto, Hyogo, Okayama, Hiroshima, Tokushima and Kagawa did not participate. This reduced the number of relatives attending to about 1,400. The oldest person in attendance was the wife of a man who died in the war, Emiko Takeuchi of Yokohama, 104, while the youngest was a great-grandson of a war casualty: Yuito Ichikawa, 7, a second-grade elementary school student in Akita Prefecture. There were no parents of someone who died in the war for the 13th consecutive year, and only two wives. In his speech, the Emperor said: Reflecting on our past and bearing in mind the feelings of deep remorse, I earnestly hope that the ravages of war will never again be repeated. Together with all our people, I now pay my heartfelt tribute to all those who lost their lives in the war, both on the battlefields and elsewhere, and pray for world peace and for the continuing developments of our country. This is the ninth consecutive year, including the Heisei era (1989-2019), that the Imperial speech has referred to deep remorse at a memorial service for the war dead. Prime Minister Kishida said in his address: We will not forget, even for a moment, that the peace and prosperity that Japan enjoys today was built atop the precious lives and the history of suffering of the war dead ... Japan is determined to join forces with the international community and do its utmost to resolve the various challenges facing the world. Wearing masks at the venue was voluntary, and no temperature checks were taken before entry. To prevent infection, the national anthem was not sung, as it had been in previous years, and only the orchestra played. In response to last years fatal shooting of former Prime Minister Shinzo Abe and in light of the later attack on Kishida, baggage checks and body searches using metal detectors were conducted. BAKU, Azerbaijan, August 16. Dozens of Armenian residents of Azerbaijan's Karabakh region pass through the Lachin border checkpoint in both directions every day, Spokesperson for the Ministry of Foreign Affairs of Azerbaijan Ayhan Hajizadeh said, commenting on the visit of the personal representative of the OSCE Chairman-in-Office to the region, Trend reports. In Azerbaijan, as in any other country, the process of crossing the border is regulated, he said in a statement on X (Twitter). "Azerbaijan has built the Aghdam-Khankendi road to deliver large volumes of goods to the region, so the Armenians could've used the road, had they not violated the terms of the agreement 10 days ago," he said. Personal Representative of the OSCE Chairman-in-Office, Andrzej Kasprzyk, is expected to arrive in the region to get acquainted with the situation on the Armenian-Azerbaijani conditional border. CAMP HUMPHREYS, South Korea North Korea acknowledged for the first time Wednesday that it has custody of a runaway U.S. soldier who fled into the country a month ago. North Korea apprehended Army Pvt. Travis King, 23, after he dashed into the country during a group tour of the heavily guarded Joint Security Area on July 18, according to the state-run Korean Central News Agency. King admitted that he illegally intruded into the country and claimed he had been mistreated by the Army and racially discriminated against while serving, according to KCNA. He also expressed his willingness to seek refuge in [North Korea] or a third country, saying that he was disillusioned at the unequal American society, the report states. The U.S. Defense Department in an email to Stars and Stripes on Wednesday said it was not able to verify these alleged comments in KCNAs report. We remain focused on his safe return, an unnamed Defense Department spokesperson wrote. The Departments priority is to bring Private King home, and we are working through all available channels to achieve that outcome. The KCNA report marks the first time the communist regime has publicly confirmed Kings detention since his dash across the inter-Korean border last month. An official with U.N. Command last month confirmed that talks with North Korea for Kings release have taken place but released few details about his status, citing the ongoing negotiations. The primary concern for us is Pvt. Kings welfare, deputy commander British Lt. Gen. Andrew Harrison said during a press briefing July 24. King was part of a U.N. Command-sponsored tour at the security area along the heavily fortified Demilitarized Zone, roughly 30 miles north of Seoul, on the day of his escape. The Joint Security area, established in 1953, is the site where U.N. Command and North Korean delegates negotiated the end of the three-year Korean War. Those negotiations resulted in an armistice agreement, rather than a peace treaty. King, a cavalry scout, was released from a South Korean prison on July 10 after spending six weeks there for assault charges. South Korean court records indicate King pleaded guilty to an assault charge in Seoul. King refused to answer questions from police responding to an assault Oct. 8. He kicked the door of a squad car and yelled obscenities toward the police, records state. Days after his release, King was booked on a flight to Fort Bliss, Texas, where he was supposed to face disciplinary hearings from the Army. King did not board the flight from Incheon International Airport and instead made his way to Seoul, roughly 30 miles away, where he attended a pre-scheduled tour of the Demilitarized Zone, according to military reports reviewed by online news site The Messenger. VILNIUS, Lithuania Lithuania on Wednesday decided to temporarily close two of its six checkpoints with Belarus later this week amid growing tensions with its eastern neighbor, an ally of Russia. The Lithuanian government has said that the crossings at Tverecius and Sumskas will be closed, and traffic will be diverted to the Medininkai border checkpoint, which is the largest of Lithuania's six checkpoints. The decision came as Lithuanians grow increasingly worried about the presence of Russia-linked Wagner group mercenaries in Belarus. "The main goal is to temporarily suspend operations at these two points from Aug. 18," Deputy Minister of Transport Agne Vaiciukeviciute said, adding that the move offered the possibility of directing officers performing border control checks to other points. Lithuania, a Baltic nation that declared its independence from the Soviet Union 33 years ago, is a democracy that belongs to NATO and the European Union. It has been a strong backer of Ukraine and a place of refuge in recent years for many who have fled an authoritarian crackdown in neighboring Belarus and increased repression in Russia. Rustamas Liubajevas, commander of the Lithuanian State Border Guard Service, said that there could be up to 4,500 of Wagner fighters in Belarus, with some of them stationed close to the Lithuanian and Polish borders. In neighboring Latvia, the State Border Guard Service said Tuesday it was mobilizing additional border guards to strengthen the protection of the external state border, the LETA news agency said. This decision was taken due to the sharp increase in the hybrid threat at the Latvian-Belarusian border over the past days, when 96 attempts by people to cross the state border were detected, as well as the increased involvement of the Belarusian authorities in organizing the flow of illegal immigrants. Latvian authorities consider attempts by Belarusian leader Alexander Lukashenko's regime to push migrants across borders to be methods of hybrid warfare targeting these countries. LETA said that the annual leave of State Border Guard officers had been suspended. The State Border Guard has also requested additional support from the National Armed Forces and the State Police. Lithuania, too, has seen migrants coming from Belarus. A total of 11,211 people have been denied entry to Lithuania from Belarus since January 2022, according to the State Border Service. And some 1,543 have not been allowed to enter this year so far. Countries bordering Belarus have increased border security since thousands of Wagner fighters arrived in Russian-allied Belarus under a deal that ended their armed rebellion in late June and allowed them and their leader, Yevgeny Prigozhin, to avoid criminal charges. In recent days, Poland, which sits south of Lithuania, has been deploying thousands of troops to its border with Belarus, calling it a deterrent move as tensions between the two neighbors ratchet up. Jan M. Olsen in Copenhagen, Denmark, contributed to this report. BAKU, Azerbaijan, August 16. President of Swiss Azerbaijani Association for Friendship, Culture, and Solidarity Alekper Aliyev has called for the attention of the UN bring to the ongoing and pressing concerns related to the post-war situation between Azerbaijan and Armenia, Trend reports. 'Following the cessation of hostilities in 2020, Azerbaijan extended an olive branch, suggesting a peaceful agenda aimed at promoting regional stability and cooperation. Our nation has consistently reiterated its earnest wish to establish enduring peace and harmony between our countries. A testament to Azerbaijan's commitment to regional collaboration is our robust friendship and cooperation with our neighbor, Georgia. Such partnerships underscore the potential for fruitful cooperation in our region. However, despite our genuine efforts, it seems that certain revanchist sentiments prevail in Armenia, making the pursuit of lasting peace challenging. It's worth noting the significant influence of the Armenian diaspora worldwide, which, unfortunately, seems to be an impediment to the peace process. In today's age of rapid information dissemination, it becomes increasingly difficult to discern fact from fiction. While the loudest voices often garner the most attention, volume does not equate to veracity. A grave concern that has unfortunately been overshadowed in global forums is the peril that many civilians and military personnel in the Karabakh region face. Due to mines planted by Armenian forces, the entire region has turned into a vast minefield. Tragically, this has already resulted in the loss and injury of over 300 innocent lives. Furthermore, for the past three years, despite our consistent efforts, we have faced challenges in drawing the attention of pertinent international bodies to the environmental catastrophe unfolding in the Karabakh region. Vast stretches of forests have been felled, our rivers are marred by pollution, and our diverse and unique flora and fauna face the threat of extinction. Furthermore, human settlements including cities and villages have been subjected to widespread devastation. This environmental degradation not only threatens the natural ecosystems of the region but also the livelihoods and cultural heritage of its inhabitants. Such acts have the potential to cause irreversible damage, the consequences of which would be felt for generations. Contrary to claims from the Armenian side, all communication lines to Karabakh remain open. However, Azerbaijan's only demand is to maintain sovereignty over its own territories, 2 including its communication routes. We believe it is only reasonable to seek regulated movement of people and goods within our sovereign borders, especially considering these are universally recognized Azerbaijani territories. For three decades, our people have endured the burdens of occupation, with Armenia's blatant disregard for the resolutions set forth by the United Nations. During this prolonged period, the voice of Azerbaijan was often muffled, ultimately leading to the heart-wrenching conflict we recently experienced. Recent actions by the Armenian side seem to be instigating yet another potential confrontation in our region. It is imperative for the international community to understand that Azerbaijan has no vested interest in such unrest. Instead, we are committed to rebuilding, rejuvenating, and reimagining our liberated territories for a brighter future. Objective observation tools and information are readily available, allowing anyone to witness the multi-billion-dollar investments Azerbaijan is injecting into these areas. New cities, airports, schools, roads, and tunnels are being constructed, and considerable efforts are being invested in demining activities. Would a nation genuinely interested in conflict go to such lengths for development and betterment? Contrastingly, one must recall the state in which Armenian forces maintained the occupied territories for 30 years - in desolation and decline. Isn't this a clear testament to their lack of interest in genuine peace? Unfortunately, the culmination of such neglect was the outbreak of war. We fervently desire peace - this remains our unwavering stance. It's a position we believe should be universally shared, especially by esteemed institutions like the United Nations. While we understand that the contemporary world is fraught with informational noise, often amplified by hyperactive diaspora lobbying, these voices should never overshadow reason and international law. In the hopes of being truly heard, we seek the UN's support in our pursuit of lasting peace and urge all involved parties to look beyond divisive rhetoric and towards a future of harmony and cooperation. In light of the above, we humbly request the United Nations Office at Geneva to take cognizance of these concerns and facilitate constructive dialogue between the involved parties. Only through understanding, cooperation, and mutual respect can we hope to achieve the lasting peace our region so desperately needs," he said in a statement. SALT LAKE CITY A 75-year-old Air Force veteran shot by officers trying to arrest him for social media threats he made against officials including President Joe Biden had a history of "exercising his 2nd Amendment rights, albeit a little recklessly," according to Utah police records. Craig Robertson was killed after pointing a revolver at FBI agents who came to his house in numbers last Wednesday. Nearly five years earlier, he brought a handgun into his Provo, Utah, backyard to demand Google Fiber employees working on a utility pole to connect a neighbor's WiFi get off his property, according to a Provo Police incident report obtained by The Associated Press. His history of carrying firearms when addressing people near his home reflects the difficulties law enforcement can face in assessing threats when those accused of making them are heavily armed. The Google Fiber employees told police officers that nobody had answered when they tried to ring doorbell earlier to say they would be working on the pole. Later, Robertson came outside with a gun, accusing them of trespassing, they told the police. "Robertson was yelling at them he was waving his gun around causing the muzzle to point in their direction," an officer wrote in the August 2018 incident report. When police subsequently arrived, Robertson answered the door with an AR-15 slung over his shoulder, leading to what an officer described as "a bit of a standoff." Robertson denied to officers that he had pointed the handgun in anyone's direction. "While I was speaking with Robertson while he had his rifle, I observed that he was holding it in a ready position against his body with his finger on the trigger guard which led me to believe he had trained with firearms and was aware of where his muzzle was and how to control the weapon while moving," the responding officer said. Officers consulted the local prosecutor but no charges were filed. The FBI attempted to arrest Robertson last week in the lead-up to Biden's visit to Utah, where the president gave a speech at a Salt Lake City hospital about expanding veterans benefits. In charging documents, authorities accused Robertson of making threats against Biden, high-profile Democrats and FBI agents, referencing "assassination" and posting pictures of weapons including long-range sniper rifles. Though family members and neighbors said Robertson's politics were no secret, they described him as an elderly, homebound man with physical limitations that suggested he posed no danger to anyone he had threatened online. Unlike prosecutors who painted his threats as credible, they said Robertson was a kind, churchgoing neighbor who modified military-grade firearms as a hobby and mainly used social media to express his views. The police records add additional context to those contrasting pictures. Robertson's death came as Republicans who have traditionally touted themselves as the party of law and order have escalated their attacks on law enforcement and especially the FBI. Experts told the AP that threats had become more common across in an increasingly polarized United States, making the political climate more perilous and policing difficult. "Things that may have been screamed at the television before now appear widely in public," said Michael German, a former FBI agent who is now a fellow with the Brennan Center for Justice. AP writer Nicholas Riccardi in Denver contributed reporting. The property in west Tallaght was the focus of a raid by detectives from the Garda National Economic Crime Bureau (GNECB) who seized a cash-counting machine along with numerous other items A Range Rover was seized from a residence in Tallaght on Monday, August 14. Photo: An Garda Siochana So much cash was being handled in a property that is suspected of being controlled by a main player in the Black Axe fraud organisation that steel doors have been erected at the house for protection, the Sunday World can reveal. The property in west Tallaght was the focus of a raid by detectives from the Garda National Economic Crime Bureau (GNECB) on Monday morning who seized a cash-counting machine along with numerous other items in a detailed search. Also seized was a top-of-the-range Range Rover which is suspected to have been purchased with the proceeds of crime and is believed to have cost just under 100,000. A Range Rover was seized from a residence in Tallaght on Monday, August 14. Photo: An Garda Siochana This is one of the most significant search operations against the Black Axe to have ever happened in this country, a senior source said last night. As part of the GNECB operation, two men, one aged in his early 20s and a man in his 50s, were arrested in the Tallaght property which is suspected of being a hub of Black Axe activity. Up to 50 different money mules are identified as having laundered over 196,000 through his bank accounts The older suspect was arrested for immigration offences as the Nigerian national did not have a valid visa to be in this country. He is currently in detention and is expected to be deported back to the UK later this week. This individual is suspected of acting as a cash courier for the gang in that he was flying regularly between London, Belfast and Dublin for trips in which he is suspected of bringing large amounts of money in and out of the country, the source explained. However, he has not yet been charged with offences in relation to this alleged activity. Also expected to be released without charge is the Irish national aged in his early 20s who was arrested under anti-gangland legislation on Monday. A file on his alleged activities will be prepared for the DPP. Investigations have established that he has three bank accounts in his own name which were used for money laundering. In a statement yesterday, gardai said: This male was identified as being a suspected money mule herder, recruiting money mules and managing their bank accounts. Up to 50 different money mules are identified as having laundered over 196,000 through his bank accounts in Ireland, Germany and Belgium. This money came from victims of mainly smishing frauds in Ireland. It was initially laundered through these 50 money mule accounts and then forwarded by the money mules to the suspects three bank accounts. Sources stress that Mondays raid is just one phase of the operation against this particular Black Axe cell and that a main target of the operation has not yet been arrested. This middle-aged man, who is based in Tallaght, is considered one of the main Black Axe operatives in Ireland and, despite having no previous convictions, he is suspected of being heavily involved in organised fraud for well over a decade. It is expected that the analysis of 30 mobile phones, a laptop and desktop computer that were seized on Monday could play a key role in building evidence against the suspected fraud mastermind who is closely linked to the man in his 20s who was arrested in the property. GNECB officers are also studying details of bank accounts, registered companies and businesses linked to the crime network and have also seized a number of passports and bank cards in family names and names of suspected money mules. When announcing details of the search operation yesterday, a garda spokesman said: The search was conducted as part of a larger investigation into incidents of fraud in jurisdictions including Norway, Germany, the USA, Hawaii and Ireland and the subsequent laundering of money through money mule accounts, businesses and trade-based money laundering operations. Gardai are a major part of an international operation involving Europol and international law enforcement agencies targeting the Black Axe criminal organisation, which is run in from Nigeria but has tentacles all over the world. The Nigerian Black Axe mafia syndicate first emerged in the 1970s when it was involved in ritual murders but has evolved into one of the biggest fraud gangs operating across the world, often recruiting intelligent gang members, such as computer specialists, in universities. Last week gardai announced that a cross-border investigation into the organisation resulted in 47 people being arrested in Ireland up until May for money laundering linked offences. Over 400,000 stolen from Irish companies was also recovered. The investigation, dubbed Operation Jackal, was led by law enforcement agencies across 21 countries on six continents. . Plaintiffs sought court orders against Brother Gibson seeking the names and addresses of the relevant living persons The High Court has made orders directing the head of Christian Brothers to provide two men with details they require to progress civil actions against the order over the sexual abuse they suffered over 40 years ago. The two, Anthony Doherty and Donal Lynch, claim that on dates between 1972 and 1980 they were sexually abused by former brother Jack Manning, who was a teacher at the primary school they attended during that period. In July 2021, Manning (87), who left the order in 1977, and had an address in Donnybrook, south Dublin, was jailed for three years by the Circuit Criminal Court after admitting nine counts of indecently assaulting four of his pupils The four pupils include Mr Doherty with an address at Ranelagh and Mr Lynch, from Donnybrook, and the abuse occurred when they were all under 10 years of age and pupils at Westland Row CBS, Dublin 2. The four victims, who are now in their 50s, waived their anonymity to allow Manning to be publicly identified. Mr Lynch and Mr Doherty have brought civil proceedings against Manning, the Minister for Education and Skills, and the Congregation of Christian Brothers and the Board of Management of Westland Row CBS seeking damages for the abuse they suffered. The head of the Christian Brothers congregation, Brother David Gibson, has been added to the proceedings. Similar, but separate, proceedings have been brought before the High Court by the other two survivors, Kieran Best from Tallaght, Dublin and Thomas O'Callaghan of Rathoath, Co Meath, over Manning's abuse. Pre-trial motions in Mr Lynch and Mr Doherty's cases were mentioned before a vacation sitting of the High Court earlier this week. Ms Justice Eileen Roberts was told that the arising out of a recent position adopted by the congregation, the Christian Brothers were no longer putting forward a nominee in civil claims where the order is being sued by persons who allege they were abused by brothers. The Supreme Court ruled in 2017 that unincorporated associations such as religious orders cannot be sued directly and that cases must be brought against the members of the order at the time of the alleged wrongdoing. A congregation can select someone to act as its nominee, but the Christian Brothers has opted not to do this, the court heard. In order to progress their claims against the Christian Brothers, the plaintiffs are required to sue all the members of the congregation at the time of the alleged abuse who are still alive. To do that, the plaintiffs require the names and addresses of those individuals. Due to GDPR (the General Data Protection Regulation), the EU's privacy and data protection requirements, the brothers say that information can't be handed over in the absence of a court order. As a result, the plaintiffs sought court orders against Brother Gibson seeking the names and addresses of the relevant living persons. Similar orders have been granted in other cases against the congregation, the court also heard. The court heard that Brother Gibson neither opposed nor consented to the orders being made. After considering the application's Ms Justice Roberts said that she was satisfied to grant the orders sought by Mr Lynch and Mr Doherty. Br Gibson's lawyers argued that no order for costs be made in the matter, meaning the sides would pay their legal costs in this matter. However, the judge agreed with the plaintiff's lawyers that costs should be reserved, and that discrete issue should be determined by the courts at a later stage of the proceedings. The accused had been in situations where he ends up in a location and he has no idea how he got there, his solicitor said. Ronald Mackey of no fixed address pleaded guilty to public intoxication A pensioner found drunk in the airside toilets at Dublin Airport had managed to tailgate his way through a security scanner without any travel documents, a court heard. Ronald Mackey (66) came in through the gate behind a flight-bound passenger and was discovered in an intoxicated state in the toilets. Judge John Hughes fined him 300 when he appeared in Dublin District Court on a public order charge. Mackey, a father-of-five from Co Kildare and of no fixed address, pleaded guilty to public intoxication. The court heard a Dublin Airport police officer found the accused in the toilets airside in Terminal 1 at 4.42am on December 5 last year. He was taken to the airport garda station where he was arrested and charged. Garda Emmet OByrne said after his arrest it was checked to see if Mackey was a passenger but no documentation was found. On viewing CCTV, he was seen tailgating through airport security. Gda OByrne explained there was an Autopass system where passengers could scan their boarding passes. He tailgated behind a passenger without scanning any document through, Gda OByrne said. Mackey had 147 prior convictions, including 67 for public order offences, with 55 of these for public intoxication. He also had drink driving convictions. The accused had been in situations where he ends up in a location and he has no idea how he got there, his solicitor said. The lawyer suspected his client fell asleep on the bus, though he still had the wherewithal to get past security". The accused had taken an alcohol-awareness course, was staying away from drink, helping out at his local GAA club and leading a normal life, his solicitor said. Judge Hughes noted there was alcohol on sale in the duty free and bar at the airport at that time and the accused could have been served there. The defence solicitor said Mackey did not have a reliable account of what happened as the accused could not remember much about the incident. William OReilly of Clonmel was jailed for four months A Tipperary man (44) has jailed for four months and banned from owning or keeping dogs for ten-years after animals in his care were neglected. William OReilly, with an address at Heywood Road, Clonmel, Co. Tipperary, appeared in Clonmel District Court at the end of last month where he admitted offences under the Animal Health and Welfare Act 2013. This is a reminder to anyone who neglects animals, that they will be brought before the courts, said Animal Welfare Inspector, Alice Lacey. Judge Brian OShea sentenced the accused to two months imprisonment on each of two counts, with both sentences to run consecutively. He also imposed a 10-year disqualification from keeping dogs. The case was taken by gardai following a joint-agency search operation involving Revenue Customs Service, the ISPCA and the Irish Defence Forces. During the operation, ISPCA Inspectors Alice Lacey and Emma Carroll seized a total of 17 dogs, 11 of which were not claimed. Malnourished dog The dogs to which charges related were a male Lurcher with open wounds and cuts on various parts of his body, a female Whippet in poor condition which was in heat and being chased by several dogs. Four Terrier pups, aged no more than 10 weeks, were confined in a dark stable without access to water. Inspector Lacey of the ISPCA described the terrible state of the animals when they were rescued. It was sad to see the dogs as they were originally found. The injured Lurcher was also in poor body condition, he was unable to walk and cried in pain as he was carried to an ISPCA vehicle. The Whippets ribs and pin bones were evident, and she was extremely anxious, screeching in distress to get away from the male dogs that were chasing her. Lurcher This is a reminder to anyone who neglects animals, that they will be brought before the courts. If you take on the responsibility to have animals, then you have a duty to look after them. Its that simple, Ms Lacey said. The court heard evidence from Garda Steven Robinson of Clonmel station who described the condition in which the dogs were found and Inspector Lacey also took the stand to outline the findings of a veterinary examination. She said the vet believed the injuries were likely caused by another animal, were infected, and that a large amount of dirt around the wounds indicated the dog lay on a hard, dirty surface after trauma was received. Judge OShea stated: the condition of the dogs was a disgrace. All the animals were transported to a veterinary practice for treatment before being brought into ISPCA care. Once rehabilitated, all dogs and puppies were responsibly rehomed, where they are now being cared for. Both myself and my colleague would like to thank An Garda Siochana for the immense work put into this case alongside the ISPCA, Inspector Lacey added. It is understood his father comes from the Killorglin area and died in Mexico Former Sinaloa cartel boss Joaquin Guzman Loera aka 'El Chapo' Guzman is escorted by Mexican police as he is escorted to US A man allegedly linked to one of the worlds most notorious south American drugs gangs formerly led by the infamous El Chapo, grew up in Co Kerry and appeared before court there as a teenager. Morris OShea Salazar who spent some of his youth in Killorglin is wanted in Chile to face charges of trying to establish a cell for the largest and most powerful drug trafficking organisation in the world the Sinaloa Cartel. The Irish-Mexican passport holder appeared in Killorglin District Court on several charges including possession of a firearm as a teenager. It is understood his father comes from the Killorglin area and died in Mexico. Morris OShea moved to Killorglin after his fathers death. He is a former student of Killorglin Community College where he attended for a few years and lived in An Bhainseach housing estate in the town. Locals described Morris OShea as a troubled child and attempts had been made to support him in any way possible during his time there. The Kerryman also understands that Mr OShea did get into trouble while in Ireland and was before the courts in Kerry in the late 2000s on a number of charges. He was in his late teens at the time. According to the court report in The Kerryman, he served a month in prison for the alleged offences following agreement with the court and his solicitor Padraig OConnell said that Mr OShea had learnt a salutary lesson. He was also placed on a strict curfew. Mr OConnell this week said that Mr OShea was an affable, pleasant man and not an eejit as had been widely reported. In recent weeks, a court in Chile accepted the request of the Prosecutors Office to extradite Mr OShea Salazar who is described as an important member of the Sinaloa cartel. El Chapo The Sinaloa Cartel, regarded as one of the worlds most dangerous narco-trafficking gangs, was previously headed up by Joaquin Guzman, better known as El Chapo, who is serving a life sentence plus 30 years in the most secure prison in the United States in Colorado. According to the Chilean Prosecutor's Office, OShea Salazar has now become a major player in the international drugs mob. Chilean officials have said he has ties directly to El Chapo through the Mexican Cartel leaders first wife Maria Alejandrina Salazar Hernandez. OShea Salazar is currently based in Mexico but previously lived in Spain. I wouldn't go down the city centre at night personally. And I've been in some pretty rough spots in the States The son of American man Stephen Termini who was assaulted in Dublin said he believes there is a higher chance for tourists to be attacked as you get spotted if youre not from Ireland. Termini (57) from New York remains in hospital after he was attacked off Talbot Street last month. His sons who travelled here to be with their dad have said he is doing much better but expressed their own fears walking around Dublin. It seems like if you're not from Ireland you get spotted out immediately and there's a higher chance for tourists to be attacked it seems, Stephen's son Mike Rizzuto told Newstalk. It's unfortunate. I didn't really feel safe enough to go out at night. Thats something I have to stand by. I'll stand by my feelings. I wouldn't go down the city centre at night personally. And I've been in some pretty rough spots in the States.'' The capital has been described as a place where violence is rife and attacks are the norm following another violent assault this weekend. Three English tourists suffered serious injuries after they were attacked while socialising in Temple Bar on Friday night in what is the latest in a string of concerning attacks on tourists and locals in Dublin city centre in recent weeks. The three young men were set upon in the popular tourist area and suffered serious but non-life-threatening injuries. Sources said two of the victims suffered broken noses while one suffered a broken eye socket in the attack, which took place behind the former Central Bank. Local councillor Mannix Flynn said the latest incident is sadly just one of many happening on Dublins streets. I really do wish the people who were assaulted well and want to say to them Im sorry this happened, but the reality is that this is commonplace here. That has been allowed to manifest itself over the last 20 years. Its catastrophic on our streets. There are no consequences. You can do what you like on the streets of Dublin and kick the head off who you like. Violence is rife, attacks are the norm and whats appalling on top of that is there is no response. Concerns have been raised over Temple Bar He said Justice Minister Helen McEntees recent comments that Dublin was safe did not reflect the reality on the ground. The Minister should resign. There is no question about that. The Minister should be commended for the way she has responded to domestic violence but why cant she respond to the catastrophic violence that is taking place on our streets? He said locals in the area are constantly on to him about incidents and said it is happening to locals and tourists alike. People living in the city all their lives are telling me theyre thinking of leaving. Ive had people from Temple Bar onto me saying how theyre looking out the window at people getting the head kicked off them. He said one local resident contacted him about a man being kicked in the head near Temple Bar Square who was unconscious for 20 minutes and, despite passers-by calling emergency services, none arrived and the man eventually staggered away. BAKU, Azerbaijan, August 16. The use of other alternative roads, such as the road through Aghdam, should be considered in regard with establishing connections in Karabakh, the representative of Albanian at the UN, Mr. Ferit Hoxha said, Trend reports. He has made the remark during the UN Security Council meeting. The positions of Azerbaijan and Armenia in regard with Lachin road differ tremendously. Every issues must be considered, in this respect, the use of other roads, such as the proposed one from Aghdam to Khankendi, for the same and only humanitarian purpose, he said. Following the tripartite statement dated November 10, 2020, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. A meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. Sleazy pervert Gavin OKane has been caught for the third time Cocky OKane had nothing to say when we called to his home after his arrest for planning to have sex with a young girl A serial child sex pervert exposed by the Sunday World after he planned to fly to London to shoot a porno with young teenager is back behind bars, we can reveal. Sleazy paedophile Gavin OKane has been caught for the third time, not only distributing vile child abuse images but also of sending a grossly offensive communication. And hes also being done for possessing cocaine and cannabis in fact he blames his drug misuse for his shocking behaviour. The 33-year-old from Market Street in Omagh, Co Tyrone was refused bail despite his barrister arguing his clients rehabilitation could be hampered if he was forced to live amongst child perverts just like him! In July 2020 the Sunday World exposed OKane for the first time after hed been caught at Belfast International Airport where he planned to fly to London to meet a 13-year-old girl with the aim of filming himself having sex with her. However, he was arrested before boarding the flight to Luton and it transpired, he had been caught by a PSNI sting operation and the person offering the 13-year-old girl for sex was in fact an undercover police officer. At the time when we doorstepped him at his flat in Market Street, Omagh we revealed he lived just a few hundred yards from a primary school. Now he has made admissions to the PSNI about a raft of fresh child sex offences, but he still lives at the same address. At his trial in 2020 Dungannon Crown Court was told he also had over 6,000 vile images of children being abused and some of the pictures and videos included some of the most disturbing category. We confronted the snivelling pervert to ask why he thought making a pornographic film with a child was acceptable behaviour. The cocky sex beast, who was 30-years-old at the time came to his door looking dishevelled and with no top on and said he had nothing to say to us about his actions. I dont want to talk to you about that, he snapped. OKane still lives in the heart of the busy town centre with shops, pubs and fast food takeaways nearby but he also lives just a few hundred yards from Omagh Primary School. This week it emerged he is back behind bars after he was caught yet again by undercover police officers who were concerned about his recent behaviour despite the fact hes currently subject to a Probation Order after avoiding prison last year for possessing a hoard of indecent child images. Hes accused of 45 charges comprising 40 counts of possessing and distributing indecent images as well as a single count of sending a grossly offensive communication of an indecent or obscene nature. He is further accused of breaching a Sexual Offences Prevention Order (SOPO) by using a remote media storage device as well as possessing quantities of cocaine and cannabis. Offending is largely alleged to have occurred on various dates between 24 July and 3 August. A detective constable told Dungannon Magistrates Court police were made aware that OKane had been engaging online in highly sexualised conversation around child abuse with two males who were in fact undercover officers in England, over roughly a four-month period. In July he sent them around 20 indecent images he had stored in an iCloud. On receipt of this information police searched OKanes home and his phone was seized along with amounts of cannabis and cocaine. The images have yet to be categorised but, Its fair to say they are in the higher sector of seriousness, said the detective. OKane was arrested and made full admissions to the allegations during interview. Objecting to bail the detective said, He has 36 previous convictions and is currently under a Probation Order handed down by the court for similar offending. This is our third investigation into the defendants behaviour over a five-year period into child abuse images and he has admitted again accessing such images in recent months. A defence solicitor accepted the application for bail was difficult, but said, My client took a very forthright, pragmatic approach and made full admissions therefore not putting the prosecution to the bother of further scientific and forensic examination of the devices seized. The discovery of illegal substances factor quite clearly and lead to the more substantive offending. The barrister also told the court his client could be made worse if he was placed with other child perverts like him. If he is remanded in custody he will be placed with persons who have perhaps committed similar type of offending and that may ultimately be a retrograde step for any potential rehabilitation, he argued. District Judge Michael Ranaghan however refused bail due to a risk of reoffending and failure to comply with conditions. OKane will appear again by video-link at Omagh Magistrates Court in two weeks. He first appeared under the radar of the police in 2016 when he started storing illegal videos and images on his Plat Station and laptop. An undercover police officer was contacted by OKane expressing an interest in images. Asked what age he preferred, OKane replied, Five to 12-year olds but I always dream of a 10-11-12 year old. Later, he sent videos to the officer depicting brutal, sexual acts on female children and communication on 25 July 2016, contained particularly shocking suggestions of child abuse, too graphic and disturbing to publish. Despite under investigation, in April 2018 OKane began engagement with a second undercover officer posing as living in England with a young step-daughter. OKane disclosed a Sexual interest in preteen girls and enquired about having sex with the fictitious child. He then booked a flight to Luton, on his understanding he would be met by the officer, whom he now referred to as Good mate. But OKane was arrested at Belfast International Airport at 7am on 8 June 2018. His mobile phone contained thousands of images, many in the highest category. Long (74) of Maulbawn, Passage West, Co Cork was given the mandatory life sentence on August 4 after a trial that made legal history Long has appealed his conviction for the murder of Nora (inset) Sex offender Noel Long who was handed down a life sentence for the murder of Nora Sheehan 42 years ago in Cork is appealing his conviction. An appeal has been lodged by Longs legal team against his conviction for the murder of the mother-of-three whose body was found in woods outside Innishannon in 1981. Mrs Sheehans family who had spoken about their long fight for justice after the trial, have reportedly said they do not wish to comment on the appeal. Long (74) of Maulbawn, Passage West, Co Cork was given the mandatory life sentence on August 4 after a trial that made legal history as the oldest murder prosecution in the history of the State. Following the conclusion of the three-week trial, two of Noras sons, her sister, and her granddaughter spoke about their memories of Nora, the conclusion of the case, and impact of her murder. Noras son, Jerry, said the brutal murder of their mother had led to the death of their father James who died within four years. "It killed him, Jerry said. Until then he was bouncing. Cold case killer Long, who was 32 at the time of the murder, was sentenced to the mandatory term of life imprisonment soon after the jury delivered the guilty verdict in the Central Criminal Court last Friday. Noel Long In an exclusive interview with Prime Time, the family told how the verdict gave her peace, it gave my father peace, it gave the family peace. "This family has spent 42 years hoping that that day would come," said Noras granddaughter Katie. Noras sister Sadie who moved to the UK prior to the murder, recalled the night she learned Nora had been killed. "I always listened to Radio Eireann, and youd get the news," she said. "I heard this terrible news, and then I phoned home and I could not believe it. Thats when the shock set in, that it was actually her... somebody who was so gentle." She added: I just hope he rots in hell for what he did. Nora Sheehan, who was raised in Crookstown in Co Cork moved into the Cork suburb of Ballyphehane when she and James Snr married. They had three boys while Nora worked caring for patients in a psychiatric hospital. After suffering a fall eight years before her death, she developed several eccentricities, including a habit of waving at passing cars. "Nora was Nora, she had her own individuality," her son James told Prime Time. "She was fierce kind. Even back then if a neighbour died or anything like that, she'd always go to the homes and lay them out. She brought that with her from the country." "She was lovely, she was always there," said Noras son Jerry about the years prior to her death. "The two of them, hed [Noras husband, James] work days and shed work nights, so thered be always someone with us." Noras naked body was found in Shippool Woods, more than 25km from where she was last seen alive. Her dress had been pulled up over her face and her body showed signs of a sexual assault. Nora Sheehan Noel Long who had multiple previous convictions, including for attacking women, was a suspect in the early stages of the investigation into her death. However, an attempt to prosecute him for murder was shelved in late 1981, when the pathologist who conducted the post-mortem on Mrs Sheehan suddenly died. But advances in DNA science as well as extensive detective work helped the cold case investigation lead to the trial and conviction last Friday. During the trail, a man who had given a statement in 1981 saying he had been with Noel Long for several hours after Nora Sheehan was last seen alive withdrew that claim. Since Noras death, Long lived in the south-west of the city, before moving more recently Passage West. Noras family, who also live in Cork and knew for 42 years that the prime suspect in the case lived in the same county. "We had to live with it," said Jerry. "I suppose the only thing we had was - we have good neighbours. We all grew up together and everyone was there for everyone else. Only for that we wouldn't be surviving at all." The family members thanked the gardai, now aged in their 80s and 90s, who investigated the case in the 1980s and gave evidence in the trial in recent weeks. The family added that they hope some good will come out of the case for other families of murder victims, and people will realise that if they speak out, they don't have to be afraid," said Sadie, Noras sister. "And I'm hoping, please God, that there is some good coming to somebody else. That they can get the relief that we have." "Every single piece of information helps," added Katie, Noras granddaughter. "It's never too late to get justice for your loved ones." Earlier this month, Longs sister told Sunday World how she had feared her twisted brother since the age of six. Julieanna Moore Watkins said she believed her brothers conviction brought justice to the Sheehan family and to other women he raped and sexually assaulted in the past. They are in my thoughts, she said, and I cried for them as I heard the verdict because now Nora Sheehan can finally rest in peace and her family can be proud that they got justice for their mam. I sincerely hope and pray that the sentence handed down brings some form of peace and consolation after a lifetime of grief and loss, its finally over. Sending her love and compassion to Noras loved ones, Julieanna added: Both families have suffered greatly, I have always wanted the opportunity to say to the Sheehan family that I am deeply, deeply sorry for their horrendous loss of a lovely, gentle mother. I always prayed that she would be resting in peace. She did not deserve to meet the beast and rapist of Cork city. He only preyed on vulnerable women who were unable to defend themselves. To him, I say I hope you live the longest life possible so you can relive the days that you were tried and convicted of murder and lost your freedom for harming another defenceless woman. The conviction of Noel Long means justice for others too for the other women that he attacked over the years, as he has done this to so many people. Julieanna went on to describe her own terrifying encounter with the violent thug when she was aged just six years old. Ive been afraid of Noel Long since I was a child of six, she recalled. I remember him coming to my bedroom and trying to assault me. I am 72 now and I have remained afraid of him all that time. I knew never to be alone with him I knew he was evil then and I know he is evil now. I shot that b*tch dead, the pint-sized shooter boasted afterwards. I got my moms gun last night. A six-year-old child in the US grabbed his mothers handgun and blasted his teacher in the lung, stating I shot that b*itch dead, afterwards, a court has heard. Deja Nicole Taylor (26) pleaded guilty to neglect of her child on Tuesday in a case which has shocked America. The first-grade pupil blasted his teacher, Abigail Zwerner, at Richneck Elementary School in Newport, Virginia, on January 6. The 25-year-old teacher suffered a collapsed lung and other serious injuries during the horrific incident. Richneck Elementary School: Photo: Google Maps The boy fired one round that struck Zwerner in her left hand and upper torso, the court was told. Ms Zwerner has now filed a 37 million lawsuit accusing school officials of ignoring multiple warnings about the pupil leading up to the attack. She has since tendered her resignation. The childs mother told the US court she believed her gun was secured on a high closet shelf with a trigger lock in place, adding that she did not know how her son got a hold of the weapon. The mums lawyer has said his client faced mental health issues after having an ectopic pregnancy and miscarriage previously. Deja Nicole Taylor (Virginia Police) The attorney told news reporters that Taylors son, who is now in the custody of his great-grandfather, had extreme emotional issues, we are all working to see that he improves every day. He further noted that prosecutors stressed that the boy gained access to the firearms despite significant behavioural problems that his mom was or should have been aware of. I did it I shot that b*tch dead, the pint-sized shooter boasted afterwards. I got my moms gun last night, the child revealed in statements obtained by the court. In June, Taylor pleaded guilty to federal charges of illegally obtaining and possessing a firearm and making a false statement on a government form to buy the firearm. Taylor is scheduled to be sentenced for the charges in October. On a 100 per cent electric charge the Mini was only offering me up less than 200km on the range. Thats no use to me to get down to the west of Ireland. How the new Mini interior will look when it arrives The new Mini Cooper takes its design cues from the original 1959 model Pics: Paddy McGrath The Mini will always be special to me. As a former owner of a classic model that broke my heart when I sold it when the kids came along, they always strike a chord when I get behind the wheel of one. In my mind, there isnt a car out there that can give the Mini a run for its money when it comes to the handling department especially on a race track. In fact, I still think that the Mini GP I once drove on the track in Majorca is the best I have ever tested on a circuit. Unbelievable. The latest model to roll off the production line and one I got behind the wheel of recently is the new Mini Electric Resolute model. The MINI Resolute features Union Jack tail lights This is a special-edition version that will appeal to people who have loads of money to throw at one of the worlds most-loved cars it comes at quite a price, which I will come to la little later on. But, for now, this new special-edition model is one that proudly wears the Mini heritage on its sleeve, all the way down to its exclusive bonnet stripes. It comes in a Rebel Green body colour that gives a nod back to the brands legendary racing days, while up front, it features edition-specific bonnet stripes matching perfectly Resolute Bronze to give it a distinctively unique appearance. Elsewhere, the head- and tail-light rings, door handles, side scuttles and a whole lot more are all in Resolute Bronze too. Inside has been enhanced and it features special-edition seats that could cause quite a debate among Mini fanatics. The Interior of the current MINI 'Resolute' Edition' I am not so sure myself, but she who must be obeyed at home absolutely loved the yellow gingham upholstery inside complimented by yellow-themed gadgets dotted around the rest of the cabin. There are lots of goodies on board. Spec includes reversing camera, parking assistant and sensors front and rear, heated front seats, ambient lighting, Harman/Kardon surround sound audio system, auxiliary cabin heating system, auto air con and head-up display. However, it was the electric range that was the only disappointment for me. I dont know what crossed my mind when I first sat into it earlier last week and read 493km on the instrument display. I thought to myself, happy days I will be able to head down to the west for the bank holiday weekend without having range anxiety. However, this dopey motoring editor was reading the wrong display and, minutes later, when I discovered the actual driving range I was in total shock! On a 100 per cent electric charge the Mini was only offering me up less than 200km on the range. Thats no use to me to get down to the west of Ireland. And, considering this special edition costs north of 40k, I think Id stick to the small petrol version if I was in the market for one. How the new Mini interior will look when it arrives Meanwhile, Mini last week revealed that the next-generation Mini Cooper is due later this year and the brand has given us a sneak preview of how the interior of the new model will look when it arrives on our shores. As you will see from the picture (on the opposite page) the new look takes its design cues from the original 1959 model, albeit with a modern twist. The German-owned brand is going back to its roots by making the central circular display the focus point of the new model. For this model, Mini will use a new unique OLED display system, which produces higher-quality graphics and crystal-clear lighting. It will also feature Mini Operating System 9, which is an in-house development by the BMW Group and is based on an Android Open Source Project (AOSP) software stack. I am definitely looking forward to see the new model roll off the production line later this year. 12 people from countries including Chile, Mexico, Spain and Brazil had given them all keys to move in on the same day A Brazilian student has told how he lost 6,000 in a Dublin rental scam after waking to find 12 people in his living room who had fallen victim to the same fraudster. Levi Amarilo was shocked to be woken in his bed in the apartment by a woman who asked him what he was doing in the apartment. When he asked what she was doing there, she told him she had rented the accommodation from a man. On going into the living room with her, Mr Amarilo discovered 12 people from countries including Chile, Mexico, Spain and Brazil, after the Brazilian landlord had given them all keys to move in on the same day. The scammer subsequently fled to Sao Paulo. Mr Amarilo had moved into the apartment only two days previously, having arrived in Ireland to study English, when he realised he had been scammed. He had handed over 6,000 in deposits and rent up front, which he thought would secure him the apartment. Levi and the other victims realised they had been scammed out of a huge amount of money because the property was vacant only temporarily. However, despite the involvement of gardai, the money could not be recouped since the scammer had fled the jurisdiction. Only through the help of his Irish employer a hotel that provided him with a short-term room to stay in and a loan which he repaid, did he and his partner manage to successfully rent another studio, and remain in Ireland. Each year, hundreds of students are targeted by false landlords and scammers seeking to con them out of their savings. They are offered accommodation units and properties that the scammer either purport to be renting or that dont exist. Mr Amarilo had temporarily lived with his scammer but then moved into the apartment that he thought he would be renting because he needed more space due to his brother joining him in Ireland. He said he trusted the man because they had lived together. "We kind of became friends with this man, went to pubs together in the city centre. "I was asleep [in the new place] and a woman woke me up to ask me who I was. I told her I was renting this place and she said she was too, and said: I think we have been the victim of a con. "I went to the living room and there were 12 or 13 people there who were all victims of this man, Mr Amarilo told RTEs Morning Ireland. This example is why housing charity Threshold and the Union of Students in Ireland (USI) are teaming up for their Scamwatch campaign in an attempt to help students protects themselves from rental frauds. John-Mark McCafferty, CEO of Threshold, said it had become increasingly aware of a surge of rental scams, particularly ahead of the academic period, with students unfortunately being easy targets. In a highly competitive, low supply rental market, scams are on the rise. Its important that students and all renters nationwide are aware of such scams and take the appropriate actions to prevent themselves from falling into these traps. To avoid rental scams, it is key that renters take precautions, such as requesting a written agreement of rental terms and conditions, and using a secure payment method and not cash in hand after the contract has been signed and witnessed in the presence of the other party. Never rush headlong into anything. Scammers do apply pressure, which is one of the tell-tale signs. We strongly encourage any student or renter who is unsure if they are being scammed to contact our advisors for free advice and support, Mr McCafferty said. Laura Harmon, executive director of the Irish Council for International Students (ICOS), said the number of cases involving international students being scammed was growing A study that ICOS carried out last year involving almost 500 international students found that nearly one-in-seven (14pc) said that they had been a victim of an accommodation scam while in Ireland, of whom a quarter were English-language students. These students are often more vulnerable as English is not their first language and they usually dont have relations or friends they can stay with in the short-term while looking for a place to live, Ms Harmon said. Zaid Al-Barghouthi, vice-president for campaigns at USI, encouraged students to reach out to them if they needed help. The USI and member students unions in third-level institutions nationwide are on hand to help, and we urge all students to be vigilant and on their guard in relation to the various and ever more complex rental scams that are out there. "We hope this campaign can serve as a reference for students as they are on the hunt for a place to live. Also, if you recognise a scam, or have been a victim of one, its important to report it to the gardai. Daniel, from St Mullins Road in Graiguenamanagh, passed away following the incident on Thursday, August 10 Mourners attending the funeral of Kilkenny man Daniel O'Brien who died in a deep-sea diving accident in Norway have been asked to wear bright colours to his funeral next week. Daniel, from St Mullins Road, in Graiguenamanagh, passed away following the incident on Thursday, August 10. According to RIP.ie, Daniel will be reposing at his home on Sunday, August 20, from 10am concluding at 8pm. Removal will then take place on Monday, August 21, to Duiske Abbey, Graiguenamanagh, arriving for funeral mass at 10am. This will be followed by cremation at Mount Jerome Crematorium, Harold's Cross, Dublin. The notice requests that to help celebrate Daniel's life, those attending the funeral are asked to wear bright colours. It also states that donations, if desired, can be made to the Kevin Bell Repatriation Trust. It adds: The death has occurred of Daniel O'Brien of St Mullins Road, Graiguenamanagh, Kilkenny. Daniel died suddenly following an accident in Norway on Thursday, August 10. He is survived by his heartbroken parents, Pauline and Fiachra, brothers Tommy and Ciaran, partner Ingvill, grandmother Brigid, Pauline's partner Michael, Fiachra's partner Esther, sister-in-law Amy, aunts, uncles, cousins and a wide circle of friends and relations. In the condolences section, people have left numerous messages of sympathy including one that reads: Sincere sympathies on your tragic loss. Our thoughts and prayers are with you all at this very difficult time. Another adds: My sincere condolences to all the O'Brien family. I met Danny through the kayaking club at college. He always knew how to brighten up my day. "I had many a crazy day with him that Ill never forget, and still remember how I would drive him nuts by swimming every time I went kayaking with him. My thoughts and prayers are with you at this difficult time. Another offers: Heartfelt condolences to Daniels parents, brothers, partner and extended family on his sudden and sad passing. Thinking of you all at this difficult time. May his gentle soul rest In peace. In another message the leaders and volunteers of the Third Kilkenny Scout Group said they would like to express sympathies with Pauline, Fiachra, Ingvill, Tommy and Ciaran. It is with great sorrow that we all learned of Danny's passing, it reads. We remember with pride Danny as a member of the Smythe Cup winning group of scouts, and our thoughts are with everyone lucky enough to know him as a friend. The 33-year-old who had reportedly been living in Norway but was originally from Graiguenamanagh, died on Thursday, August 10. A former member of the Air Corps, he had worked as an aviation engineer and was a highly-experienced water sportsman who had successfully rowed across the Atlantic Ocean. He has been described as a self-effacing man about his amazing sporting achievements, according to one person quoted by the Irish Examiner. A GoFundMe page has been set up to help the young woman bring her last remaining family members to our country for respite A young Ukranian woman, who sought shelter in Leitrim from Russias war on their neighbours, lost four of her closest family members over the weekend and she now needs help to bring her granny to safety in Ireland. Putins artillery landed in the town of Shyroka Balka on Sunday, wiping out Kateryna Konyshchevas closest family members in the deadly attack. Katerynas baby sister was only 23 days old when her life was ended. Images of the tragedy on news reports showed crumpled bodies lying in the ruined rubble of a house. Now a GoFundMe page has been set up to help the young woman bring her last remaining family members to our country for respite. Kateryna The page states: Hi, my name is Kateryna Konyshcheva. At only 18 years old I have tragically lost four members of my family in a bomb incident which occurred Sunday the 13th of August 2023. Among the victims were my beloved mother Olesya (39), my dear brother Artem (11), my sweet baby sister Sofia at only 23 days old and my kind stepfather, Dmitro (35). I cannot believe Im living this heart-breaking tragedy. I am raising this money to finance their funeral and also to be able to bring my only family left which is my grandmother, my little 16 years old sister and my 20 years old brother close to me. I need them here, we need to be together in this difficult time so, some sort of healing process to begin. Kateryna's tragic family members Any help is greatly appreciated. From the bottom of my heart I thank you, the caption states. Speaking to RTE immediately in the aftermath of the horror, Ms Konyshcheva said she spoke to her aunt who told her "no mother, no stepfather, no sister, little brother in hospital but no brother, he won't live". Ms Konyshcheva's brother, Artem, had been rushed to hospital but did not survive. Kateryna said "I hurt, I'm in pain, but I'm strong" and added "now I need to live, this is my family", indicating her boyfriend, her employers and colleagues in a Leitrim restaurant. The Ukranian interior Minister, Ihor Klymenko, referenced the savage attack on Katerynas family in a Telegram post on Sunday evening. "The terrorists will never willingly stop killing civilians," Ihor wrote in the post along with two photos of the damaged houses. "The terrorists must be stopped. With force. They don't understand anything else," the official said. While Ukraine's military managed to regain control of the western part of Kherson in November last year, Russian forces from across the Dnipro River have persistently continued their shelling of the area. The most recent stats show that just short of 10,000 innocent civilians have died and approx. 17,000 have been injured since Putin launched his war on February 24, 2022. Over 500 of those deaths have been innocent children. Donations to help Kateryna can be made here. Jake Tiernan graduated with an honours degree from the University of Galway and the pair beamed on campus with the newly presented scroll. Jake Tiernan, pictured with his father Tommy Tiernan, graduated with an Honours Bachelor of Arts from University of Galway. Credit Aengus McMahon. Comedian Tommy Tiernan, looked every inch the proud dad as he beamed with pride this week for his sons graduation ceremony in Galway. Jake Tiernan graduated with an honours degree from the University of Galway and the pair beamed on campus with the newly presented scroll. Tommy looked the cool dad with his stylish attire of navy trilby and matching shirt and tan jacket, whilst Jake wore his gown over a dark suit. The Galway-based comic is currently working on his stand-up material as he enjoys a rest from his podcast and TV presenting duties, before he begins a massive US tour in October. Its been a busy summer for the comedy superstar, having performed at the Paddy Power Comedy Festival in Dublin, hosted brunch at the All Together Now festival as well as celebrating his wedding anniversary to his wife, Yvonne. Wedding day Last week, Yvonne shared a picture on social media of their marriage 14 years ago. The pair tied the knot in Co. Monaghan in August 2009. The couple were hitched in a star-studded ceremony at Castle Leslie in Monaghan with Yvonne marking the 14th anniversary of the day by posting a picture on social media of the pair embracing, which she captioned with a love heart. Yvonne previously described what it is like being married to famous person such as Tommy. If I take a picture of our fireplace with a candle on it and put it up on our Instagram because I love home stuff, the following day there will be At home with Tommy and his wife Yvonne Tiernan, Let's have a look inside their private house... Now that's only a picture of a mantelpiece, Yvonne said. "Im always amazed because Tommy speaks very publicly regularly about his life, about everything in his life and he does in his stand-up too and I would never ask him not to but it's almost like "Its such a part of my life that people are wanting information about Tommy, and I think thats fine when it is him giving that information. "He can choose to say whatever he wants or not about himself, but I get very protective about him and our family because it is a source for clickbait. "Now that doesnt mean you can't ask me about him, and people do. He is a fascinating person. Im fascinated by him. Most people are fascinated by him and his work and want to try and understand him but for me, it is about still learning where the line is. "And maybe even just the fact that I am married to him is interesting for people. Id probably be interested in that. Id probably want to ask me stuff, she added. BAKU, Azerbaijan, August 17. If Armenia really thought about the ordinary residents of the region, then it would never object to the delivery of goods to the Karabakh region along the Aghdam-Khankendi road, Azerbaijan's permanent representative to the UN Yashar Aliyev said at a meeting of the organization's Security Council, Trend reports. "This road is connected to one of Azerbaijan's main transport routes, the highway known as the Silk Road or M2, which provides reliable links to international markets. Compared to the 59 km Lachin-Khankendi road, which runs through mountain serpentines, the length of this the road between Aghdam and Khankendi is only 18 km. Today, as part of a trip to Aghdam, representatives of the diplomatic corps accredited in Azerbaijan, including the office of the UN Resident Coordinator and other UN structures, witnessed the functioning of the road and its readiness for the transport of goods," - he said. Following the tripartite statement dated November 10, 2020, Azerbaijan has confidently stated and continues to repeatedly declare on almost all platforms that Baku guarantees the rights and security of the Armenian population of Karabakh at the highest level. All their rights will be ensured in accordance with the Constitution of Azerbaijan. A meeting of the UN Security Council was held today on the basis of an unfounded request from the Armenian state regarding the alleged creation by the Azerbaijani side of a "humanitarian crisis" against Armenians in Karabakh. And this time, the slanderous campaign of the Armenian side against Azerbaijan failed. The first person to be jailed for sabotage in New Zealand, Graham Philip, has been denied parole for a second time after a prison psychologist said there was a reasonable risk Mr Philip would take further disruptive action to force others to listen to his views. The written Parole Board ruling also noted his Parole Assessment Report says he believes his offending is the result of a rational thought process. Philip was jailed in December 2022 after he plotted to bring down the entire power grid across the North Island in protest at Covid-19 restrictions. Suppressions remain on reporting specifics such as how Philip conducted the sabotage and the extent of the damage, though it can be reported the repair bill was in excess of $1.2m. The report noted Philip had declined to engage with a psychologist whilst in prison, but that his case manager was able to draw on a report prepared without his input. The Department psychologist is of the opinion that Mr Philip is unlikely to engage in violent offending as he has no history of violent behaviour, the report says. However, there is a reasonable risk Mr Philip could take further disruptive action to force others to listen to his views. The Parole Assessment Report also claimed the 63-year-old is an individual who has evidenced his willingness to put into action criminal offending which was harmful, likely to arouse fear amongst the general public and cause distress. The report also claimed there was no evidence Mr Philip has ever taken into consideration that vulnerable members of the public and agencies/hospitals etc are dependent upon uninterrupted power supply. However, the report also noted Philip and his supporters accept that he made a serious mistake in embarking on the offending which he undertook. In contrast to views he has previously expressed, he was prepared at this hearing to accept that all New Zealanders were the victims of his offending. The Parole Board declined his parole request, noting at this point we are not satisfied that no undue risk to the community would arise if Mr Philip was released now on parole. The Board recommended he engage with the prison psychologist ahead of a next appearance in December. -Benn Bathgate/Stuff. The last remaining Covid-19 mandates have been dropped by the government. It is no longer a legal requirement to self isolate for seven days if you test positive for Covid-19 and masks do not need to be worn in healthcare facilities. Prime Minister Chris Hipkins announced the changes on August 14 and they came into effect first thing on August 15. But what is expected of you if you test positive? What are your rights with taking sick leave? What do the experts think of the removal of the last remaining restrictions? How did we get here? RNZ is here to clear it all up. What did the government announce on 14 August? In a post-Cabinet press conference, Hipkins and Minister of Health Dr Ayesha Verrall announced all remaining Covid-19 restrictions would be scrapped. Photo: RNZ / Angus Dreaver. That meant mandatory seven-day isolation and wearing a mask when visiting a healthcare of aged care facility were no longer required by law. Hipkins said he wanted to "take a moment to acknowledge the significant milestone that we are recording today, the formal end of what was a uniquely challenging time for the country and of course for the world". "Using the word 'was' in that sentence to describe our Covid-19 response in the past tense really does bring home what has been a very interesting and challenging time." The restrictions had been removed because the public health risk was now considered low compared to other stages of the pandemic, he said. Hipkins rejected the suggestion the announcement was related to the upcoming election. The government now awaited the outcome of the Royal Commission of Inquiry into the lessons learned, he said. "It's been a phenomenal thing, it's probably been the biggest thing that any New Zealand government has grappled with, of this nature and of this scale, for a generation or more ... I certainly hope it is the last [Covid-19] press conference." What do the experts think? Otago University epidemiologist Michael Baker urged people to stay home when they were sick with Covid-19, despite all restrictions lifting. Professor Baker said Covid-19 had transitioned from a pandemic threat to an endemic infectious disease. "Unfortunately that means it's there the whole time, it is still in New Zealand amongst the infectious diseases, the leading cause of death and hospitalisation and we know that those infections and reinfections are going to add to that burden of long Covid." Baker said New Zealand would see new subvariants of the virus arrive that would better escape immunity. The government needed to look at how to reinforce those behaviours that prevented Covid-19 from spreading now that the mandates had been removed, he said. General Practice New Zealand chairperson Dr Bryan Betty said practices like mask wearing and self-isolation should be encouraged for all viruses, not just Covid-19. He said people needed to continue with the lessons that were learnt from Covid-19 but which were applicable to all viruses that were spread from person-to-person such as influenza and RSV. "Voluntarily staying at home if you do have a flu or a cold so you don't spread it, and I think masking in public areas of health facilities voluntarily is something we should still keep in play." Health providers should consider ensuring masks were worn in places where sick people gathered such as hospitals or GPs' waiting areas, Betty said. University of Otago epidemiologist and associate professor Amanda Kvalsvig said the decision to remove all protections was a concerning one. "One of the reasons New Zealand's pandemic response was so effective in 2020 was that New Zealanders were highly motivated to keep themselves and others safe. The government is now removing all Covid-19 protections, including in healthcare. This is a concerning decision with widespread implications, especially for disabled people, people with long-term health conditions, and those who care about them." Kvalsvig said New Zealanders needed to continue keeping people safe even when the government policy was "no longer aligned with those values". "We need to make sure that Aotearoa NZ continues to benefit from its hard-won pandemic experience. People with decision-making power, for example employers, can use that power to maintain workplace safety, ensuring that unwell workers are able to stay home and recover without infecting their co-workers. "And all of us, however bullet-proof we might feel personally, can continue to make sure that any infection we have stops with us. We can never know who might be further down the chain of infections, and what an infection might mean for them." University of Canterbury professor Michael Plank said the overall decision was "a reasonable one". "The government took a relatively cautious approach in April to extend the isolation mandate because of the risk that a winter Covid wave could coincide with the flu season, putting severe strain on our healthcare system. Now, the worst of the flu season is probably behind us and Covid levels have remained low throughout winter. "Over the longer term, Covid is not going away and there will certainly be further waves." Are Kiwis in support of the restrictions being dropped? Some people spoken to by RNZ in Wellington were concerned by the drop in the last remaining restrictions. "I think it's a bad idea," said one, "I'm not sure that the risk of Covid being spread is low enough to justify dropping the seven day requirement," said another. One woman said she did not believe it would have much of an impact. "Covid feels like something that's happened in the past, it's not so relevant now," she said. But another Wellington resident said: "Something like Covid, it's not going away and how many places actually have enough sick leave? I don't know. Personally, I think waiving it all is a little bit sudden." And another said: "We saw a big collective movement, now the government's sort of saying 'you're on your own'." What happens if I get Covid-19 now? Despite the government dropping the seven day isolation mandate for a Covid-19 positive person, the Ministry of Health was still encouraging people to stay at home for five days if unwell or if you tested positive. Verrall said mask wearing remained an "important way" to reduce the spread of any respiratory illness, including Covid-19, in health and disability care facilities. According to the Unite Against Covid-19 website, if you have tested positive for Covid-19, it was recommended you stay home for five days - even if symptoms were mild. "Day 0 is the day your symptoms started or when you tested positive, whichever came first. This means you should not go to work or school. "If you do need to leave your home during your five day recommended isolation period, it is very important you take precautions to prevent spreading Covid-19 to others." It was recommended you wear a mask when leaving the house and not visit a healthcare facility (unless needing medical care), visit an ages care facility, have contact with anyone at risk of getting very sick from Covid-19. "You should discuss your return to work with your employer or your child's return to school with their school principal. Your employer or your school may require additional precautions." For people who were already isolating because of a Covid-19 positive test when the mandates were dropped, it was still recommended that they continue to isolate for five days. "If you have already been isolating for six or seven days, and are well, you can return to your normal activities." Do I now have to use my own sick leave when I have Covid-19? According to the Work and Income website, the Covid-19 Leave Support Scheme - which was set up to help employers pay employees who had to self-isolate because of Covid-19 - ended on 15 August. "You can still apply if your employee was in self-isolation before 13 August." From now on, this meant employees would need to use their regular sick leave if not able to work because of Covid-19. Auckland Employers and Manufacturers Association chief executive Brett O'Riley said it would take some time to adjust to but believed Covid-19 had "fundamentally changed" how workplaces dealt with illness. Given how tight the labour market was and that employers were having to "bend over backwards" to retain staff, O'Riley said giving employees extra sick leave would be one of the easier things they had to deal with. "I think giving staff a few extra sick leave days because they are unwell will be well and truly in the wheelhouse for most employers." O'Riley said the majority of businesses had seen the impacts of sick staff coming to work and most made it clear to their employees that they should stay home if they were not well. "I think the bigger challenge actually is for sole traders and people who are on their own. That's a situation where you are the employer and sometimes it's difficult to deal with." O'Riley said while a lot of progress had been made in how workplaces deal with sickness, EMA was continuing its calls for revamping the health and safety legislation to make it much clearer what the expectations were across all work sites. John Crocker of Unite Union said while the government was still urging people with the virus to stay home for five days it would be more difficult now that there was no Covid-19 leave support scheme. "People will be going back to their 10 sick days a year. Now obviously that was good that the government put that through in the last couple of years but it was needed before Covid. Now, people are going to have to wind their Covid sicknesses into their standard sick days which is going to put pressure back on those." He said registering Covid-19 tests online legitimised sick leave claims without clogging up the health system. "Doctors hate it when workers come in 'I need a medical cert'. It's a burden on the primary healthcare system, it's a burden on the employees, now obviously employers have a right to police abuse of sick leave but that was a really neat short cut, and it would be good to have more of them." Like O'Riley, Catherine Beard of Business NZ was confident the lessons learned during the pandemic would mean employers would not pressure staff to work when they were ill. "I think normal health and safety operating practices will kick in and they would have been in place anyway. So, yeah, it's really hard to see what the mandate was adding really." Beard said it was now up to employers to protect their workers and encouraged them to take leave when they needed it. But isn't there a new variant? Microbiologist and infectious diseases expert Siouxsie Wiles had been dreading the end of the rules she said helped prevent the spread of the virus. Photo: RNZ / Dan Cook. "It's kind of ironic we're doing it at this stage where there's a new wave starting in other countries. There's a few new variants around that are more infectious. There are experts in other countries calling for a return to masking in healthcare, it's the very smallest thing that could be done and here we are removing it." According to the BBC, the World Health Organisation has declared a new sub-variant of Covid-19 called EG.5. It had been unofficially named "Eris" and was a variant of interest with the WHO asking countries to monitor it as cases grew globally. The WHO said it posed a low risk to public health, with no evidence that it caused more severe disease than other variants currently circulating. EG.5 has become the dominant variant in the United States. It has already been found in New Zealand, according to the Institute of Environmental Science and Research report released 4 August, which said it was "gradually increasing, but not at a rate that would cause a substantial surge in new cases". XBB remains the most prominent variant in New Zealand. What are our Covid-19 numbers like these days? There were 5372 new cases of Covid-19 reported in New Zealand over the past week and 20 further deaths attributed to the virus. The current death tally is at 3249, with the highest number of active cases in Canterbury, Waitemata and Waikato district health region. In a statement, Verrall said while Covid-19 cases continue to fluctuate week-to-week, overall case numbers, wastewater levels and hospitalisations have trended downwards since the beginning of June. In the past month, the number of cases hit their lowest since February 2022. Verrall says the "dramatic peaks" seen last year had not been seen in 2023 and Covid-19 had put "considerably less" pressure on the health system this winter then planned for. "This, paired with the population's immunity levels, means Cabinet and I consider we're positioned to safely remove the remaining Covid-19 requirements. "We've only reached this point thanks to the hard work and care New Zealanders have taken over the course of the pandemic." Verrall says Covid-19 accounted for just 2.2 per cent of hospital admissions on the morning of 14 August and New Zealand had likely passed its winter peak of the flu. How did we get here? It has been more than three years since the Covid-19 pandemic began and changed the world as we knew it. Here are some key dates from New Zealand's response and how we got to where we are now with no restrictions. 28 February 2020 - the first case of Covid-19 is reported in New Zealand 14 March 2020 - the government announces anyone coming into New Zealand - except those from the Pacific - must self-isolate for 14 days 21 March 2020 - the alert level system is announced - and the country continues to move through levels 1-4 as the risk lowers and becomes greater 2 December 2021 - a traffic light system replaces the alert level system 3 February 2022 - mask use is increased as New Zealand prepares for Omicron variant 11 March 2022 - isolation period drops from 10 to seven days 4 April 2022 - vaccine passes are no longer needed and vaccine mandates for government workers ends 12 September 2022 - the traffic light system ends, all mask wearing requirements removed, except in healthcare and aged care facilities, and only Covid-19 positive people need to isolate for seven days, not household contacts as well 26 September 2022- the last of the the vaccine mandates for workers ends 15 August 2023 - government removes need for people to self-isolate for seven days, wear masks in healthcare facilities -Danielle Clent/RNZ. For many farmers, it has been a challenging year and, for some, its feels like there is no light at the end of the tunnel. In February, Cyclone Gabrielle caused unprecedented flooding and damage across parts of the North Island, particularly in Gisborne and Hawkes Bay. This event caused significant disruption to farmers, growers, and rural communities. Further north, Northland, Auckland, Waikato and the Bay of Plenty also endured record rainfall from January 26 to February 2, 2023. The Ministry for Primary Industries has provided funding to coordinate clean-up efforts for the primary sector and rural communities in these regions. We need to acknowledge that this has been an extraordinary and stressful time for farmers in these regions, says ACCs injury prevention workplace manager Virginia Burton-Konia. We recognise that further support and help is required in these communities and the Ministry for Primary Industries is leading this work. We know it must feel like you have endless challenges and if you are in this space, we encourage you to get in touch for the help and support you need. Agriculture is New Zealands biggest export earner but its also one of our most high-risk industries. In 2022, there were 22,631 farm-related injury claims accepted which came at a cost of $96 million to help people recover. This was the highest cost over the past five years. That's more than 60 farmers getting injured every day. Thats a big impact on them, their families and the rural community when they get taken out of play. In all, ACC has spent $421 million on farming related injuries in the past five years. Its important for farmers, to take a moment to think about what they are about to do and think about what could go wrong to prevent injury. Farmers can get better at putting in systems to look after the most important asset on the farm, themselves and those who work in the business, says ACCs injury prevention workplace manager Virginia Burton-Konia. ACC is a strategic partner of Farmstrong, alongside FMG and the Mental Health Foundation. Farmstrong is a rural wellbeing initiative for farmers and growers to help them live well to farm well. It encourages farmers to share their stories and to look after themselves as well as they do their pasture and livestock. An ACC-funded study for Farmstrong shows 58 per cent of recently injured farmers linked their accident to stress associated with farm work. A quarter of them said it was a major factor. Exhaustion, lack of sleep, the stresses of farming, being isolated from friends and family, and being unable to take a break all add to the risks that a farmer or farm worker will have suffer an injury, the research shows. New research from Massey University professor Jarrod Haar on TVNZ Breakfast shows that farmers and farm managers have a 70 per cent chance of burnout. The report says that in comparison, chief executives have a 30 per cent risk of burnout and hospitality workers are just under 20 per cent. Were proud to partner with Farmstrong and its focus on simple tools like getting enough sleep, eating right and getting some exercise, says Burton-Konia. Farmers can get better at putting in systems to look after the most important asset on the farm, themselves and those who work in the business. New research from Massey University professor Jarrod Haar showed that farmers and farm managers have a 70 percent chance of burnout. Farmstrong the five ways to wellbeing Connect - research shows that people with strong social connections are happier, healthier and live longer. Give - When you give your time to others, they benefit, but it makes you feel happier too. Take notice - paying attention to smaller things can help you feel calm and relaxed. Keep learning - learning new things keeps your thinking flexible and open Be active - keeping active is a great way to feel good. For more on Farmstrong visit: www.farmstrong.co.nz For more on dealing with floods: Dealing with floods | NZ Government (mpi.govt.nz) The owner of the dog that attacked and killed an elderly Maltese dog at The Heads in Whakatane last month has been found and issued with infringements. No prosecution is planned. The dead dog's owner, 83-year-old John Whiteley, has since been told the dog responsible for the attack on his pet has been destroyed. He saiys he has seen no evidence of this but is just relieved the dog is no longer in town. On July 17, the 13-year-old Maltese known as Buddy was sitting at his owners heel when he was attacked by a large, black dog, with no collar at Te Hau Tutua Park. Buddy received injuries that resulted in him having to be put down. Whakatane District Councils dog control team investigated the attack, and visited Mr Whiteley to tell him that they had found the owner who had admitted it was her dog, however, the dog had already been taken out of town. She said she gave it to her brother, a Mongrel Mob member, to take it out to the farm to destroy it, Mr Whitely said. They checked on him and he told them that he had put it down. All they can do is take his word for it, really. Mr Whiteley says he feels the council has done its best, and though he has trouble believing that the dog has been put down, he's not prepared to push the matter any further. I had a think about it, but it really is hard to trace. You cant blame the council man; hes done his best. Hes put a lot of time into it. At least she admitted that it was her dog. Thats the main thing. The council staff member informed Mr Whiteley the woman had no money to pay a fine but asked him if he wanted to pursue a charge against her anyway. I said no. Whats the point. Its just a waste of the councils and the courts time. They will just let her off anyway because she hasnt got any money. We just need to put it behind us. I only hope it doesnt happen to someone else, or a kid. Council team leader regulation monitoring Verna Kinney says following the attack, the animal control team increased patrols in the area and began its investigation. This work ended with the successful identification of the offending dog and enforcement action being taken against the owner. Our animal control team acted quickly from the moment we received the formal complaint. We were fortunate to have solid evidence provided to us which allowed further action to be taken, says Ms Kinney. She says under the Dog Control Act 1996, the council could deal with such incidents in several ways, including warnings, infringements, and prosecution. The council cannot order a dog to be put down only the courts can do this. When we consider what type of enforcement, we look at a range of factors including future public safety, the outcome of a court prosecution or the view of the complainant. In this case, the dog owner was issued with infringements and has taken voluntary action to euthanise the dog. The positive identification of the dog and owner wasnt without its fair share of hurdles thanks to misinformation circulating on social media. Though we got the result we all wanted relatively quickly, there was unhelpful commentary doing the rounds on several community social media pages by people thinking they were doing the right thing in trying to identify the dog and owner responsible. Not only did this false narrative result in a person being incorrectly accused as the dog owner, but it also took up valuable staff time, says Ms Kinney. While social media had its place, it could also impede, and hinder investigations if the wrong information was being circulated. Facebook commentary on community notice pages doesnt carry the same weight in the legal system, and it can be difficult to secure a prosecution with only this to go on. I encourage people to please think carefully before posting online. Mr Whiteley is aware another person was mistakenly identified on Facebook as being the dogs owner and is sorry about this. The wrong person was getting blamed, so I asked the council staff to apologise to her for me. Though it wasnt my doing; I wasnt the one that put it on social media. Public Interest Journalism funded through NZ On Air. Whakatane District Council and Bay of Plenty Regional Council will be contributing 50-50 to the cost of a new bridge across a rural road in Thornton. The bridge, estimated to cost between $600,000 and $800,000, is to replace a culvert on Smith Road, which serves two properties. The culvert, which allows a tributary of the Tarawera to pass under the road, is the responsibility of the district council as a roading asset. A report to last weeks infrastructure and planning committee said the culvert is not due for renewal as it had not reached the end of its life, so the project was not budgeted for. However, the regional council, which is responsible for drainage on the Rangitaiki Plans, had approached the district council to co-fund the project as it wanted to upsize the culvert to allow for better water flow and flood mitigation. While water was passing through the culvert, it was not large enough to enable a good flow and flushing for water quality purposes. Committee chairman Wilson James reminded councillors that much of the plains as reliant on the regional councils drainage and pump scheme. When that culvert is needed, it is needed big time, he said. Infrastructure general manager Bevan Gray said although the culvert had not failed yet, ideally, a culvert should be above the water line, so it was possible to see the water flowing through it. It is completely submerged, now, so you get stagnation, and you get weed building up. Its not draining enough. The committee agreed to fund 50 percent of the project through an internal loan. Although the council would seek Waka Kotahi funding to cover part of this, staff were not optimistic as the fact that the road served only two properties meant it did not stack up well against the transport agencys funding criteria. However, the report speculated it was possible recent weather events and resilience challenges may affect Waka Kotahis position. Other options were presented to the committee, such as asking the regional council to fund 100 percent of the cost and to recover the district councils 50 percent as a loan repayment, or not commit to the project at all. The former of these options would mean possibly having to accept a repayment timeframe that may result in some funding misalignment and the latter would leave the council with the full cost of replacing the culvert when the time came and leave the district at risk from a flood mitigation perspective. A report would go to the regional councils September meeting for agreement to co-fund the project. Public Interest Journalism funded through NZ On Air. Europa Press Madrid Compartir Copiar enlace WhatsApp Facebook Twitter LinkedIn Telegram High temperatures of up to 38C and storms will affect a large part of the south and the inland areas of the eastern half of Spain this Wednesday, 16 August, according to the forecast issued by Aemet. The state weather agency has activated heat or storm warnings in fourteen provinces. Aemet has warned of a high temperature risk in Cordoba, Jaen, Granada, Mallorca and Albacete, which will reach or exceed 38 degrees; and in Huesca, Zaragoza, Teruel, Madrid, Cuenca, Barcelona, Gerona and Lerida, where it will reach 34 to 37C. Meanwhile, Aragon and Navarre provinces will have a storm warning this Wednesday, some of which may be accompanied by strong or very strong gusts of wind, heavy rainfall and hail. Ampliar Aemet This Wednesday will generally be marked by cloudy skies with some high clouds and there maybe some weak and scattered rain, which will tend to subside in the north of Galicia and the Cantabrian area. Ampliar Morning intervals of low cloud are also expected in areas in the south, as well as in the Strait of Gibraltar, along the Alboran coast and areas of the Levante. In the afternoon, there will be patches of low clouds in the north-eastern quadrant of the mainland and, more scattered, in the inland areas of the rest of the eastern third of the country and the northern plateau, with the probability of thunderstorms, generally dry. These storms could be accompanied by occasional showers in the mountains and Aemet does not rule out locally heavy storms with hail in inland areas of the north-east. The Canary Islands, meanwhile, will have partly cloudy or clear skies on Wednesday, with intervals of low clouds in the north of the islands of with higher mountain ranges. Haze could affect the Canary Islands, the eastern third of the Iberian peninsula, the Balearic Islands and Melilla, and morning mist or fog is not ruled out in the interior areas of Galicia, the Cantabrian Sea and the far eastern part of the Iberian Peninsula, as well as coastal fog in the Strait of Gibraltar. Temperatures will fall in the Canary Islands, while they will rise in Galicia and the Strait of Gibraltar. Minimum temperatures will rise in the Cantabrian Sea and no changes are expected in the rest. Finally, trade winds will blow in the Canary Islands, north and north-easterly winds will prevail in Galicia and the Cantabrian Sea, and south-easterly winds will blow in the Balearic Islands. In the rest of the country, light winds will prevail in general, easterly in the Mediterranean area and westerly in the Atlantic. The total volume of investment in the chemical products industry in Saudi Arabia amounted to about SR470 billion ($125.31 billion), a report quoting the Ministry of Industry and Mineral Resources (MIM) said. These investments constitute 35% of the total volume of investments in the industrial sector, a Saudi Gazette report said quoting Al-Eqtisadiah. According to a report issued by the National Center for Industrial and Mining Information affiliated to the ministry, the total number of industrial licenses issued by MIM from the start of 2023 until the end of June reached 557. The total number of industrial establishments in Saudi Arabia has reached 10,982 with an investment value exceeding SR1.4 trillion, the report said. BAKU, Azerbaijan, August 16. In accordance with the instruction of President of the Republic of Azerbaijan Ilham Aliyev, the return of former internally displaced persons to the restored city of Lachin continue, Trend reports. Another group of citizens was sent from the Gobu Park 3 residential complex in the Garadagh district of Baku on August 9. At this stage, another 18 families (65 people) moved to the city of Lachin. The resettled families will settle in the houses where they once lived in Lachin, which were restored or rebuilt on the basis of instructions from the head of state after the end of the Armenian occupation. Lachin residents thanked President Ilham Aliyev and First Lady Mehriban Aliyeva for their comprehensive care, expressed gratitude to the valiant Azerbaijani army, which liberated the lands from occupation. Thus, until today, a permanent settlement in the city of Lachin has been provided for 221 families - 858 people. LACHIN, Azerbaijan, August 16. The visit of foreign journalists to Azerbaijan's Lachin has kicked off, Trend's Karabakh Bureau reports from the scene. Foreign journalists, including representatives of 8 Turkish media structures, got acquainted with the restoration and construction works carried out in Lachin, as well as observed the working process at the Lachin border checkpoint. Representatives of foreign media were provided with extensive information about the activities of the border checkpoint. The guests were told about the conditions created, with the assistance of the International Committee of the Red Cross (ICRC), for the free movement of residents of Armenian origin living in the Karabakh region of Azerbaijan Current Print Subscribers will be prompted to either login to their current site user account or to create a new one. A confirmation email will be sent when a new user account is created, which must be confirmed within three days in order to provide uninterrupted online access through your Print Subscription. Once the email address is confirmed please provide your Account Number to activate your Print Subscription Service. Syracuse, N.Y. -- FuzeHub, a nonprofit organization that matches up New York startups and small- and medium-sized companies with colleges and industry experts, has awarded $50,000 grants to help two Central New York manufacturers further their development of new products. The government-funded grants will go to: Rensselaer Polytechnic Institute and Douglas Outdoors LLC , of Phoenix, to produce a prototype of a fishing rod made of thermoplastic composites, a first of its kind in the recreational fishing market, using a novel manufacturing process called hot roll wrapping. The Research Foundation for the State University of New York on behalf of CNY Biotech Accelerator and CathBuddy Inc., of Syracuse, to support testing required for U.S. Food and Drug Administration approval of a reusable catheter. The grants are among $500,000 in funding provided by FuzeHubs Innovation Fund to 10 collaborative projects around the state to spur technology development and commercialization. Funding for the grants comes from Empire State Developments Division of Science, Technology and Innovation (NYSTAR) and the U.S. Economic Development Administration. To be eligible for the grants, manufacturers must partner with a not-for-profit organization, often a university, on the development of a new process or product, and other projects to advance manufacturing capabilities. The FuzeHub grants flow through the not-for-profit organizations to assist with the research and development. Douglas Outdoors sells high-end fly-fishing rods. FuzeHub said thermoplastic composites and the new manufacturing process being developed through its partnership with Rensselaer Polytechnic Institute will improve rod performance and durability and significantly reduce manufacturing time and cost. The company is owned by the family of the late former state Sen. H. Douglas Barclay. In 2018, Douglas Outdoors won a $883,500 state grant to support its plan to build a combination tourist destination and fishing rod plant on the Salmon River. The company wound up turning down the grant, however. At the time, Barclays son, Assembly minority leader Will Barclay, said he did not know the company had applied for the grant because he had only a small ownership interest in the firm, which is run by his brother, David. He said he asked his brother to turn down the funding because it might look like a conflict of interest for his familys company to receive a state grant while he is a member of the Assembly. On Wednesday, Barclay said he did not know about the government-funded FuzeHub grant until informed by a reporter for syracuse.com | The Post-Standard. However, he said the new grant appears different than the previous one because the money will flow through Rensselaer Polytechnic Institute, the partner in the project with Douglas Outdoors. I wasnt involved in the last one and Im not involved in this one, but this one seems like its one step farther removed, he said. It doesnt strike me as problematic as the last one. Rick Moriarty covers business news and consumer issues. Got a tip, comment or story idea? Contact him anytime: Email | Twitter | Facebook | 315-470-3148 SANTA FE, N.M. (AP) Prosecutors have received a second expert analysis of the revolver fired in the fatal shooting of a cinematographer by Alec Baldwin on the set of a Western film in New Mexico, as they weigh whether to refile charges against the actor. Baldwin has said the gun fired accidentally after he followed instructions to point it toward cinematographer Halyna Hutchins, who was behind the camera in rehearsal. Baldwin said he pulled back the hammer but not the trigger and the gun fired, fatally wounding Hutchins on Oct. 21, 2021, at a movie ranch on the outskirts of Santa Fe. Special prosecutors dismissed an involuntary manslaughter charge against Baldwin in April, saying they were informed the gun might have been modified before the shooting and malfunctioned. They commissioned a new analysis of the gun, along with other weapons and ammunition from the set of the movie, Rust, which moved filming from New Mexico to Montana. The new gun analysis from experts in ballistics and forensic testing based in Arizona and New Mexico relied on replacement parts to reassemble the gun fired by Baldwin after parts of the pistol were broken during earlier testing by the FBI. The new report examines the gun and markings it left on a spent cartridge to conclude that the trigger had to have been pulled or depressed. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver, states the analysis led by Lucien Haag of Forensic Science Services in Arizona. This aerial photo shows the movie set of "Rust" at Bonanza Creek Ranch in Santa Fe, N.M., on Saturday, Oct. 23, 2021. (AP Photo/Jae C. Hong, File)AP An attorney for Hannah Gutierrez-Reed, the weapons supervisor on the movie set, disclosed the report in a court filing Tuesday. Gutierrez-Reed has pleaded not guilty to involuntary manslaughter and evidence tampering in the case. Her trial is scheduled to begin in December. Defense attorneys for Baldwin did not immediately reply to an email Tuesday seeking comment on the gun analysis. A publicist declined comment. Special prosecutor Kari Morrissey said in an email Tuesday that a formal announcement on whether to refile any charges against Baldwin is forthcoming but didnt say how soon. In an early June court filing, prosecutors gave themselves 60 days to renew a case against Baldwin, contingent on a determination that the gun did not malfunction. A possible malfunction of the gun significantly effects causation with regard to Baldwin, they wrote. Authorities have not specified exactly how live ammunition found its way on set and into the .45-caliber revolver made by an Italian company that specializes in 19th century reproductions. The company Rust Movie Productions has paid a $100,000 fine to state workplace safety regulators following a scathing narrative of safety failures in violation of standard industry protocols, including testimony that production managers took limited or no action to address two misfires on set before the fatal shooting. An August FBI report on the agencys analysis of the gun found that, as is common with firearms of that design, it could go off without pulling the trigger if force was applied to an uncocked hammer such as by dropping the weapon. The only way the testers could get it to fire was by striking the gun with a mallet while the hammer was down and resting on the cartridge, or by pulling the trigger while it was fully cocked. The gun eventually broke during the testing. In Tuesdays court filing, Gutierrez-Reeds attorneys asked for new safeguards at trial to ensure the movie armorer cant be convicted if negligence by any other person was the only significant cause of death or changed the course of events in unforeseeable ways. Morrissey criticized the defenses request for special jury instructions as premature and a bid for media attention. Defense attorneys said they plan to present evidence that Gutierrez-Reed asked assistant director and safety coordinator David Halls to call her back into rehearsal if Baldwin was going to use the gun. She said that didnt happen before Hutchins was shot. In March, Halls pleaded no contest to a conviction for unsafe handling of a firearm and received a suspended sentence of six months of probation. He agreed to cooperate in the investigation of the shooting that also wounded director Joel Souza. Jason Bowles, an attorney for Gutierrez-Reed, said the new analysis of the gun that was fired at Hutchins supports the idea that there was no modification to the gun prior to the fatal shooting and that it fired as designed when broken parts were replaced. The new firearms report contains images of the broken, disassembled gun as delivered in July, along with images taken from a video of Baldwin in rehearsal prior to the fatal shooting, with his finger apparently resting on the trigger of the pistol. From an examination of the fired cartridge case and the operationally restored evidence revolver, this fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger, the report from Haag states. The only conceivable alternative to the foregoing would be a situation in which the trigger was already pulled or held rearward while retracting the hammer to its full cock position. Indian Lake, N.Y. State police are asking residents of two Hamilton County towns Tuesday to check surveillance and game cameras for sightings of a 2002 Jeep Wrangler. Troopers said the Jeep was driven by Ann M. Favro, 57, of Saranac Lake, who has been charged Saturday with the murder of David J. Chenier, 32, of Indian Lake. Police are asking residents and businesses between Big Brook Road in Indian Lake and the town of Blue Mountain Lake to review camera footage from Saturday between 7:30 and 8:30 p.m. Residents on State Route 28 and 30 in the towns of Long Lake and Blue Mountain Lake are asked to look out for anything suspicious found on their property or in garbage containers. If anything is found, police ask residents to notify the state police at 518-873-2750. Favro was arrested following a traffic stop Saturday and was charged with second-degree murder. She is being held at the Hamilton County jail without bail. Shes accused of fatally shooting Chenier, who was found dead on Big Brook Road after a shots fired call to police around 8:12 p.m. Staff writer Fernando Alba covers breaking news, crime and public safety. Have a tip, story idea, question or comment? Reach him: Email | Twitter or at 315-690-6950. Veteran, N.Y. A 45-year-old man was discovered dead off the side of a road in Chemung County Monday after his motorcycle hit a deer, state troopers said. Someone driving on Smith Road in the town of Veteran found the man around 7:30 a.m. in a ditch and called 911, troopers said in a news release Tuesday. Veteran is between Elmira and Watkins Glen. The man, identified as Patrick L. Hess, of Cayuta, Schuyler County, was driving a Honda motorcycle when he hit the deer, police said. Hess was pronounced dead at the scene, police said. Staff writer Fernando Alba covers breaking news, crime and public safety. Have a tip, story idea, question or comment? Reach him: Email | Twitter or at 315-690-6950. AGHDAM, Azerbaijan, August 16. The visit of members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam has kicked off, Trend's Karabakh Bureau reports from the scene. The delegation is headed by Assistant to the President of Azerbaijan - Head of the Foreign Policy Department of the Presidential Administration Hikmet Hajiyev. The guests got acquainted with the construction of a bridge across the Khachin River. The main purpose of the visit is to get acquainted with the current potential of the road infrastructure of the Karabakh Economic Zone, primarily the Aghdam-Khankendi highway, as well as the Barda-Aghdam railway. The visitors will be informed about the destruction committed in Aghdam during the Armenian occupation, which lasted almost 30 years. Guests will also have to get acquainted with the large-scale construction works that are currently being carried out in the city. Syracuse, N.Y. Most of the highest-paid workers in Onondaga Countys suburban school districts were superintendents during the 2021-2022 school year, according to state data. Fifteen of the 25 highest-paid school employees in county districts outside Syracuse were superintendents, including the highest-paid school employee in the region, East Syracuse Minoa Superintendent Donna DeSiato. DeSiato was paid $238,638 last year. The New York State Department of Transportation has opened two outreach centers in Syracuse for people to learn more about the $2.25 billion Interstate 81 project. State and city officials cut a ribbon Wednesday outside Tucker Missionary Baptist Church to mark the opening of one outreach center there. The church is at 515 Oakwood Ave., a neighborhood within view of the elevated highway. The church has made space available on the second floor for community members to visit DOT staff Tuesdays and Thursdays from 2 to 6 p.m. The state has opened a second outreach site in the Hills Building, at 217 Montgomery St., Syracuse. That site will be open Mondays, Wednesdays and Fridays from 10 a.m. to 4 p.m. It is another public demonstration from state officials that they have started the massive project to rebuild I-81 in Syracuse. Gov. Kathy Hochul and other officials gathered in July for an official groundbreaking. After more than a decade of debate, the state and federal governments have settled on the so-called community grid a plan to remove 1.4 miles of elevated highway and send local traffic to street level and high-speed traffic around the city on Interstate 481. Construction has begun in the northern suburbs, where I-81 meets I-481. The state also recently awarded the second contract to build out the southern interchange of those two highways. An opposition group called Renew 81 for All has sued the state and federal governments, saying they did not follow the proper environmental review before settling on the community grid option. A state supreme court justice ordered the state DOT to complete three more environmental reviews, but allowed construction to begin in the suburbs. Both sides have appealed the decision. Also this week, the city announced the appointment of a new director to coordinate with entities involved in the redevelopment of the neighborhood around the elevated highway. Sarah Walton will be director of the East Adams Redevelopment, part of the Department of Neighborhood and Business Development. Walton has worked as director at Third Sector, a Boston based nonprofit; and as associate executive director at the Syracuse Northeast Community Center. The Syracuse Housing Authority plans to tear down hundreds of aging apartment units at the same time the state demolishes the viaduct. Tucker MIssionary Baptist Church is across the street from that housing. Read more about I-81: A groundbreaking cements a clear direction for I-81 in Syracuse: Finally, this day has arrived After a mixed decision in court, NY sticks to plan to remove I-81 through Syracuse Heres what the first part of Syracuses I-81 construction will look like (maps) Video: See how new I-690 exits will change commute to Syracuse University, hospitals, dome What can Syracuse learn from Rochester about rebuilding a neighborhood split by a highway? 7 tips How much are taxpayers spending to keep I-81 in Syracuse safe before NY tears it down? For historic remake of public housing, Syracuse turns to a firm with a track record and a heart Contact Michelle Breidenbach | mbreidenbach@syracuse.com | 315-470-3186. To the Editor: In an Aug. 8, 2023, letter to the editor (Claw back pay from Solvay officials who mismanaged electric fund), Connie Brown made the case that those responsible for the village of Solvay mismanaged funds should be held accountable. From the board members who saw the first signs of this activity, we couldnt agree more and we thank you for the concern. The question is: Who effected the illegal transfers of funds from the electric department to the general fund? The method is insidious. The states report showed that 30% of bills randomly sampled from 2020-2022 that were paid by the electric department were inappropriate. This means that those inappropriate bills that were paid out of the electric fund should have been charged and paid out of the General Fund. This is how the electric fund has been depleted. There are no board-approved budget modifications, only the quiet submission of general fund bills to the electric department for payment. It would be the same as putting your own bills in your neighbors mailbox then hoping they would not notice and just make payment. If you were to add up all of the approved budget modifications for the general fund, even over a 10-year period, they would only amount to a fraction of the $9 million that the state has reported as being moved improperly. None of those budget modifications included money that came illegally from the electric fund. Modifications were within the general fund only to move money from a line item with excess balance to a depleted line item in need of replenishment. The first hint that something else was affecting our finances occurred when the checks written by the mayor bounced, totaling $1.6 million. Coupled with this were additional complaints from the electric department superintendent about the improper bill submissions and a treasurer about lack of funds (both are no longer working for the village for obvious reasons). At that point, we took away the mayors check-writing authority and initiated the complaint to the state comptroller to investigate, which resulted in the report. Review this for yourself using Open Book New York data. Expenses are always greater than $1 million over revenues starting with the current mayor since 2019, after spending $3.7 million in excess in his first year in 2018. No other mayor has done this and no other state entity has, either. Ever. We feel that the remedy to this is to stop the mayor from this practice and force him to follow the remediation activity mandated by the state. As the mayor has so far avoided accountability through his surreptitious means by using electric department funds without approval, we do not expect him to comply. At risk is the solvency of the electric department and the specter of National Grid taking over the village and Lakeland. The mayor has also stated that we need a rate study to increase the electric rates, but a crucial step of sending the audited financial figures for the electric department for 2022 fiscal year has not been completed and the state Public Service Commission will not proceed without it. This being the eighth month of 2023, one would wonder what or who is holding that up. Solvay Electric customers should attend the next board meeting at 5:30 p.m. Thursday, Aug. 17, 2023, in Solvay Village Hall, demanding answers and accountability. Remember a recent Syracuse.com article that ranked Solvay as #3 in the state for tax rates? Add the $9 million to the taxes and we are easily No. 1 with little to show for it except an annual carnival. With that in mind, we conclude that the viability of Solvay since Allied Chemical closed has been completely dependent on having low electric rates and losing that will result in losing the village. Bill McVicker | Solvay Trustee Deb DeGilio | Solvay Trustee Ray Fougnier | Former Solvay Trustee John Cregg | Former Solvay Trustee Related: olvay has mismanaged its electric fund for years, NY comptroller says Around noon on August 9, a 23-year-old hiker from Canada tumbled 40 feet off the highest waterfall in the Trap Dike, a boulder-strewn crevice carved into Mount Colden in the central Adirondacks. The hiker suffered significant head, rib, hip, and leg injuries in the fall. Mount Colden and Marcy Dam caretakers were the first to reach the hiker as eleven New York State Department of Environmental Conservation forest rangers arrived via NYS police helicopter. Rangers set up a multi-pitch, steep-angle rope rescue. After safely packaging the injured man into a litter, rangers and other rescuers successfully lowered him down the Trap Dike and carried him to a NYSP helicopter hovering on the edge of Avalanche Lake. The patient was flown to the hospital at 5:45 p.m. DEC reminds hikers that the Trap Dike is a Class Four climb and should only be attempted by those with the proper experience and equipment. The following reports are excerpted from DEC: On August 8, a 16-year-old from Brooklyn drowned after jumping 30 feet into the water hole at Fawns Leap, in the Kaaterskill Wild Forest. A 16-year-old, part of a group of six who traveled on August 8 from Brooklyn, jumped 30 feet into the water hole at Fawns Leap, in the Kaaterskill Wild Forest. Heavy rainfall the previous night had created strong currents in the swimming hole, and the teen was pulled under. A ranger entered the water with a rescue pole, but was unable to find the subject. A New York State Police diver found the subject five feet underwater, pinned against a ledge. A Greene County Paramedic declared the swimmer dead. Rangers and other volunteers packaged his body into a litter and raised him out of the creekbed. A 61-year-old paddler from Gardiner hurt his leg while canoeing Raquette Lake on August 11. A ranger piloted a boat to the man and escorted him back to shore before providing a courtesy ride to the mans vehicle. A 19-year-old from Syracuse broke his leg on Saturday afternoon while hiking near Tinkers Falls. A 19-year-old from Syracuse broke his leg on Saturday afternoon while hiking near Tinkers Falls. A ranger patrolling nearby at Labrador Hollow Unique Area arrived to splint the mans leg. A Tully Ambulance Paramedic provided further aid. Rescuers carried the man out to a waiting ATV, which took him to an ambulance. Also on August 11, a 49-year-old hiker from Connecticut hurt her ankle near the Lake Colden Outpost. An assistant forest ranger encountered the injured hiker and splinted her ankle while rangers walked in to help load her on a helicopter for a flight to the hospital. On the morning of August 9, a 69-year-old hiker from Gansevoort injured his ankle approximately 1.4 miles along the Watch Hill Trail, in the town of Indian Lake. On the morning of August 9, a 69-year-old hiker from Gansevoort injured his ankle approximately 1.4 miles along the Watch Hill Trail, in the town of Indian Lake. Rangers packaged the hiker and carried her to the beach, and then took her by boat to the Indian Lake Islands Campground. Indian Lake EMS drove the patient to the hospital. On August 11, a 38-year-old from Colorado hurt his leg after jumping off a rock in Kaaterskill Creek. Rangers bushwhacked down the steep terrain and provided first aid. Then they helped the man and his family through the woods and up a steep slope to Route 23A, where they were provided a courtesy ride. Sign up for the CNY Outdoors Newsletter Enter your email address to get weekly updates on CNY outdoors news delivered to your inbox: READ MORE Rangers find Upstate NY man with medical condition who went missing for five days - newyorkupstate.com Rangers rescue eight hikers stranded by flash flood in Catskills - newyorkupstate.com Rescuers search overnight, find elderly woman who wandered away from Upstate New York home - newyorkupstate.com New Jersey hikers hauled chairs up Catskills highest peak, ticketed for leaving them - newyorkupstate.com Rangers find lost kid in ADKs, break up fight at Hamilton County campground - newyorkupstate.com Steve Featherstone covers the outdoors for The Post-Standard, syracuse.com and NYUP.com. Contact him at sfeatherstone@syracuse.com or on Twitter @featheroutdoors. You can also follow along with all of our outdoors content at newyorkupstate.com/outdoors/ or follow us on Facebook at facebook.com/upstatenyoutdoors. A bald eagle was spotted on July 29 sitting on a fence post at Elm Farm in Richmondville, Schoharie County. The following day, the eagle was again seen under a tree in a cow pasture, apparently unable to fly. After a short chase, a New York State Department of Environmental Conservation police officer (EC0) captured the eagle in a net and determined that it had a severe wing injury. The eagle also had an identification band on its leg. The ECO transported the injured eagle to Friends of the Feathered and Furry Wildlife Center in Hunter for immediate treatment. A local eagle expert provided historical information about the bird, indicating that it had been released about five weeks earlier, nearly two hours away, after recovering from a previous injury. The eagle is recuperating at the facility. A boat ran aground on the night of August 2 near Mohican Island on Lake George. ECOs towed the disabled boat back to the shore. No one was injured. The following reports are excerpted from DEC: A boat ran aground on the night of August 2 near Mohican Island on Lake George. ECOs aboard a patrol vessel searched the area and found a man in the water trying to pull the boat ashore near near Turtle Island. The mans teenage daughter remained onboard while another passenger had already swam a third of a mile back to his home to retrieve another boat with which he hoped to tow the disabled boat. After checking to ensure the swimmer was safe, ECOs towed the disabled boat back to the residence. No one was injured. On the evening of August 9, a resident in the town of Niskayuna found an injured great horned owl in their woodshed, unable to fly. An ECO successfully collected the injured owl and transported it to a local wildlife rehabilitator for assessment and treatment. ECOs caught a boat fishing outside the New York State boundary in federal waters on July 20, seizing 100 fish, mostly porgy. From seizing undersized porgy to a foot chase over fluke, ECOs in Suffolk and Richmond counties recently conducted a series of fishing compliance checks. On July 20, ECOs caught a boat fishing outside the New York State boundary in federal waters and seized 100 fish, including black sea bass and porgy. The captain faces federal charges from the National Oceanic and Atmospheric Administration. On July 22, ECOs patrolling Oak Beach Pier seized several undersized fluke, tautog, and blue crabs from two fishermen, who also admitted to catching the fish with a cast net. The pair received eight tickets. On July 23, ECOs chased four anglers through a parking lot at Fox Beach who were later caught with 22 over-the-limit and undersized fluke. The anglers got 11 tickets. On July 26, ECOs tracked down a poachers vessel at midnight in Huntington Harbor and found an oversized striped bass in a hidden compartment. The captain received three tickets. On July 30, while on patrol in Long Island Sound, ECOs issued 15 citations to several anglers, including violations for catching and keeping undersized and out-of-season fish. On Aug. 2, ECOs inspecting a commercial fish market in Montauk discovered untagged fish waiting to be packed by a dealer along with 100 pounds of black sea bass packed in unlabeled containers. ECOs ticketed the market. Sign up for the CNY Outdoors Newsletter Enter your email address to get weekly updates on CNY outdoors news delivered to your inbox: READ MORE Upstate New York deer poacher gets jail time for unpaid fines on multiple violations - newyorkupstate.com Upstate New York man charged for killing bear, hiding it under swimming pool - newyorkupstate.com Conservation cops catch Upstate NY anglers for netting 71 fish, ticket tree thief - syracuse.com New Jersey hikers hauled chairs up Catskills highest peak, ticketed for leaving them - syracuse.com Rangers find lost kid in ADKs, break up fight at Hamilton County campground - newyorkupstate.com Steve Featherstone covers the outdoors for The Post-Standard, syracuse.com and NYUP.com. Contact him at sfeatherstone@syracuse.com or on Twitter @featheroutdoors. You can also follow along with all of our outdoors content at newyorkupstate.com/outdoors/ or follow us on Facebook at facebook.com/upstatenyoutdoors. By Joshua Solomon | Times Union, Albany Albany, N.Y. Assembly Speaker Carl E. Heastie paid a visit to Rotterdam and Colonie Tuesday. He toured a future aquatic center and a food bank with the respective local Assembly members. If Heastie, the Bronx Democrat who wields significant state influence, had stopped by the Super 8 motel in Rotterdam or the Best Western Hotel in Colonie, he likely would have been denied entry into the facilities that have become controversial shelters during the migrant crisis. Heastie, if treated like a member of the public or press, would have been approached by individuals wearing black shirts with security or caseworker written on them. He also may have been limited in getting too close to the hotels, which have been roped off to limit public access, or had he tried to approach and ask questions of migrants nearby. The speaker of the Assembly also wouldnt have seen any identification for the people talking to him on behalf of the for-profit health care company, DocGo, which is tasked with sheltering the migrants across New York under a $432 million taxpayer-funded contract that it secured with New York City officials. DocGo is facing increasing scrutiny from state officials for how its carried out the terms of its contract, a rare instance in which a for-profit company is in charge of running human shelters in New York. Still, Heastie took questions from reporters on the migrant crisis at the Regional Foodbank of Northeastern New York in Latham and expressed some concerns about how the crisis has been handled. He has faced questions on the states response to the crisis during his recent stops on his statewide tour, in which he attends events with lawmakers who are often the subject of primary or general election challenges and receive financial support from the campaign arm of the Assemblys Democrats. Asked whether the state should bar for-profit companies from running human shelters, even during states of emergency, Heastie said he needed time to think about the question. Were under extraordinary circumstances, Heastie said. Id probably have to dig a little deeper to understand the entire circumstances. I do know for the most part we do like not-for-profits to do it, but I dont know enough to give you that answer. Asked if the state should investigate or audit the handling of the migrant crisis, Heastie pointed to the need to first get through the situation in which tens of thousands of migrants are pouring into New York City and there has been a shortage of places to house and feed them. The crisis led New York City to hire DocGo, which has bused hundreds of migrants to upstate hotels, sparking tensions and court battles with local community leaders who say the move was done without coordination and has overwhelmed their resources. I think because of the situation that were in, its like youre just trying to stick your finger in all of the holes where water is coming through, Heastie said. At some point, Im sure well try to review whats going on. But I think right now, the state and particularly the city of New York are just trying to play catch up. The speaker quickly pivoted to a point that he and his political counterparts the governor and state Senate majority leader often make. Theres no way the the state is going to be able to financially deal with this migrant crisis without federal intervention, Heastie said. He acknowledged that the political dynamics in Washington, D.C., likely dictate that it is not in the interest of the Republicans, who run the House, to help because I think this is a great political issue for them. Instead, Republicans, ahead of the presidential election cycle next year, have suggested they are leaving Democrats to clean up what the GOP views as the lefts failed border policies. Democrats in Washington contend the ongoing crisis is a byproduct of failed immigration policy, which they say has been held up by Republicans. President Joe Biden, a Democrat up for reelection next year, has not acted on the requests made by Hochul and Democratic leaders in the region and has remained largely silent on the crisis. Assemblyman Phil Steck, D-Colonie, told reporters regardless of whether the migrants apply for and are accepted for asylum, we should start off by making sure that while they are here, they are treated humanely. DocGos executives have maintained the migrants under their oversight are receiving satisfactory care. The companys CEO, Anthony Capone, told investors last week a story in the New York Times highlighting questionable conditions for the migrants left out an important fact: The latest weekly polling of over 500 migrants in our care, which shows that more than 85 percent of them believe they are being supported and their needs are being met. In response to questions about the poll from the Times Union, an unnamed spokesperson for DocGo said it was taken by case managers at the hotels during their regular check-ins. Do you feel like you are getting enough support? migrants were asked by the people responsible for taking care of food, shelter and health. The poll was conducted on July 17 and its unclear whether the migrants were allowed to answer the questions anonymously. We are working hard to preserve these migrants basic human dignity and help change their lives for the better, Capone told the investors.To the thousands of DocGo employees listening, over 2,000 of which are shareholders, the work you do matters. Every day your efforts are helping some of the worlds most vulnerable and helpless citizens to find safety, support and a better life. You should be proud of the work you do. I certainly am. ___ (c)2023 the Times Union (Albany, N.Y.) Visit the Times Union (Albany, N.Y.) at www.timesunion.com By Joshua Solomon | Times Union, Albany Albany, N.Y. The battle for control of Congress next year could hinge on the outcome of a court battle over New Yorks political boundaries that is set to be argued before the Court of Appeals in November. The case, filed on behalf of the Democratic Congressional Campaign Committee, could lead to the redrawing of the boundaries for New Yorks 26 congressional districts. The districts in last years election were drawn by a political scientist a redistricting expert who was appointed by a conservative justice following the Court of Appeals ruling that found Democrats unfairly drew congressional maps to favor their party. The expert designed boundaries intended to emphasize competitiveness between the two major political parties. The result was one of the strongest showing for Republicans in the state in recent decades. The GOP also narrowly seized the majority in the U.S. House of Representatives, lifted by its wins in New York. Democratic petitioners have until Sept. 18 to file their legal briefs at the Court of Appeals. The Republicans have until Oct. 23 to file their response. The case is set to be argued before the Court of Appeals in mid-November. Unlike the redistricting case last year, brought by Republican voters and supported by the state party, this case is facing a split decision heading to the Court of Appeals. A lower court ruled in favor of the Republicans and a mid-level court, in a split decision, recently ruled in favor of the Democrats. (I)n the complete absence of any explicit direction, we decline to infer that the court intended its decision to have further ramifications than strictly required, appellate justice Elizabeth A. Garry wrote in the 3-2 decision last month. The case addresses the question of whether the maps drawn last year should remain in place for the entire decade or be replaced by maps made under the prescribed constitutional process. The redistricting process plays out every decade following the U.S. Census count, ensuring voters that their voice is fairly represented. Democrats have argued the congressional map should be redrawn because the map created last year was only a temporary fix. Republicans have argued the congressional map should stay in place for the remainder of the decade because the state constitution does not allow for a wholesale change of the map after its been put into place. The boundaries have politically benefited Republicans and hurt Democrats, although neither side has argued in court that the case is political. Last year, the Court of Appeals, led by then-Chief Judge Janet DiFiore, ruled 4-3 in favor of the Republican petitioners. It agreed with prior decisions made by both the lower and mid-level courts. The Court of Appeals said that judicial oversight is required to facilitate the expeditious creation of constitutionally conforming maps for use in the 2022 election and to safeguard the constitutionally protected right of New Yorkers to a fair election. DiFiore has since departed from the court. Rowan Wilson, then an associate judge who joined the dissenters in that case, is now chief judge. Associate Justice Caitlin Halligan was nominated by Gov. Kathy Hochul and recently confirmed by the Senate. She is the only judge on the Court of Appeals who did not hear the redistricting case last year. ___ (c)2023 the Times Union (Albany, N.Y.) Visit the Times Union (Albany, N.Y.) at www.timesunion.com Two people have been rescued after a car was swallowed by a sinkhole in Upstate New York, according to multiple reports. WHAM reports the car crashed into a sinkhole around 5 a.m. Wednesday near Covington Road and St. Paul Boulevard in Irondequoit. A witness said he and a neighbor pulled two people out of the vehicle, which was pictured on its side inside the sinkhole. My Adrenaline is still pumping as me and my neighbor just pulled a women and her passenger out of a sinkhole at the end of street! I heard a loud collision and the a woman screaming for help! Anthony DeSalvo wrote on X (formerly Twitter). The poor woman was scared and very disoriented when I arrived at the sink hole. WHAM reports the two people were taken away from the scene by ambulance. WROC says they suffered minor, non-life-threatening injuries. According to WROC, the area of St. Paul Boulevard was closed to traffic as the vehicle was pulled out of the sinkhole. Authorities said they suspect a storm drain failed, leading to the sinkhole opening. WHEC reports storms overnight led to flooding after 2-3 inches of rain fell in parts of Greece, Irondequoit, Rochester, Gates and Chili. A car was trapped in a large puddle near an underpass on North Union Street near Aaugusta Street and had to be towed away. Several areas of Monroe County were under a flash flood warning Wednesday morning. Just whoosh: Flash floods crept inside in minutes, winds shattered windows in CNY storms Hear and see deluge of rain as it floods a Central NY townhouse complex (video) Earlier this year, syracuse.com set out on a mission to identify the Top 10 all-time New York State Fair foods the ones that belong in what were dubbing the The New York State Fair Food Hall of Fame. We asked more than two dozen frequent fairgoers to pick their favorites. And our reporters and editors here at syracuse.com weighed in as well. These are the iconic foods that define the NYS Fair. The ones you cant go without on a visit to the fair. The ones you tell first-timers they MUST try. Each day leading up to the Fair, well induct another Fair food into our completely-made-up NYS Fair Food Hall of Fame. *** Geddes, N.Y. Of the 10 inductees entering our New York State Fair Food Hall of Fame, just one contains members from all five food groups. When you bite into this girthy sandwich, youre climbing to the top of the good ol food pyramid. With that undersized napkin from Restaurant Row, youre wiping away fruits, vegetables, grains, protein and dairy all at once. Thats why its our pleasure to welcome at the No. 5 slot ... THE GYRO! (Cue the applause and sappy music. Cue the tears from the high school kids assembling thousands of these tasty pita wraps from Kikis and King Davids, the two top gyro sellers on the Fairgrounds.) Kikis or King Davids, I never leave the Fair without grabbing one, said Johnathan Croyle, a syracuse.com journalist whos helped rank food at the fair for the past 10 years. The messier the better. They can be messy, thats for sure, but theyre filling and not all that bad for you. (I mean, its got to be healthier than a sausage sandwich or fried dough covered in sugar, right?) Before we move onto the sandwich itself, lets clear one thing up: How do you pronounce this thing? The Merriam Webster dictionary says gyro is pronounced yee-row. The g is silent, so it rhymes with hero. Most Americans dont really care what Merriam Webster thinks, so we pronounce it jye-row. Even Nader Hatem, the owner of King Davids restaurant in Fairmount, pronounces it jye-row, and his family has been selling Middle Eastern food at the Fair and restaurants for 25 years. I just did a commercial, and thats how I pronounced gyro, he said. People were saying, Wait a minute, isnt it yee-row? I just told them we pronounce it how people here say it. I have no problem with that. OK, enough talk. The gyros protein is ground lamb and beef, usually mixed with onion, garlic and other spices. Its mashed together into one dense cylindrical loaf and roasted on a rotating spit. Because the cooked meat is shaved from the moving spindle, the first few chunks have a pleasantly crisp bark. All that goes into a pocketless pita. Some swipe the pita with tzatziki sauce, others drizzle the sauce over the top of the meat. Regardless, both King Davids and Kikis make their own yogurt-and-cucumber-based tzatziki sauce each morning. Its all about the ingredients, Hatem said. There are a lot of great gyros here, both locally and from elsewhere. Were lucky. A gyro from King David's at the New York State Fair. (Charlie Miller | cmiller@syracuse.com) The meat can be topped with red onions, cucumbers, pitted Kalamata olives, lettuce and tomatoes. (By the way, tomatoes, cukes and olives are technically fruits that nutritionists treat as a veggies.) In the end, youll be walking away with more than a pound of food for $10 to $13. This meal is wrapped in foil as an attempt to make it portable without spilling tzatziki all over your arm. You still might want to grab a fork and some extra napkins. Its a good amount of food at a reasonable price, Bob Cosselmon, owner of Kikis Authentic Greek Food in Camillus, said on Monday as he readied his stand for this years Fair. I grew up coming to the Fair and eating gyros from Ye-Ero or Christos. I love them so much that here I am selling them. And here Amy Robbins is eating them. Robbins is the radio personality who serves as the voice of the Fair. She is a Fair expert and a voter in our Hall of Fame. She ranked the gyro higher than Tullys tenders and Dippin Dots, the ice cream of the future. (Sorry, Amy, that wasnt even close to making the Hall.) (The gyro) is actually one food item that I never miss at the Fair, she said. If you ever ask me to admit in public that I eat lamb, Id say no. Since its in a gyro surrounded by pita bread and tzatziki sauce, then its kind of easy to forget its a young sheep. **** Charlie Miller finds the best in food, drink and fun across Central New York. Contact him at (315) 382-1984, or by email at cmiller@syracuse.com. (AND he pays for what he and his guests eat and drink, just so you know.) You can also find him under @HoosierCuse on Twitter and on Instagram. Sign up for his free weekly Where Syracuse Eats newsletter here. See the rest of the list, so far: Teen Mom: The Next Chapter is back with another drama-filled episode, You Know the Stakes, on Wednesday, August 16, as the stars of Teen Mom 2 and Teen Mom OG continue to navigate the challenges of parenthood. Teen Mom: The Next Chapter season 1, episode 24, You Know the Stakes, airs at 8 p.m. ET on MTV. It can be streamed live on Philo (free trial) and other live TV services. Stream Teen Mom: The Next Chapter on Philo (free trial). Briana encounters a medical alarm as she discovers a worrisome lump in her underarm. Jades parents depart for rehab, leading Cheyenne to grapple with Ryders sleeping patterns. Leah undertakes the task of assisting her father in finding rehab, but the situation takes a downturn when he unintentionally upsets her. Ashleys frustration mounts as Bar fails to complete his community service paperwork ahead of his court appearance. The Teen Mom: The Next Chapter new episode, No White Flags, will air at 8 p.m. ET on Wednesday, August 9. New episodes air every Wednesday at the same time. Where can I stream Teen Mom: The Next Chapter for free? Teen Mom: The Next Chapter can be streamed live on Philo (free trial), fuboTV (free trial), DirecTV Stream (free trial) and Sling (half off first month). What TV channel is Teen Mom on? Teen Mom: The Next Chapter airs on MTV. Channel Finder: Verizon Fios, Comcast Xfinity, Spectrum/Charter, Optimum/Altice, DIRECTV, Dish. What will happen this week? According to an episode synopsis, Briana has a medical scare when she finds a suspicious lump in her underarm. Jades parents leave for rehab while Cheyenne struggles with Ryders sleep habits. Leah tries to help her dad find a rehab, but it all comes crashing down when he offends her. Ashley is super frustrated with Bar who didnt get his community service paperwork completed before his court date. What is Teen Mom: The Next Chapter about? According to MTV, The casts of Teen Mom OG and Teen Mom 2 face the reality of parenthood at different stages. What is Philo? Philo is a live TV streaming service focused on entertainment channels like MTV, Paramount Network, Discovery and more. It offers 60+ channels for the budget-friendly price of $25 per month. It has unlimited DVR, and can be streamed on all your favorite app-enabled devices. Right now, you can try Philo free for 7 days. BAKU, Azerbaijan, August 16. First resident of Azerbaijan's Fuzuli will go back to their homeland on August 18, State Committee for Refugees and Internally Displaced Persons told Trend. In total, 144 families will be resettled at the initial stage, who are still experiencing difficulties living in temporary accommodation in Baku, Sumgayit and on the territory of the Absheron district. On August 5, the State Committee for Refugees and Internally Displaced Persons held a draw between families who will be resettled in Fuzuli at the first stage. Some 144 families (509 people) took part in the draw. Thus, some 51 families will be provided with two-room apartments, 76 families with three-room apartments and 17 families with four-room apartments. AGHDAM, Azerbaijan, August 16. During the visit to Azerbaijani city of Aghdam, members of the diplomatic corps and military representatives accredited in Azerbaijan, also saw the Aghdam-Khankendi road, Trend's Karabakh Bureau reports from the scene. The visit started earlier today, the main purpose of which is to visually familiarize with the current potential of the road infrastructure of Karabakh economic zone, primarily the Aghdam-Khankendi highway, as well as the Barda-Aghdam railroad. In addition, the guests were informed about the devastation committed in Aghdam during the Armenian occupation that lasted almost 30 years. The guests are also witnessing the ongoing massive construction work in the city. The guests also were provided with information about the Aghdam-Barda highway and railway line. Then they were shown the deployment area where the Russian peacekeeping contingent is stationed. The roads are open for humanitarian purposes. The Aghdam-Khankendi road connects the mountainous part of Karabakh with the lowland part. But, unfortunately, Armenians are still obstructing it from being used. Jeroen Distinguished - BHPian Join Date: Oct 2012 Location: Delhi Posts: 7,482 Thanked: 42,905 Times View My Garage Re: My Car Hobby: A lot of fiddling, and some driving too! Jaguar XJR, Mercedes W123 & Alfa Romeo Sp We discussed the oil consumption problem at length. I also checked the spark plugs. But they were fine. If there had been a problem with the oil scraper rings and or the valve seals or guides, you would have noticed heavy oil and soot on one of the spark plugs. We had two options, tear the engine apart again, or drive it and see if it improves over time. We opted for the latter for several reasons. Taking the engine out of the car and opening it up is a big job. It is also that you would like to prevent. On these old cars, when you fix one thing, you might break something else again. So it is a last resort. Earlier Marc had spoken to the supplier/manufacturer of the piston, rings and liners. They had advised not to be too worried about oil consumption up to 4-5000 kilometres after an overhaul. So we decided I will take my Spider to Scotland in a couple of weeks, rake up another 2000 km and we take it from there. If there is still too much oil consumption we will need to open the engine once again. But if anything we will have met the criteria for running in as set by the manufacturer. Also, later this year, it is less of a hassle if the Spider is with Marc for several weeks. I want to drive it now! Come October or November it is time for the big maintenance jobs once again. I did pop over to Marc later to pick up some extra oil, two trailing arms and the diabolo buffer. We think the rear axle might still have a bit of play in it. So I want to replace this before heading out to Scotland. About 25 years ago, Peter and I replaced the same parts on his and my Spider in an afternoon. As I don't have a proper car lift it is two man job. Peter is coming this Saturday to help me. After I had spoken to Marc I popped over to my local CarGlass to have the Jeep front window replaced. Only a 10-minute drive from where we live. I am still very annoyed with myself for having damaged this window. New window at the ready! Pulling the old rubber seal away was easy. The next part was really impressive. I had not seen this before. As with most cars, the window is glued/kitted into the window frame, i.e. into the chassis. Getting it out can be quite a challenge. These guys, being the ultimate professionals, (replacing windows is all they do) had this very nifty little tool. It is placed on the inside of the window. It consists of several drums with very strong, thin wire and gears to wind the wire in. The wire is then stretched alongside the outside skirt of the window. With everything set up properly, they take an electric drill to power this nifty device and the wire cuts through the old glue/kit like a warm knife through butter. All done in 90 seconds!! The old window with some of the kit still sticking to it. The chassis with the old window was removed. Still needed a bit of cleaning to get the old kit removed. Once everything was cleaned we did a very careful inspection to make sure we did not have any rust anywhere. This was one of my main worries. But apart from a tiny spot, which they treated everything looked perfect. The only thing, during this operation of pulling out the window they also damaged the microphone wire as it is hidden behind the trim and somehow got caught up in all of this. Easy fix. The new window was thoroughly cleaned and polished, with its rubber seal fitted and kit applied. The window frame was all cleaned and prepared. They popped it back in, which was a very fiddly job, to get it back into the correct position. The window needs to be pushed into its correct position for about half an hour for the kit to set properly. I am very pleased with the result. Took these guys about an hour and a half. I had been thinking about doing this myself. I have replaced the rear window of the Mercedes. But that one is not glued, held in place by its rubber seal only. Removing these glued windows is very tricky if you don't have the correct tools and experience. Also, you don't want any leaks after you have replaced the window. So I was very happy to leave this to the professionals. Drove the Jeep home, jumped into the Mini and drove to my local Euromaster for the new tires. Euromaster and Carglass are only about 150 meters apart. With the Mini on the lift, I also could have a bit of a close-up look at the brakes and suspension components. I had taken my special callipers and measured the disc brakes on the front and rear. The brake pads are fine. I will need to check that measurement against the value in the workshop manual. A bit of rust here and there. Nothing out of the ordinary I would say. Also, very important, all the rubber on the ball joints and CV axle look in good nick!! With four new Michelin Cross Climate 2 fitted I drove over to Marc to pick up the parts I showed earlier. Quite a difference with these new tires, a much more comfortable ride and considerably less noise. Back home again, I put the Mini into my garage. But not before I had given it another very thorough wash. I also clay-barred the paint. First time I have the Mini in my garage! Started masking the various bits, for a very thorough polish with my fancy polishing machine. It took about two hours to polish the roof! So it will be several days of working on the Mini before it will be all done. I have put the Spider and W123 into their pyjamas. Just a precaution, with these machine polishers, before you know it, there is polishing paste everywhere! Long day, fiddling with my cars from 0800 till 2000. I did manage to mow the lawn in between as well. Looks like I have my work cut out for the next few days. I am suffering from a bit of a chronic elbow, so I need to be a bit careful with this repetitive polishing and waxing movement. So I work on the Mini for 20-25 minutes, do something else for 20-25 minutes and so on. We will get there. The roof, although not waxed yet, looks a hell of a lot better already. Jeroen Yesterday was very much a "car day". At 08.00 o'clock I was calling Marc who had just come back from his holiday about the Spider.We discussed the oil consumption problem at length. I also checked the spark plugs. But they were fine.If there had been a problem with the oil scraper rings and or the valve seals or guides, you would have noticed heavy oil and soot on one of the spark plugs.We had two options, tear the engine apart again, or drive it and see if it improves over time. We opted for the latter for several reasons. Taking the engine out of the car and opening it up is a big job. It is also that you would like to prevent. On these old cars, when you fix one thing, you might break something else again. So it is a last resort.Earlier Marc had spoken to the supplier/manufacturer of the piston, rings and liners. They had advised not to be too worried about oil consumption up to 4-5000 kilometres after an overhaul. So we decided I will take my Spider to Scotland in a couple of weeks, rake up another 2000 km and we take it from there. If there is still too much oil consumption we will need to open the engine once again. But if anything we will have met the criteria for running in as set by the manufacturer. Also, later this year, it is less of a hassle if the Spider is with Marc for several weeks. I want to drive it now! Come October or November it is time for the big maintenance jobs once again.I did pop over to Marc later to pick up some extra oil, two trailing arms and the diabolo buffer. We think the rear axle might still have a bit of play in it. So I want to replace this before heading out to Scotland. About 25 years ago, Peter and I replaced the same parts on his and my Spider in an afternoon. As I don't have a proper car lift it is two man job. Peter is coming this Saturday to help me.After I had spoken to Marc I popped over to my local CarGlass to have the Jeep front window replaced. Only a 10-minute drive from where we live.I am still very annoyed with myself for having damaged this window.New window at the ready!Pulling the old rubber seal away was easy.The next part was really impressive. I had not seen this before. As with most cars, the window is glued/kitted into the window frame, i.e. into the chassis. Getting it out can be quite a challenge.These guys, being the ultimate professionals, (replacing windows is all they do) had this very nifty little tool. It is placed on the inside of the window.It consists of several drums with very strong, thin wire and gears to wind the wire in. The wire is then stretched alongside the outside skirt of the window.With everything set up properly, they take an electric drill to power this nifty device and the wire cuts through the old glue/kit like a warm knife through butter. All done in 90 seconds!!The old window with some of the kit still sticking to it.The chassis with the old window was removed. Still needed a bit of cleaning to get the old kit removed.Once everything was cleaned we did a very careful inspection to make sure we did not have any rust anywhere. This was one of my main worries. But apart from a tiny spot, which they treated everything looked perfect. The only thing, during this operation of pulling out the window they also damaged the microphone wire as it is hidden behind the trim and somehow got caught up in all of this. Easy fix.The new window was thoroughly cleaned and polished, with its rubber seal fitted and kit applied.The window frame was all cleaned and prepared.They popped it back in, which was a very fiddly job, to get it back into the correct position.The window needs to be pushed into its correct position for about half an hour for the kit to set properly.I am very pleased with the result. Took these guys about an hour and a half. I had been thinking about doing this myself. I have replaced the rear window of the Mercedes. But that one is not glued, held in place by its rubber seal only.Removing these glued windows is very tricky if you don't have the correct tools and experience. Also, you don't want any leaks after you have replaced the window. So I was very happy to leave this to the professionals.Drove the Jeep home, jumped into the Mini and drove to my local Euromaster for the new tires. Euromaster and Carglass are only about 150 meters apart.With the Mini on the lift, I also could have a bit of a close-up look at the brakes and suspension components.I had taken my special callipers and measured the disc brakes on the front and rear. The brake pads are fine. I will need to check that measurement against the value in the workshop manual.A bit of rust here and there. Nothing out of the ordinary I would say. Also, very important, all the rubber on the ball joints and CV axle look in good nick!!With four new Michelin Cross Climate 2 fitted I drove over to Marc to pick up the parts I showed earlier. Quite a difference with these new tires, a much more comfortable ride and considerably less noise.Back home again, I put the Mini into my garage. But not before I had given it another very thorough wash. I also clay-barred the paint.First time I have the Mini in my garage!Started masking the various bits, for a very thorough polish with my fancy polishing machine.It took about two hours to polish the roof! So it will be several days of working on the Mini before it will be all done.I have put the Spider and W123 into their pyjamas. Just a precaution, with these machine polishers, before you know it, there is polishing paste everywhere!Long day, fiddling with my cars from 0800 till 2000. I did manage to mow the lawn in between as well.Looks like I have my work cut out for the next few days. I am suffering from a bit of a chronic elbow, so I need to be a bit careful with this repetitive polishing and waxing movement.So I work on the Mini for 20-25 minutes, do something else for 20-25 minutes and so on. We will get there. The roof, although not waxed yet, looks a hell of a lot better already.Jeroen Last edited by Jeroen : 15th August 2023 at 09:00 . BAKU, Azerbaijan, August 16. The Ambassador of Mexico in Azerbaijan, Maria Victoria Romero Caballero, thanked Azerbaijan for giving her the opportunity to visit Aghdam. The Ambassador told reporters during a visit to Aghdam by members of the diplomatic corps and military representatives accredited in Azerbaijan, Trend reports. She expressed her gratitude to the Azerbaijani government for extending the invitation and stated that she was looking forward to learning more about the development and rehabilitation efforts being made in Aghdam. The ambassador added, "One of the many things that has so far pleased me the most would be the pomegranate trees planted along the road. Additionally, on August 16, a delegation of roughly 100 diplomats and military personnel from 12 international organizations and 45 different nations began their tour to Aghdam. The main purpose of the visit was to visually familiarize oneself with the current potential of the road infrastructure of the Karabakh economic zone, primarily the Aghdam-Khankendi highway as well as the Barda-Aghdam railroad. In addition, the guests will be informed about the devastation committed in Aghdam during the occupation that lasted almost 30 years. The guests will also be familiarized with the massive construction projects that are currently taking place in the city. Roark Newbie Join Date: Jun 2023 Location: Mumbai Posts: 10 Thanked: 6 Times Re: List of experiences as opposed to itineraries | Enjoy your holiday instead of a "to-do" list Perfectly timed thread!! Every year we have the same 'kalesh' at home. Where to travel and how to travel! I have been insisting on one aspect - the state of mind governs where one wants to travel or visit. This is arguably a contentious topic but just feel that is the case. A calm mind would rather visit places among nature, away from the hustle and bustle as compared to rambunctious places like Goa, and a vice versa. This is though up for debate. Missus, after having met couple of her friends who have travelled to foreign shores, starts making the customary noises of travelling to distant lands i.e. foreign countries. And for me, somehow I feel, I rather explore our country first. I rather spend more time in lost places, unheard of towns and villages in our country than follow the traditional 'touristy' approach. Somehow I just feel inclined to travel within than outside. And it just not because of cost though the pricing mechanics works out in local travel favour. Generally speaking, it is a straight 2 is to 1 approach i.e. 2 leisure travels of about 10-15 days in India as opposed to 1 scrounged-boot-campish foreign trip of 10-12 days, so to speak. And there in lies the nub of our problem. Where to travel! Whew! Add a third joker in the pack now - a 13 year old - with a mind of her own and boy does it get interesting on planning a holiday. For me, for any travel - abroad or local - I look to put the major nuts and bolts in place and then leave enough room for un-attended and un-intended detours. It is critical - to know the sun set timings, travel distances etc. A friend was travelling to Uttaranchal was quite unaware of the distance to time ratio and also the sun setting timings. With 2 kids and a wifey in tow, he had a harrowing time at one of the tourist spot as he miscalculated the time taken to travel the distance (generally twice in the hills as compared to plains). Sun set early and all hell broke loose for him. I would definitely want to avoid such run-ins and thus time, distance, locations etc, I look to plan before hand, where as missus looks at locations to visit and hotels and some interesting places to eat. But I would agree, traditional travelling i.e. ticking off the touristy places, FOMO, 'paisa-vasool' mentality tend to over ride 'getting an experience'. What to do!! We are like this only!! A recent study released by enterprise security software and services provider BlackBerry revealed that 75% of organizations worldwide are implementing or considering implementing workplace bans on ChatGPT and other generative AI applications. However, experts questioned by TechNewsWorld were skeptical of the effectiveness of such bans. The study, based on a survey of 2,000 IT decision makers in North America, Europe, and Asia by OnePoll, also found that 61% of organizations deploying or considering bans intend the measures as long-term or permanent, with risks to data security, privacy, and corporate reputation driving decisions to take action. Such bans are essentially unenforceable and do little more than to make risk managers feel better that liability is being limited, declared John Bambenek, a principal threat hunter at Netenrich, an IT and digital security operations company in San Jose, Calif. What history shows us is that when there are tools available that improve worker productivity or quality of life, workers find a way to use them anyway, he told TechNewsWorld. If that usage is outside the controls or visibility of the organization, security teams simply cannot protect the data. Every employee has a smartphone, so bans dont necessarily work very well, added J. P. Gownder, a vice president and principal analyst at Forrester Research, a market research company headquartered in Cambridge, Mass. The reason employees use these tools is to be more productive, to speed up their efficiency, and to find answers to questions they cant answer easily, he told TechNewsWorld. Gownder recommended that employers provide corporate-approved tools that meet their employees needs. By doing so, they can architect generative AI solutions for the workforce that are secure, that use techniques to minimize hallucination, and that can be audited and traced after use, he said. Blanket Bans on AI Perilous Greg Sterling, co-founder of Near Media, a news, commentary, and analysis website, pointed out that companies with blanket bans on AI do so at their own peril. They risk losing out on the efficiency and productivity benefits of generative AI, he told TechNewsWorld. They wont be able to fully ban AI tools, he said. AI will be a component of virtually all SaaS tools within a very short period of time. As a practical matter, companies cannot fully control their employees device usage, Sterling added. They need to better educate employees about the risks associated with the usage of certain apps, rather than simply implement bans. Nate MacLeitch, founder and CEO of communication solutions provider QuickBlox, questioned the follow-through of companies telling surveyors they planned to impose bans. I think 75% is higher than it will be in reality, he told TechNewsWorld. What will happen is a lot of the generative AI stuff will be woven into applications and services that organizations will use, although there will definitely be controls someplace. Ultimately, a total ban on a new, growing, beloved technology isnt going to work completely, added Roger Grimes, a defense evangelist with KnowBe4, a security awareness training provider in Clearwater, Fla. It might actually work in preventing the leak of confidential information, but the technology itself is going to thrive and grow around any bans, he told TechNewsWorld. Bans can create a competitive risk to an organization, he contended. Once competitors start seeing competitive advantages from AI, and they will, the bans will have to come down, or else the organization wont be surviving or thriving, he said. Unworkable Approach John Gallagher, vice president of Viakoo, a provider of automated IoT cyber hygiene in Mountain View, Calif., maintained that bans on using generative AI in the workplace are unworkable, especially at this stage of the technologys development when its uses are rapidly changing. Should an organization ban use of Bing because its search results incorporate generative AI? he asked. Can employees still use Zoom, even though new features incorporate generative AI, or will they be limited to specific versions of the app that do not have those features? Such bans are nice in theory but practically cannot be enforced, Gallagher told TechNewsWorld. He maintained that bans could do more harm than good to an organization. Controls that cannot be enforced or tightly defined are eventually going to be ignored by workers and discredit future efforts to enforce such controls, he said. Loosely-defined bans should be avoided because of the reputational damage they can result in. Why Ban AI? Barbara J. Evans, a professor of law and engineering at the University of Florida, explained that organizations might impose workplace AI bans for a number of reasons. Generative AI software tools at least at present have the potential to provide low-quality or untrue information, she explained to TechNewsWorld. For consultants, law firms, and other businesses that provide information services to their customers, selling wrong information can lead to lawsuits and reputational harms. Evans noted that another significant concern is the privacy and security of proprietary and confidential business information. When posing questions to a generative AI tool, employees might reveal business secrets or confidential information about their customers, she said. When you read the privacy policies for these tools, Evans added, you may find that by using the tool, you are agreeing that the tool developer can use whatever you reveal to them to further refine their model or for other uses. She contended that companies may also ban AI as a matter of employee relations. People are concerned about being replaced by AI, and banning the use of AI in the workplace might be a good way to boost employee morale and send a signal that we arent looking to replace you with a robot at least, not this generation of robots,' Evans explained. Making AI Safe Organizations are banning AI in the workplace out of an abundance of concern, but in addition to risks, the benefits of the technology should be considered, too, maintained Jennifer Huddleston, a technology policy research fellow at the Cato Institute, a Washington, D.C. think tank. New technologies like AI can help employees improve their efficiency and productivity, but in at least some cases still require humans to check the accuracy of their results or outputs, she told TechNewsWorld. Rather than a flat-out ban on the use of a technology, organizations may want to consider if there are other ways that they can address their specific concerns while still empowering employees to use the technology for beneficial purposes, Huddleston said. Evans added that, ultimately, humans may have to harness AI to help them regulate AI. At some point, we humans may not be fast enough or smart enough to catch the AIs errors, she said. Perhaps the future lies in developing AI tools that can help us quickly fact-check the outputs from other AI tools an AI peer-review system that harnesses AI tools to peer-review each other. But if 10 generative AI tools all agree that something is true, will that give us confidence that it is true? she asked. What if they are all hallucinating? In what might belong in the better late than never category, the U.S. government and the computing industry are ramping up efforts to deal with seemingly runaway cybersecurity issues. On Friday, the Department of Homeland Security announced that its Cyber Safety Review Board (CSRB) will conduct a review on cloud security involving the malicious targeting of cloud environments. The initiative will focus on providing recommendations for government, industry, and cloud services providers (CSPs) to improve identity management and authentication in the cloud. Initial efforts will review last months Microsoft cloud hack in which researchers found that Chinese hackers forged authentication tokens using a stolen Azure Active Directory enterprise signing key to break into M365 email inboxes. The hack led to the theft of emails from approximately 25 organizations. The board will then expand to issues involved with cloud-based identity and authentication infrastructure affecting applicable CSPs and their customers. This part of the review may have even more widespread significance in fixing broken cybersecurity processes. US Enhances Cloud Security Measures The CSRBs role is to assess significant incidents and ecosystem vulnerabilities and make recommendations based on the lessons learned. According to government officials, the board brings together the best expertise from industry and government. The Boards findings and recommendations from this assessment will advance cybersecurity practices across cloud environments and ensure that we can collectively maintain trust in these critical systems, offered Cybersecurity and Infrastructure Security Agency (CISA) Director Jen Easterly. In a related announcement on Aug. 8, the National Institute of Standards and Technology (NIST) released a draft of an expanded cybersecurity framework version 1.0 it first introduced in 2014. Cybersecurity Framework (CSF) 2.0 is the first revision of the cybersecurity assessment tool since then. After considering more than a years worth of community feedback, NIST released the new draft version of the Cybersecurity Framework (CSF) 2.0 to help organizations understand, reduce, and communicate about cybersecurity risk. It reflects changes in the cybersecurity landscape and makes it easier to implement the cybersecurity framework for all organizations. With this update, we are trying to reflect current usage of the Cybersecurity Framework and to anticipate future usage as well, said NISTs Cherilyn Pascoe, the frameworks lead developer. The CSF was developed for critical infrastructure like the banking and energy industries, but it has proved useful everywhere, from schools and small businesses to local and foreign governments. We want to make sure that it is a tool thats useful to all sectors, not just those designated as critical, she added. Dovetailing Earlier Cyber-Safety Strategies The White House on Thursday opened a request for information for public comment on open-source software security and memory-safe programming languages. The goal is to build on its commitment to invest in developing secure software and software development techniques. The request for public comment also seeks to advance initiative 4.1.2 of the National Cybersecurity Strategy Implementation Plan the White House released to secure the foundation of the internet. The White House on July 13 issued the National Cybersecurity Strategy Implementation Plan (NCSIP). It identifies 65 initiatives led by 18 different departments and agencies designed as a roadmap for implementing the U.S. National Cybersecurity Strategy it released in March. Responses are due by 5:00 p.m. EDT on October 9, 2023. For information on submitting comments, see the Fact Sheet: Office of the National Cyber Director Requests Public Comment on Open-Source Software Security and Memory Safe Programming Language. Microsoft Response May Set Precedent According to Claude Mandy, chief evangelist for data security at Symmetry Systems, the above-referenced Microsoft cloud breach highlighted two issues. First, it revealed how Microsofts commercial constructs bundle needed security features with other products. The intent is to restrict customers from selecting competitive products on a commercial basis, he said. That restricts companies from having essential security features without paying for more than what is needed. In this case, it involves logs in the authentication process, according to Mandy. The second revelation is that details on how the breach occurred and what potential impact and data could be impacted are still vague, with no certainty provided by Microsoft, Mandy proffered. That happened despite the focus and investment from Microsoft on cybersecurity as a revenue stream. As an industry, we are demanding more transparency, he told TechNewsWorld. The most significant lesson from this breach for organizations, he noted, is that logging and monitoring of data events or data detection and response is the biggest lever that one has in the cloud to detect, investigate, and respond to security incidents, particularly those involving third parties. Most interesting in the short term from this review will be how far the precedent that Microsoft has set in committing to provide these logs at zero cost will be adopted or enforced upon other cloud service providers, he said. Half of Cloud Security Faults Ignored The Qualys Threat Research Unit analyzed the state of cloud security and released findings earlier this month. Researchers discovered that misconfigurations in cloud security providers provided ample opportunities for threat actors to target organizations, especially when combined with externally facing vulnerabilities that remained exposed and put organizations at risk, according to Travis Smith, VP Threat Research Unit at Qualys. Across the three major cloud security providers, configuration settings designed to harden cloud architectures and workloads were only enabled correctly roughly 50% of the time. On a similar note, 50.85% of externally facing vulnerabilities remain unpatched, he told TechNewsWorld. While a review will provide visibility into the risks of moving computing resources to the cloud, it does not appear that organizations are heeding that warning, Smith confided. That finding does not bode well for better cybersecurity. The researchers first review focused on vulnerabilities in Log4J. Cyber experts are seeing that Log4Shell is still widely prevalent in cloud environments, with patches found 30% of the time, he offered. No Solution for Key-Based Cloud Security Key-based security will always have this breaching problem. There is always, in some sense, a master key, one key to rule them all, suggested Krishna Vishnubhotla, VP of product strategy at Zimperium. So just choosing robust cryptographic algorithms and schemes is not enough. The more significant concern is protecting the keys from being exfiltrated and abused. Keeping keys secure is not a sound practice in most enterprises, he told TechNewsWorld. Multicloud and hybrid cloud are pervasive throughout the enterprise, from computing to authentication. Therefore, the master key represents access to all enterprise systems. Whether enterprises should entrust their master keys to Cloud Providers or if the enterprises should take on this responsibility is the real question, he suggested. New Cybersecurity Framework Holds Promise Efforts to update security recommendations could be an uphill battle beyond actual cyber experts. One of the perennial problems in cybersecurity is how to talk about security to leadership and the board quantitatively, offered John Bambenek, principal threat hunter at Netenrich. Expanding these frameworks to all organizations and not just critical infrastructure opens the door to being able to do so in a consistent way across the economy and hopefully will lead to more buy-in of using security to reduce business risk, he told TechNewsWorld. The addition of a sixth function, govern, is a clear message to organizations that to be successful, there also must be actively managed policies and processes underpinning the other functional areas, praised Viakoo CEO Bud Broomhead. For example, governance should ensure that all systems are visible and operational and that enterprise-level security processes and policies are in place. To the five main pillars of a successful cybersecurity program, NIST has added a sixth, the govern function, which emphasizes that cybersecurity is a major source of enterprise risk and a consideration for senior leadership. (Credit: N. Hanacek/NIST) Expanding the scope of the NIST framework to all forms of organizations, not just critical infrastructure, acknowledges how every organization faces cyber threats and needs to have a plan in place for managing cyber hygiene and incident response, Broomhead explained. This is already the case with cyber insurance, and NISTs recent update will help organizations not just reduce their threat landscape but also be better positioned for compliance, audit, and insurance requirements on cybersecurity, he told TechNewsWorld. Step in the Right Direction NISTs update should also push more organizations to work with managed service providers on their cyber hygiene and cybersecurity governance, Broomhead urged. Given that NIST expands its scope to include smaller organizations, many will find that a managed service provider is the best way to make their organization compliant with the NIST Cybersecurity Framework v2.0. The latest update to the Cybersecurity Framework is an excellent refresh of one of the best cybersecurity risk frameworks, offered Joseph Carson, chief security scientist and advisory CISO at Delinea. Its great to see the framework moving on from simply a focus of critical infrastructure organizations and adapting to cybersecurity threats by providing guidance to all sectors, he told TechNewsWorld. This includes the new govern pillar acknowledging the changes in the way organizations now respond to threats to support their overall cybersecurity strategy. Facepalm: San Francisco has been experiencing problems with autonomous taxis for at least a year, with authorities and others reporting numerous incidents. The latest glitch causing public inconvenience comes just as two companies gained the right to operate autonomous vehicles in the city around the clock. A fleet of self-driving cars from taxi company Cruise recently became stuck in the middle of three San Francisco streets, causing a massive traffic jam. It's the second time Cruise robotaxis have blocked traffic, supposedly due to a software glitch. The recent jam that gained the most attention occurred in North Beach. Videos on social media depict at least several self-driving cars stopped in the middle of the street with their hazard lights blinking. Human drivers can be seen stuck behind and between the robotaxis. Cruise responded by claiming that a large nearby festival consumed so much network bandwidth that it interrupted the vehicle connectivity. If true, the incident could expose network conditions as a potentially significant weakness for self-driving cars. Other Cruise vehicles became immobile on Balboa Street and 30th Avenue around the same time, preventing people from leaving the Golden Gate Park music festival and causing road closures between Balboa and Anza streets. A police officer successfully drove one car out of the jam but couldn't gain access to the others. Hi @friscolive415 - A large festival posed wireless bandwidth constraints causing delayed connectivity to our vehicles. We are actively investigating and working on solutions to prevent this from happening again. We apologize to those who were impacted. cruise (@Cruise) August 12, 2023 The company's robotaxis caused a similar traffic jam last July when at least five cars inexplicably stopped in the middle of an intersection. Cruise had no idea what caused the disruption. The incident was one of almost 100 troublesome occurrences involving autonomous cars that city authorities reported between May and December 2022. In some of those, firefighters said that the vehicles obstructed their work. Emergency services also complained of wasted time and resources when Cruise staff called 911 after passengers fell asleep in its robotaxis. Some activists started taking drastic steps to voice their displeasure with the emerging technology. Safe Street Rebel, an anti-autonomous car group, began sabotaging self-driving vehicles by placing traffic cones on their hoods, forcing them to stop. The organization is against self-driving cars due to safety and surveillance concerns, as the robotaxis operate using multiple cameras. The protest is part of an effort to make roads safer for bikers and pedestrians while encouraging more funding for public transportation. Despite the reports and protests, California recently granted autonomous rideshare companies Cruise and Waymo permission to operate their vehicles 24 hours a day. More incidents and demonstrations are likely to occur. Facepalm: Always remember that even if something claims to be on sale, it isn't always the cheapest option. In Dell's case, not only was this true for the monitors it was offering to buyers of other products, but the displays were also more expensive than elsewhere on its website. As such, Australia's Federal Court has fined the company AU$10 million ($6.5 million) for false and misleading representations. Between August 2019 and mid-December 2021, Dell Australia's website generously offered monitors to buyers of its computers as an optional add-on. They showed a high price with a strikethrough line and a lower price underneath, suggesting customers were getting a discount as a reward for purchasing their PCs. The problem was that the strikethrough price wasn't actually how much Dell Australia usually charged, and the lower price was sometimes higher than what the company charged for the monitors when bought separately. Dell sold more than 5,300 add-on monitors with overstated discounts, making around AU$2 million ($1.29 million) in the process. The Australian Competition & Consumer Commission (ACCC) went to the courts over the matter. Dell admitted to misleading customers and agreed to refund them (plus interest) or offer compensation to those affected. Dell also had to contribute to ACCC costs, and agreed that statements about total savings, as well as getting the best price for monitors when purchased with another product, were misleading. The company must also hire an "independent compliance professional" and contact affected customers. "This outcome sends a strong message to businesses that making false representations about prices or inflating discounts is a serious breach of consumer law and will attract substantial penalties," said Liza Carver, commissioner of the Australian Competition and Consumer Commission. Dell originally said that the incident was "an unrectified error on our part." It changed that explanation slightly in a statement to The Reg. "Due to an error in Dell's pricing processes, there was incorrect information displayed on our website about the pricing and savings associated with certain monitors," it read. Dell said that it is taking steps to improve its pricing process to ensure this sort of error does not happen again. Dell isn't alone when it comes to making dubious savings claims, of course. Plenty of companies adopt similar tricks, like using prices from years ago as the "usual" MSRP, which is why price trackers are so useful. Recap: Intuitive Machines is a Huston-based company developing private landers to bring technology demo payloads to the Moon. The venture was awarded a contract by NASA some years ago, and the management now says it's almost ready to fulfill its long-overdue promises. Speaking during a recent investors call, Intuitive Machines' CEO Steve Altemus said that the company's Nova-C lander is "completely built" and almost ready to fly off. The lander will be delivered and "ready to go" in September, Altemus stated, even though it still needs some weeks of testing before reaching that point. IM was selected by NASA, together with other private space companies, to deliver research payloads and technology demonstration devices to the Moon. The company's plans are behind schedule, but it's already reserving room in future missions to send advanced technology payloads made by third-party companies like Nokia. Even if Nova-C is finally complete, it isn't ready to fly yet. Altemus said that the lander will now need two weeks of tests for its flight control software, subsequent electromagnetic interference tests, and finally a "center-of-gravity measurement." After validation, Nova-C will be shipped to Florida and installed aboard SpaceX's Falcon 9 rocket, a process that will seemingly take 35 days. The Intuitive Machines-1 (IM-1) mission is currently scheduled for launch from November 15 through November 20, with the lift-off from Launch Complex-39A at Kennedy Space Center. The schedule could change, Altemus said, as weather conditions and high-priority missions from Pentagon or NASA would come first. A backup launch window for IM-1 is available in December 2023. If everything goes according to plans, IM-1 will deliver Nova-C near the Moon's South Pole a first for a US-led space mission. Polar regions are long believed to harbor large deposits of water ice, which could play a crucial role in the future of Moon exploration and human settling ambitions stated in NASA's Artemis Program. During his earnings call, Steve Altemus said that Intuitive Machines's business is going strong with $18 million in revenue collected during the second quarter of its fiscal year. Operating loss amounted to $13.2 million, while the company has $39.1 million in cash and cash equivalents on hand. All of IM's lines of business, which include lunar landers, space data servers, and "in-space services," are seemingly showing growth. What just happened? Apple's "batterygate" controversy has been dragging on since 2017, but the iPhone-throttling lawsuit looks set to be completed after a judge rejected an appeal from two owners who objected to the settlement and wanted more than the $65 they'll receive. Following an investigation in 2017 that suggested a link between the performance capabilities of an iPhone and the age of its battery, Apple admitted that the iOS 10.2.1 (and 11.2) release for the iPhone 6, iPhone 6s, 6s Plus, iPhone SE, iPhone 7, and iPhone 7 Plus did slow them down. While some claimed this was planned obsolescence forcing customers to upgrade to the latest model of iPhone the company insisted that throttling the speed of the devices with degraded batteries prevented them from spontaneously shutting down, which was happening even when the battery levels were at 30%. Apple eventually apologized for the situation, launched a temporary discounted battery replacement program that charged $29 instead of the usual $79, and released software updates that indicated whether a degraded battery is causing throttling to occur. Users could also choose whether they wanted to enable the feature. Whatever the truth, the fact Apple hadn't notified customers first led to a class-action lawsuit that the company settled in 2020 for $500 million, but a couple of iPhone owners objected to some of the terms and sought a larger payout. The appeal reached the 9th Circuit Court of Appeals but was recently denied. The deadline for submitting a claim passed in October 2020. About 3 million people filed, which means those who were approved will receive around $65. It's not just the US where batterygate continues to haunt Apple. Cupertino is also facing a $2 billion lawsuit in the UK over the controversy, a legal case that Apple says is "baseless." The company was also fined $27 million by France's Competition and Fraud body, the DGCCRF, which held Apple accountable for not informing owners of what was going on with the iOS updates. The watchdog said doing so constituted a misleading commercial practice by omission. A hot potato: We've often heard that while most employees want to work from home, their bosses are demanding they get back in the office. However, according to a new survey, 8 out of 10 executives regret their return-to-office plans and would have done things differently if they'd had better access to workplace data. Envoy and Hanover Research surveyed over 1,156 senior executives (vice president or greater) and workplace managers across five industries in the US about how workplace data is used to make smarter, informed decisions. A massive 80% of participants said they regretted the initial RTO plans, admitting they would have approached things "differently" if they had access to accurate workplace data. Furthermore, 52% of executives admit to not being able to make a critical workplace decision because they lacked the necessary data to assess operational and real estate needs. "Many companies are realizing they could have been a lot more measured in their approach, rather than making big, bold, very controversial decisions based on executives' opinions rather than employee data," said Larry Gadea, Envoy's CEO and founder. The report notes that the lack of data can be costly as hybrid workers tend to come and go, with policies varying based on location. "This makes it impossible for workplace managers to know how many people are onsite on any given day, and how to best allocate space and resources across the organization." Back in May, it was reported that despite offices in cities reaching 50% residency rates at the start of the year, the figure has barely increased as most firms opt for a hybrid work strategy. The survey by Scoop Technologies found that 58% of companies allow employees to work some of the week from home. Interestingly, the number of companies that require full-time work from employees had shrunk from 49% to 42% across the previous three months. Google has been cutting the amount of office space it pays for at the cost of half a billion dollars following its laying off of 12,000 people. It also asked some employees to start sharing desks as a cost-cutting measure. Google warned staff in June that it would start tracking hybrid employees' office badges to find out if they're coming in on the days they're supposed to; most are expected to be present three days per week. Additionally, these office attendance records will be recorded for performance reviews, and those workers who are consistently absent from the office will be sent reminders. It also asked those working remotely full-time to "consider" coming back into the office. One consequence of so many people working from home is the billions in taxes that cities have lost as companies shutter offices. More companies are pushing for returns, of course, and could cite a recent study that claims people are 18% less productive when surrounded by the comforts and distractions of home life. Facepalm: Yesterday, ATMs owned by the Bank of Ireland began processing withdrawals without debiting customer accounts. Word quickly spread, prompting customers to line up at the failing machines to dip into the free piggybank. The mad rush caused law enforcement officials to deploy police presence to ATMs nationwide, but made no arrests. On Wednesday, the Bank of Ireland stated that an "IT glitch" caused a malfunction that has since been remediated. However, that was well after a quasi-bank run in which some customers withdrew more money than they had in their accounts. Typically, ATM debits are limited to 500. However, The Irish Times notes that the cash machines allowed customers to transfer as much as 1,000 euros from the Bank of Ireland into their Revolut accounts an online bank similar to PayPal. These transfers were permitted even if they exceeded the customer's balance. They could then use their Revolut cards to withdraw the funds from the same machine. Late Tuesday night, the bank warned customers that all withdrawals, even those over limits, would be deducted from their account balances when the glitch got sorted out. We would like to remind customers if transferring/withdrawing funds-including over normal limits-this money will be debited from their account.We are conscious customers may not be able to check balances,but should not withdraw/transfer if they are likely to become overdrawn Bank of Ireland (@talktoBOI) August 15, 2023 "We would like to remind customers if transferring/withdrawing funds including over normal limits this money will be debited from their account," the bank tweeted. "We are conscious customers may not be able to check balances, but should not withdraw/transfer if they are likely to become overdrawn." The bank claims transactions during the glitch did not exceed average daily business levels. "[The] volume of transactions conducted last night wouldn't be a significant proportion of our overall transactions volumes through an average day," a Bank of Ireland spokesperson told The Irish Times. However, Garda (Irish police) told the BBC that they received calls to several ATMs throughout Ireland regarding an "unusual volume of activity." Officers were deployed to maintain "public safety" and "order" as people formed long lines at the malfunctioning machines. Garda withheld the name of the "financial institution," but it is readily apparent which bank was having issues. Nobody was arrested since the problem was an internal accounting error, and customers appeared to be conducting normal transactions. The Bank of Ireland and Garda did not mention if criminal charges would be filed against those who intentionally exceeded their limits. However, the bank did say that debits against accounts would go through starting today and that overdrawn balances would be subject to the usual credit rating penalties. That said, Bank of Ireland customers who took advantage of the situation are not the only ones potentially facing hot water. Minister of Finance Michael McGrath said on Wednesday that he requested the Central Bank to investigate the incident and report to him how the IT problem occurred. The bank has apologized for the "outage," but it's unclear what penalties it might face if the issue arose from negligence. Apple has been at the forefront of innovation for some time now. However, the company is not just a pioneer in technology. It also paved the way for brilliant marketing strategies directly affecting the bottom line. According to research companies, Apple has more sales per square foot of retail space than any company: $5,546. This analysis reveals Apple's excellent understanding of the principles of sales. People still buy from people despite all the technology in the world. Apple Gives You a Sense of Ownership Called the "endowment effect," it is a feeling that you own something and increases the probability of you buying it. So, how does Apple do this? If you've seen Apple's displays of their products, you'll notice that they're always at an odd angle where you can't see them properly without touching them. To look at the iPhones and Macbooks properly, you'd need to adjust them, giving you a sense of ownership. Additionally, if you ask for help from an Apple employee, they don't touch the products while you check them. That's because another person touching what you feel is yours might dissuade you from buying it. It subtracts from the ownership experience. Read Also: Apple Poised for Success as Chinese Consumers Increase Spending on Smartphones No Cash Registers Cash registers are nowhere to be found whenever you enter an Apple store. It isn't because all of the products are free. The reason is that the company doesn't want you to think of money while looking around. Sure, the final goal for Apple is to sell you its products, but what happens is the company selling you an "experience" like no other. When it's time to buy, they give you a device where you can do your transaction. It appears as a seamless process without the complication of worrying about the cost. You'll likely only think of how much you spend after you leave the store. Apple Geniuses Lead the Customer Apple Geniuses are trained to follow the company's mantra APPLE (Approach, Probe, Present, Listen, End). With that in mind, they use several handy sales techniques to make it easier for you to decide on buying. For example, they lead you to the decision but make it seem like it's you who came up with the idea. First, they give you three products to choose from. It is based on research done at the University of Minnesota, where they discovered that it's easier to choose between three choices instead of two. Plus, they will give you a "value-for-money" option and the "premium option." Their "premium" product description will be so good that you won't decide on anything else. Products Are Given Ample Space Even when the products don't take up much space, Apple's product spacing makes it seem like those small items are so important that they deserve that much space. That's what you end up thinking too. It's primarily why many researchers are confused about why this company has more sales per square foot since their stores have so much real estate and products take up so much space. The Hotel Experience When Steve Jobs was playing with the concept of an Apple Store, he asked people what the best customer service experience they had. In due course, one name kept popping up: The Ritz-Carlton Hotel. Beyond the principles of the famous hotel chain of greeting with a warm welcome, anticipating customer needs, and giving a fond farewell, even the location and general vibe of the store itself seem like a Ritz-Carlton Experience. Entering an Apple Store, with its clean, white look and friendly ambiance, will be remembered by anyone who experiences it. A bonus is that the white background makes their products stand out even more. You can tell that Apple provides excellent experience by looking at the outcomes. They understand their customers' thoughts and feelings as they interact with them and what they can do to elicit the reactions that will keep them coming back for more, or what the industry calls client-driven growth. Therefore, the real question is not whether you should be more like Apple but rather when you will be. Related Article: Apple Claims 99% Satisfaction Rating From iPhone 14 Users Despite Being 'Statistically Impossible' 2023 TECHTIMES.com All rights reserved. Do not reproduce without permission. AGHDAM, Azerbaijan, August 16. Members of the diplomatic corps accredited in Azerbaijan have visited the Aghdam Conference Center, Trend's Karabakh Bureau reports from the scene. An employee of the special representative office of the President of the Republic of Azerbaijan in the part of the Aghdam district liberated from occupation, including the city of Aghdam, informed the guests about the history of the construction and capacity of the Aghdam Conference Center. Foreign diplomats visited the special representative office of the President of the Republic of Azerbaijan in the part of the Aghdam district liberated from occupation, including the city of Aghdam. The visit of members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam has kicked off today. The main purpose of the visit is to get acquainted with the current potential of the road infrastructure of the Karabakh Economic Zone, primarily the Aghdam-Khankendi highway, as well as the Barda-Aghdam railway. In addition, the guests will be informed about the destruction committed in Aghdam during the occupation, which lasted almost 30 years. Guests will also have to get acquainted with the large-scale construction works that are currently being carried out in the city. Microsoft has been constantly adding improvements to different products under Microsoft 365, including Microsoft Teams. With that, the company is focusing on more improvements for its mobile version, aiming to provide a better experience for its users. Microsoft Teams Gets New Features to Make It Better for Users as Part of the Updated Microsoft 365 Roadmap Page For those that don't know, Microsoft Teams is the company's take on video conferencing, which works closely like other services such as Zoom as it provides users a way to contact each other. The most important aspect of this tool can often be seen as its video conferencing. According to the story by MS Power User, Microsoft is coming out with three major improvements specifically designed for its Teams product. This comes as part of the updated Microsoft 365 Roadmap page. The Roadmap Includes Three Major Changes for Its Microsoft Teams Involving Reduced Steps and More The updated Microsoft 365 Roadmap page highlights three major changes that will come to Microsoft Teams. The first change involves the process of meetings, which aims to reduce the total number of steps. Basically, the improvement minimizes the number of steps that people need to take in order to join a meeting. This feature is particularly designed for users who are not part of an organization but are joining the meeting. Switching Process Updated to Make It Easier for Users with Multiple Accounts The next feature would be to allow those joining the meeting without signing up still be given the ability to give presentations. They can also preview videos or audio settings before they join the meeting, a feature that was only previously available for signed-in users. The last feature would be to basically streamline the whole process of joining the meeting for users having more than one account. Microsoft does this by upgrading the switching process, making it easier for users to switch accounts on the desktop. The Changes are Applicable for iOS and Android Users This last feature is expected to roll out in September while the other improvements are expected to come to Microsoft Teams for iOS and Android users in October. Fans can check the Microsoft Roadmap showing additional features for Teams in April 2024, like Workspace Management. As seen on Microsoft Education Blog, Teams also comes with new features that aim to streamline the education process. This comes as the tool was integral to learning, especially during the pandemic, which showcased how important video meeting apps were. Read Also: X Pro is Now a Paid Service, Asks Users for Blue Subscription-So Long, TweetDeck New Classwork in Teams for Education Update Added The new tool is called Classwork in Teams for Education which is an addition to its Classroom offering, Microsoft's way for users to bring everything together from assignments to links, files, and more. With the versatility of users of Microsoft Teams, the company is adding additional features and products to ensure that users of its video conferencing app remain engaged and get a better experience. Some of the features will be released in previews with the full version happening later on. Related Article: WhatsApp Will Soon Let You Craft AI-Generated Stickers with Few Prompts 2023 TECHTIMES.com All rights reserved. Do not reproduce without permission. Elon Musk has recently committed a significant $10 million donation to the Population Wellbeing Initiative (PWI), a pioneering research project based at the University of Texas at Austin. This landmark contribution has garnered attention not only for its substantial amount but also for Musk's underlying motivation behind it. Musk, a father of 10 children himself, has expressed concerns about the potential consequences of declining fertility rates on humanity's future. Musk's Involvement with the Research Project The Population Wellbeing Initiative, a joint endeavor between UT Austin's Population Research Center and economics department, is a hub for researchers delving into crucial subjects such as economics, demography, fertility, parenting, and social welfare evaluation. The project's core mission is to address the complex interplay between population trends and economic growth, with a particular focus on fertility and infant welfare. While Musk's involvement with PWI was initially concealed, with reporting from Bloomberg, the details surrounding his donation are now coming to light. The same report tells us that The Musk Foundation, his charitable arm, supported a two-day PWI conference last October, drawing together academics from around the country. This involvement underlines Musk's commitment to tackling the looming challenges of population collapse, a concern he has repeatedly voiced on his Twitter platform, where he commands an audience of over 153 million. Read Also: Is Musk v Zuck Now Over? Mark Zuckerberg Wants You to Move On, To Look for Other 'Serious' Opponents Musk's Pro-Natalist Stance The correlation between Musk's personal life and his philanthropic decisions cannot be ignored. Fathering ten children with three women, TechCrunch points out that Musk's dedication to supporting research aimed at preventing population decline is evident. Doing my best to help the underpopulation crisis. A collapsing birth rate is the biggest danger civilization faces by far. Elon Musk (@elonmusk) July 7, 2022 He believes in the preservation of an "advanced society" by maintaining the fertility rates of the intellectually gifted. As explained by this Insider piece, Musk's stance is rooted in his conviction that intelligence is closely linked to wealth, prompting him to encourage affluent individuals to have more children. The Population Wellbeing Initiative's research echoes Musk's concerns, with papers addressing declining fertility rates, longtermism, and even animal welfare. Some of these works contemplate a future where humanity could face a silent demise due to plummeting fertility rates, raising questions about civilization's survival. A Massive Check from Musk, Growing Influence While Musk's $10 million donation to PWI marks a significant financial contribution, his influence extends beyond academia. Experts acknowledge that Musk's reach on social media platforms like Twitter could potentially outshine the impact of traditional academic research. Laura Lindberg, a Rutgers School of Public Health professor, acknowledges, "Elon Musk has a loud voice on Twitter... that is likely to have more impact than a paper in an academic journal." Musk's commitment to other pressing issues is evident through his foundation's contributions. The Musk Foundation's donations extend to artificial intelligence research at Georgetown University and pandemic preparedness studies at the Massachusetts Institute of Technology. Stay posted here at Tech Times. Related Article: Elon Musk's X Faces Accusations of Delaying Access to Prominent News Outlets 2023 TECHTIMES.com All rights reserved. Do not reproduce without permission. South Korean scientists from the Korea Advanced Institute of Science & Technology (KAIST) have developed "Pibot," a humanoid robot that can fly aircraft and understand complex flight manuals. The research can potentially transform the aviation sector by bringing AI-driven pilots capable of flying with the finest accuracy. Pibot, a humanoid robot, is the product of careful engineering and research work. Pibot, created by a group of engineers and scientists from KAIST, demonstrates an unheard-of capacity to manage airplanes without requiring changes to the cockpit, according to Euronews. 'Top Gun': Robot Version Pibot's innovative design allows it to operate every control in the cockpit like a human operator, simulating the movements of human pilots. This involves operating the numerous levers, switches, and other controls necessary for flying. The pilot robot can fly an airplane by handling all the individual controls in the cockpit, which is made for people, according to David Shim, an associate professor of electrical engineering at KAIST. Pibot is skilled enough to precisely operate the flying instruments, even in difficult circumstances like intense aircraft vibrations. With the use of cutting-edge control technology, the humanoid pilot can precisely handle flight instruments with the help of its arms and fingers, mimicking the motions of a human pilot. The robot's aptitude for remembering intricate flight instructions written in everyday language is one of its most notable qualities. This innovative feature improves its adaptability to different aircraft types. Pibot's memory is so impressively large that it can recall every Jeppesen aviation navigation chart in the world; a task that is impossible for human pilots to accomplish. Read Also: Oetzi the Iceman's DNA Unveils Fresh Insights Into His 5,300-Year-Old Story Recent developments in large language models (LLM), including ChatGPT, have fueled the creation of Pibot. These LLMs provide Pibot the capacity to comprehend and retain flight instructions initially created by humans, increasing its operational effectiveness and flexibility. Pibot's Promising Future, Timeline of Development Prof. David Shim noted that while they had created a pilot robot in 2016, the AI technology at the time was less advanced than it is now, per Inquirer. "Neither the handbook nor the material can genuinely teach them anything. But lately, the technology advanced significantly with ChatGPT or other significant language model systems" Pibot's adaptable humanoid design puts it for various functions in numerous sectors outside of its prospective use in aviation. Pibot is a robot that is 160 cm tall and weighs 65 kg. Its design has been specifically adapted to work in human-centered settings. This versatility extends to activities other than flying, such as operating vehicles, tanks, and seagoing vessels. Although Pibot is still being developed, the KAIST team hopes to have it finished by 2026, according to an article from Firstpost. The Agency for Defense Development (ADD) in South Korea provided funding for the experiment, with the possibility of using the technology for military purposes, which also emphasizes how this innovative AI-driven humanoid robot has the potential to revolutionize many other sectors. Related Article: WATCH: Ukrainian Drones Destroy Russian Terminator Tank 2023 TECHTIMES.com All rights reserved. Do not reproduce without permission. Twenty-three years ago, a Filipino computer science student realized he could no longer afford to pay for internet access. But Onel de Guzman was not one to give up. A year earlier, he had laid out a password-stealing scheme in his thesis proposal at AMA College. The school rejected it, but Guzman was determined not to let his efforts go to waste. He put his proposal into practice, building the computer worm, which he dubbed ILOVEYOU, to steal other internet users' passwords and use their accounts without paying for access. Guzman initially designed the bug to only work in Manila, where he lived. However, upon seeing how successful his worm was, curiosity got the better of him. Guzman lifted the bug's geographical restrictions. Chaos ensued. In just ten days, Guzman's worm had infected 45 million Windows computers and caused $10 billion in damages. Guzman, just 24 years old, had inadvertently created the world's first phishing email. Nearly a quarter of a century later, the world has largely forgotten Onel de Guzman. When he created the worm, the Philippines had no law prohibiting malware creation, and Guzman miraculously avoided prosecution. But Guzman's actions echo throughout history; in the second quarter of 2023 alone, VIPRE Security Group detected over 230 million malicious emails. Today, malicious emails plague the internet. From the most powerful multinationals to normal social media users, email threats can and will tarnish reputations and drain bank accounts. To effectively combat email threats, we must understand the landscape. VIPRE's Q2 Email Threat Report helps us do just that. Who is being attacked? While Guzman's attack was indiscriminate, cybercriminals today have favorite targets. In Q2 2023, Information Technology (IT) organizations received by far the most phishing emails, followed by government entities (21%), educational institutions (11%), the financial sector (9%), healthcare organizations (9%), and construction companies (4%). Interestingly, cybercriminals targeted the financial sector most often in Q1 2023. Attacks on healthcare organizations also fell significantly from Q1 to Q2. This fall is likely because the financial and healthcare sectors, in the wake of a tough quarter, have upped their defenses against phishing attacks. Hackers have likely switched to targeting government agencies because they are notorious for having poor cybersecurity, handling an extraordinary amount of sensitive data, and it's relatively easy to discover what defenses they have in place. What techniques do attackers use? A staggering majority (85%) of phishing emails utilize malicious links embedded in an email's content, while only 15% hide them in attachments. Most modern internet users know the dangers of opening attachments; Guzman hid his Love Bug in a spoof attachment. It's a technique as old as email threats themselves, and users are wise to it, so cybercriminals avoid using it. However, malicious links in an email's content are more challenging to detect. Smart social engineering tactics can fool even the savviest users into clicking a spoof link. Hyper-responsive touch screens also make clicking a link embedded in text by mistake a distinct possibility. While Guzman played on emotional weaknesses, disguising his malicious attachment as a love letter, modern cybercriminals use a more advanced, sophisticated approach, where they impersonate trusted brands. In Q1 2023, cybercriminals impersonated Microsoft most often, followed by DHL, WeTransfer, and Apple. In Q2, Microsoft still reigned supreme, with Apple, DocuSign, and SpareBank replacing the runners-up. What are the new attack techniques to watch out for? Twenty-three years on from the first phishing email, cybercriminals continue to innovate. In June 2023, VIPRE AV Labs discovered that cybercriminals had begun to use QR codes for phishing unsuspecting victims. VIPRE also discovered a new malspam campaign containing a ".docx" attachment which, in turn, contained a malicious external resource page that was called when the user opened the file. The campaign exploits the CVE-2022-30190, or "Follina," vulnerability to facilitate remote code execution (RCE) on the victim's system by leveraging the Microsoft Support Diagnostic Tool (MSDT). What about business email compromise (BEC)? VIPRE classified 48% of scam emails in 2023 as BEC scams. BEC scams attempt to fool users into transferring money with social engineering techniques and typically impersonate a high-level executive. According to VIPRE, BEC scams tripled from Q1 to Q2 2023. Commonly used BEC phrases include: "Complete an assignment for me." "Swift email response" "Confidential" Commonly spoofed senders include examples like: And commonly used domains are those from free email services, such as: Gmail.com Outlook.com Yahoo.com The legacy of the ILOVEYOU worm continues reverberating through the digital landscape. While Guzman's actions went largely unpunished due to the absence of specific laws at that time, his inadvertent creation of the first phishing email set a precedent for cybercriminals to exploit vulnerabilities in email systems. Today, malicious emails have become a pervasive threat, targeting various sectors, with IT organizations being the primary victims in Q2 2023, followed by government entities and educational institutions. Cybercriminals' tactics have evolved, with most relying on malicious links in email content to deceive users. These attacks often impersonate trusted brands to increase their chances of success. As cybercriminals continue to innovate, new attack techniques emerge, such as using QR codes for phishing and exploiting vulnerabilities like CVE-2022-30190. The constant evolution of email threats demands heightened awareness and robust defenses from individuals and organizations alike. Understanding the email threat landscape is crucial for combating these attacks effectively. Through vigilance, education, and improved cybersecurity measures, we can work towards mitigating the impact of malicious emails and protecting our digital identities and assets in an ever-changing cyber world. --- About the Author: Josh is a Content writer at Bora. He graduated with a degree in Journalism in 2021 and has a background in cybersecurity PR. He's written on a wide range of topics, from AI to Zero Trust, and is particularly interested in the impacts of cybersecurity on the wider economy. BAKU, Azerbaijan, August 16. President of the Republic of Azerbaijan Ilham Aliyev signed a decree on measures for the construction of the GakhGashgachayArmudlu (11 km) Ashagy MalakhGashgachayArmudlu highway (Gakh district), Trend reports. According to the decree, for the construction of the GakhGashgachayArmudlu (11 km) Ashagy MalakhGashgachayArmudlu highway connecting three settlements with a population of 2,000 people, in accordance with subparagraph 1.31.7 "Allocation of funds provided in the state budget of the Republic of Azerbaijan for 2023 for state capital investments (investment expenses)", the State Agency of Highways of Azerbaijan is allocated 4.9 million manat ($2.9 million). The Ministry of Finance of Azerbaijan has been instructed to provide financing in the amount specified in part one of this order. The Cabinet of Ministers of the Republic of Azerbaijan has been instructed to resolve issues arising from this order. One dead and several injured in the fire of an apartment building near Paris Record rating of the GameStar: Mr. Klinge, do you consider Baldur's Gate 3 to be the best computer game ever? God's Tweeter Week: Those You Blocked Will Come Back to You 6 incredible places to go for a picnic less than an hour and a half from Madrid "The number of heat waves will grow": a Russian climatologist on the causes of temperature records in Russia and the world Fire in L'Ile-Saint-Denis: still in shock, residents help each other "Cheems", the dog of the most viral memes on the Internet, dies Superconductor hype: Hoping is ok, but it's not enough Climate: what are the effects and consequences of the El Nino phenomenon? Protection against new variants: Adapted vaccines to be available from September "I am scandalized": the director of golf degraded on the sidelines of the "Water Convoy" reacts Protection against new variants: Adapted vaccines to be available from September Miyawaka, Fukuoka One month after the accident in which three female children drowned in a river Opening ceremony of the second semester at Sapporo elementary school: Measures against the heat and resumption of school life Bizarre competition in Finland: How do you become world champion in tree hugging, Ms. Raekallio "Kumano Great Fireworks Festival" postponed again due to high waves to be held on the 29th Mie Kumano Kuwana Mie "Utilizing AI for the song of a newly opened integrated elementary and junior high school" First in Japan "The only festival in Japan" Rare festival at the Matsuru Shrine, the god of pickles Aichi Ama Heilbronn: Girl group is said to have committed more than 20 crimes More than 1,000 charging treasures have been added to Beijing's municipal parks to meet the needs of citizens visiting the park Legend from Ebersberg in Upper Bavaria: "White woman" on the roadside brings police on the scene Evseev's victory over Yuran, the fiasco of Rostov in Sochi and Berisha's bisiclet: what the 5th round of the RPL was remembered for After the World Cup warm-up, has the Chinese men's basketball team found a way to win? The final screening day of "Slam Dunk" is over, do you have any regrets? Rubiales and the kiss to Jenni Hermoso, criticism, "resignation" and his defense: "More assholes and fools of ass no" International criticism of Rubiales for kissing Jenni Hermoso: "A nasty reminder of the sexism that has plagued women's football" Ligue 1: Lens and Rennes leave on a draw for the second night of the championship Four-hour battle between Djokovic and Alcaraz: "One of the most exciting matches I've ever played" The grandfather of the two childhood friends fulfilled their promise to participate in Koshien on the same day ... Price slide in the Dax, shares in the red, nervousness on the stock market The 2023 CIFTIS will be held from September 9 to 2, with more than 6,2200 enterprises participating offline China cuts a key interest rate to try to stimulate credit but does not touch mortgages Obon holiday domestic flight passengers 9% recovery before the spread of corona infection did not grow due to typhoon Ministry of Commerce: It is expected that the scale of service imports and exports will maintain growth throughout the year Xinxiang Sages Give New Impetus Jiangxi Chongren grabbed the "chicken" to help the peasants increase their income and become rich China's outbound tourism has expanded again, and the global tourism industry is welcoming it Multinational enterprises in ChinaFrieslandCampina Global CEO Yande Fan visited China for the first time: full of confidence in China's business development Commissioner of Japan Tourism Agency "Tourists visiting Japan expected to recover to pre-corona levels by the end of this year" In Ukraine, announced the launch of a portal where it will be possible to report corruption The Russian Defense Ministry announced the suppression of 30 artillery positions of the Armed Forces of Ukraine This is how the voice of the Infanta Sofia sounds, delivered in the victory of Spain in the World Cup Wall Street Journal: One of the reasons for the coups in Africa is the lack of interest in the West In three regions of Ukraine, several power lines and substations were reported to be disconnected Golikova: the unemployment rate has decreased in 71 regions of Russia since the beginning of the year Laura Escanes denounces that they have used her image to take her naked in photos manipulated with Artificial Intelligence Rubiales kisses Jenni Hermoso on the mouth during the celebration: "I didn't like it" Imani-Lara Lansiquot made a false start at the World Athletics Championships but protested and was still allowed to run The president of the Canary Islands believes that "the worst is over" of the Tenerife fire Communities 2019 - Privacy The information on this site is from external sources that are not under our control.The inclusion of any links does not necessarily imply a recommendation or endorse the views expressed within them. AGHDAM, Azerbaijan, August 16. The visit of members of the diplomatic corps and military representatives accredited in Azerbaijan to Aghdam has ended, Trend's Karabakh Bureau reports. The delegation included about 100 diplomats and military personnel from 45 countries and 12 international organizations. The main purpose of the visit was to get acquainted with the current road infrastructure potential of the Karabakh Economic Zone of Azerbaijan, in particular with the Aghdam-Khankendi highway, as well as the Barda-Aghdam railway. At the same time, the participants of the visit were provided with information about the destruction committed in Aghdam during the Armenian occupation, which lasted for almost 30 years, and got acquainted with the large-scale construction works carried out in the city. The delegation was headed by Assistant to the President of Azerbaijan - Head of the Foreign Policy Department of the Presidential Administration Hikmet Hajiyev. The delegation got acquainted with the works on the construction of a bridge over the Khachin River. In addition, the members of the delegation got acquainted with the Aghdam-Khankendi road, and then visited the conference center in Aghdam. BAKU, Azerbaijan, August 16. The next meeting of the ministers of health of the Organization of Turkic States (OTS) will be held in Azerbaijan's Shusha, Trend reports. A final document (joint declaration) was adopted at a meeting of the Ministers of Health of the member countries and observers of the OTS held in Uzbekistan's Samarkand. The document reflects the strengthening of cooperation in the application of the pharmacopoeia and the rules of the Turkic-speaking countries, as well as the provision of humanitarian and medical assistance during emergencies. In addition, the document includes the development of a draft joint action plan for the fight against infectious diseases, consideration of the possibilities of joint production of medicines and medical equipment within the organization, as well as mutual recognition of diplomas issued by the member countries of the OTS in the field of medicine. The declaration also covers the creation of a joint network in the field of health tourism with the participation of member States and observers of the OTG, the development of a unified dictionary of medical information and other issues. In addition, the organization of Turkic States received a number of proposals regarding the venue of the next meeting of the Ministers of Health of the member countries. Secretary General Kubanychbek Omuraliyev, in accordance with the Samarkand Declaration, announced that the next meeting of the Ministers of Health of the OTS member countries will be held in the Azerbaijani city of Shusha. Noting that Shusha has been declared the cultural capital of the Turkic world for 2023, Omuraliyev expressed confidence that the event will be successful there. Nine insurers have applied for a total of $62 million in grants from a revived program that will allow insurance companies who agree to write business in Louisiana to receive direct subsidies from the state, Insurance Commissioner Jim Donelon said Tuesday. The Maasai folktale of Sudi the warrior reminds us that exceptional strengths are vulnerabilities if not used correctly. Maasai Folktale: Warriors Strength Is A Weakness In the vastness of Kenya, where the savannah stretches as far as the eye can see, there lived a fearsome Maasai warrior named Sudi. He was renowned throughout the land for his unmatched strength and unwavering bravery. Sudi's mighty arms could uproot trees, and his thunderous roars could startle even the mightiest of beasts. His prowess in battle was unmatched, and tales of his feats echoed through the villages. However, Sudi had a peculiar weakness that was as enigmatic as it was paradoxical. It was said that his very strength was his downfall, for whenever he exerted himself to the fullest, a strange weariness overcame him, sapping his energy and rendering him vulnerable. This inexplicable phenomenon baffled both Sudi and his fellow warriors, yet his determination remained unshaken. One fateful day, a neighboring warrior tribe, the Kijiji, challenged the might of the Maasai. Sudi, driven by his indomitable spirit, led his fellow warriors into battle. As the dust settled and the clash of spears resounded, Sudi emerged victorious, but his weakness took its toll. Weakened by his own strength, he collapsed to the ground, unable to defend himself. As the Kijiji warriors closed in, a solitary figure stepped forward from their midst a wise elder named Kazi. Kazi had witnessed Sudi's struggles and understood the paradox that bound his strength and weakness. With a deep understanding of nature's delicate balance, Kazi approached Sudi and offered him a solution. Kazi revealed that he possessed a special elixir, brewed from rare herbs and roots, that could harmonize Sudi's strength and weakness. Sudi, humbled and intrigued, accepted Kazi's offer. With a blend of reverence and trepidation, he consumed the elixir. The earth seemed to pause as a transformation unfolded within Sudi. Sudi's strength no longer drained him, and his weakness was no longer a burden. The elixir had woven a delicate equilibrium within him, allowing his might to flourish without the price of exhaustion. Now, Sudi was an even more formidable warrior, capable of harnessing his strength to its fullest potential. Inspired by his newfound balance, Sudi approached the Kijiji tribe with an unexpected proposition, a treaty of friendship and cooperation. He proposed that their tribes join forces to protect the land from external threats, forging an unbreakable alliance that would benefit both communities. And so, the once-fierce rivalry between the Maasai and the Kijiji transformed into an enduring partnership, all thanks to Sudi's transformation and the wisdom of Kazi. This Kenyan folktale of Sudi, the warrior with a paradoxical strength, serves as a timeless lesson in embracing one's vulnerabilities and finding harmony within oneself and the world around them. It is a sad day when we are celebrating that six of Australias top 20 companies will be captained by women. That percentage drops precipitously as the companies get smaller. Boards have no reason to pat themselves on the back when only about 11 per cent of top 200 companies are governed by females. Transurban CEO-elect Michelle Jablko. What passes for success or even progress is still a low bar. Wednesdays appointment of Michelle Jablko to run the countrys toll roads giant Transurban brought to six the number of women in charge of this elite top 20 companies capitalised at more than $15 billion. MBME Group has posted a robust first-half (H1) net profit of AED487.7 million ($133 million) reflecting the groups continuous efforts to expand its market presence and deliver exceptional value to its stakeholders. The group's growth has been driven by a range of strategic endeavours such as entering new markets, increasing organic growth, fostering innovative technologies, building new partnerships, and widening its geographic presence. This aligns with its mission to globally introduce transformative solutions, encouraging innovation and addressing evolving customer demands. Ali Mohamed Saeed Albadi AlDhaheri, Chairman of MBME Group, said: As we continue on this trajectory, we remain focused on delivering value and maintaining our position as an industry leader and are confident in our ability to build upon this success in the coming quarters. Book value at AED7.34 billion According to the data released by the MBME Group, the total book value stood at AED7.34 billion reflecting effective operational strategies and revenue generation. Meanwhile, the substantial accumulated retained earnings of AED963.9 million signify prudent financial management and sustained profitability over time. The Group also recorded a Price-to-Book Value ratio of 4 points while the half-year earnings per share stood at 0.19 during this period. During the first half of 2023, MBME Group expanded its innovative self-service solutions beyond Abu Dhabi and Dubai to encompass government entities in other Emirates, bolstering digital interactions for businesses across the UAE and benefiting residents and enterprises alike. Furthermore, the group is strengthening its position in GCC, Mena, and Southeast Asia. Its entry into nations like Indonesia, the Philippines, and Malaysia aligns with its commitment to innovative products, services, and proprietary technology. Talented team Saeed Mohamed Albadi Aldhaheri, Deputy Chairman of MBME Group, stated: This achievement reflects the dedication of our talented team and the effectiveness of our strategic initiatives. The Group is committed to delivering sustained value to stakeholders and maintaining its position as the UAEs leading online payment service provider. During this period, the group introduced an extensive range of new products, services, and technologies by utilising Virtual Reality (VR), Augmented Reality (AR), and Mixed Reality (MR), redefining user experiences and interactions with its offerings. Additionally, MBME Group created an advanced solution, redefining real estate transactions by simplifying payments for greater efficiency in rent collection and property sales. Similarly, the MBME Groups blockchain-based fintech solution reinforces transaction security, engendering trust in both individuals and financial institutions. Leveraging blockchain's decentralised nature, the Group empowers secure transactions, reflecting its commitment to innovation and customer-centricity. ADX listing Abdelhadi Mohamed, Managing Director of MBME Group, stated: In April 2023, we reached a significant milestone by successfully listing on the Abu Dhabi Securities Exchange (ADX) Growth Market. This move showcased our growth and potential, while offering new opportunities for investors to join us on our journey. He added: We remain committed to delivering value to our clients, shareholders, and partners while maintaining the highest standards of quality and integrity, which also reflects our dedication to collaboration for strategic partnerships. This has been evident in recent strategic partnerships, notably, Royal Strategic Partners (RSP), alongside Naqd Group, SAP Fioneer GMBH, and MBME Group, signed an MoU to establish a digital innovation Hub in Abu Dhabi. This "Centre of Excellence" advances finance-related sectors across Mena and the Indian subcontinent. MBME Investment has also launched a new initiative with major local IT company - the Giga Immersive Service Centre of Excellence. The partnership showcases the joint commitment to innovation and exceptional stakeholder experiences.-- TradeArabia News Service Subscription to paid content Gain access to all that Trend has to offer, as well as to premium, licensed content via subscription or direct purchase through a credit card. Premier Daniel Andrews has a peculiar tendency to turn a blind eye to wrongdoing within his partys ranks. Peculiar and potentially damaging because his old schtick about taking responsibility for what happens on his watch is sounding like so much hollow nonsense. The assertions once had meaning when they were followed by action perhaps via a forced resignation or the instilling of cultural change where needed. But Andrews previous words about taking responsibility are rendered invalid by his increasingly contemptuous responses when misconduct or transgressions are discovered either within the Victorian Labor Party, of which he is leader, or within Labors parliamentary offices. Take, for example, the disturbing allegations about Labor Party branch-stacking at Lalor South, the electoral branch of Energy Minister Lily DAmbrosio, who happens to be a factional ally of Andrews. Rename the day The public holiday on the day before the AFL mens grand final is pointless. One way out of the public holiday question might be to keep the holiday but use it to acknowledge all of our significant, post-war, female sporting achievers: The Matildas, Cathy Freeman, Ash Barty, Margaret Court, Evonne Goolagong Cawley, Shane Gould, Dawn Fraser, Raelene Boyle, Betty Cuthbert, Marjorie Jackson, Shirley Strickland and Michelle Payne (there may be more who should be added to this list.) Renaming this holiday would make it both meaningful and gender inclusive. David Hickey, Heidelberg Reasons for Yes Your correspondent (Letters, 16/8) queries the rights of those multinational companies, and other large organisations, who are suggesting to the public how they should vote in the referendum. While I hope the directors of these companies believe in their collective hearts that its the right thing to do, I suspect they are also aware of the reputational damage to Australia should the referendum fail. Another good reason to vote Yes. Jo Bond, South Melbourne Freedom to speak Your correspondent (16/8) asks what right do Wesfarmers, Woolworths, Qantas and others have to make suggestions on how people should vote in the referendum. The same right that the rest of us, including vocal politicians, have it is called freedom of speech. Brian Kidd, Mt Waverley Company lines Elizabeth Knight (Comment, 16/8) discusses the different views regarding companies taking a stand on social issues. In addition to the strong case for social, environmental and governance issues to be at the front of mind for companies, there is the political aspect. At present, political parties are structured as companies and spend much of their time taking a stand on social issues. Some advocates for the No campaign against the Voice to parliament are structured as a company. Pertinent questions are: whether a company structure is the appropriate model for political parties, and should there be a requirement for truth in social pronouncements from these political companies? Bruce McGregor, Brunswick Tame economics Ross Gittins plea (Find a fairer way to fix inflation, 16/8) highlights the inadequacy of federal government economic policy to address rising inflation. This is not a criticism of Treasurer Jim Chalmers. Today, the increasing interest rates are creating more economic hardship to the 30 per cent of Australians with mortgages, many trying to feed, clothe and educate children. The International Monetary Fund recommends governments introduce fiscal tightening (which) cools demand without the need for interest rates to rise. Perhaps the lack of well-targeted monetary policy is too much of a political risk? By inflicting pain on a minority of mortgagors, the angst creates less backlash at the ballot box. Pardon my cynicism: its been well cultivated over many, many election cycles. Sally Davis, Malvern East Duttons N-test Your correspondent (Letters, 16/8) suggests testing Peter Duttons enthusiasm by building a nuclear power plant in his electorate of Dickson. An even more telling test of his desire to go nuclear would be to ask him if he will bury the nuclear waste there. Margaret Callinan, Hawthorn A public service? Now that the brouhaha about PwC and KPMG has died down a bit, would it not be worth pondering on why governments at state and federal levels, who employ huge numbers of public servants at enormous expense to the taxpayer, need to consult outside organisations at all. If the public servants are doing their job, surely external consultants should be totally unnecessary. If they are not doing their job, then the answer is obvious. Peter Valder, Toorak Widen the goals Your correspondent (Letters, 14/8) is right. Soccers scoring system is useless. Games ending with a score of 10 or 0-0 are quite common. Does that really reflect the relative performance of the two teams? Any single goal can be due to luck. But if the score is 16 goals to nine goals (like in Australian rules), you can be fairly sure the winning team did play that much better. The soccer goal should be made twice as wide. Geoff Dalton, East Malvern Mental health crisis The article, On a mission for better mental health (13/8), on Assistant Mental Health Minister Emma McBride astutely noted that the latest suicide data showed numbers had spiked last year, after Australians experienced waves of crises. It also rightly pointed out that cuts to Medicare-subsidised psychology sessions have left those with complex mental illnesses lacking support. It has been eight months since those session cuts were made, and psychologists are now witnessing the devastating human fallout: Clients who have to stretch their sessions to just one a month; those who cannot afford a regular session because the rebate is not high enough; those who need immediate help only to find there is a four-month waiting list. And in the seven months since the federal government hosted a round-table forum for peak mental health bodies with the promise of tackling this enduring mental health crisis, we have received no tangible solutions on a way forward to make mental healthcare truly accessible and affordable for everyone. It is time for serious investment into the future mental wellbeing of this country. Carly Dober, Director, Australian Association of Psychologists The bigger picture Those farmers protesting at being forced to host environmentally vital power lines through their properties (16/8), for which they are going to be compensated for, should take heed from the experience of my parents. Their dairy farm was commandeered by the SEC in 1949. It was subsequently dug up for the coal underneath to supply the Morwell power station. The compensation they received was far less than market value but they recovered from this body blow and eventually moved to Colac in Victorias Western District to continue dairying until their retirement. They have long since passed away, but my parents resilience and penchant for hard work has been, and continues to be, an inspiration for our family. Perhaps one day, the families of the aforementioned protesting farmers will be proud that renewable energy is being transported via power lines on properties still in their hands. Phil Alexander, Eltham Playing a part If the farmers demonstrating in Spring Street about transmission lines want to see how they affect farming, they just have to look to the high-voltage lines connecting the Latrobe Valley to Melbourne for the past 90 or so years. Dairy and beef cattle and sheep happily grazing underneath as well as orchards and vegetable farming. And no whingeing about being a conduit to supply electricity to the state. Climate change is far more difficult for farmers than the aesthetics of power lines, especially when they will get financially compensated. Everyone has to play their part in the fight and these farmers have to realise that they could be arbiters of their own demise if they prevent renewable energy entering the grid. Dan Caffrey, Traralgon Thank you, Jack As a devout AFL and Collingwood supporter, I have always applauded and appreciated great talent and humility in our sport. Hearing of Richmond player Jack Riewoldts impending retirement, may I join in the chorus, and sing well done, Jack, well played sir. Darren Grindrod, Glenroy Learn from the past On August 6 and 9 in 1945, Hiroshima and Nagasaki were destroyed by nuclear weapons dropped by the United States. Australians are no stranger to the destructive power of nuclear weapons and radioactive fallout. Between 1952 and 1963, the UK conducted seven nuclear weapon tests in Maralinga in South Australia with other smaller-scale tests in central Australia and the Montebello Islands off Western Australia. The McClelland royal commission in 1984-85 declared these test sites to be significant radiation hotspots. Nuclear radiation lasts for hundreds of thousands of years. Toxic radiation from these tests has never been resolved. Nor has the implications of protecting humans and our environment from radiation from uranium mining, nuclear power generation and spent fuel storage. Yet Australia is plunging, unquestioning, into nuclear-powered submarines, and potentially much more. Rosemary Cousin, Allambee South Its a doggone problem I have noticed over the past year or so quite a few dogs in food shops and cafes in Melbourne. I even saw one woman taking her dog on a leash into a supermarket, and it wasnt a guide dog. Much as some of us would love our laws to allow this, as they mostly do in the UK and Europe, it is still against the law in Australia. So its either stupidity or arrogance. John Cross, Richmond AND ANOTHER THING The Matildas If we have a public holiday to celebrate the birthday of King Charles, surely we can have a public holiday to celebrate as a nation the amazing efforts of the Matildas whether they win, lose or draw. Randall Bradshaw, Fitzroy I agree with David Littleproud: public holidays should be reserved for important national events, such as the birthday of the British monarch. Greg Pyers, Daylesford Imagine the poor primary school teacher in 2028: half the class named Matilda. Pam Cupper, Dimboola The best way to truly support and grow womens sport is for media, business and the public to pay attention when a team is not winning. Julie Perry, Highton Furthermore Brilliant Golding (16/8). One cartoon crystallises the absurdity of the No campaign. Joan Segrave, Healesville To propose nuclear energy after a decade of climate inaction sounds like a lamb bleating in a bushfire. Greg Curtin, Blackburn South Your correspondents simple request in relation to the Voice (Letters, 16/8) sums it up perfectly. Russell Brims, Bentleigh East Ban rent rises? Why not ban food, petrol and energy rises as well? Its the same logic. Dianne Lewis, Mt Martha Why do we need a public service when we have the big four companies giving frank and fearless advice to governments? Oh, for a fee of course. Peter Randles, Pascoe Vale South Re graffiti: I liked the rudest word a kid could think of to paint on a fence by the suburban railway line: Bosom. Jacki Burgess, Port Melbourne BGC has welcomed the findings of a comprehensive investigation into the mass failure of plumbing pipes used in hundreds of new homes in Perth which has laid the blame on a manufacturing fault, potentially absolving building companies of liability for the flooding issues. On Wednesday WAs building and plumbing watchdog announced the results of further tests into the cause of the water leaks from a particular brand of polybutylene pipe, known as Profit, made by Iplex Australia. The bathroom of one homeowner impacted by the faulty piping. Most water leaks occurred in pipes manufactured between mid-2017 and mid-2022. Having attended to more than 1600 bursts, across nearly 1000 homes, we understand the challenges and frustrations that homeowners have experienced due to these water pipe failures, said BGC chief executive Daniel Cooper. Woodside and its offshore workers remain at loggerheads after talks on Tuesday ended with significant differences on pay and job security. The uncertainty again sent European gas prices souring. The two sides will meet again next week after Woodside negotiators told the Offshore Alliance they needed to consult with their senior management about the pay increase sought by about 185 workers on three offshore platforms, according to a source close to the union not authorised to speak to the media. The North Rankin platform produces the gas for Australias first export of LNG in 1989. Credit: Alamy If agreement is not reached next Wednesday, then industrial action is almost certain, according to the union source, subject to endorsement by the union members later this week. The offshore platforms supply the North West Shelf project, Australias biggest gas export project. Voters have cut their support for Labor and given Premier Daniel Andrews his lowest score as preferred premier in two years after a string of polarising policy announcements and political scandals, but the party continues to maintain a strong lead over the Victorian Coalition. The findings are contained in a survey by Resolve Political Monitor, conducted exclusively for The Age, which reveals the state governments primary vote has slipped from 41 per cent to 39 since June. Victorian Premier Daniel Andrews and Opposition Leader John Pesutto. Credit: Monique Westermann Over July and August, the Coalition recorded a rare two-point boost, appearing to capitalise on a chaotic few months for Labor, which included the cancellation of the Commonwealth Games, the release of an anti-corruption report and the resignation of a Labor MP over assault allegations. The Coalitions primary vote is now 28 per cent, up from 26 per cent in June, but still 6 percentage points lower than the Coalitions 34 per cent primary record at the November election. Save Log in , register or subscribe to save articles for later. Save articles for later Add articles to your saved list and come back to them any time. Got it Normal text size Larger text size Very large text size Lahaina, Hawaii: As Vene Chun guided his Hawaiian canoe to shore past tourists learning to surf at one of Mauis public beaches, his thoughts were a jumble. He had just come from spreading ashes at sea with a family devastated by the fire that scorched the town of Lahaina farther west. For days, he and his outrigger canoe were right there, too, bringing food, water, whatever survivors needed. Volunteers sort through donated food items at an emergency aid distribution point for victims of last weeks wildfire in Lahaina, on the Hawaiian island of Maui. Credit: New York Times And the surfers? Chun, 52, stood beside his canoe in a grassy park 32 kilometres from the ashen disaster wearing a wreath reflecting his Native Hawaiian roots. Somehow, the flopping beginners on longboards made him smile. Theres got to be some normalcy, he said. Weve got to move on and constantly help each other at the same time. While the search effort in Lahaina continues, life ticks on in most other parts of Maui, forcing residents to make sense of loss and death alongside life and tourism. Advertisement On an island of magnificent beauty, where a wildfire as fierce as a blowtorch has left hundreds dead or missing in a redoubt of 19th-century Hawaiian kings, many local residents are crying with friends one moment, working to please vacationers the next. Paul Romero listens as Amory Mowrey, right, gives an update after spending the day bringing supplies to people affected by wildfires in West Maui in Kihei, Hawaii. Credit: New York Times Loading Its super weird, said Niji Wada, 17, a surf instructor in Kihei, where Chun keeps his canoe. We have super close friends whose house burned down. Native Hawaiians often talk about the historical trauma of losing their land to colonisation, and the problems that come with pink hotel towers and invasive species. There were two Mauis even before the fires that seem to have torn out the islands cultural heart one for visitors with money, another for workers struggling with a shortage of affordable housing. But the sudden and near-total destruction of Lahaina, a seaside town of 13,000 people, has sharpened the divide and flummoxed both elected officials and residents whose lives rely on both Maui worlds. Advertisement Immediately after the fires, the message sounded clear enough if youre not from Maui, stay away. Since then, there has been a push for geographic nuance. Frank Puglisi in a tent while camping in Paul Romeros backyard in Kihei, Hawaii. Puglisi lost his boat, which he lived aboard, in Lahaina to the wildfire. Credit: New York Times Governor Josh Green of Hawaii stressed on Monday that only West Maui Lahaina, along with about a dozen hotels and resorts nearby that were not damaged should be considered closed to visitors. Other areas to the south-east are still open, he noted. It would be catastrophic if no one travelled to the island, he said. The disasters damage to families, businesses and psyches has mostly rippled outward in concentric circles, similar to an earthquake. Advertisement The epicentre of burned buildings and bodies, which some call ground zero, has been cordoned off like a crime scene. Just outside, where buildings are intact, hundreds of West Maui residents have tried to remain in their homes, stay with neighbours or even camp on the shoreline. There, electricity, water and internet service were out for days, and it has been difficult to travel in and out for supplies, leaving residents and evacuees to rely heavily on whatever people from unaffected parts of the island can carry in with their cars, trucks or boats. Homes consumed in recent wildfires are seen in Lahaina, Hawaii. Credit: AP On Monday, at the home of Archie Kalepa, a former head of Maui Countys ocean safety division, dozens of neighbours and volunteers gathered at the edge of the fire zone to organise donations. Generators, water, snacks and diapers packed the yard, on shelves with superstore-level organisation. Under a tarp, a man and a woman taped a neighbourhood map onto cardboard to track which homes were damaged, destroyed or still intact. During a nightly briefing, plans were laid to fix roofs and build a fence to block rancid dust before tropical storms arrive later this week. Advertisement Kaala Buenconsejo, one of the community leaders, said working with the tangible wood, water, finding homes for the suddenly homeless was itself a form of shared solace. Right now, thats nearly everything, he said. But for many, it was not enough. There was still a need to work, and in Maui County that usually means serving tourists, who provide 70 of every dollar generated there. Evacuees from Lahaina at a shelter in Wailuku, Hawaii. Credit: New York Times Kihei, which offers a more modest Maui experience for middle-class travellers, was untouched by the wildfire that devastated Lahaina a half-hours drive away. Still, signs of extreme emotional labour were everywhere. Hotel managers said they were gathering donations from some workers and distributing them to others. A handwritten note from someone named Jessica at a small shop in Kihei that offered snorkel rentals said: Closed today to volunteer. Advertisement Washington: It is truly extraordinary that a former US president is now facing a total of 91 charges across four states as he campaigns to return to the White House. It is equally astonishing that in 17 months, theres a chance that Donald Trump could be standing on the steps of the Capitol building his supporters attacked, swearing an inauguration day oath to protect the Constitution he now stands accused of plotting to destroy. But this is where America currently finds itself. Twice-impeached, four-times criminally indicted: former US president Donald Trump. Credit: AP Having pleaded not guilty in New York over hush money payments (34 counts); followed by Florida over classified documents (40 counts); and then Washington DC for trying to overturn the 2020 election (four counts), Trump was this week charged again - this time in Georgia, the battleground state that helped propel Joe Biden into office at the 2020 election. Your Federal Taxes Going To Support Tesla And Electric Car Builders SEE ALSO: Electric Vehicle (EV) Charging Station Tax SEE ALSO: Alternative Fuel Station Scoreboard 50 States (Updated 8/2023) SEE ALSO: Electric Vehicles, Solution, Diversion Or Modern Tulip Scam SAN FRANCISCO, Aug 16 Reuters reported that Texas on Wednesday approved its plan to require companies to include Tesla's (TSLA.O) technology in electric vehicle (EV) charging stations to be eligible for federal funds, despite calls for more time to re-engineer and test the connectors. The decision by Texas - the biggest recipient of a $5 billion program meant to electrify U.S. highways - is being closely watched by other states and is a step forward for Tesla CEO Elon Musk's plans to make its technology the U.S. charging standard. Tesla's efforts are facing early tests as some states start rolling out the funds. The company won a slew of projects in Pennsylvania's first round of funding announced on Monday but none last month in Ohio. Federal rules require companies to offer the rival Combined Charging System (CCS) - a U.S. standard that has been preferred by the Biden administration - as a minimum to be eligible for the funds. But individual states can add their own requirements on top of CCS before distributing the federal funds at a local level. Ford Motor (F.N) and General Motors' (GM.N) announcement just over two months ago that they planned to adopt Tesla's North American Charging Standard (NACS) sent shockwaves through the industry and prompted a number of automakers and charging companies to embrace the technology. In June, Reuters reported that Texas - which will receive and deploy $407.8 million over five years - planned to mandate companies to include Tesla's plugs. Washington state has talked about similar plans and Kentucky has already mandated it. Florida, another major recipient of funds, recently revised it plans, saying it would mandate NACS one year after standards body SAE International, which is reviewing the technology, formally recognizes it. Some charging companies wrote to the Texas Transportation Commission, opposing the requirement in the first round of funds and citing concerns about the supply chain and certification of Tesla's connectors, saying it would put the successful deployment of EV chargers at risk. That forced Texas to defer a vote on the plan twice as it sought to understand NACS and its implications, before the commission voted unanimously to approve the plan on Wednesday. "The two-connector approach being proposed will help assure coverage of a minimum of 97% of the current, over 168,000 electric vehicles with fast charge ports in the state," Humberto Gonzalez, a director at Texas' department of transportation said while presenting the state's plan to the commissioners. Reporting for Reuters by Abhirup Roy in San Francisco; Additional reporting by Jarrett Renshaw; Editing by Kirsten Donovan BAKU, Azerbaijan, August 16. Azerbaijan and Uzbekistan discussed implementation of joint pharmaceutical projects in industrial zones, Trend reported. The prospects of investing in Azerbaijan's Pirallahi Industrial Park were discussed with representatives of pharmaceutical companies of Uzbekistan, according to the Development Agency of Economic Zones under Azerbaijan's Ministry of Economy. Advisor to the Chairman of the Agency for Development of Pharmaceutical Industry of Uzbekistan Hilola Ganieva and a delegation of representatives of number of pharmaceutical companies of this country, familiarized themselves with the work done in Pirallahi Industrial Park and the activity of the factory on production of disposable syringes of the Diamed Co. LLC, during their visit to Azerbaijan. Elshad Nuriyev, Chairman of the Board of the Economic Zones Development Agency of Azerbaijan, talked about the functioning industrial zones in the country, the created favorable investment environment for the development of entrepreneurship, tax and customs privileges. The sides also discussed realization of joint pharmaceutical projects in industrial zones and the possibility of establishing a research center for development of cooperation in the pharmaceutical sector. Azerbaijan's imports of pharmaceutical products increased in volume by 5 percent (to 16,240 tons) from January through June 2023 year-on-year, while in value terms decreased by 2 percent, amounting to $322 million. BAKU, Azerbaijan, August 16. Azerbaijan's daily oil production (including condensate) in July 2023 amounted to 621,000 barrels, of which 499,000 barrels accounted for crude oil, and 122,000 barrels - for condensate, the Ministry of Energy of Azerbaijan told Trend. Within the framework of OPEC+ agreement, Azerbaijan has committed to cut the output by 33,000 b/d. At the same time, the country's production quota for 2023 stands at 684,000 barrels. In June, Azerbaijan produced 610,000 barrels, of which 500,000 barrels accounted for crude oil, while 110,000 barrels - for condensate. Batavia, NY (14020) Today Considerable cloudiness. High 72F. Winds N at 5 to 10 mph.. Tonight Mostly cloudy skies this evening will become partly cloudy after midnight. Low 53F. Winds light and variable. Astana, Kazakhstan, August 15. The digital tenge idea was presented to the Supreme Audit Chamber of the Republic by representatives of the Kazakhstan National Bank. According to the press office of Kazakhstan's Supreme Audit Chamber, the ceremony was attended by JSC "Center for the Development of Payment and Financial Technologies of the National Bank" Chairman Binur Zhalenov and Deputy Chairman of the National Bank Berik Sholpankulov, Trend reports. The presentation described the current stage of testing the digital tenge and how it differs from conventional cashless payments through banking applications. The main goal of the project is to modernize and develop Kazakhstans national payment system and adapt it to the new challenges of the external environment. "Rather than replacing currency or other forms of payment, the digital tenge will work alongside them. The adoption of digital money will assure the growth of the country's payment infrastructure, as well as technological advancements including the capacity to accept payments without an Internet connection. It will be regarded as a third type of national currency, have the same exchange rate as the tenge, and can be converted into cash or non-monetary assets with ease", Zhalenov said. Meanwhile, Kazakhstans National Bank is actively weighing in the pros and cons of digital currency, as well as its impact on monetary policy, financial stability, and the payment services market. Commentary By Deborah Jaremko Demand for oil from Canada is rising as world oil consumption hits new records. Heavy, sour oil grades like those Canada primarily exports are experiencing what one analyst calls a price renaissance, outperforming the U.S. light, sweet oil benchmark West Texas Intermediate (WTI). Heavy is the crude that wears the crown, Toronto-based Rory Johnston, founder of Commodity Context, wrote recently. While the most commonly referenced light sweet crudes are on an uninspiring price run, heavier crudes are actually having a pretty great year. As just one example, the price of Western Canadian Select (WCS), a heavy sour crude that represents Canadas main crude export blend, is up a whopping $15 per barrel (about 20 percent) year-to-date. Thats compared to an increase of about US$5 per barrel for WTI this year. There are several reasons for the higher Canadian heavy oil prices, says Phil Skolnick, New York-based oil market analyst with Eight Capital. Maintenance at oil sands projects has reduced available supply while, at the same time, demand has increased. The new Dos Bocas refinery in Mexico is drawing heavy oil away from refineries on the U.S. Gulf Coast, and petrochemical plants in China are ramping up production using heavy oil from both Canada and Latin America, Skolnick says. Additional demand is expected when the U.S. government purchases sour crude to refill its Strategic Petroleum Reserve. Demand is increasing for sure, he says. Canadas oil exports to customers outside of the United States reached a record 291,000 barrels per day this spring, according to the Canada Energy Regulator. Meanwhile, American oil imports from Canada remain steady at above 4.5 million barrels per day, according to the U.S. Energy Information Administration. Even though its not a global benchmark like WTI, the improved pricing for heavy crudes like WCS is important because it has a material impact on the earnings of producing companies and nations, Johnston wrote. Canadas Parliamentary Budget Office has said that an increase of US$5 per barrel for Canadian heavy oil would add $6 billion to Canadas economy over the course of one year. With the Trans Mountain pipeline expansion now more than 80 percent complete, Canada is closer to expanding its ability to supply growing oil demand in global markets, with the benefits flowing to Canadians. Deborah Jaremko is director of content for the Canadian Energy Centre. BAKU, Azerbaijan, August 16. ESCAP (Economic and Social Commission for Asia and the Pacific), in collaboration with UNECE (United Nations Economic Commission for Europe), is actively supporting development of sustainable and safe transport networks in Central Asia, including Kyrgyzstan, a source at ESCAP told Trend. According to the source, one of the key projects is "Safe and Inclusive Road Design in Central Asia", which aims to make roads safer and reduce road traffic accidents, injuries, and fatalities in target countries, including Kyrgyzstan. It was also noted that ESCAP's secretariat, in partnership with Kyrgyzstan's Ministry of Transport and Communications, organized a National Capacity Building Workshop in Bishkek on July 5, 2023. The workshop focused on supporting green and resilient transport infrastructure policies along the Asian Highway Network. It also discussed findings from a study that identified best practices for developing environmentally friendly and resilient road infrastructure along this network. Moreover, ESCAP completed another study project that aimed to strengthen capacity for sustainable transport connectivity along the China-Central Asia-West Asia Economic (CCWA) Corridor. This project identified the main transport routes connecting Asia and Europe through the CCWA Corridor, assessed their infrastructural and operational connectivity, and provided recommendations to enhance seamless transport and logistics linkages. The countries involved in this initiative are China, Iran, Kazakhstan, Kyrgyzstan, Tajikistan, Turkiye Turkmenistan, and Uzbekistan. ESCAP serves as an intergovernmental platform in the Asia-Pacific region, fostering collaboration among 53 member states and 9 associate members to address sustainable development challenges across the region. Huntington Ingalls Industries, Inc. (NYSE:HII Get Free Report) VP D R. Wyatt sold 500 shares of the stock in a transaction dated Monday, August 14th. The shares were sold at an average price of $227.19, for a total transaction of $113,595.00. Following the completion of the sale, the vice president now owns 20,320 shares of the companys stock, valued at $4,616,500.80. The sale was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this hyperlink. Huntington Ingalls Industries Trading Down 2.2 % Shares of HII traded down $4.91 during trading on Tuesday, reaching $222.78. 257,818 shares of the company traded hands, compared to its average volume of 355,136. The stock has a 50-day simple moving average of $225.02 and a 200-day simple moving average of $214.37. The stock has a market cap of $8.88 billion, a PE ratio of 17.12 and a beta of 0.65. Huntington Ingalls Industries, Inc. has a twelve month low of $188.51 and a twelve month high of $260.02. The company has a quick ratio of 0.90, a current ratio of 0.96 and a debt-to-equity ratio of 0.66. Get Huntington Ingalls Industries alerts: Huntington Ingalls Industries (NYSE:HII Get Free Report) last released its quarterly earnings results on Thursday, August 3rd. The aerospace company reported $3.27 earnings per share (EPS) for the quarter, beating analysts consensus estimates of $3.14 by $0.13. The business had revenue of $2.79 billion for the quarter, compared to the consensus estimate of $2.67 billion. Huntington Ingalls Industries had a net margin of 4.77% and a return on equity of 15.13%. The businesss revenue was up 4.7% on a year-over-year basis. During the same period in the previous year, the firm earned $4.44 EPS. On average, analysts anticipate that Huntington Ingalls Industries, Inc. will post 14.43 earnings per share for the current year. Huntington Ingalls Industries Dividend Announcement Institutional Investors Weigh In On Huntington Ingalls Industries The business also recently declared a quarterly dividend, which will be paid on Friday, September 8th. Stockholders of record on Friday, August 25th will be paid a $1.24 dividend. This represents a $4.96 dividend on an annualized basis and a dividend yield of 2.23%. The ex-dividend date is Thursday, August 24th. Huntington Ingalls Industriess dividend payout ratio (DPR) is 38.12%. A number of institutional investors and hedge funds have recently made changes to their positions in the stock. HighTower Advisors LLC increased its stake in Huntington Ingalls Industries by 1.2% during the 1st quarter. HighTower Advisors LLC now owns 7,072 shares of the aerospace companys stock worth $1,403,000 after buying an additional 87 shares during the period. D.A. Davidson & CO. increased its stake in Huntington Ingalls Industries by 27.5% during the 1st quarter. D.A. Davidson & CO. now owns 1,467 shares of the aerospace companys stock worth $293,000 after buying an additional 316 shares during the period. Healthcare of Ontario Pension Plan Trust Fund purchased a new stake in Huntington Ingalls Industries during the 1st quarter worth approximately $60,000. MetLife Investment Management LLC increased its stake in Huntington Ingalls Industries by 23.0% during the 1st quarter. MetLife Investment Management LLC now owns 10,885 shares of the aerospace companys stock worth $2,171,000 after buying an additional 2,035 shares during the period. Finally, Commonwealth of Pennsylvania Public School Empls Retrmt SYS increased its stake in Huntington Ingalls Industries by 6.6% during the 1st quarter. Commonwealth of Pennsylvania Public School Empls Retrmt SYS now owns 5,360 shares of the aerospace companys stock worth $1,069,000 after buying an additional 332 shares during the period. 87.80% of the stock is owned by hedge funds and other institutional investors. Analyst Ratings Changes A number of equities research analysts have recently commented on HII shares. StockNews.com upgraded shares of Huntington Ingalls Industries from a hold rating to a buy rating in a research report on Monday, June 26th. JPMorgan Chase & Co. raised their price objective on shares of Huntington Ingalls Industries from $238.00 to $250.00 in a research report on Monday, July 10th. Two analysts have rated the stock with a sell rating, three have given a hold rating and three have given a buy rating to the company. According to MarketBeat.com, Huntington Ingalls Industries currently has a consensus rating of Hold and a consensus price target of $245.86. View Our Latest Stock Report on HII Huntington Ingalls Industries Company Profile (Get Free Report) Huntington Ingalls Industries, Inc engages in designing, building, overhauling, and repairing military ships in the United States. It operates through three segments: Ingalls, Newport News, and Mission Technologies. The company is involved in the design and construction of non-nuclear ships comprising amphibious assault ships; expeditionary warfare ships; surface combatants; and national security cutters for the U.S. Further Reading Receive News & Ratings for Huntington Ingalls Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Huntington Ingalls Industries and related companies with MarketBeat.com's FREE daily email newsletter. Centrais Eletricas Brasileiras S.A. Eletrobras (NYSE:EBR Get Free Report) saw a large drop in short interest in the month of July. As of July 31st, there was short interest totalling 2,170,000 shares, a drop of 13.5% from the July 15th total of 2,510,000 shares. Based on an average daily volume of 1,180,000 shares, the days-to-cover ratio is currently 1.8 days. Centrais Eletricas Brasileiras S.A. Eletrobras Trading Down 3.0 % EBR traded down $0.21 during trading on Wednesday, hitting $6.80. 3,231,243 shares of the stock were exchanged, compared to its average volume of 1,361,398. The firm has a 50 day simple moving average of $7.93 and a 200 day simple moving average of $7.20. The firm has a market cap of $15.65 billion, a price-to-earnings ratio of 9.86, a P/E/G ratio of 2.85 and a beta of 0.76. Centrais Eletricas Brasileiras S.A. Eletrobras has a 1 year low of $5.67 and a 1 year high of $10.39. The company has a debt-to-equity ratio of 0.38, a quick ratio of 1.45 and a current ratio of 1.47. Get Centrais Eletricas Brasileiras S.A. - Eletrobras alerts: Institutional Trading of Centrais Eletricas Brasileiras S.A. Eletrobras Several hedge funds and other institutional investors have recently bought and sold shares of the stock. Hsbc Holdings PLC boosted its position in Centrais Eletricas Brasileiras S.A. Eletrobras by 10.1% during the 2nd quarter. Hsbc Holdings PLC now owns 2,267,227 shares of the utilities providers stock valued at $18,704,000 after acquiring an additional 208,439 shares in the last quarter. Macquarie Group Ltd. bought a new stake in Centrais Eletricas Brasileiras S.A. Eletrobras during the 2nd quarter valued at $20,062,000. Goldman Sachs Group Inc. boosted its position in Centrais Eletricas Brasileiras S.A. Eletrobras by 509.0% during the 2nd quarter. Goldman Sachs Group Inc. now owns 1,858,261 shares of the utilities providers stock valued at $15,368,000 after acquiring an additional 1,553,112 shares in the last quarter. Citadel Advisors LLC boosted its position in Centrais Eletricas Brasileiras S.A. Eletrobras by 64.9% during the 2nd quarter. Citadel Advisors LLC now owns 803,451 shares of the utilities providers stock valued at $7,127,000 after acquiring an additional 316,345 shares in the last quarter. Finally, Barings LLC boosted its position in Centrais Eletricas Brasileiras S.A. Eletrobras by 108.7% during the 4th quarter. Barings LLC now owns 649,975 shares of the utilities providers stock valued at $5,154,000 after acquiring an additional 338,479 shares in the last quarter. Institutional investors own 5.39% of the companys stock. Analyst Upgrades and Downgrades Separately, StockNews.com upgraded Centrais Eletricas Brasileiras S.A. Eletrobras from a sell rating to a hold rating in a report on Wednesday, June 21st. Get Our Latest Stock Report on Centrais Eletricas Brasileiras S.A. Eletrobras About Centrais Eletricas Brasileiras S.A. Eletrobras (Get Free Report) Centrais Eletricas Brasileiras SA Eletrobras, through its subsidiaries, engages in the generation, transmission, and distribution of electricity in Brazil. The company generates electricity through hydroelectric, thermal, nuclear, wind, and solar plants. It owned and operated 32 hydroelectric plants; As of December 31, 2022, it operated 32 hydroelectric plants; five thermal plants, including coal and gas power generation units with a total installed capacity of 1,482 megawatts; and two nuclear power plants comprising Angra I with an installed capacity of 640 megawatts and Angra II with an installed capacity of 1,350 megawatts. Featured Articles Receive News & Ratings for Centrais Eletricas Brasileiras S.A. - Eletrobras Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Centrais Eletricas Brasileiras S.A. - Eletrobras and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com started coverage on shares of China Green Agriculture (NYSE:CGA Free Report) in a report released on Saturday morning. The brokerage issued a sell rating on the basic materials companys stock. China Green Agriculture Trading Down 2.2 % Shares of China Green Agriculture stock opened at $2.62 on Friday. The firms 50-day moving average is $2.77 and its 200 day moving average is $3.44. China Green Agriculture has a 1 year low of $2.54 and a 1 year high of $7.80. The company has a current ratio of 2.76, a quick ratio of 2.04 and a debt-to-equity ratio of 0.01. Get China Green Agriculture alerts: China Green Agriculture (NYSE:CGA Get Free Report) last issued its earnings results on Monday, May 15th. The basic materials company reported $0.02 earnings per share for the quarter. China Green Agriculture had a negative return on equity of 12.31% and a negative net margin of 12.95%. The business had revenue of $45.26 million during the quarter. Hedge Funds Weigh In On China Green Agriculture About China Green Agriculture A number of hedge funds have recently bought and sold shares of CGA. Dimensional Fund Advisors LP purchased a new position in China Green Agriculture in the 1st quarter worth about $115,000. Renaissance Technologies LLC increased its holdings in China Green Agriculture by 67.8% in the 1st quarter. Renaissance Technologies LLC now owns 19,051 shares of the basic materials companys stock worth $192,000 after acquiring an additional 7,700 shares in the last quarter. Finally, Susquehanna International Group LLP purchased a new stake in China Green Agriculture in the 1st quarter worth approximately $175,000. Hedge funds and other institutional investors own 0.62% of the companys stock. (Get Free Report) China Green Agriculture, Inc, through its subsidiaries, engages in the research, development, production, and sale of various fertilizers and agricultural products in the People's Republic of China. The company operates through three segments: Jinong (Fertilizer Production); Gufeng (Fertilizer Production); and Yuxing (Agricultural Products Production). Read More Receive News & Ratings for China Green Agriculture Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for China Green Agriculture and related companies with MarketBeat.com's FREE daily email newsletter. Clearstead Trust LLC acquired a new position in shares of iShares MSCI Emerging Markets ETF (NYSEARCA:EEM Free Report) in the first quarter, according to its most recent 13F filing with the Securities and Exchange Commission. The firm acquired 750 shares of the exchange traded funds stock, valued at approximately $30,000. Other institutional investors and hedge funds also recently bought and sold shares of the company. Moneta Group Investment Advisors LLC increased its stake in iShares MSCI Emerging Markets ETF by 125,500.5% in the 4th quarter. Moneta Group Investment Advisors LLC now owns 144,937,968 shares of the exchange traded funds stock valued at $5,493,149,000 after buying an additional 144,822,572 shares during the period. Caisse DE Depot ET Placement DU Quebec increased its position in iShares MSCI Emerging Markets ETF by 112.2% in the 4th quarter. Caisse DE Depot ET Placement DU Quebec now owns 1,244,119 shares of the exchange traded funds stock worth $47,152,000 after purchasing an additional 11,466,025 shares during the last quarter. Varma Mutual Pension Insurance Co acquired a new stake in shares of iShares MSCI Emerging Markets ETF during the 4th quarter valued at about $324,358,000. National Bank of Canada FI grew its stake in shares of iShares MSCI Emerging Markets ETF by 779.9% in the 1st quarter. National Bank of Canada FI now owns 8,239,022 shares of the exchange traded funds stock valued at $325,111,000 after buying an additional 7,302,610 shares in the last quarter. Finally, Morgan Stanley grew its stake in shares of iShares MSCI Emerging Markets ETF by 20.9% in the 4th quarter. Morgan Stanley now owns 39,172,754 shares of the exchange traded funds stock valued at $1,484,647,000 after buying an additional 6,765,030 shares in the last quarter. Hedge funds and other institutional investors own 82.70% of the companys stock. Get iShares MSCI Emerging Markets ETF alerts: iShares MSCI Emerging Markets ETF Stock Performance EEM stock traded down $0.27 during trading hours on Wednesday, hitting $38.65. The companys stock had a trading volume of 20,759,716 shares, compared to its average volume of 31,801,295. The stock has a 50 day moving average price of $40.25 and a two-hundred day moving average price of $39.57. iShares MSCI Emerging Markets ETF has a 12 month low of $33.49 and a 12 month high of $42.53. iShares MSCI Emerging Markets ETF Profile iShares MSCI Emerging Markets ETF, formerly iShares MSCI Emerging Markets Index Fund (the Fund), seeks investment results that correspond generally to the price and yield performance of publicly traded equity securities in global emerging markets, as measured by the MSCI Emerging Markets Index (the Index). Read More Receive News & Ratings for iShares MSCI Emerging Markets ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares MSCI Emerging Markets ETF and related companies with MarketBeat.com's FREE daily email newsletter. Douglas Emmett (NYSE:DEI Get Free Report) had its price target upped by Evercore ISI from $14.00 to $15.00 in a note issued to investors on Wednesday, FlyOnTheWall reports. Evercore ISIs price objective points to a potential upside of 16.55% from the companys current price. DEI has been the subject of several other research reports. Raymond James dropped their price objective on Douglas Emmett from $17.00 to $16.00 and set an outperform rating for the company in a report on Friday, August 4th. Bank of America cut Douglas Emmett from a neutral rating to an underperform rating and dropped their price objective for the stock from $12.00 to $10.00 in a report on Tuesday, August 8th. StockNews.com cut Douglas Emmett from a hold rating to a sell rating in a report on Thursday, July 27th. Finally, TheStreet cut Douglas Emmett from a c rating to a d+ rating in a report on Tuesday, May 2nd. Four equities research analysts have rated the stock with a sell rating, three have assigned a hold rating and three have given a buy rating to the companys stock. Based on data from MarketBeat, Douglas Emmett has a consensus rating of Hold and a consensus target price of $14.40. Get Douglas Emmett alerts: Get Our Latest Report on Douglas Emmett Douglas Emmett Trading Up 0.1 % Insider Activity at Douglas Emmett DEI stock traded up $0.01 during trading on Wednesday, hitting $12.87. 1,707,497 shares of the company traded hands, compared to its average volume of 2,991,990. The firm has a market capitalization of $2.15 billion, a PE ratio of 39.00 and a beta of 0.99. Douglas Emmett has a 1 year low of $10.09 and a 1 year high of $22.31. The company has a 50-day moving average of $13.32 and a 200-day moving average of $13.12. The company has a debt-to-equity ratio of 1.29, a quick ratio of 2.67 and a current ratio of 2.67. In related news, Director William E. Simon, Jr. acquired 10,000 shares of Douglas Emmett stock in a transaction that occurred on Thursday, June 8th. The stock was bought at an average price of $12.42 per share, with a total value of $124,200.00. Following the transaction, the director now directly owns 91,000 shares in the company, valued at $1,130,220. The acquisition was disclosed in a document filed with the Securities & Exchange Commission, which is accessible through this hyperlink. 13.40% of the stock is owned by corporate insiders. Institutional Trading of Douglas Emmett A number of institutional investors and hedge funds have recently modified their holdings of the business. Vanguard Group Inc. increased its holdings in shares of Douglas Emmett by 0.5% in the 3rd quarter. Vanguard Group Inc. now owns 25,142,146 shares of the real estate investment trusts stock worth $450,799,000 after purchasing an additional 135,881 shares in the last quarter. BlackRock Inc. increased its holdings in shares of Douglas Emmett by 3.8% in the 1st quarter. BlackRock Inc. now owns 21,032,102 shares of the real estate investment trusts stock worth $259,326,000 after purchasing an additional 772,207 shares in the last quarter. First Eagle Investment Management LLC increased its holdings in shares of Douglas Emmett by 3.3% in the 4th quarter. First Eagle Investment Management LLC now owns 12,416,793 shares of the real estate investment trusts stock worth $194,695,000 after purchasing an additional 399,783 shares in the last quarter. State Street Corp increased its holdings in shares of Douglas Emmett by 15.9% in the 2nd quarter. State Street Corp now owns 11,186,067 shares of the real estate investment trusts stock worth $142,686,000 after purchasing an additional 1,537,089 shares in the last quarter. Finally, FMR LLC increased its holdings in shares of Douglas Emmett by 1.3% in the 1st quarter. FMR LLC now owns 8,863,855 shares of the real estate investment trusts stock worth $109,291,000 after purchasing an additional 115,471 shares in the last quarter. Institutional investors own 97.37% of the companys stock. About Douglas Emmett (Get Free Report) Douglas Emmett, Inc (DEI) is a fully integrated, self-administered and self-managed real estate investment trust (REIT), and one of the largest owners and operators of high-quality office and multifamily properties located in the premier coastal submarkets of Los Angeles and Honolulu. Douglas Emmett focuses on owning and acquiring a substantial share of top-tier office properties and premier multifamily communities in neighborhoods that possess significant supply constraints, high-end executive housing and key lifestyle amenities. Further Reading Receive News & Ratings for Douglas Emmett Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Douglas Emmett and related companies with MarketBeat.com's FREE daily email newsletter. Svenska Cellulosa Aktiebolaget SCA (publ) (OTCMKTS:SVCBF Get Free Report) and Acadian Timber (OTCMKTS:ACAZF Get Free Report) are both basic materials companies, but which is the superior stock? We will contrast the two businesses based on the strength of their institutional ownership, dividends, analyst recommendations, valuation, risk, earnings and profitability. Dividends Svenska Cellulosa Aktiebolaget SCA (publ) pays an annual dividend of $4.75 per share and has a dividend yield of 37.0%. Acadian Timber pays an annual dividend of $0.83 per share and has a dividend yield of 6.2%. Svenska Cellulosa Aktiebolaget SCA (publ) pays out 47.7% of its earnings in the form of a dividend. Acadian Timber pays out 168.4% of its earnings in the form of a dividend, suggesting it may not have sufficient earnings to cover its dividend payment in the future. Svenska Cellulosa Aktiebolaget SCA (publ) is clearly the better dividend stock, given its higher yield and lower payout ratio. Get Svenska Cellulosa Aktiebolaget SCA (publ) alerts: Institutional and Insider Ownership 27.6% of Svenska Cellulosa Aktiebolaget SCA (publ) shares are owned by institutional investors. Comparatively, 57.3% of Acadian Timber shares are owned by institutional investors. Strong institutional ownership is an indication that endowments, large money managers and hedge funds believe a stock is poised for long-term growth. Profitability Net Margins Return on Equity Return on Assets Svenska Cellulosa Aktiebolaget SCA (publ) N/A N/A N/A Acadian Timber N/A N/A N/A Analyst Ratings This table compares Svenska Cellulosa Aktiebolaget SCA (publ) and Acadian Timbers net margins, return on equity and return on assets. This is a summary of current ratings and recommmendations for Svenska Cellulosa Aktiebolaget SCA (publ) and Acadian Timber, as provided by MarketBeat.com. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Svenska Cellulosa Aktiebolaget SCA (publ) 0 0 1 0 3.00 Acadian Timber 0 2 0 0 2.00 Acadian Timber has a consensus target price of $16.67, indicating a potential upside of 25.79%. Given Acadian Timbers higher possible upside, analysts clearly believe Acadian Timber is more favorable than Svenska Cellulosa Aktiebolaget SCA (publ). Earnings and Valuation This table compares Svenska Cellulosa Aktiebolaget SCA (publ) and Acadian Timbers top-line revenue, earnings per share and valuation. Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Svenska Cellulosa Aktiebolaget SCA (publ) N/A N/A N/A $9.96 1.29 Acadian Timber N/A N/A N/A $0.49 27.04 Svenska Cellulosa Aktiebolaget SCA (publ) is trading at a lower price-to-earnings ratio than Acadian Timber, indicating that it is currently the more affordable of the two stocks. Summary Svenska Cellulosa Aktiebolaget SCA (publ) beats Acadian Timber on 5 of the 8 factors compared between the two stocks. About Svenska Cellulosa Aktiebolaget SCA (publ) (Get Free Report) Svenska Cellulosa Aktiebolaget SCA (publ), a forest products company, develops, manufactures, and sells forest, wood, pulp, and containerboard products in Sweden, the United States, Germany, the United Kingdom, rest of Europe, Asia, and internationally. The company offers various sawn wood products; wood solutions for industrial buildings comprise construction timber, outer panels, dimensionally planed timber, laths, base rafters, planks, and shaped timber products; wood solutions for merchants include joists, batten, and untreated and painted outer panel, as well as pressure-impregnated wood products; and marine and land transportation services, including forwarding services using RoRo vessels, container express, and road and rail. It also provides pulp products under the Pure, Star, and Cirrus names; bleached softwood kraft pulp and chemi-thermomechanical pulp; and Kraftliner products for consumer durables, electronics, hazardous goods, industrial products, fruit and vegetables, and frozen foods, etc. In addition, the company engages in the generation of energy from wind power projects, bioenergy, and liquid biofuels; and pellets manufacturing and sales. Svenska Cellulosa Aktiebolaget SCA (publ) was incorporated in 1915 and is headquartered in Sundsvall, Sweden. About Acadian Timber (Get Free Report) Acadian Timber Corp. supplies primary forest products in Eastern Canada and the Northeastern United States. The company operates through two segments, NB Timberlands and Maine Timberlands. Its products include softwood and hardwood sawlogs, pulpwood, and biomass by-products. The company owns and manages approximately 1.1 million acres of freehold timberlands in New Brunswick and Maine; and provides timber services relating to approximately 1.3 million acres of Crown licensed timberlands in New Brunswick. Acadian Timber Corp. is headquartered in New Brunswick, Canada. Receive News & Ratings for Svenska Cellulosa Aktiebolaget SCA (publ) Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Svenska Cellulosa Aktiebolaget SCA (publ) and related companies with MarketBeat.com's FREE daily email newsletter. Human Investing LLC decreased its position in Bristol-Myers Squibb (NYSE:BMY Free Report) by 6.8% during the first quarter, according to the company in its most recent disclosure with the Securities & Exchange Commission. The firm owned 13,089 shares of the biopharmaceutical companys stock after selling 949 shares during the quarter. Human Investing LLCs holdings in Bristol-Myers Squibb were worth $907,000 as of its most recent SEC filing. A number of other institutional investors also recently modified their holdings of BMY. True Wealth Design LLC acquired a new stake in shares of Bristol-Myers Squibb in the 4th quarter valued at $26,000. GoalVest Advisory LLC acquired a new stake in shares of Bristol-Myers Squibb in the 1st quarter valued at $29,000. Coppell Advisory Solutions Corp. acquired a new position in Bristol-Myers Squibb during the 4th quarter worth about $31,000. Live Oak Investment Partners acquired a new position in Bristol-Myers Squibb during the 4th quarter worth about $33,000. Finally, First Personal Financial Services lifted its position in Bristol-Myers Squibb by 67.8% during the 1st quarter. First Personal Financial Services now owns 495 shares of the biopharmaceutical companys stock worth $34,000 after buying an additional 200 shares in the last quarter. Institutional investors own 74.57% of the companys stock. Get Bristol-Myers Squibb alerts: Analyst Ratings Changes Several research analysts recently issued reports on BMY shares. Wells Fargo & Company reduced their price target on shares of Bristol-Myers Squibb from $78.00 to $65.00 and set an equal weight rating on the stock in a research report on Friday, July 28th. Morgan Stanley reiterated an underweight rating and set a $59.00 price target on shares of Bristol-Myers Squibb in a research report on Wednesday, July 19th. Bank of America reduced their price target on shares of Bristol-Myers Squibb from $85.00 to $80.00 and set a buy rating on the stock in a research report on Friday, July 28th. 51job restated a maintains rating on shares of Bristol-Myers Squibb in a research report on Friday, April 28th. Finally, Credit Suisse Group cut their target price on shares of Bristol-Myers Squibb from $72.00 to $66.00 in a research report on Wednesday, July 12th. Two investment analysts have rated the stock with a sell rating, eight have issued a hold rating, six have assigned a buy rating and one has given a strong buy rating to the companys stock. According to data from MarketBeat, the stock currently has a consensus rating of Hold and a consensus price target of $71.14. Bristol-Myers Squibb Stock Down 0.7 % NYSE BMY traded down $0.44 during trading hours on Wednesday, reaching $61.31. 2,585,482 shares of the companys stock were exchanged, compared to its average volume of 8,568,346. The companys 50-day moving average is $63.27 and its two-hundred day moving average is $66.84. Bristol-Myers Squibb has a one year low of $59.71 and a one year high of $81.43. The company has a debt-to-equity ratio of 1.08, a quick ratio of 1.28 and a current ratio of 1.39. The company has a market capitalization of $128.08 billion, a price-to-earnings ratio of 16.42, a price-to-earnings-growth ratio of 1.63 and a beta of 0.42. Bristol-Myers Squibb (NYSE:BMY Get Free Report) last posted its earnings results on Thursday, July 27th. The biopharmaceutical company reported $1.75 earnings per share (EPS) for the quarter, missing analysts consensus estimates of $1.99 by ($0.24). Bristol-Myers Squibb had a return on equity of 50.51% and a net margin of 17.62%. The business had revenue of $11.23 billion for the quarter, compared to the consensus estimate of $11.81 billion. During the same period in the previous year, the company posted $1.93 earnings per share. Bristol-Myers Squibbs revenue for the quarter was down 5.6% compared to the same quarter last year. On average, analysts forecast that Bristol-Myers Squibb will post 7.48 EPS for the current fiscal year. Bristol-Myers Squibb Dividend Announcement The company also recently declared a quarterly dividend, which was paid on Tuesday, August 1st. Shareholders of record on Friday, July 7th were given a dividend of $0.57 per share. This represents a $2.28 dividend on an annualized basis and a yield of 3.72%. The ex-dividend date was Thursday, July 6th. Bristol-Myers Squibbs dividend payout ratio (DPR) is currently 60.64%. Insiders Place Their Bets In other Bristol-Myers Squibb news, EVP Robert M. Plenge sold 732 shares of the companys stock in a transaction that occurred on Thursday, August 3rd. The stock was sold at an average price of $61.14, for a total transaction of $44,754.48. Following the completion of the transaction, the executive vice president now owns 6,584 shares of the companys stock, valued at approximately $402,545.76. The transaction was disclosed in a legal filing with the SEC, which is available at this hyperlink. Insiders own 0.08% of the companys stock. About Bristol-Myers Squibb (Free Report) Bristol-Myers Squibb Company discovers, develops, licenses, manufactures, markets, distributes, and sells biopharmaceutical products worldwide. It offers products for hematology, oncology, cardiovascular, immunology, fibrotic, and neuroscience diseases. The company's products include Eliquis, an oral inhibitor for reduction in risk of stroke/systemic embolism in NVAF, and for the treatment of DVT/PE; Opdivo for anti-cancer indications; Pomalyst/Imnovid indicated for patients with multiple myeloma; Orencia for adult patients with active RA and psoriatic arthritis; and Sprycel for the treatment of Philadelphia chromosome-positive chronic myeloid leukemia. Further Reading Want to see what other hedge funds are holding BMY? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Bristol-Myers Squibb (NYSE:BMY Free Report). Receive News & Ratings for Bristol-Myers Squibb Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bristol-Myers Squibb and related companies with MarketBeat.com's FREE daily email newsletter. Several analysts have recently updated their ratings and price targets for WESCO International (NYSE: WCC): 8/7/2023 WESCO International had its price target lowered by analysts at JPMorgan Chase & Co. from $170.00 to $155.00. They now have a neutral rating on the stock. 8/4/2023 WESCO International had its price target lowered by analysts at KeyCorp from $210.00 to $185.00. They now have an overweight rating on the stock. 8/4/2023 WESCO International had its price target lowered by analysts at Royal Bank of Canada from $214.00 to $189.00. They now have an outperform rating on the stock. 8/4/2023 WESCO International had its price target lowered by analysts at Oppenheimer Holdings Inc. from $190.00 to $185.00. They now have an outperform rating on the stock. 7/13/2023 WESCO International had its price target raised by analysts at KeyCorp from $175.00 to $210.00. 6/21/2023 WESCO International had its price target raised by analysts at Loop Capital from $170.00 to $210.00. WESCO International Stock Performance NYSE WCC opened at $154.39 on Wednesday. The company has a market capitalization of $7.93 billion, a price-to-earnings ratio of 10.23, a PEG ratio of 1.02 and a beta of 2.00. WESCO International, Inc. has a twelve month low of $112.08 and a twelve month high of $185.23. The stock has a fifty day simple moving average of $168.81 and a 200 day simple moving average of $154.54. The company has a quick ratio of 1.40, a current ratio of 2.40 and a debt-to-equity ratio of 1.15. Get WESCO International Inc alerts: WESCO International (NYSE:WCC Get Free Report) last issued its quarterly earnings results on Thursday, August 3rd. The technology company reported $3.71 earnings per share for the quarter, missing analysts consensus estimates of $4.45 by ($0.74). The business had revenue of $5.75 billion for the quarter, compared to analyst estimates of $5.94 billion. WESCO International had a return on equity of 19.97% and a net margin of 3.81%. The businesss revenue was up 4.5% on a year-over-year basis. During the same quarter in the previous year, the business posted $4.19 earnings per share. Sell-side analysts expect that WESCO International, Inc. will post 15.36 EPS for the current year. WESCO International Dividend Announcement Insiders Place Their Bets The company also recently announced a quarterly dividend, which was paid on Friday, June 30th. Shareholders of record on Thursday, June 15th were given a dividend of $0.375 per share. The ex-dividend date was Wednesday, June 14th. This represents a $1.50 annualized dividend and a dividend yield of 0.97%. WESCO Internationals dividend payout ratio is currently 9.94%. In other news, EVP Nelson John Squires III sold 3,490 shares of the firms stock in a transaction that occurred on Friday, June 30th. The shares were sold at an average price of $180.00, for a total transaction of $628,200.00. Following the completion of the sale, the executive vice president now owns 66,147 shares in the company, valued at approximately $11,906,460. The sale was disclosed in a document filed with the SEC, which is available at the SEC website. In other WESCO International news, EVP James Cameron sold 7,687 shares of the businesss stock in a transaction on Thursday, June 8th. The shares were sold at an average price of $153.47, for a total value of $1,179,723.89. Following the completion of the sale, the executive vice president now directly owns 35,811 shares of the companys stock, valued at $5,495,914.17. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through the SEC website. Also, EVP Nelson John Squires III sold 3,490 shares of the businesss stock in a transaction on Friday, June 30th. The stock was sold at an average price of $180.00, for a total transaction of $628,200.00. Following the completion of the sale, the executive vice president now directly owns 66,147 shares of the companys stock, valued at $11,906,460. The disclosure for this sale can be found here. Insiders have sold a total of 15,720 shares of company stock valued at $2,579,626 in the last 90 days. 2.60% of the stock is currently owned by corporate insiders. Hedge Funds Weigh In On WESCO International Institutional investors and hedge funds have recently made changes to their positions in the business. Geode Capital Management LLC raised its holdings in WESCO International by 6.8% in the 2nd quarter. Geode Capital Management LLC now owns 657,472 shares of the technology companys stock worth $117,727,000 after acquiring an additional 41,589 shares during the last quarter. Bank of New York Mellon Corp increased its position in shares of WESCO International by 116.3% during the 2nd quarter. Bank of New York Mellon Corp now owns 413,063 shares of the technology companys stock valued at $73,963,000 after purchasing an additional 222,092 shares during the last quarter. Eagle Asset Management Inc. lifted its stake in shares of WESCO International by 28.9% in the 1st quarter. Eagle Asset Management Inc. now owns 244,975 shares of the technology companys stock worth $37,858,000 after purchasing an additional 54,875 shares during the period. Farmers & Merchants Investments Inc. boosted its holdings in shares of WESCO International by 0.8% in the second quarter. Farmers & Merchants Investments Inc. now owns 191,090 shares of the technology companys stock worth $34,217,000 after buying an additional 1,510 shares during the last quarter. Finally, J. L. Bainbridge & Co. Inc. grew its position in WESCO International by 25.5% during the second quarter. J. L. Bainbridge & Co. Inc. now owns 157,165 shares of the technology companys stock valued at $28,142,000 after buying an additional 31,896 shares during the period. Institutional investors own 92.78% of the companys stock. WESCO International, Inc provides business-to-business distribution, logistics services, and supply chain solutions in the United States, Canada, and internationally. It operates through three segments: Electrical & Electronic Solutions (EES), Communications & Security Solutions (CSS), and Utility and Broadband Solutions (UBS). Further Reading Receive News & Ratings for WESCO International Inc Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for WESCO International Inc and related companies with MarketBeat.com's FREE daily email newsletter. BAKU, Azerbaijan, August 17. There has been a significant shift in Tajikistan's trade with Switzerland from January through June 2023, compared to the previous year, according to the information provided to Trend by Federal Office for Customs and Border Security of Switzerland. The export figures from Tajikistan to Switzerland saw huge drop: the figure reached only 205,562 CFH ($234,427), while in 1H2022 it stood at 265.888 million CFH ($303.2 million). The majority of Tajik exports to Switzerland were dominated by precious metals and gemstones, accounting for 265.672 million CFH ($302.9 million). While the statistics for 1H2023 listed as being provisional, the drop in precious metals and gemstones export from Tajikistan to Switzerland decreased 1292-fold, thus affecting the entire trade balance. On the import side, Tajikistan's imports from Switzerland surged to 32.461 million CFH ($37 million) in the first half of 2023, marking a notable increase from 525,136 CFH ($598,875) during the same period in 2022. The major share of the Tajikistan's imports from Switzerland fell on precious metals and gemstones, amounting to 27.279 million CFH ($31.1 million). This led to Switzerland's trade balance with Tajikistan amounting to 32.255 million CFH ($36.7 million) in the first half of 2023. JT Stratford LLC boosted its stake in shares of Delta Air Lines, Inc. (NYSE:DAL Free Report) by 102.7% in the 1st quarter, according to the company in its most recent disclosure with the SEC. The firm owned 37,490 shares of the transportation companys stock after buying an additional 18,996 shares during the quarter. JT Stratford LLCs holdings in Delta Air Lines were worth $1,309,000 as of its most recent SEC filing. Several other institutional investors also recently added to or reduced their stakes in the stock. Kentucky Retirement Systems Insurance Trust Fund bought a new position in Delta Air Lines during the first quarter worth about $797,000. Pictet Asset Management SA grew its holdings in shares of Delta Air Lines by 140.2% in the first quarter. Pictet Asset Management SA now owns 371,795 shares of the transportation companys stock worth $12,983,000 after purchasing an additional 217,038 shares during the last quarter. Keybank National Association OH grew its holdings in shares of Delta Air Lines by 36.2% in the first quarter. Keybank National Association OH now owns 15,720 shares of the transportation companys stock worth $549,000 after purchasing an additional 4,180 shares during the last quarter. 25 LLC bought a new position in shares of Delta Air Lines in the first quarter worth approximately $82,000. Finally, Jupiter Asset Management Ltd. boosted its holdings in Delta Air Lines by 9.4% in the first quarter. Jupiter Asset Management Ltd. now owns 1,493,064 shares of the transportation companys stock valued at $52,123,000 after acquiring an additional 128,039 shares in the last quarter. Institutional investors own 68.35% of the companys stock. Get Delta Air Lines alerts: Insider Transactions at Delta Air Lines In other news, EVP Steven M. Sear sold 12,129 shares of the firms stock in a transaction on Wednesday, August 9th. The stock was sold at an average price of $45.52, for a total transaction of $552,112.08. Following the transaction, the executive vice president now owns 85,708 shares in the company, valued at $3,901,428.16. The sale was disclosed in a legal filing with the SEC, which is accessible through this link. In other Delta Air Lines news, Director Michael P. Huerta sold 3,350 shares of Delta Air Lines stock in a transaction dated Thursday, July 20th. The stock was sold at an average price of $48.43, for a total value of $162,240.50. Following the transaction, the director now owns 27,465 shares in the company, valued at $1,330,129.95. The transaction was disclosed in a legal filing with the Securities & Exchange Commission, which is available through the SEC website. Also, EVP Steven M. Sear sold 12,129 shares of Delta Air Lines stock in a transaction dated Wednesday, August 9th. The shares were sold at an average price of $45.52, for a total value of $552,112.08. Following the completion of the transaction, the executive vice president now owns 85,708 shares in the company, valued at approximately $3,901,428.16. The disclosure for this sale can be found here. Over the last ninety days, insiders sold 47,992 shares of company stock worth $2,116,582. 0.89% of the stock is currently owned by insiders. Delta Air Lines Trading Down 0.0 % DAL traded down $0.01 during trading on Wednesday, reaching $43.01. The company had a trading volume of 2,417,331 shares, compared to its average volume of 10,566,221. Delta Air Lines, Inc. has a 52 week low of $27.20 and a 52 week high of $49.81. The stock has a market cap of $27.67 billion, a P/E ratio of 9.27, a PEG ratio of 0.18 and a beta of 1.30. The business has a 50 day simple moving average of $45.19 and a 200-day simple moving average of $39.08. The company has a quick ratio of 0.41, a current ratio of 0.46 and a debt-to-equity ratio of 2.24. Delta Air Lines (NYSE:DAL Get Free Report) last released its earnings results on Thursday, July 13th. The transportation company reported $2.68 earnings per share for the quarter, topping the consensus estimate of $2.40 by $0.28. Delta Air Lines had a return on equity of 59.56% and a net margin of 5.36%. The business had revenue of $15.58 billion during the quarter, compared to the consensus estimate of $14.44 billion. During the same period last year, the company earned $1.44 earnings per share. The businesss revenue for the quarter was up 12.7% compared to the same quarter last year. On average, equities analysts anticipate that Delta Air Lines, Inc. will post 6.67 EPS for the current fiscal year. Delta Air Lines Cuts Dividend The firm also recently announced a quarterly dividend, which was paid on Monday, August 7th. Stockholders of record on Monday, July 17th were given a dividend of $0.10 per share. The ex-dividend date of this dividend was Friday, July 14th. This represents a $0.40 annualized dividend and a dividend yield of 0.93%. Delta Air Liness dividend payout ratio (DPR) is 8.62%. Analyst Upgrades and Downgrades Several analysts recently weighed in on the stock. 22nd Century Group reiterated a maintains rating on shares of Delta Air Lines in a report on Wednesday, June 28th. Barclays boosted their target price on Delta Air Lines from $55.00 to $58.00 in a research report on Thursday, July 13th. Deutsche Bank Aktiengesellschaft boosted their price target on Delta Air Lines from $47.00 to $58.00 in a research note on Wednesday, June 28th. Evercore ISI boosted their price target on Delta Air Lines from $55.00 to $60.00 and gave the company an outperform rating in a research note on Friday, July 14th. Finally, TheStreet upgraded Delta Air Lines from a c rating to a b rating in a research note on Thursday, July 13th. Twelve investment analysts have rated the stock with a buy rating and one has given a strong buy rating to the company. According to data from MarketBeat, Delta Air Lines has a consensus rating of Buy and a consensus price target of $56.88. Read Our Latest Stock Report on Delta Air Lines About Delta Air Lines (Free Report) Delta Air Lines, Inc provides scheduled air transportation for passengers and cargo in the United States and internationally. The company operates through two segments, Airline and Refinery. Its domestic network centered on core hubs in Atlanta, Minneapolis-St. Paul, Detroit, and Salt Lake City, as well as coastal hub positions in Boston, Los Angeles, New York-LaGuardia, New York-JFK, and Seattle; and international network centered on hubs and market presence in Amsterdam, Mexico City, London-Heathrow, Paris-Charles de Gaulle, and Seoul-Incheon. Recommended Stories Want to see what other hedge funds are holding DAL? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Delta Air Lines, Inc. (NYSE:DAL Free Report). Receive News & Ratings for Delta Air Lines Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Delta Air Lines and related companies with MarketBeat.com's FREE daily email newsletter. Montanaro European Smaller Companies Trust plc (LON:MTE Get Free Report) announced a dividend on Friday, June 23rd, Upcoming.Co.Uk reports. Investors of record on Thursday, August 17th will be given a dividend of GBX 0.77 ($0.01) per share on Friday, September 15th. This represents a yield of 0.56%. The ex-dividend date of this dividend is Thursday, August 17th. This is a boost from Montanaro European Smaller Companies Trusts previous dividend of $0.20. The official announcement can be seen at this link. Montanaro European Smaller Companies Trust Stock Down 0.7 % MTE opened at GBX 130.32 ($1.65) on Wednesday. The firm has a 50 day moving average price of GBX 136.90 and a 200 day moving average price of GBX 139.11. The company has a market cap of 246.87 million, a PE ratio of -1,093.33 and a beta of 1.11. Montanaro European Smaller Companies Trust has a 1 year low of GBX 104.20 ($1.32) and a 1 year high of GBX 151.20 ($1.92). The company has a debt-to-equity ratio of 4.39, a quick ratio of 0.12 and a current ratio of 0.28. Get Montanaro European Smaller Companies Trust alerts: Montanaro European Smaller Companies Trust Company Profile (Get Free Report) Featured Articles Montanaro European Smaller Companies Trust plc is an open ended equity mutual fund launched and managed by Montanaro Investment Managers Ltd. It invests in public equity markets of Europe. The fund seeks to invest in stocks of companies operating across diversified sectors. It primarily invests in growth stocks of small-cap companies listed on London Stock Exchange. Receive News & Ratings for Montanaro European Smaller Companies Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Montanaro European Smaller Companies Trust and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Rolls-Royce Holdings plc (OTCMKTS:RYCEY Get Free Report) have been given a consensus rating of Hold by the nine ratings firms that are presently covering the company, MarketBeat Ratings reports. Five analysts have rated the stock with a hold recommendation and four have issued a buy recommendation on the company. The average 1-year price target among brokerages that have issued a report on the stock in the last year is $190.80. A number of equities research analysts have weighed in on RYCEY shares. Morgan Stanley started coverage on shares of Rolls-Royce Holdings plc in a research report on Tuesday, June 13th. They set an equal weight rating for the company. Jefferies Financial Group lifted their price target on shares of Rolls-Royce Holdings plc from GBX 210 ($2.66) to GBX 310 ($3.93) in a research report on Monday, August 7th. JPMorgan Chase & Co. upgraded shares of Rolls-Royce Holdings plc from an underweight rating to a neutral rating in a report on Monday, August 7th. Barclays lifted their price objective on shares of Rolls-Royce Holdings plc from GBX 156 ($1.98) to GBX 239 ($3.03) in a report on Thursday, August 10th. Finally, Deutsche Bank Aktiengesellschaft lifted their price objective on shares of Rolls-Royce Holdings plc from GBX 160 ($2.03) to GBX 210 ($2.66) in a report on Thursday, July 27th. Get Rolls-Royce Holdings plc alerts: Get Our Latest Report on Rolls-Royce Holdings plc Institutional Inflows and Outflows Rolls-Royce Holdings plc Stock Down 1.9 % Several institutional investors and hedge funds have recently added to or reduced their stakes in RYCEY. Atlas Wealth LLC purchased a new stake in shares of Rolls-Royce Holdings plc during the 1st quarter worth approximately $25,000. FineMark National Bank & Trust purchased a new stake in Rolls-Royce Holdings plc in the 1st quarter valued at $30,000. SVB Wealth LLC raised its position in Rolls-Royce Holdings plc by 52.6% in the 1st quarter. SVB Wealth LLC now owns 19,515 shares of the aerospace companys stock valued at $35,000 after purchasing an additional 6,729 shares during the last quarter. OLD National Bancorp IN purchased a new stake in Rolls-Royce Holdings plc in the 1st quarter valued at $77,000. Finally, SYSTM Wealth Solutions LLC purchased a new stake in Rolls-Royce Holdings plc in the 4th quarter valued at $329,000. Shares of RYCEY stock opened at $2.58 on Wednesday. The firms 50-day moving average is $2.06 and its two-hundred day moving average is $1.83. Rolls-Royce Holdings plc has a 12 month low of $0.71 and a 12 month high of $2.68. Rolls-Royce Holdings plc Company Profile (Get Free Report Rolls-Royce Holdings plc operates as an industrial technology company in the United Kingdom and internationally. The company operates in four segments: Civil Aerospace, Defence, Power Systems, and New Markets. The Civil Aerospace segment develops, manufactures, markets, and sells aero engines for large commercial aircraft, regional jet, and business aviation markets, as well as provides aftermarket services. Featured Articles Receive News & Ratings for Rolls-Royce Holdings plc Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Rolls-Royce Holdings plc and related companies with MarketBeat.com's FREE daily email newsletter. Lear Co. (NYSE:LEA Get Free Report) saw a significant decrease in short interest in July. As of July 31st, there was short interest totalling 1,090,000 shares, a decrease of 12.1% from the July 15th total of 1,240,000 shares. Based on an average daily trading volume, of 615,900 shares, the short-interest ratio is currently 1.8 days. Currently, 1.9% of the shares of the stock are sold short. Analyst Upgrades and Downgrades A number of brokerages have recently weighed in on LEA. Argus lifted their price target on Lear from $150.00 to $180.00 and gave the company a buy rating in a report on Wednesday, August 2nd. JPMorgan Chase & Co. lifted their price target on Lear from $169.00 to $179.00 and gave the company an overweight rating in a report on Thursday, July 20th. Deutsche Bank Aktiengesellschaft lifted their price target on Lear from $137.00 to $147.00 in a report on Wednesday, June 28th. StockNews.com began coverage on Lear in a report on Thursday, May 18th. They set a buy rating on the stock. Finally, Wells Fargo & Company lifted their price target on Lear from $144.00 to $164.00 and gave the company an equal weight rating in a report on Wednesday, August 2nd. Five analysts have rated the stock with a hold rating and seven have assigned a buy rating to the companys stock. According to MarketBeat.com, Lear has a consensus rating of Moderate Buy and an average price target of $164.64. Get Lear alerts: Get Our Latest Report on Lear Lear Stock Down 1.0 % NYSE LEA traded down $1.39 on Tuesday, reaching $143.17. The stock had a trading volume of 700,963 shares, compared to its average volume of 600,992. Lear has a 1 year low of $114.67 and a 1 year high of $157.90. The business has a 50 day moving average price of $146.37 and a 200 day moving average price of $138.55. The company has a market capitalization of $8.41 billion, a price-to-earnings ratio of 16.51, a P/E/G ratio of 0.37 and a beta of 1.58. The company has a current ratio of 1.36, a quick ratio of 1.06 and a debt-to-equity ratio of 0.54. Lear (NYSE:LEA Get Free Report) last announced its quarterly earnings results on Tuesday, August 1st. The auto parts company reported $3.33 earnings per share for the quarter, topping analysts consensus estimates of $3.21 by $0.12. Lear had a return on equity of 13.84% and a net margin of 2.32%. The company had revenue of $6 billion during the quarter, compared to analysts expectations of $5.89 billion. During the same quarter in the previous year, the firm earned $1.79 earnings per share. The businesss quarterly revenue was up 18.3% compared to the same quarter last year. On average, analysts forecast that Lear will post 11.76 EPS for the current fiscal year. Lear Announces Dividend The business also recently declared a quarterly dividend, which will be paid on Tuesday, September 19th. Shareholders of record on Thursday, August 31st will be given a $0.77 dividend. The ex-dividend date of this dividend is Wednesday, August 30th. This represents a $3.08 dividend on an annualized basis and a dividend yield of 2.15%. Lears dividend payout ratio is currently 35.16%. Insider Buying and Selling at Lear In other news, SVP Carl A. Esposito sold 10,310 shares of the firms stock in a transaction on Friday, August 4th. The stock was sold at an average price of $155.00, for a total transaction of $1,598,050.00. Following the completion of the sale, the senior vice president now directly owns 9,105 shares of the companys stock, valued at approximately $1,411,275. The transaction was disclosed in a filing with the SEC, which is accessible through this hyperlink. In related news, SVP Carl A. Esposito sold 10,310 shares of Lear stock in a transaction on Friday, August 4th. The stock was sold at an average price of $155.00, for a total value of $1,598,050.00. Following the transaction, the senior vice president now directly owns 9,105 shares in the company, valued at approximately $1,411,275. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through this hyperlink. Also, Director Conrad L. Mallett, Jr. sold 330 shares of Lear stock in a transaction on Tuesday, May 23rd. The stock was sold at an average price of $126.06, for a total value of $41,599.80. The disclosure for this sale can be found here. Over the last 90 days, insiders have sold 44,683 shares of company stock valued at $6,640,802. Corporate insiders own 0.75% of the companys stock. Institutional Trading of Lear Institutional investors and hedge funds have recently made changes to their positions in the stock. Covestor Ltd lifted its position in shares of Lear by 154.7% in the second quarter. Covestor Ltd now owns 191 shares of the auto parts companys stock worth $27,000 after buying an additional 116 shares during the last quarter. BI Asset Management Fondsmaeglerselskab A S lifted its position in shares of Lear by 65.4% during the 2nd quarter. BI Asset Management Fondsmaeglerselskab A S now owns 220 shares of the auto parts companys stock worth $32,000 after purchasing an additional 87 shares during the last quarter. Psagot Value Holdings Ltd. Israel acquired a new position in shares of Lear during the 1st quarter worth about $40,000. Belpointe Asset Management LLC lifted its position in shares of Lear by 90.8% during the 1st quarter. Belpointe Asset Management LLC now owns 290 shares of the auto parts companys stock worth $40,000 after purchasing an additional 138 shares during the last quarter. Finally, V Square Quantitative Management LLC acquired a new position in shares of Lear during the 2nd quarter worth about $52,000. Institutional investors and hedge funds own 98.40% of the companys stock. Lear Company Profile (Get Free Report) Lear Corporation designs, develops, engineers, manufactures, assembles, and supplies automotive seating, and electrical distribution systems and related components for automotive original equipment manufacturers in North America, Europe, Africa, Asia, and South America. Its Seating segment offers seat systems, seat subsystems, keyseat components, seat trim covers, seat mechanisms, seat foams, and headrests, as well as surface materials, such as leather and fabric for automobiles and light trucks, compact cars, pick-up trucks, and sport utility vehicles. Featured Stories Receive News & Ratings for Lear Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Lear and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Squarespace, Inc. (NYSE:SQSP Get Free Report) have received an average recommendation of Moderate Buy from the fifteen brokerages that are currently covering the company, Marketbeat reports. Seven analysts have rated the stock with a hold rating and eight have assigned a buy rating to the company. The average 1 year target price among brokers that have issued a report on the stock in the last year is $34.08. Several brokerages have recently weighed in on SQSP. Citigroup raised shares of Squarespace from a neutral rating to a buy rating and increased their price objective for the company from $30.00 to $40.00 in a research report on Tuesday, April 25th. Mizuho increased their price objective on shares of Squarespace from $28.00 to $32.00 and gave the company a neutral rating in a research report on Wednesday, August 9th. B. Riley began coverage on shares of Squarespace in a research report on Wednesday, June 28th. They issued a buy rating and a $40.00 price objective on the stock. Royal Bank of Canada increased their price objective on shares of Squarespace from $30.00 to $32.00 and gave the company a sector perform rating in a research report on Wednesday, August 9th. Finally, Credit Suisse Group increased their price objective on shares of Squarespace from $30.00 to $32.00 and gave the company a neutral rating in a research report on Thursday, August 10th. Get Squarespace alerts: View Our Latest Analysis on Squarespace Insiders Place Their Bets Institutional Investors Weigh In On Squarespace In other news, General Counsel Courtenay Oconnor sold 2,091 shares of the firms stock in a transaction that occurred on Wednesday, June 14th. The shares were sold at an average price of $30.55, for a total value of $63,880.05. Following the sale, the general counsel now directly owns 38,216 shares in the company, valued at approximately $1,167,498.80. The transaction was disclosed in a filing with the SEC, which is available at the SEC website . In other news, General Counsel Courtenay Oconnor sold 2,091 shares of the firms stock in a transaction that occurred on Wednesday, June 14th. The shares were sold at an average price of $30.55, for a total value of $63,880.05. Following the sale, the general counsel now directly owns 38,216 shares in the company, valued at approximately $1,167,498.80. The transaction was disclosed in a filing with the SEC, which is available at the SEC website . Also, CEO Anthony Casalena sold 32,998 shares of the firms stock in a transaction that occurred on Monday, August 14th. The shares were sold at an average price of $31.07, for a total transaction of $1,025,247.86. Following the completion of the sale, the chief executive officer now owns 4,490,410 shares in the company, valued at $139,517,038.70. The disclosure for this sale can be found here . Insiders have sold 319,310 shares of company stock worth $10,054,478 over the last ninety days. Corporate insiders own 47.14% of the companys stock. Several hedge funds have recently added to or reduced their stakes in the business. Victory Capital Management Inc. boosted its position in Squarespace by 1.5% during the 2nd quarter. Victory Capital Management Inc. now owns 39,839 shares of the companys stock worth $1,257,000 after buying an additional 596 shares during the period. Rhumbline Advisers boosted its position in Squarespace by 1.3% during the 1st quarter. Rhumbline Advisers now owns 48,519 shares of the companys stock worth $1,541,000 after buying an additional 604 shares during the period. Daiwa Securities Group Inc. boosted its position in Squarespace by 24.4% during the 1st quarter. Daiwa Securities Group Inc. now owns 3,628 shares of the companys stock worth $115,000 after buying an additional 712 shares during the period. Metropolitan Life Insurance Co NY boosted its position in Squarespace by 45.3% during the 2nd quarter. Metropolitan Life Insurance Co NY now owns 2,461 shares of the companys stock worth $78,000 after buying an additional 767 shares during the period. Finally, Quarry LP acquired a new stake in Squarespace during the 2nd quarter worth approximately $25,000. 40.38% of the stock is currently owned by institutional investors and hedge funds. Squarespace Price Performance Shares of SQSP stock opened at $30.27 on Friday. The stock has a market cap of $4.09 billion, a P/E ratio of -18.69 and a beta of 0.40. The company has a fifty day moving average price of $31.17 and a two-hundred day moving average price of $29.13. Squarespace has a one year low of $16.86 and a one year high of $34.38. Squarespace (NYSE:SQSP Get Free Report) last announced its quarterly earnings results on Tuesday, August 8th. The company reported $0.03 EPS for the quarter, missing analysts consensus estimates of $0.20 by ($0.17). The firm had revenue of $247.50 million during the quarter, compared to analyst estimates of $243.34 million. Squarespace had a negative net margin of 23.60% and a negative return on equity of 2.24%. The businesss revenue was up 16.4% compared to the same quarter last year. During the same quarter in the prior year, the business earned $0.45 EPS. As a group, equities research analysts anticipate that Squarespace will post 0.32 EPS for the current fiscal year. About Squarespace (Get Free Report Squarespace, Inc operates platform for businesses and independent creators to build online presence, grow their brands, and manage their businesses across the internet. Its suite of integrated products enables users to manage their projects and businesses through websites, domains, e-commerce, marketing tools, scheduling, and hospitality services, as well as tools for managing a social media presence. See Also Receive News & Ratings for Squarespace Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Squarespace and related companies with MarketBeat.com's FREE daily email newsletter. Tekla Life Sciences Investors (NYSE:HQL Get Free Report) declared a quarterly dividend on Tuesday, August 15th, Wall Street Journal reports. Stockholders of record on Friday, August 25th will be paid a dividend of 0.32 per share on Friday, September 29th. This represents a $1.28 dividend on an annualized basis and a dividend yield of 9.62%. The ex-dividend date of this dividend is Thursday, August 24th. Tekla Life Sciences Investors has decreased its dividend by an average of 1.0% annually over the last three years. Get Tekla Life Sciences Investors alerts: Tekla Life Sciences Investors Stock Performance Shares of HQL stock traded down $0.13 during mid-day trading on Wednesday, reaching $13.30. The companys stock had a trading volume of 69,631 shares, compared to its average volume of 82,662. The companys 50 day simple moving average is $13.53 and its 200-day simple moving average is $13.83. Tekla Life Sciences Investors has a 12-month low of $13.07 and a 12-month high of $16.22. Hedge Funds Weigh In On Tekla Life Sciences Investors Tekla Life Sciences Investors Company Profile A number of hedge funds have recently made changes to their positions in HQL. Raymond James Financial Services Advisors Inc. raised its stake in shares of Tekla Life Sciences Investors by 20.1% in the 1st quarter. Raymond James Financial Services Advisors Inc. now owns 79,672 shares of the companys stock valued at $1,318,000 after acquiring an additional 13,324 shares during the period. Cambridge Investment Research Advisors Inc. increased its stake in Tekla Life Sciences Investors by 15.3% during the 1st quarter. Cambridge Investment Research Advisors Inc. now owns 14,930 shares of the companys stock worth $247,000 after buying an additional 1,982 shares during the period. NewEdge Advisors LLC increased its stake in Tekla Life Sciences Investors by 65.1% during the 1st quarter. NewEdge Advisors LLC now owns 24,099 shares of the companys stock worth $398,000 after buying an additional 9,500 shares during the period. Atria Wealth Solutions Inc. purchased a new stake in Tekla Life Sciences Investors during the 1st quarter worth $662,000. Finally, IHT Wealth Management LLC purchased a new stake in Tekla Life Sciences Investors during the 1st quarter worth $170,000. Hedge funds and other institutional investors own 19.70% of the companys stock. (Get Free Report) Tekla Life Sciences Investors is a closed-ended equity mutual fund launched and managed by Tekla Capital Management LLC. The fund invests in public equity markets across the globe. It seeks to invest in stocks of companies operating in the life sciences sector, including the biotechnology, pharmaceutical, diagnostics, managed healthcare, medical equipment, hospitals, healthcare information technology and services, devices and supplies industries, and in agriculture and environmental management industries. See Also Receive News & Ratings for Tekla Life Sciences Investors Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Tekla Life Sciences Investors and related companies with MarketBeat.com's FREE daily email newsletter. ASHGABAT, Turkmenistan, August 16. United States Agency for International Development (USAID) is launching a specialized media platform in Turkmenistan, to support exports of Turkmen enterprises in early August 2023, Trend reports. This project provides local producers with full information on export procedures, including aspects related to product quality improvement and compliance with international standards, as well as marketing and media support. Thus, this initiative promotes the integration of Turkmen businesses into the digital environment, including e-commerce and business networking platforms. The media platform provides extensive technical information on legal, customs, logistics, tax and other export-related aspects. Moreover, applications from Turkmen producers to participate in this project are accepted until the end of August. This is an opportunity for enterprises to join the initiative and use the resources of the media platform to expand their business in international markets. I cannot situate exactly how I first encountered this phrase: Strange liberators, but I think it was while studying the words and speeches of Martin Luther King Jnr where it was used as a title for a piece on the role played by the American government in Vietnam. Luther in that account stated thus;I speak now not of the soldiers of each side, not of the junta in Saigon, but simply of the people who have been living under the curse of war for almost three continuous decades now. I think of them too because it is clear to me that there will be no meaningful solution there until some attempt is made to know them and hear their broken cries. They must indeed have seen Americans as strange liberators. He concluded. The above quote came flooding after reading a news report that in compliance with the directives of the Economic Community of West African States, the Defense Headquarters has commenced build-up preparations for the possible mobilization of troops and equipment for a possible confrontation with the junta in Niger Republic. It was well reported that the purpose of the above directive is to use military might to force the junta, which overthrew President Mohamed Bazoum on July 26, out of power and to reinstate the Nigerien President. Indeed, it will be naive of this piece to proceed without making the following distinctions. First, it is extremely important for us as Africans to be clear among ourselves that the episodic coup detat in Niger Republic remains repugnant, obnoxious, condemnable and can never be supported by any right thinking African. In fact, there is presently no credible alternative to democracy. Again, to be far to the leadership of Economic Community of West African States, there is nothing wrong with war when viewed peripherally. War is considered a lawful violence so far it meets with these three conditions; waged by the lawful public authority in defense of the common good, waged for a just cause, and waged with the right intention, not vengefully nor to inflict harm. But beyond these tangential considerations, there is everything wrong with the latest decision by the ECOWAS leadership. Without doubt, these leaders may have good intentions with their insistence on re-install democracy in Niger Republic, but their strategy and tactics portrays them as strange liberators. Not even the Nigeriens, the targeted beneficiaries of the proposed intervention will spare them of such description. This particular postulation is not by any means groundless. Aside from being ill timed coupled with the new awareness that when soldiers are in the field, the resources of the state/continent shall be depleted, and plunder the nascent economic space of the continent into a more chaotic situation, there are glaring reasons as to why the ECOWAS leadership must rethink the proposed war and in its place, seek victory that will render further aggression unnecessary. As noted elsewhere, history demonstrates that the political logic of war is ill-served by a too narrow focus on policy, since this logic is also determined by other political forces and conditions, such as the composition of the polity and domestic power struggles. Politicians in every era, it was observed, have pursued foreign policies they believed were detrimental to national interest but nevertheless persisted in their course because their thinking was dominated by concerns of power. Similarly , based on the deduction that politics consists of the core concepts of policy, power and polity, many scholars have concluded that the field has lost its relevance, traditional war has become obsolete and strategy merely an illusion. Another reason why the current politics of war by the President Bola Ahmed Tinubu led ECOWAS body must be dropped, is predicated on strategic insights from religious and global communities. While those with religious inclinations argues that war fare can no longer be supported or trusted as means of conflict resolution -as the consequence of such exercise or the degree of causalities cannot be predicted, and suggests- gospel and moral situation as way forward, the global community, advocates that any nation desirous of survival and development, must apply global accounts of previous wars in its day to day administration. Such knowledge without a shadow of the doubt has the capacity to promote understanding between individuals, communities, states, nations and regions of the world as well as bring about structural changes in concept and gradual displacements of this long held view about war. Leaders in Africa need to recognize that the effects of such dangerous and unsustainable war decisions taken in the past are presently similar in consequence to the present plan for another self-destruction. As a continent, we cannot be doing one thing repeatedly and expect different results. We must not fail to remember also that the longer we allow such thoughtless decisions to continue in Africa, the greater the harm and the more serious the risk. It calls for a rethink. Also worrisome and worrying is the awareness that the list of actions not taken by President Tinubu and other leaders from West Africa to resolve the impasse remains lengthy. These leaders for example have not been exhaustive in diplomacy and strategic negotiation. From this standpoint, it will be an unproductive venture proposing a solution without first underlining why coup d'etat is still considered an option/fashionable in not just West Africa but Africa as a continent. This piece underlines that top on the list of these factors are; flagrant disregard by elected public office holders, of public opinions, ignoring advice/admonitions from well-meaning Africans and groups, paying no attention to political and socioeconomic concerns expressed by the people, undermining political , socioeconomic and democratic institutions and exercising of power and responsibility, not as a trust for public good but as an opportunity for private gains among others. Close to the above is the reality that Africa is a continent where tribal loyalties seem stronger than the sense of common nationhood, a state of affairs that blurs our vision about public leadership and promotes nepotism, cronyism and corruption while making our political judgments bigoted. There exists also gross poverty of history in the continent, a condition that roundly prevents leaders in the continent and their supporters from learning from the consequences that befell some of the past African leaders that ignored such warning signals. In our living memories are: Robert Mugabe of Zimbabwe, Gnassingbe Eyadema of Togo and Mobutu Seseseko of Zaire, among others. From Zimbabwe to South Africa, Kenya down to Nigeria, the story is the same: penchant for ignoring advice, warning signals, and inability to read the political handwriting on the wall. Most practical and recent experience is Mr Raila Odinga of the Peoples Republic of Kenya, who in 2018 had himself, illegally sworn-in as the parallel president of the Republic, despite his non-participation in the rescheduled presidential election in Kenya. With this move, Odinga, as an average African leader demonstrated his political blindness and proved to the world that public opinion makes little or no sense to him. To Odinga, it was not about the people but personal aggrandizement. To him, it is not about service but selfishness. Looking ahead, it is obvious that; if truly a people-purposed leadership is what we seek in Africa, if accelerated economy is our goal, if social and cultural development is our dream, if promoting peace, supporting our industries and improving our energy sector form our objectives, then, the solution to these critical demands calls for overwhelming urgency and demand a generational change. Why? The reason is that Africa as a continent has talented and well educated youth who can build a modern continent. As the debate rages, this piece holds the opinion that killing a fellow African via war in the name of re-installing overthrown President Mohamed Bazoum, will earn ECOWAS leaders neither honour nor glory. Thus, the option of war must be jettisoned without delay. Rather repentance by African leaders from political mis-leadership, will without doubt, render coup d'etat in Africa unnecessary. The economy of the continent on its part will look after itself if democracy is protected, human rights are respected, and the rule of law is strictly adhered to by these so-called leaders. This is the only possible solution. Jerome-Mario Utomi, Programme Coordinator (Media and Policy), Social and Economic Justice Advocacy, Lagos. [email protected] Or 08032725374 Never Make A Threat. Reason With People. Don Vito Corleone. From a strategic standpoint, my perspective would involve presenting insightful guidance, drawing inspiration from Mario Puzo's renowned book The Godfather and the writings of Carl von Clausewitz, particularly On War, Grand Strategy and Theory of War. This counsel would be directed towards His Excellency, Bola Ahmed Adekunle Tinubu, (GCFR), who holds the esteemed positions of both the President of Nigeria and the Chairman of the Economic Community of West African States (ECOWAS). The essence of this advice would revolve around the activation and deployment of a regional standby force. The primary goal would be to reestablish constitutional order within Niger Republic. This composition evokes a sense of nostalgia, reminiscent of my academic journey under the guidance of my former postgraduate mentor, the late Professor Thomas Akhigbe Imobighe. His memory is held in high regard and blessed, as he was a distinguished authority in the fields of Peace and Conflict Management, as well as Nigeria's Defence and National Security. Until his passing, he served as the Director of Studies at the prestigious National Institute for Policy and Strategic Studies (NIPSS), located in Kuru, Plateau State, Nigeria. May his soul find eternal peace... Adieu. BACKGROUND TO THE POLITICAL IMBROGLIO IN THE REPUBLIC OF NIGER On July 26, 2023, a coup d'etat unfolded in Niger Republic, characterized by the detainment of President Mohamed Bazoum by the country's presidential guard. General Abdourahamane Tchiani, the commander of the presidential guard, declared himself the leader of a newly formed military junta. Later that evening, Air Force Colonel-Major Amadou Abdramane took to state television to announce the removal of President Bazoum from power. He introduced the establishment of a National Council for the Safeguard of the Homeland and attributed the regime's downfall to deteriorating security conditions and poor governance. Colonel-Major Abdramane also revealed a series of significant actions: the dissolution of the nation's constitution, the suspension of state institutions, the sealing of borders, and the implementation of a nationwide curfew from 10:00 PM to 5:00 AM local time. He firmly cautioned against any foreign intervention and issued an order to suspend all activities by political parties within the country until further notice. On July 28, 2023, General Tchiani assumed the role of the junta's president through a televised speech. He cited the coup as a preventive measure to circumvent what he described as the country's gradual and inevitable decline. Tchiani criticized President Bazoum for allegedly concealing the stark realities of the nation, which he depicted as a landscape marked by death, displacement, humiliation, and frustration. He expressed disapproval of the government's security strategy, citing its perceived inefficacy and lack of collaboration with neighboring Mali and Burkina Faso. However, he refrained from specifying a timeline for the eventual restoration of civilian rule. Responding swiftly to the developments, ECOWAS took decisive action on July 30, 2023. In light of the coup in Niger Republic, the regional organization issued an ultimatum to the military junta, stipulating that President Bazoum should be reinstated to his position within a week's time. The announcement came during an Extraordinary Summit held in Abuja, where ECOWAS Commission chairperson, Omar Touray, conveyed the bloc's stance. In a communique delivered by Touray, ECOWAS communicated that if their demand for President Bazoum's reinstatement wasn't met within the specified timeframe, the organization would resort to "all measures necessary" to restore constitutional order in Niger Republic. The communique further indicated that such measures could potentially involve the use of force. This marked a distinct departure from the approach taken in recent coup situations in Mali, Burkina Faso, and Guinea, where the threat of force wasn't employed to restore ousted governments. ECOWAS additionally declared immediate sanctions against Niger Republic. These sanctions included the closure of both land and air borders, the establishment of a no-fly zone for all commercial flights to and from Niger, and the suspension of all commercial and financial transactions between ECOWAS member states and Niger. This comprehensive and rigorous response from ECOWAS underscored the organization's commitment to upholding constitutional norms and governance within the region. ECOWAS PROPOSED MILITARY INTERVENTION IN NIGER REPBLIC The West African regional bloc ECOWAS had set a deadline of Sunday 6th of August, 2023, for the Niger junta to give up power and handover to ousted President Bazoum or face possible military action. The one-week deadline expired without ECOWAS carrying out its threat. The leadership of ECOWAS finds itself in a challenging situation, where it cannot easily change its position without potentially being seen as accommodating anti-democratic forces. Negotiating with threats is generally not a preferred approach. ECOWAS is out of their depth. Never make a threat. Reason with people according to Don Vito Corleone. In the Mario Puzo's award-winning book, The Godfather, contains some very useful advice for negotiators. In the Godfather, keeping your cool in the face of the other party's bad behaviour, never get angry, never make a threat. Reason with people.' The Don had instructed. The Don considered a use of threats the most foolish kind of exposure; the unleashing of anger without forethought as the most dangerous indulgence. No one had ever heard the Don utter a naked threat, no one had ever seen him in an uncontrollable rage. It was unthinkable. On August 10th, 2023, the leaders of the Economic Community of West African States (ECOWAS) escalated their criticism of the coup leaders in Niger, taking the step of initiating the "activation" and "deployment" of a regional standby force with the objective of restoring constitutional order to the nation that had been affected by the coup. The leadership of ECOWAS, spearheaded by Nigeria's President Bola Tinubu, was expected to approach the situation with a balanced perspective, not allowing emotions to overshadow rationality. The use of overt threats against a neighboring sovereign state and engaging in reckless power dynamics were deemed unwarranted. Such tactics could prove counterproductive and unthinkable. The ideal approach for the ECOWAS leadership would have been to exhaust diplomatic methods in addressing the challenges posed by the Nigerien military junta. This would involve employing strategic leverage and influence to compel the junta to align with ECOWAS's objectives, albeit through an implied sense of potential consequences. This diplomatic approach would have showcased ECOWAS's adeptness in managing complex situations through a combination of behind-the-scenes maneuvering, tactfulness, and the implication of repercussions when necessary. In The Godfather, Don Vito Corleone is portrayed as a shrewd and calculating individual who understands the delicate balance between power, negotiation, and conflict. While he was not known for explicitly making threats before achieving peace, he does employ strategic tactics to ensure his interests are protected. One of his famous quotes that illustrates his approach to maintaining peace and power is: Friendship is everything. Friendship is more than talent. It is more than the government. It is almost the equal of family. This quote reflects Don Corleone's understanding that fostering relationships and alliances can lead to stability and peace. While he may not openly threaten, he uses his influence, connections, and the implied weight of his organization to ensure that others are inclined to come to mutually beneficial agreements. Don Corleone's approach is often characterized by his ability to offer solutions that are difficult to refuse, without resorting to overt threats. His reputation and network are enough to convey the potential consequences of crossing him, which creates a backdrop of implicit pressure in negotiations. This, in turn, allows him to achieve his goals while maintaining an appearance of civility and diplomacy. Ultimately, Don Corleone's actions are about achieving his objectives with a calculated blend of respect, persuasion, and influence, rather than relying solely on blatant threats. Carl von Clausewitzs unfinished work On War and his theories on grand strategy and warfare hold significant relevance in potentially addressing the complex situation between ECOWAS and Niger. The military governments in Mali and Burkina Faso called ECOWASs bluff, saying an armed intervention in Niger would be met with force. Guinea also sided with the military junta. All three countries plus Niger are suspended from ECOWAS, and form part of a military-led belt spanning Africas Sahel from Guinea in the west to Sudan in the east. The Italian foreign minister Antonio Tajani called on ECOWAS to extend the ultimatum's deadline. Among the regional nations, there exists a division regarding the potential for an ECOWAS military intervention in response to Niger's coup. Opponents of this idea express concerns that such action might escalate into a broader regional conflict. The Nigeria's constitution does not permit the president to deploy troops without the approval of the National Assembly. President Bola Tinubu requested the Senate of Nigeria to authorize a military intervention in Niger. However, the Nigerian Senate did not approve the President Tinubu's request, instead they advised him to resolve the crisis by through diplomatic means and "tread with caution". Already, a group of senators representing constituencies bordering Niger, religious leaders and civil society organizations have voiced their opposition to military intervention. They said military intervention in Niger, would further destabilize both countries, whose militaries were already spread thin fighting off Islamist militants. The President of Algeria, Abdelmadjid Tebboune, who shares a northern border with Niger, has openly voiced his disapproval of any potential military intervention, asserting that such measures could potentially "ignite the whole Sahel region". Nigeria has contributed the highest number of troops to a regional peacekeeping force that intervened in civil wars in the region for more than two decades. The Nigerien transitional military government has already warned against any external intervention. Their counterparts in Mali and Burkina Faso warned that they would treat any attempt to restore Bazoum to power militarily as a declaration of war against them and it would split ECOWAS. There were pro-coup demonstrations in Niamey. Thousands of pro-coup Nigeriens gathered in Niamey's Place de la Concertation, in front of the National Assembly, and went to the French Embassy carrying Nigerien and Russian flags, with slogans such as "Down with France, we don't care about ECOWAS, the European Union and the African Union!", "and "Down with France, long live Putin!". The demonstrators also called for an immediate intervention by the Wagner Group. NIGERIA-NIGER RELATIONS: The historical bond between Nigeria and Niger is deeply ingrained, stemming from a confluence of factors including their mutual border, intricate trade connections, intertwined religious affiliations, shared ethnic roots, and robust cultural interactions. This border spans a significant 1,500 kilometers (about 930 miles), stretching across the northern stretches of Niger and the southern regions of Nigeria, cutting through a densely populated area in both countries. From a cultural vantage point, this border carries a particular significance as it effectively separates the northern part of Hausaland, a pivotal region for the Hausa people. Historically, Niger was essentially an extension of Nigeria's northern territory, a geographic continuity that persisted until the pivotal events of the Berlin Conference in 1884-1885, when external powers redefined Africa's contemporary borders. Before the 20th century, a formal boundary wasn't firmly established; nevertheless, the present-day delineation closely aligns with the northern boundaries of the 19th-century Sokoto Caliphate. This caliphate fostered alliances among southern city-states such as Katsina, Kano, and Sokoto, leading to the emergence of an intricate network of Islamic Fulani jihad states. In contrast, regions to the north, including Maradi, the Gobir refugee state, and the Sultanate of Damagaram, resisted the influence of the Sokoto caliphate. On both sides of this border, the central and western regions were culturally dominated by the Hausa language, while the eastern area was significantly influenced by the Kanuri culture. Furthermore, in the eastern sector, territories on both sides were previously integral parts of the expansive Bornu Empire's realm. Since achieving independence in 1960, Nigeria and Niger have cultivated a relationship defined by strong ties. Their diplomatic interactions are rooted in the principle of non-interference in each other's internal matters, contributing to their ability to avoid major conflicts throughout their shared history. This harmony was evident even during the turbulent Nigerian Civil War, where the late President of Niger, Hamani Diori (June 6, 1916 April 23, 1989), played a pivotal role as a committed mediator, underscoring their commitment to maintaining stability and using diplomacy to address conflicts. Both Niger and northern Nigeria face a significant reliance on water resources from their respective neighboring borders. The pressing challenges of desertification and increasing water demands are of prime concern in both regions. Addressing these issues involves active participation in collaborative initiatives, such as the Niger Basin Authority that succeeded the 1964 Niger River Commission and the Lake Chad Commission. The Niger-Nigeria Joint Committee holds a specific focus on water management and development. Furthermore, both nations have endorsed crucial agreements demonstrating their dedication to environmental preservation and resource management. The Enugu Agreement, established on December 3, 1977, outlines shared regulations within the Lake Chad Basin Commission (comprising Cameroon, Niger, Nigeria, and Chad) to protect flora and fauna. Another pivotal agreement, The Abuja Agreement, ratified on January 15, 1990, places significant emphasis on controlling desertification, promoting conservation, and rationalizing the use of lands, water resources, flora, and fauna. Similarly, The Maiduguri Agreement, initially signed on July 18, 1990, and later amended on October 5, 1998, centers on sustainable development, conservation, and responsible utilization of water resources in transboundary catchment areas. Both The Maiduguri Agreement and The Abuja Agreement fall under the purview of the Niger-Nigeria Joint Committee, underscoring the shared commitment of both nations. NIGERIAS GEO-STRATEGIC ENVIRONMENT AND HER VULNERABILITY: Nigeria's Borno State is located a short distance from N'Djamena, the capital of Chad. Presently, Chad is under military rule, led by Mahamat Idriss Deby Itno, a four-star Chadian general who serves as the transitional president. He took charge of the Transitional Military Council on April 20, 2021, after his father, President Idriss Deby, passed away while leading troops in the Northern Chad offensive. Chad shares its borders with Libya to the north and Sudan to the east. Libya is grappling with an unsettled peace following the Second Libyan Civil War, a multilateral conflict spanning from 2014 to 2020, involving various armed factions. This strife has resulted in unsuccessful political transitions, fostering divisions and external pressures that endanger the nation's stability. Meanwhile, the Republic of Sudan is presently governed by a military junta headed by General Abdel Fattah al-Burhan of the Sudanese Armed Forces, along with Mohamed Hamdan Dagalo, who leads the Sudanese Rapid Support Forces (RSF). Tensions between these factions erupted into violence on April 15, 2023, leading to clashes between the Sudanese Armed Forces and the RSF. These battles extended to key sites like the presidential palace and army headquarters, causing casualties and injuries. Foreign involvement in this conflict includes providing military support to the warring sides. The RSF received assistance from Libyan militia leader Khalifa Haftar and the United Arab Emirates, as evidenced by captured footage of thermobaric shells, indicating UAE's supply of weaponry. On the other hand, Egypt extended military aid to the Sudanese army. Across an extensive stretch of land from Guinea-Conakry on West Africa's Atlantic coast, through Mali, Burkina Faso, Niger Republic, Chad, and continuing to Sudan on East Africa's Red Sea coast, military rule has taken hold. Unfortunately, Nigeria lies to the south of this trajectory, encompassing six nations in Africa's Sahel region under military regimes. This reality raises security apprehensions for Nigeria given the broader regional dynamics. THE NATIONAL SECURITY STRATEGY OF THE FEDERAL REPUBLIC OF NIGERIA The December 2019 National Security Strategy of Nigeria underscores the significance of the country's geo-strategic environment for its security. It acknowledges the rise of the Islamic State in West Africa Province and the increased intensity of existing security challenges, including ethno-religious conflicts and politically motivated violence. The strategy aims to safeguard Nigeria's sovereignty, territorial integrity, security, and the well-being of its citizens. It also prioritizes maintaining democracy, human security, and peace within its borders. Promoting national unity is central, recognizing diversity in ethnicity, language, religion, and culture as assets. Strengthening social cohesion is vital for security. On the global stage, Nigeria advocates for an international order rooted in respecting international law, treaty obligations, peaceful conflict resolution, and mutually beneficial economic relations with other nations. Bola Tinubu, as the Chairman of ECOWAS and Nigeria's President, should be advancing national interests. There's uncertainty about Tinubu's assertiveness on military intervention in Niger Republic. This stance might reflect an attempt to enhance his international reputation amid domestic unpopularity. Concerns arise from his contested victory in the February presidential election, challenged due to alleged electoral fraud and eligibility issues. His economic reforms, like petroleum subsidy removal, aimed to revamp Nigeria's economy but caused higher food and fuel prices. His firm approach within ECOWAS might aim to bolster his international standing. ECOWAS MILITARY INTERVENTION IN NIGER: THE IMPACTS FOR NIGERIA Bola Tinubu, the Chairman of ECOWAS and President of Nigeria, possesses a significant history within Nigeria's political landscape. During the 1990s, he faced imprisonment under military rule and was later compelled to live in exile. Notably, Nigeria endured military control from 1966 to 1999, with intermittent democratic governance only between 1979 and 1983. The ECOWAS chairman has legitimate reasons to be concerned. However, it is crucial to exhaust diplomatic avenues rather than resorting to a military solution. Here are some key points to consider: Refugee Crisis & Humanitarian Challenges: Employing military intervention to remove Niger's junta could trigger a refugee crisis, with people fleeing violence seeking sanctuary in Nigeria. This could strain resources, create humanitarian issues, and escalate tensions within Nigeria. Given the deep-rooted connections between Niger and Nigeria, such an influx of refugees could exacerbate ethnic tensions and regional divisions. Terrorist Activity: Military intervention in Niger might result in a power vacuum, which extremist groups could exploit, particularly in areas already affected by groups like Boko Haram. Terrorists could potentially infiltrate refugee populations. Strained Nigerian Armed Forces: In the event of military intervention, Nigeria's armed forces would be heavily relied upon. However, these forces are already stretched thin. They are currently engaged in countering Boko Haram insurgency in the North-East, handling the Indigenous People of Biafra (IPOB) separatist group in the East, addressing secessionist movements in the South-West, combating militancy in the Niger Delta, and tackling other security challenges. Nigeria-Trans-Saharan Gas Pipeline Project: Any military hostility against Niger could jeopardize the $13 billion USD Nigeria-Trans-Saharan Gas Pipeline project, which aims to connect Nigeria's Warri hydrocarbon fields to Europe via Niger and Algeria. The project may become a reality as Europe attempts to cut its strong dependency on Russian gas in the wake of economic sanctions against Moscow over Russia's invasion of Ukraine. Regional Proxy Dynamics: Reports suggest that Russia's Wagner mercenary group is taking advantage of the instability in Niger. Wagner's involvement and its alignment with Russian interests could lead to strategic and diplomatic dilemmas for Nigeria. The presence of Wagner and other foreign actors could create security threats and exacerbate instability. Negative Economic Impact: Engaging in military intervention would exacerbate Nigeria's economic challenges, deepen divisions, and divert resources away from critical sectors. The ongoing deadlock has already disrupted normal life along the border, impacting cross-border trade and causing economic strain. Family & Conjugal Affiliation: War with Niger's coup leaders would be like "fighting your brother." Close familial connections between Niger and Nigeria's northern region could lead to the fragmentation of Nigeria along ethnic, regional, and religious lines. Ethnic Cleansing Motives: Another perspective is that political motivations or ethnic cleansing could be driving the situation. Protests in Nigeria have urged diplomatic solutions and expressed concern about potential Western influence on ECOWAS decisions. No-Fly Zone Impact: ECOWAS' imposition of a no-fly zone on Niger has led to the closure of Niger's airspace. This decision affects flight routes and disrupts travel between Europe and Nigeria, impacting airlines' operations and increasing costs. THE FUTURE OF NIGER REPUBLIC: The military junta in Niger Republic might find it challenging to reverse its position, similar to the situations in Guinea-Conakry, Mali, Burkina Faso, Chad, and Sudan. Reflecting this concern, the foreign ministers of Mali and Burkina Faso jointly addressed a letter to the United Nations and the African Union, urging the UN Security Council and the African Peace and Security Council to prevent any potential military intervention in Niger. In response, the junta has appointed economist Ali Lamine Zeine as the new prime minister. Zeine previously held the position of finance minister until 2010 and later worked at the African Development Bank. The junta has also established a new government, introducing 21 ministers with Prime Minister Zeine at the helm. This announcement was made via state television by Mahamane Roufai Laouali, the "secretary-general of the government." Additionally, three generals who were part of the CNSP (National Committee for the Salvation of the People) were appointed to lead key ministries, including the ministries of interior, defense, and sports. EXTERNAL FORCES AND INTEREST GROUP IN NIGER: A renewed engagement with Africa is evident as major players like Russia and China increase their diplomatic and economic activities on the continent. This "new scramble for Africa" is challenging the influence of former colonial powers like Britain and France. France's influence, once significant in nine West African countries, has diminished to three. Meanwhile, the Russian private military company, the Wagner Group, is expanding in the Sahel, potentially impacting regional dynamics and global responses to events like the Niger coup. Niger's importance lies as the seventh-largest uranium producer globally. France heavily relies on Niger's uranium for nuclear power, and the US maintains a military base there. Notably, Nigerien protesters have shown support for Russian President Putin and the Wagner Group's intervention. In this changing landscape, handling civil unrest, public sentiment, and interactions with external actors will shape the course of events in Niger and beyond. RECOMMENDATIONS: Risk Assessment: Nigeria should conduct a thorough risk assessment to evaluate the potential consequences of different courses of action. The implications for stability, security, and economic development should be carefully weighed. National Interests: The Nigerian government should prioritize its national interests, considering stability, security, and economic well-being. Striking a balance between these interests and potential diplomatic or military involvement is crucial. Multilateral Approaches: Engaging in multilateral efforts through regional organizations, international institutions like the UN, and diplomatic channels can lead to peaceful solutions. Collaborative efforts can help address the crisis effectively. Mediation and Negotiation: Mediation by regional leaders, with support from international partners, could facilitate negotiations between coup leaders and civil society. This approach may lead to the restoration of democracy and set a precedent for responding to similar crises. Address Root Causes: Apart from addressing the immediate crisis, tackling socio-economic issues, promoting good governance, and supporting inclusive political processes are essential. Strengthening democratic institutions can make the region more resilient to future challenges. External Pressure: ECOWAS, AU, EU, and the US should coordinate efforts to pressure the military regime to restore democratic governance. Sanctions, diplomatic efforts, and aid should be balanced to encourage stability while supporting economic development. Civil Society and Democratic Institutions: Strengthening civil society and democratic institutions in Niger is critical. International partners should invest in capacity-building programs that promote civic participation, political inclusion, and good governance. Economic Stability: Amidst the crisis, maintaining economic stability is vital. External partners should provide aid that addresses poverty, infrastructure improvement, and sustainable development, in addition to sanctions. Understanding the Causes: Support initiatives that address socio-economic inequalities, political corruption, and institutional weaknesses contributing to instability. Understanding and addressing these underlying factors can help prevent future crises. Long-Term Strategy: Focus on a long-term strategy that places the welfare of Niger's people and democratic governance at its core. Preventing similar events requires sustained efforts to promote stability, development, and democratic norms. In conclusion, Bola Tinubu's role in ECOWAS and Nigeria places him in a delicate position regarding the crisis in Niger. The complex dynamics, potential proxy influences, regional alliances, and domestic challenges make military intervention a risky proposition. Diplomatic efforts, careful strategic decision-making, and consideration of Nigeria's interests and stability are crucial moving forward. Clifford Ogbeide is a Foreign Policy Analyst. He writes from Lake District, Alberta, Canada [email protected] MFP wont back Srettha BANGKOK: Pheu Thai prime ministerial candidate, Srettha Thavisin, faces a more challenging road ahead after the Move Forward Party (MFP) announced yesterday (Aug 15) it would not vote for a Pheu Thai prime minister candidate. politics By Bangkok Post Wednesday 16 August 2023 07:28 AM Pheu Thai candidate Srettha Thavinsin. Photo: Bangkok Post MFP secretary-general Chaithawat Tulathon told a press conference that the party MPs decided not to back the Pheu Thai candidate because the party did not want to support an inter-bloc government. He said the coalition being formed by Pheu Thai went against the peoples mandate as it comprised several parties in the outgoing government, while the outcome of the May 14 election indicated people wanted change. By voting for a Pheu Thai prime ministerial candidate, the MFP would not be removing the Senate from the prime minister selection process. Instead, the party would be playing into the Senates hands, reports the Bangkok Post. If political parties had intended to make the Senate irrelevant in the first place, they would have voted for the MFP-led government [and the partys candidate], said Mr Chaithawat. He said the party also doubted whether a Pheu Thai-led government, which would be similar to the outgoing one, would be able to push for a progressive agenda to bring changes for the people. Mr Chaithawat said the partys decision had nothing to do with Mr Sretthas qualifications but was based on the partys political stance and pledged that it would not work with the uncle parties - a reference to parties linked to military leaders involved in the 2014 coup. Following the MFPs decision, the Pheu Thai-led coalition must find support from the military-appointed senators to secure 376 votes for Mr Srettha. The alliance is said to have mustered 315 votes, including those from the Palang Pracharath Party (PPRP) and the United Thai Nation (UTN) Party, which have not been formally invited to join the coalition. At best, the coalition is believed to have 339 votes from the 500-seat House, so it needs another 37 votes from the Senate. However, a group of senators prefer PPRP leader Gen Prawit Wongsuwon to Mr Srettha, who stands accused by former politician Chuvit Kamolvisit of land tax avoidance. Before the MFPs announcement, Pheu Thai deputy leader Phumtham Wechayachai expressed confidence that Mr Srettha would be elected at the first attempt. Mr Phumtham said the party received positive feedback from the Senate but declined to say if Pheu Thai could secure as many as 100 votes from the Upper House. He accepted for consideration the Senates call for Mr Srettha to outline his vision before the vote. He said the party decided to abandon the MFP and form a new coalition, possibly with the PPRP and UTN on board, because the country required a government to tackle problems, adding it was ready to be held accountable if this was a flawed decision. Mr Phumtham said the allocation of cabinet seats would be discussed following the prime minister vote after some parties mentioned the distribution of positions. Bhumjaithai Party leader Anutin Charnvirakul said yesterday the negotiations over the allocation of cabinet seats should take place before the prime minister vote, and he plans to discuss it with Pheu Thai this week. When asked about the targeted cabinet seats, Anutin said he should keep the matter for the discussion with the Pheu Thai Party. He added that he could not say how many seats each party should get because the number of prospective partners was not finalised. Meanwhile, Phai Lik, a PPRP MP for Kamphaeng Phet, confirmed yesterday the partys 40 MPs would vote unconditionally for the Pheu Thai prime ministerial candidate and that he had secured seven more votes from the Senate. Phuket airport to hold major fire drills PHUKET: Airports of Thailand Phuket branch (AoT Phuket), which operates Phuket International Airport, has announced a series of major fire drills to be held at Phuket airport starting next week. Safetytourismtransport By The Phuket News Wednesday 16 August 2023 10:00 AM Image: AoT Phuket The fire drills will be held in areas in plain view of the public. People are urged to not panic when they see the emergency services in action conducting the fire response procedures. The first fire drill will be held at the Provincial Police Station area at the car park building from 1pm to 4pm on Monday (Aug 21). The second fire drill will be held at the International Passenger Terminal from 1pm to 4pm on Wednesday (Aug 23). The third fire drill will be held at the Domestic Passenger Terminal from 1pm to 4pm on Monday, Aug 28. This [notice] is to prevent misunderstanding and panic over the simulated situations. Smoke and noise may be generated on those days at those times, the announcement said. World Travel Market London 2023, the worlds most influential travel & tourism event, has lined up more than 60 conference sessions with high-profile speakers and seminars. The conferences are aimed at empowering the global travel community, and reminding them they have the 'Power to Change' travel, WTM London said. The conference programme will include eight new tracks across three stages over three days, taking place at ExCeL London, from November 6 to 8. In a move away from previous years, the 2023 conference stages will be named Discover, Elevate and Innovate a reflection of their goal. Furthermore, in response to the demand in 2022 which saw 9,102 visitors attend the WTM London Conference sessions stage sizes have been increased, and rather than one main stage, WTM will host three equally important stages across the event. The eight conference tracks will be titled Sustainability, Technology, Geo-Economics, Emerging Markets, Consumer Trends, Marketing, Diversity & Inclusion (D&I) and Experience and aim to help the global travel community succeed and thrive, by informing, entertaining, and influencing their business decisions. In another first, the Discover Stage will feature a new layout designed to support networking. Table-based seating, rather than traditional conference-style chairs, will promote networking between sessions, which will also include icebreakers, storytellers, and socialising during breaks. Some of the key sessions taking place, listed by day, are: Monday 6th November: The Ministers Summit at World Travel Market in association with UNWTO and WTTC In its 17th year, this important event in the WTM London calendar brings together the largest gathering of dignitaries from around the world to discuss and ratify key tourism agreements. The summit will take place on Day One of WTM London 2023. This a change from previous years, when it has taken place on Day Two and signifies the increasing importance of the Summit to the worldwide travel and tourism industry. Also on November 6, attendees can look forward to the release of WTMs new research WTM Presents...A Global Travel Outlook. Delegates will gain valuable insights into the trends shaping the future of travel with a presentation of the new WTM Global Travel Report, supported by renowned researchers Oxford Economics. This session will give a voice to the changing needs of travellers, emerging and growing destinations, and uncover behaviours and trends for 2024 and beyond. Tuesday 7th November Diversity & Inclusion Summit (D&I): This important summit launch will tackle why a travel business can perform better with diverse workforces, and the importance of inclusivity in the development of leisure travel strategy. Fostering sense of belonging with consideration for under-represented groups will ensure that travel is accessible to all, regardless of gender, ethnicity, sexual orientation, or socioeconomic background. Amongst the sessions, Darren Edwards, a record-breaking disabled adventurer, will examine the stigma surrounding disability and will explore ways in which businesses can overcome subconscious biases. Technology Summit: The summit will cover sessions such as Building Cities for Smart Tourism; the Future of Technology; and AI - Boundless Opportunities for Change in the Travel Sector. On the Innovate Stage, the travel community will hear from Tom Hall, Vice President at Lonely Planet, who will review how changes in traveller behaviour and priorities have shifted the landscape of travel. Wednesday 8th November Marketing Summit: Marketing sessions will cover data-driven; storytelling and engaging with influencers. Wednesdays sessions will also cover the future of marketing and digital tools in travel marketing. Sustainability Summit: Sustainability is still facing many barriers in the travel industry and the summit discovers how education, socio-economic benefits and effective funding can drive positive change and encourage the adoption of sustainable practices throughout the sector. Among must-attend sessions, Where Next? Reimagining Tourism in a constantly changing climate discovers what good tourism looks like as climate and biodiversity challenges worsen. In addition, WTM London 2023 will be rounded off by a not to be missed Keynote speaker, whose identity will be revealed soon, the organisers said. Brooke Gilbertson, International Conference Manager of WTM London, said: We couldnt be more pleased with the great line-up of speakers and panellists headlining at this years event. For those seeking a macro view of the travel industry and a deeper understanding of the forces shaping it, WTM London 2023 is a must-attend show with speakers coming from every corner of the world to spread their wisdom on every element of the travel industry. -TradeArabia News Service BAKU, Azerbaijan, August 16. Renewable energy, particularly solar and wind power, is the most important aspect of the EBRD's [European Bank for Reconstruction and Development] activities in Uzbekistan, a representative of EBRD, Anton Usov told Trend. "Last year alone, the EBRD arranged two syndicated loans totaling $520 million to assist in the construction and commissioning of two wind farms with a total installed capacity of 1 GW in the Bukhara region. This landmark financing represents EBRD's largest renewable energy project in its operational regions," he said. Furthemore, Usov also noted that the bank also arranged a $74 million financing package for the development, construction and operation of a new 500 MW wind farm in the Navoi region of Uzbekistan. "It was the first project in Central Asia to use the Identiflight system, which is capable of detecting and tracking birds that are in danger of colliding with turbines. The system allows to start automatic shutdown," he added. According to the EBRD representative, in 2022, the EBRD and the Ministry of Economic Development and Poverty Alleviation of Uzbekistan agreed to jointly develop a national program on methane emissions. "This project will be a key planning tool to achieve a 30 percent reduction in methane emissions by 2030 under the Global Methane Commitment (GMP). Last year, Uzbekistan became only the second country in Central Asia to join GMP," Usov said. Conflict resolution of the Meiteis and Kuki-Zo-Chin | Felix Chahongnao, Wungpam Kasar, Dr Nelson VashumMany scholarly write-ups seen on the issue of the present conflict between Meiteis and Kuki and related tribes in the last 3 months plus period. All the write-ups mostly suggest the possible resolution of the conflicts vis-a-vis the causes.To resolve a problem/problems or rather to heal a disease - the clinching of diagnosis is a must. Hence, we would like to repeat and emphasize on some of the root causes already enlightened by some writers especially Mr. Home RaikhanSangai Express dated 24/07/23, Mr. YenningSangai Express dated 09/07/23 and a few additions.1. The migration of Kukis into Manipur was more of a flood after 1857. The British used Mangjahu Kuki, chief of Khangdosei and Thadou Kukis to enter deceptively the barracks of Sylhet and Silchar on the pretext of joining the sepoy mutiny of 1857. The number was so great, they could kill all the sepoys in both barracks and captured huge number of firearms and ammunition and turned over to the sarkar (British). The British rulers were so pleasedmany arms and ammunition were gifted to the Kukis. Subsequently, Kukis went on a big rampage destroying many indigenous Naga villages. This violent rampaging is known as Tingtong reh/Haokip Lal in the western sector of Manipur. Over 1000 Kabuis killed and 34 villages displaced. Maj. Mccullock initiated punitive campaigns stretching over a long period and it culminated with the subduing of the Kuki rebellion of 1918-19 for refusing to contribute Labour Corps. During this Tingtong reh many Kabuis were displaced and migrated to the valleys and western foothills of Manipur. Prof. Gangumei rightly called Maj. Mccullock the father of Kuki migration.On North Eastern areas of Manipur Kukis attacked Chingjaroi village in 1892 and killed 286 mostly women and children. Hence, there was time when the village of Chingjaroi requested the neighbouring Naga villages to give marriageable women to repopulate Chingjaroi. Poumai and Chakhesang complied with and hence today we see the village as a composite of Tangkhul, Poumai and Chakhesang. So also the dialect uniquely interesting. After Chingjaroi, Ngahui, Zingsui and Mapum almost completely destroyed. A few escaped hiding in the forest. A big village called Shifong bordering Burma was wiped out in 1888. Maharaja Surchandra sent his brother Senapati Tikendrajit for punitive campaign in December 1888. Chassad Kukis were defeated and captured Tonghu with 20 prisoners and imposed a fine of Rs 800/- etc.2. After the World War II, there were many Gorkhas retired soldiers along with many Kuki porters for Allied forces who were seeking resettlement in Manipur. Late Maj Bob Khathing, who played a big role during World War II later became Hill Minister, Union Territory of Manipur, opened one settlement called Shepmanai now corrupted into Saparmaina, 15 km North of Motbung to accommodate the Gorkhas and Kukis. The settlement area originally belonged to Lengmai but their permission not sought for. Gorkhas migration from elsewhere followed but Kukis much more. In no time Kuki settlements spread downward and upward along the National Highway.3. Late R Suisa Ex MP, Outer Manipur, during his tenure 1950-55 requested the Government of India to recognize the Kukis/migrants as bonafide refugees and grant relief funds. This augmented fresh Kuki migration.4. In 1974 Manipur after becoming a full-fledged State went through a short period of presidential rule. During this period, there were 2500 Kuki refugees contained at Konkan Thana. Late Dr Rishang Keishing was wary of his political future initiated to settle this group in the land between Yaingangpokpi and Sanakeithei by declaring open forest. The land originally belonged to Hongman to the West and Thawai to the East. The villagers of these two were not taken into confidence and much less consulted (oral testimony of Thawai village). Hence, the present Kangpokpi district and its foundation laid by three Tangkhul stalwarts of the past.5. As a gesture of gratitude to the Nagas for accommodating the Kukis in their lands and three Tangkhul stalwarts giving services of kindness, in 1989 March (date off record) KNA wrote a memorandum to Mr Buta Singh, Home Minister of India, to train and arm Kuki youths and liberal sanction of money. In the memorandum Kukis vowed to subdue the Naga Independence Movement in 5 years which the Indian army failed to execute in 40 years. The memorandum was signed by the President, KNA and Secretary late Maj Pugin Kipgen (details with relevant group for future litigation in the International Court of Justice).Mr. Home Raikhan mentioned in his article Resolving Kuki-Zo-Chin and Meitei conflict about suspicion of the involvement of Central Government of India. Home Ministry initiated using RAW to execute the heinous crimes against humanity using Kukis against the Nagas. A late Chief Minister and a late Finance Minister were fine tuned for this ethnic cleansing/civil war using one community (Kuki) against the Nagasunfolding the horrendous ethnic clash of 1992-94, the very brain work of Home Ministry and RAW. Another major contributor was the then Chief Minister of Nagaland.His letter of endorsement for a Colonel and his team sent as a special team to incite communal clash/ethnic cleansing using Meiteis and Kukis jointly against the Nagas. The then Nagaland CMs letter of endorsement for the Colonel and team was seized at Inspection Bungalow, Bishnupur in September 1989, a sequence to the Col molesting IB chawkidars daughter when celebrating completion of mission with RAW officials. This incidence was hushed up but by the act of divine, it came into the hands of Human Rights watch group. The GoI inciting communal clash to solve political problems ! The present Meitei Kuki clash could be the second handiwork of Home Ministry and that could be the reason Mr Narendra Modi, Prime Minister of India keeping silent till shameful incidence was on the social media for all to see.Now today the tide is in a reverse course. Meitei turning on to Kuki-Zo-Chin. Where is the hand and heart of Home Ministry and RAW in this clash ? Meiteis do not trust Assam Rifles and already requested for keeping them outside the scene of Manipur. The Home Minister and the Prime Minister with so much of proximity and intimacy known to all, Mr Amit Shah after his two visits to Manipur must have conveyed/advised that the usual communal turmoils taking place all over Hindustan under the doctrine of Hindutsva was happening in Manipur. The political drama of BJP in Manipur is unprecedented. Chief Minister N Biren Singh holding the charge of Home as well and losing the control of armouries is deplorable. Losing to the tune of 3500 plus arms and over 5 lakh of ammunition- simply deplorable and condemnable. If N Biren Singh has any sense of moral dignity, he should resign even if his supporters prevented him to do. It should not be a political drama. If the past events are followed, President Rule is a must. Besides, the people of Manipur should know for sure that the present conflicts are not related to narcotic trades. Try to face the facts squarely. The rule of law has become very blurred in the eyes of the present ministry.Now to sum up the root causes of the present Meitei and Kuki-Zo-Chin conflict:I. Undue influx of Kukis since 1840 and grabbing of lands.II. Old wounds of Kuki savagery against the aborigines Nagas was about to be forgotten and healed after the coming of the gospel of Jesus Christ in late 19th century. Minor conflicts here and there were considered forgivable and to be ignored but thanks to Home Ministry and RAW with the involvement of Manipur and Nagaland Government under the then CM opened the wound of conflicts and let blood flow red in the hills of Manipur during 1992-94. In the present conflict between Meiteis and Kuki-Zo, the subtle involvement of Home Ministry is very likely.III. With no sense of malice we want to state the facts that the Kukis have been building up their domains through craftiness. Many history records written by Eastern Frontiers of India by TH Lewis, Notes on Thadou Kukis by W Shaw, The Tribes of Manipur by TC Hodson, The Diary of Col Johnstone many more wrote the history of nomadic-restless Kukis shifting their settlements many times by violence.Man may cheat man for sometime nay all the time but not God. Since the present Kuki-Zo profess Christian faith, we wish to remind the immutable word of God that your sin/sins will find you out (Num 32:23).On June 30th 2023 your World Kuki-Zo Intellectual Council (WKZIC) in their memorandum to Prime Minister of Israel, Mr. Benjamin Netanyahu, mentioned (Page 5, 2nd para) that NSCN (IM) did ethnic cleansing of the Kukis during 1992-97. Whereas, the truth was the Kukis did ethnic cleansing of the Nagas with the vow that they would subdue Naga Independence Movement in 5 years. And in1995-97 you forgot your bloodthirsty conflict with your own Zo/Zous. Now you are in conflict with the Meiteis. You have deceived the whole world with your deceits even the European Parliament. One of your intellectual and signatory of the memorandum is Dr Sir HH Mate, probably knighted. There should be pursuits to defrock and your deceitful ways of campaigning for sympathy all over the world.IV. Mr O Ibobi, the longest Congress ruling Chief Minister forgot that he was mentored by a noble person late Dr Rishang Keishing and all through his Ministry the worst fangs in him was displayed and alienated the Nagas. He created Kangpokpi district taking away many ancestral areas of the Nagas without even consulting. Sadar hills of Kangpokpi district was known as Khaorui and many Tangkhul villages were growing cotton and the Yang Tangkhul did the weaving of clothes (TC Hodson). Kangpokpi is corrupted name of Kangui (Naga name). The Meiteis politically treated the Kuki-Zo as the favoured one for more than 30 years. The tribals in the hill areas of Manipur were fed with the fallen crumbs from the table, however, Kukis and the kindred were far better fed. Hence, the Meiteis seem to be reaping what they sowed in over 30 years of political dispensations. Good to introspect. Now the Meiteis should help to reclaim the land you apportioned to the Kukis in the past. Nagas will never claim the land that belongs to others and not touch even an inch of your Kangleipak.Inevitable political dispensation seems to be in the offing. Let us not fight for integrity of Manipur which was sealed by the fact of Kangleipak by your very own maharaja.Now how to solve/resolve the conflict? Supreme Court seems to sense the lack of honesty and hence initiated certain steps apart from political steps. It is in the right course. Pursue earnestly and solve the problems for good. Let it not be the usual symptomatic suppression for political reasons especially in view of the coming Lok Sabha election in 2024 etc.Wishing you all the best.*The views expressed here are solely those of the writersFelix Chahongnao is Chairman, Tangkhul Kha-rar Long, Wungpam Kasar is Secretary, Tangkhul Kharar Long, Dr Nelson Vashum is Director, CHSRC (email [email protected] Officers honoured | IMPHAL, Aug 16 : On the occasion of the 77th Independence Day, two Manipuri officers, Lt Colonel Roshnikanta Irungbam and Major Nongmeikapam Danish Singh were honoured with Mention-in-Despatches in recognition of their distinguished and meritorious service in operational areas and acts of gallantry. Major Nongmeikapam Danish Singh is from the 107 Infantry Battalion (Territorial Army) and Lt Colonel Roshnikanta Irungbam is from the 209 Army Aviation Squadron. It may be noted that the two were among 30 Mention-in-Despatches approved by the President of India. BAKU, Azerbaijan, August 16. Cooperation between Iran and the International Atomic Energy Agency (IAEA) on Iran's nuclear program is beneficial to both sides, Trend reports. Iran is cooperating with the IAEA to build confidence regarding its nuclear program. In return, the IAEA can control Iran's nuclear activities in order to avoid evasion. Iran states that it cooperates with the International Atomic Energy Agency (IAEA) in only two frameworks: the Non-Proliferation of Nuclear Weapons (NPT) and the safeguards rules. On August 15, 2023, Iranian Foreign Minister Hossein Amir Abdollahian said in a telephone conversation with Josep Borrell, High Representative of the European Union for Foreign Affairs and Security Policy, that the cooperation between Iran and the IAEA on the nuclear program continues and that this cooperation is in the right direction. Abdollahian emphasized that the continuation of the sanctions against Iran and the resulting conditions are not in the favor of the European Union either. In a telephone conversation, the European Union's high representative for foreign affairs and security policy, Josep Borrell, also stressed the importance of cooperation between Iran and the International Atomic Energy Agency and added that the continuation of the negotiations will ultimately show all the parties' efforts to return to the Joint Comprehensive Plan of Action (JCPOA). Borrell expressed hope that this case would end with a positive result. On January 16, 2016, Iran's nuclear program triggered the creation of the JCPOA between Iran and the P5+1 group (US, Russia, China, UK, France, and Germany). In May 2018, the US announced its withdrawal from the deal and imposed sanctions on Iran in November of the same year. To preserve the agreements reached as part of the JCPOA, the European signatories of the deal started in January 2019 and a financial mechanism for maintaining trade with Iran called INSTEX was formed. On May 8, 2019, Iran announced ceasing to fulfill its commitments regarding the sale of over 300 kilograms of uranium, as stated in the deal, basing its decision on the other signatories that have not fulfilled their obligations. On July 7, Iran announced that it would not be fulfilling its commitments regarding the enrichment of uranium at 3.67 percent and the reconstruction of the Arak Heavy Water Reactor Facility as stated in the deal. On September 5 of the same year, Iran announced its commitment to enrich uranium using next-generation centrifuges and not mix it with the enriched uranium residues as part of the third step of reducing commitments in the JCPOA. On November 5, 2019, Iran announced that it had taken the fourth step in connection with reducing its commitments to the nuclear agreement. So, uranium gas is being pumped to the centrifuges at the Fordow Fuel Enrichment Plant. On January 5, 2020, Iran took the last fifth step in reducing the number of its commitments within the JCPOA. On May 8, 2018, the US announced its withdrawal from the Joint Comprehensive Plan of Action (JCPOA) between Iran and the 5+1 group (Russia, China, the UK, France, the US, and Germany) and imposed new sanctions against Iran as of November 2018. Over the past period, the sanctions affected Iranian oil exports and more than 700 banks, companies, and individuals. The sanctions have resulted in the freezing of Iranian assets abroad. --- Follow the author on Twitter:@BaghishovElnur Liquor consumers in Uttar Pradesh are going high on foreign brands that are lining up in large numbers to woo alcoholic beverage patrons from the state. The total number of liquor brands registered in the state have gone up to 3,854 during the current fiscal year which is the highest so far. In the previous fiscal year, 3,106 different alcoholic products were registered, according to a government spokesman. Advertisement Local premium brands are facing strong competition as overseas liquor brands continue to make inroads into the retail sector. The number of imported foreign liquor brands (whisky, vodka, rum and gin) available in Uttar Pradesh have increased from 199 in the previous financial year to 573 this time. Similarly, non-Indian wine producers have pushed more products while foreign beer manufacturers have increased their offerings too. The number of imported wine and beer products have gone up from 305 to 445 and 34 to 41 in a year. Officials said that the improved scenario, in which end consumers will have more options to choose from, has been possible since annual excise policy was made robust and flexible. We also have the full support of the state government to implement measures that improve the ease of doing business, said excise commissioner Senthil C. Pandian. The excise department was also able to generate higher revenues through increased registration of brands with year-over-year (YoY) revenue going up from Rs 18.12 crore to Rs 20.92 crore. In order to attract an increased number of overseas companies, the department decided to do away with the condition which made it compulsory for the company registering foreign brands in Uttar Pradesh to submit an authority letter from the principal importer. Till March 31, 2023 an individual or entity interested in marketing a foreign brand had to approach the principal exporter to authorise him/her to sell the product in UP. It was a pointless exercise which made no sense and was struck down. Anybody having imported liquor bottled in origin licence could register the brand. That is why we see a sudden rush of foreign brands this year, said the officer. The increased number of hard liquor brands seem to have dented the demand for brew and beer manufacturers have some challenges to deal with. Spokesperson of AB InBev India, the company owning beer brands, said, During the last few years, industry-friendly rationalisation of excise tax slabs has fuelled the growth of the beer industry in the state. However, the growth of the beer industry recently has not been in line with the growth of country liquor and hard spirits in Uttar Pradesh. Duty increase on beer earlier this year along with certain technical issues on the excise portal last month, led to the surge in consumption of country liquor and hard spirits nudging consumers towards high alcohol strength beverages. The beer industry volume declined during the summer months due to increased tax and inclement weather in April. Dr Anil Pant was the MD and CEO of Rekha Jhunjhunwala-backed company Aptech, learnings solution provider. He passed away on August 15 according to Aptechs filing with the stock exchange. A few months back, he had gone on an indefinite leave of absence due to health concerns. According to a corporate filing with the stock exchange at the time, Pant had taken an indefinite leave of absence on June 19 due to his failing health. A business emergency meeting was held the same day. To ensure efficient operation and continuity of operations, the business established an interim committee made up of selected Board members and top management. In 1986, the company launched its IT training operation. The ISO 9001 quality accreditation was initially awarded to Aptech in 1993, making it the first IT training organization in Asia. Advertisement In 2016, Anil Pant became the CEO and Managing Director of Aptech. He worked at Tata Consultancy Services (TCS) and Sify Technologies before accepting this position. Anil Pant graduated from Malaysias Lincoln University College with a Bachelor of Engineering and a PhD in computer technology. He was an alumnus of BMS College of Engineering and Bangalore University. Pant had approximately 20 years of expertise in the communication and IT industries. Dr Anil Pant spent more than 15 years in IT and Communication space handling various responsibilities including Quality, Sales, Marketing, Delivery, Product Management culminating into P&L responsibility. From 2010-16, Pant served as the Principal Consultant at TCS and built a $100 million practice in the testing domain. He served as the Vice President at Sify Technologies from 2008-10. He has also worked in diverse roles with big companies including Crompton Greaves, Wipro, Blow Past and Tally. Retail and non-retail are the two business divisions that Aptech operates. Global information technology (IT) and multimedia training make up the retail segment. Aptech developed brands including ACE (Aptech Computer Education), Arena Animation, Avalon Academy, and Aptech Worldwide. Delhi Metro police arrested an active gang of lady pickpockets after many reported incidents of robberies. The lady pickpocket gang of 4 women targeted co-passengers in busy areas to subtly steal from bags, pockets, wallets etc. The Delhi Metro police informed that the lady pickpockets stole cash of Rs 1 lakh and other personal belongings including Aadhar card from a fellow passenger. The Delhi Metro police apprehended them from Rajeev Chowk Metro station. Dr G. Ram Gopal Naik, Deputy Commissioner of Police, Metro informed, The ladies try to identify the susceptible target and surround them and push them to deviate the co- passengers attention and try to take out the articles from the bags. Sometimes if there is more than one bag, they push the person and drag the bag away. He further added After stealing cash from the victim they flee. Many such cases were reported, the team swiftly took action and searched for the gang. How were they traced? CCTV footage from both the Rajeev Chowk Metro station and Kirti Nagar came under analysis. This was to establish the involvement of the four ladies in stealing the cash. The police nabbed the women at Rajeev chowk metro station with the help of the victim. The complainant filed an FIR online and told that the ladies had travelled with him from Rajeev Chowk to Kirti Nagar. The Lady pickpocket gang members are all from Anand Parbat region and hail from an economically weak background. During investigation, they discovered that the four used to plan their robberies and target crowded places. That includes Metro stations, bus stops, railway stations etc to easily get away. They observed and followed the victims from platforms and lifts to give way to their robberies in crowded areas. The gang also has previous involvement in such robberies at various locations and Metro stations. Kiara Advani has disclosed an intriguing anecdote about Sidharth Malhotra during the filming of Shershaah. The movie delves into the life of Captain Vikram Batra, who made the ultimate sacrifice in the Kargil War. Advani shared how Malhotra received an unusual directive not to remove the dust from his uniform while shooting. Observing Independence Day, Kiara Advani recently paid a visit to the Border Security Force (BSF) stationed in Amritsar. The actress made an appearance on program Jai Jawan and engaged with soldiers posted along the India-Pakistan border. During their interaction, Kiara shared an intriguing anecdote from her 2021 movie Shershaah. She had starred alongside her husband and fellow actor, Sidharth Malhotra. In Shershaah, Sidharth Malhotra portrayed the life of Captain Vikram Batra. Kiara recounted a specific incident from the films production. She remembered that after a particular shot, Sidharth, still clad in his uniform, instinctively brushed off some dust from his attire for a retake. Advertisement However, the genuine Indian Army officers who were there on set, promptly advised him against it. Recollecting the episode, Kiara quoted Sidharth saying, I remember one incident that Sidharth told me from Shershaah. After a shot, he started wiping off the dust from his uniform and the real officers were with him at the time, they asked him, Why are you wiping it off? Ye toh humari desh ki mitti hai. Its our pride. Shershaah was a movie that told the inspiring story of Captain Vikram Batra, a true hero who gave his life in the Kargil War. Even after his death, he received the Param Vir Chakra. Vishnuvardhan and Karan Johars Dharma Productions are the director and producers of the film respectively. In the movie, Kiara portrayed the role of Vikram Batras girlfriend, Dimple Cheema. On February 7 of this year, Kiara Advani and Sidharth Malhotra tied the knot. The captivating images from their wedding have secured the title of the most-liked Instagram post in India. Their on-screen journey began with the movie Shershaah, which also marked the inception of their real-life love story. Presently, Kiara is engrossed in the production of Gamechanger, a pan-India film that features her alongside Ram Charan. Kiara Advanis connection with the armed forces extended beyond the border outpost. She revealed that during the promotion of Shershaah, she had the honor of visiting Kargil. This experience drew her even closer to the films essence and its underlying themes, enabling her to deeply engage with the emotions and narratives that fueled the storyline. Furthermore, she gained insight into the multi-layered security measures deployed at the border, encompassing features such as fencing, barbed wires, cultivated lands, armed soldiers, and horses employed for patrolling and pursuit. Kiara also had interactions with female soldiers stationed at the outpost, sharing a heartfelt message with the daughter of one of the soldiers. Kiaras words We are proud of your mother resonated profoundly, bringing genuine joy to the soldiers countenance and evoking a heartfelt response from all of us. One of the most reputable and well-known economic publications Forbes has found out where former Russian citizen, billionaire and Telegram founder Pavel Durov lives. According to insiders, he recently moved into a house that he rents for a million dollars a year. ADVERTISIMENT Durov's dwelling is allegedly located in the Jumeirah Islands and may surprise those who follow the billionaire's activities. Forbes has learned that the nearly $83,300-a-month home contains only 5 bedrooms. It may seem that Durov has chosen a huge estate to live in for such an impressive sum, although in fact the houses on Jumeirah are mostly two-story mansions, unremarkable from afar. Built in the early 2000s by state-owned developer Nakheel Properties, the neighborhood where the founder of VK and Telegram settled is 46 separate circular "clusters", each containing 16 villas. ADVERTISIMENT These individual offshoots are connected to each other, and the houses in such a circle of 16 plots usually look the same and are very close together. Bird's eye view photos and videos show that each villa has a small swimming pool and landscaping in the courtyard. The complex is considered very elite and expensive. The entrance there is strictly guarded, which excludes trespassing. Tenants here do not pay for a personalized approach, but for practicality, safety and picturesque scenery. ADVERTISIMENT The islands have everything you need for a comfortable life within the complex - gym, restaurants, beauty salons, etc. Earlier OBOZREVATEL wrote that Pavel Durov has Ukrainian roots, and also he did not betray Ukraine and did not support the war. Read more about it - read in the material. Only verified information from us in Telegram-channel Obozrevatel and Viber. Do not fall for fakes! Delhis Patiala House Court has granted permission to Bollywood actress Jacqueline Fernandez to travel abroad without needing prior approval. This decision modifies the bail conditions that were imposed on her back in November 2022, which required her to seek permission before traveling outside the country. Jacqueline Fernandez is facing allegations in a money laundering case involving a sum of Rs 200 crore. The case also involves Sukesh Chandrasekhar, who is reportedly a conman. According to court records, Jacqueline has previously obtained permission to travel abroad on five different occasions. Throughout this time, she has maintained a clean record by abiding by the conditions of her bail and not misusing the liberty granted to her. Advertisement Explaining the reasoning behind the decision, Additional Sessions Judge Shailender Malik mentioned, Considering the fact that the accused is an actor in the Indian film industry and frequently needs to travel abroad for professional opportunities, sometimes on short notice, the present case warrants a reconsideration of the travel conditions. The Enforcement Directorate (ED) highlighted that Jacqueline Fernandez does not pose a flight risk due to her deep-rooted professional and personal ties in India. The agency also pointed out that her profession demands spontaneous participation. The events could be film shoots, and other professional commitments, often with very little advance notice. Earlier, the court had approved the modification of travel conditions for Fernandez. Instead of seeking prior permission, she now requires to inform the court at least three days before leaving the country. In November 2022, representatives on behalf of Jacqueline Fernandez filed an application to modify the bail conditions. Sukesh Chandrasekhar, who is popular for conning money from various individuals including businessmen, politicians, and celebrities, is currently in prison. There were reports that while in jail, he sent Jacqueline Fernandez a gift worth over Rs 10 crore. There were rumors of the actress previously being in a relationship with him. The ED also named her as an accused in the case, alleging that she had received valuable gifts. That includes a BMW car from Chandrasekhar. Reports had previously surfaced stating that he sent gifts worth over Rs. 10 crore to Fernandez during his time in jail. In a significant achievement for the local administration in its efforts to take development to the areas affected by Naxalite violence, households in Elmagunda village in Sukma district of Chhattisgarh have got electricity. The feat of electrifying households in this remote Naxal violence-affected village was achieved on the eve of Indias 77th Independence Day. The task was achieved on August 14 through the efforts of district police which worked in coordination with Chhattisgarh State Power Distribution Company Limited. Electricity had not reached Elmagunda till August 14 and people faced difficulties in their lives. Advertisement The police official said meetings were held with the villagers to make them aware of the activities of Naxals and persuade them to give thrust to the development of their village. The villagers were also urged to keep their distance from Naxalites. Personnel of the Central Reserve Police Force (CRPF) also contributed to the task. The efforts of district administration, police and other agencies have brought smiles to the faces of villagers who now see a transformation coming about in their lives. A camp of security forces was established at Elmagunda around six months back and this has given a fillip to development works. Bastar Range Inspector General of Police Sundarraj P said that security camps in these remote villages were also acting as integrated development centres. On the eve of Independence Day, a significant event took place in Elmagunda village of Sukma district. The households of the village, which was freed from the Naxal activity last year, got electricity connections, he said. The senior police official said that security camps in Bastar Range not only perform operational tasks but also facilitate development works like road construction, electrification and opening of PDS shops, schools, anganwadi and health centres in coordination with the local administration. He said Elamgudna is one of many examples in the last four years where security camps have played the role of a game changer in the lives of the local population. Sukma Superintendent of Police (SP) Kiran Chavan said security camps have been established to boost the confidence of villagers in restive villages and to eradicate Naxalism. The official said that electricity in Elamgudna households will give a tremendous boost to development, enable better education of children and help connect the local population with other parts of the state and the country. Reacting to President Draupdi Murmus sanction on Wednesday to the delimitation notification for the state, Assam Chief Minister Himanta Biswa Sarma said the approval of delimitation marks a significant historical milestone for Assam. The delimitation notification was issued as formulated by the Election Commission of India (ECI). In a tweet, Sarma said, Today, the Honorable President has approved the Delimitation Notification issued by the Election Commission of India for Assam. A significant milestone has been achieved in the history of Assam. Jai Maa Bharati. Jai Aai Asom. Advertisement The chief minister said the state government was poised to initiate efforts towards administrative reorganisation following the delimitation of assembly and parliamentary constituencies in the state. A total of 467 suggestions and objections from all 31 districts across Assam were submitted. Citizens and political entities, representing diverse viewpoints, have voiced their concerns, suggestions, and objections regarding the preliminary notification released by the Election Commission on June 20, 2023. On August 11, the ECI had issued the final delimitation order for Assams parliamentary and Assembly constituencies. A variety of objections had been raised from multiple sources leading to the Commission receiving a cumulative total of 1,222 representations in the form of suggestions and objections. Upon releasing the definitive order, the ECI stated that it had addressed 45 per cent of these submissions, while the remainder exceeded statutory or constitutional provisions or were considered unfeasible to accommodate. In principle, we dont oppose delimitation. Politically, we are prepared to contest with the BJP. However, we object to the process followed by the ECI in reaching the conclusion on delimitation, said Rakibul Hussain, Chairman of High Level Delimitation Committee of Assam Pradesh Congress Committee, while talking with The Statesman. Hussain further said, If the 2001 census were taken as the true population basis for Assams delimitation, then it implies that there are no illegal migrants in Assam. Meanwhile, Badruddin Ajmal, leader of the All India United Democratic Front (AIUDF), primarily supported by Bengali-origin Muslims, declared the partys intention to appeal to the Supreme Court against the ECIs delimitation order. He argued that the order had led to a reduction of Muslim seats by 10-11, emphasising the dwindling influence of minority voters in specific constituencies. The party had originally raised this concern when the EC released the draft report in June. The Congress on Wednesday distanced itself from party leader Alka Lambas statement that the grand old party is planning to contest from all seven seats in Delhi in the Lok Sabha polls. Lamba made the claim after a meeting of the Delhi unit of the Congress. Reacting to Lambas claims, Deepak Babaria, Congress in-charge of Delhi, denied that there was any discussion on elections or alliance in todays meeting. He even termed her statement immature. Advertisement Talking to a news agency, Babaria said, Alka Lamba is not an authorised spokesperson for much larger issues. As an in-charge, I have said there has been no discussion on it (Lok Sabha seats). He further said, After the conclusion of the meeting, I clearly said that there was no discussion regarding elections or alliance. I also said any discussion of the INDIA alliance will only take place in the presence of Congress President Mallikarjun Kharge. Earlier, talking to a news agency after the partys meeting, Congress leader Alka Lamba had claimed that the party had asked its cadres and leaders to start preparing for the seven Lok Sabha seats for the 2024 elections. Following her statement, the AAP threatened to walk out of the INDIA alliance. AAP spokesperson Priyanka Kakar said, If they (the Congress) dont want to form an alliance in Delhi, then it makes no sense to go for the INDIA alliance, it is a waste of time. The partys top leadership will decide whether or not to attend the next meeting of the INDIA alliance. This seems to have forced the Congress in-charge of Delhi to give a clarification that no talks were held on the alliance in Delhi. He even cautioned the AAP on its media statement, saying, The AAP should restrain from giving such statements to the media. Cautioning the INDIA partner further, in the wake of Priyanka Kakkars statement, Babaria said, They should understand that the entire media is in support of the BJP, they want to provoke you, I think it is the most unfortunate thing. He affirmed that no discussion was held in the meeting on the elections. On Alka Lambas claim, he said, Making such imaginary statements is uncalled for. And if someone believes the immature claims of our spokesperson they should have clarified it to me, he said, terming it a mischief of the media to favour the BJP. The INDIA is scheduled to meet in Mumbai by the end of this month and at this juncture, a face-off between the AAP and the Congress would only hurt the interest of the alliance. The Enforcement Directorate (ED) on Wednesday challenged before the Delhi High Court anticipatory bail granted to Robert Vadra by a trial court, claiming non-compliance of bail conditions, contrary to Section 45 of the Prevention of Money Laundering Act. Vadra, who was granted anticipatory bail by a trial court on April 1, 2019, is former Congress president Sonia Gandhis son-in-law, facing allegations of money laundering involving the purchase of a property in London. The EDs counsel said he will file an additional affidavit to demonstrate that Vadra has breached the bail conditions. Advertisement On the ED seeking some time to place it before court, it has been granted a two-week window by Justice Sudhir Kumar Jain. The matter is likely to come up next for hearing in the second week of September. The ED had earlier told the high court that it wanted to question Vadra in custody, citing direct links between him and the alleged money chain in the case. The agency had also said that Vadra was not cooperating during the investigation. In response to the EDs allegations, Vadras side had denied any wrongdoing and said that he had fully cooperated with the investigation, and that he had appeared before the probe agency whenever summoned. Vadra had argued that the agencys seizure of all relevant documents pertaining to the case demonstrated that he had no intention to tamper with evidence. He further criticised the EDs investigation as a fishing and roving enquiry lacking substantial material to support their allegations. The specific allegations against Vadra pertain to his involvement in the purchase of a property located at 12, Bryanston Square, London, with an estimated value of 1.9 million pounds (approximately over Rs 17 crore). The G20 Health Ministers meeting under G20 India Presidency will be held from 17-19 August at Gandhinagar in Gujarat. India assumed the presidency of the G20 on 1 December 2022 and is currently part of the G20 Troika comprising Indonesia, India and Brazil. Indias G20 Presidency marked the first time that the troika consisted of three developing and emerging economies. The focus of the G20 Health Ministers Meeting will be on the three key priorities of the G20 Health Track, including health emergencies prevention, preparedness and response with a focus on antimicrobial resistance and One Health framework; Strengthening cooperation in the pharmaceutical sector with a focus on access and availability to safe, effective, quality and affordable medical countermeasures (Vaccines, Therapeutics and Diagnostics); and Digital Health innovations and solutions to aid universal health coverage and improve healthcare service delivery. Advertisement Besides the G20 Deputies Meeting on 17 August and G20 Health Ministers Meeting on 18-19 August, there will be four side events including One Earth One Health Advantage Health Care India 2023; WHO Traditional Medicine Global Summit; India MedTech Expo 2023; and Sustain, Accelerate and Innovate to End TB in South-East Asia Region Conference. A Joint Finance-Health Ministers Meeting will also be held on 19 August as a focus event of the G20 Health Ministers Meeting. There will also be combined sessions of G20 and side events during the course of the G20 Health Ministers Meeting, Lav Agarwal, Additional Secretary in the Ministry of Health and Family Welfare said at a media briefing today. The G20 is a unique opportunity to showcase Indias leadership in the field of traditional medicine. In the last 9 years, India has developed 8 folds in the field of traditional medicine. By the end of the year, more than 12,500 Ayush-based Health & wellness centers will be functional across the nation, out of which 8,500 are already in place, Rajesh Kotecha, Secretary, AYUSH, said. He highlighted that the Global Centre on Traditional Medicines in Jamnagar, Gujarat, established by the WHO, is the first such centre in a developing country. He also informed that the WHO will convene the Traditional Medicine Global Summit, co-hosted by the Ministry of AYUSH, on 17-18 August in Gandhinagar which will explore the role of traditional, complementary and integrative medicine in addressing pressing health challenges and driving progress in global health and sustainable development. The side event on Medical Value Travel, Advantage Health Care India 2023 is a G20 co-branded event which will focus on global collaborations and partnerships for building resilient healthcare systems. This is the biggest government funded event for Medical Value Travel across the globe. Bashir Ahamad, brother of Hizb-Ul-Mujahideen terrorist Irshad Ahmad on Tuesday hoisted the National Flag at his residence in Jammu and Kashmirs Doda. Irshad Ahmad was declared a terrorist under the UAPA in October last year, for his links with terror organization. His brother Bashir Ahamad along with other family members organised an event to celebrate the 77th Independence Day. The children of the family also recited national songs and enjoyed the event to the fullest. Irshad joined militancy in 1994 and crossed to the other side of the Line of Actual Control (LAC). Talking to ANI, Bashir Ahamad said that Hum Hindustani hai and the country belongs to him. Advertisement My mother suffered mental illness because of him. Our father also misses him. We have suffered a lot due to him. This country is ours. We are Indians; will die for it. This flag is our pride. As per sources, Irshad Ahmad alias Idrees, is presently residing in Islamabad, Pakistan. Bashir is today holding and hoisting the national tricolour, sending a message that he belongs to this country and is against those who challenge its sovereignty. Appealing to the government to pave the way for the return of Irshad, Bashir openly criticized the ideology of his brother and said that we are safe and happy in India. He said that one should work together to build this nation instead of trying to weaken this. He (Irshad Ahmad) chose the wrong path. I appeal to the government to Irshad Ahmad, he said. Family members of militant Irshad Ahamd have repeatedly appealed to him to surrender before the armed forces and start a normal life. They also appealed to the government to bring their son back so he can join his other family members. It is pertinent to mention here that 119 militants are absconding from district Doda. The police on Wednesday arrested four other persons, including three current and one former student of Jadavpur University (JU) in connection with the mysterious death of a fresher of the university within the campus on August 10. They were arrested in the morning after night long interrogation by the investigating police officials. The four arrested have been identified as Muhammad Asif, Muhammad Arif, Ankan Sardar and Asit Sardar. While Asit Sardar is a former student of JU and the other three are present students of the university. Advertisement The four of the accused will be presented at a lower court in Kolkata on Wednesday only and sources said that the public prosecutor will seek police custody for them. With these fresh arrests of four persons the total number of arrests in the case has risen to seven. The cops had earlier arrested three persons, which include one former and two present students of JU in the matter. It is further learnt that JUs registrar Snehomanju Basu and the dean of studies Rajat Roy have been summoned to the Kolkata Police headquarter on Wednesday at around 3 p.m. The joint commissioner (crime) of the city police Shankha Shubhra Chakrabarty will speak to them. The body of the fresher was found in front of the students hostel of JU in the morning of August 10. He had been reportedly a victim of psychological harassment of his seniors in the hostel. The development had posed questions about the lackadaisical monitoring of the university authorities where former students not only stayed at the students hostels even months after passing out from the university but also acted as the final word in accommodation- related administrative affairs. Questions are also being raised about who in the university will take moral responsibility for the mishap considering that JU is running without a permanent vice- chancellor for quite some time. Even as hopes of finding survivors from the debris of collapsed Shiv temple at Summerhill in the suburbs of Shimla city are bleak, joint rescue operations continued on third day on Wednesday. With one more body retrieved today, the death toll has mounted to 13 from the site since Monday morning after the landslide struck at around 7.15 am owing to heavy rains, trapping several people under the debris and muck. More people are still feared buried under the debris, apprehend the district administration as the temple was thronged by devotees to offer prayers on the last Monday of Sawan, when the tragedy struck. Advertisement Besides, the spot where the temple collapsed the joint operations teams scattered to adjoining areas, searching downstream of the nallaha that washed away the rubble of the temple along with the rain water and debris. Himachal police, National Disaster Response Force (NDRF), State Disaster Response Force (SDRF), Army jawans along with the locals, frantically searched for more dead bodies in the nallaha after one of the domes of the temple and a statue of Lord Shiva was excavated. The incident has wiped out three generations of a family of seven including grandfather, grandmother, father and mother and their three children had got trapped under the debris. So far bodies of five of the family members have been excavated and two including a child are still missing. Meanwhile, Shimla city was witness to threat to more building collapse on Wednesday, and many houses and offices were evacuated. The Uraban Development department building Talland above the Cart Road, and another building above the Cart Road opposite St Edward School, Electricity Board Office and Bank housed in the Shimla Municipal Corporation parking building near Lift Shimla have been vacated due to landslip causing danger to the foundation of these buildings. The traffic on the Circular Cart Road in Shimla city remained affected on Wednesday as fear of collapse of these buildings loomed large. Shimla Deputy Commissioner, Aditya Negi said that one body of a lady was recovered from near the Shiv temple site and rescue operations were still underway as more are feared to have been buried. As per assessment of the buildings in Shimla district that are endangered due to landslips and sinking, administration is vacating them to ensure the safety of the people, he added. Himachal Pradesh Chief Minister Sukhvinder Singh Sukhu on Wednesday said that the state government was preparing a comprehensive, long-term disaster preparedness plan, earmarking around Rs 800 crore for its implementation. This is aimed at mitigating the impact of future disasters and enhancing the states resilience, he added. Emphasizing the need to fortify urban drainage systems, the Chief Minister said that proper water management was important to prevent hill destabilization. Advertisement The state government is all set to develop a comprehensive plan to bolster drainage systems and integrate sound structural design principles for construction, he added. While taking stock of the damages caused by the tragic incident of landslides, he instructed the district administration to accelerate relief and rescue operations. While interacting with media, he said that the timely response of the district administration has reduced the damages significantly as persons living in these houses had already been evacuated due to the impending danger. The Chief Minister said that on Monday alone, over 60 lives were lost due to heavy rains throughout the state in a single day, with the potential for that number to rise. The impact was most severe in Shimla, while farmers in Fatehpur, Kangra, suffered crop losses without any casualties. In response to the ongoing disaster, the Chief Minister expressed disappointment in the Leader of Opposition, Jai Ram Thakur, for engaging in political maneuvers during this critical time. He said that the state government was focusing firmly on relief and rescue operations and questioned the appropriateness of demanding a special session of the Vidhan Sabha at this juncture. The Chief Minister assured that the state government was committed to holding a 10-day session and he was even reducing his own security cover to ensure that sufficient police personnel were available for disaster response efforts. He asked the opposition to prioritize the pressing needs of the hour rather than engaging in political posturing. The American band Imagine Dragons, which released in May 2023 a music video for the composition Crushed about the destroyed house of 14-year-old Sasha from Mykolaiv region, performed in Poland with the main character of the video. The band's leader Dan Reynolds proclaimed a powerful speech, and the teenager went on stage with the flag of Ukraine. ADVERTISIMENT After that, the group performed the composition, holding his hand over his heart. The photo appeared in Telegram United24, and the video was shared in TikTok (to see the photo and video, scroll to the end of the page). The performance took place in Warsaw, where thousands of fans gathered at the band's concert. From the stage, the band noted that it is impossible to reconcile with the war in 2023. "People are fighting for freedom, people are fighting for their home, people are fighting for their country, cities, villages. Here tonight is someone whose home was destroyed in Ukraine, wiped off the face of the earth. This is the reality tonight," Dan Reynolds began. ADVERTISIMENT A Ukrainian man who told his tragic story to the world in a music video was invited to the stage. Now his house is slowly being rebuilt, and Imagine Dragons believe it speaks to the "beauty of humanity". "No one deserves to have their home taken away, no one deserves to be sent to war in 2023. Let our leaders do something. We will stand together and this will end. The least we can do is tell stories of struggling people who have lost but not defetead," summarized Dan Reynolds. To wild applause, Sasha brought the flag on stage and the band performed the song Crushed with his hand over his heart. Earlier OBOZREVATEL wrote how the life of the teenager changed after filming in the clip, which saw millions of people. Read more about it - read at the link. ADVERTISIMENT Only verified information from us in Telegram-channel Obozrevatel and in Viber. Do not fall for fakes! Established in 2013, Suroj Buildcon is one of Indias civil construction companies. It has built over 30+ million sq. ft. and over 7 million sq. ft is currently under progress. Headquartered in Pune, the company specializes in constructing industrial buildings and allied infrastructure. On the 77th Independence Day, Suroj Buildcon launched a one-of-its-kind initiative to applaud the labourers. They called the individuals the driving force behind every project. Through the Wall of Honour at every site, the buildcon will etch names of every labourer on the wall forever. Going forward, Suroj Buildcon shall take this initiative to every project across the length and breadth of the country. Advertisement About the film by Suroj Buildcon: A film has been created to celebrate the labourers achievements without wanting any recognition, through a poignant narration. The film captures the feeling of pride and the unbridled joy of the labourers upon seeing the Wall of Honour. Speaking about the initiative, Sachin Biyani, Managing Director, said that Suroj Buildcon has always recognized the efforts of every individual who exhibits tremendous dedication. We are glad to take our efforts to the next level through this movement. Conceptualized by Velocita brand consultants, Suroj Buildcons brand partner, the Wall of Honour initiative is a movement rather than a one-off campaign. The initiative will inspire the industry to take notice and encourage them to start acknowledging the real heroes behind the endeavours. Suroj Buildcon had launched another campaign focused on labourers last year. It encouraged people to celebrate Diwali with the labourers who live thousands of kilometres away from their families. The company has its presence in other sectors as well like pharmaceutical, chemical, food, beverages, automobile, heavy engineering, warehousing, petro-chemical, white goods, defence, restoration, institutional and other sectors. Their current infrastructure projects include Perstorp industries, Bharuch; Bajaj Auto, Chakan; ITC Nadiad; FRCCI, Morinda; Asian Paints Ankleshwar, among others. Raj Kumar, known widely as Bittu Bajrangi, is a notable figure in the realm of cow vigilantism in Faridabad. He holds the position of the Faridabad head within the Gau Raksha Bajrang Force. Let us look at who he is. Prior to his apprehension, Bittu Bajrangis actual identity remained as Raj Kumar. His involvement came to the forefront due to a video that emerged prior to the Bajrang Dal event, known as the Brij Mandal Jalabhishek Yatra, scheduled for July 31. This event met with aggression from Muslim groups in Nuh. This sparked accusations that Bittu Bajrangi played a role in inciting violence. Preceding his arrest, he underwent police questioning. Notably, Bittu Bajrangi stands as the foremost significant arrest connected to the Nuh violence case. Advertisement Bittu Bajrangi, a self-professed protector of cows is popular for his inflammatory statements. Authorities have apprehended him in connection with the Nuh unrest in Haryana. The turmoil transpired during a procession orchestrated by a Hindu group. On the 1st of August, an initial report was lodged against Bittu Bajrangi due to a widely circulated video. In the video, he was garbed in saffron attire while a song with menacing lyrics played in the background. One of the individuals filing a complaint was Usha, an Assistant Superintendent of Police (ASP). She alleged that Bittu Bajrangi engaged in inappropriate behavior towards her when she attempted to prevent him from participating in the Yatra. According to the officers account, he even sat on the bonnet of her vehicle. Bittu Bajrangi previously disseminated a video clip in slow motion, portraying him walking while adorned in saffron attire. The soundtrack with lyrics accompanied the video. It said, Goli pe goli chalengi, baap toh baap rahega (shots will be exchanged, the father will remain the father). We saw a mob of around 20 people carrying swords and trishuls marching to Nalhar temple. I was on law and order duty and stopped them. I ordered cops to snatch and seize their weapons and they started raising slogans against police. They manhandled the team. As we tried to leave with their weapons in our vehicles they went ahead lying in front of vehicles. Another police team came to intervene but they opened rear gates of our official vehicle and fled with weapons. I was busy with post clash law and order resumption so complaint delayed, said Usha Kundu in her complaint. During an interview with India Today, Bittu Bajrangi, who was part of the Vishva Hindu Parishad (VHP) procession that came under attack in Nuh, was probed about his viral video. He responded, I simply responded to those who had issued threats against me. The apprehension ensued after a short pursuit by law enforcement officers in close proximity to Bittu Bajrangis residence. The officers had both batons and firearms. Surveillance footage from the vicinity of Bittu Bajrangis dwelling depicted the sequence of events, illustrating how plainclothes officers gave chase to the self-declared cow defender. The cow vigilante appeared taken aback by the sudden appearance of the officers. A crowd of locals witnessed the incident as approximately 15 to 20 officers pursued the cow vigilante. They wore lungis. Subsequently, the officers managed to apprehend Bittu Bajrangi after a brief pursuit that unfolded within the alleyways of Faridabad during the afternoon of Tuesday. Last months prolonged communal strife, lasting for a span of 18 hours, resulted in a heartrending toll of five fatalities and left over 70 individuals wounded. The turmoil swiftly escalated, spanning from Nuh to Gurugram and even reaching Badshahpur, covering an impressive distance of 40 km. Amid the upheaval, a mosque engulfed in flames during the late hours of the night, as unruly crowds ran amok, igniting over a hundred vehicles and causing extensive devastation. Within the casualties were two members of the security personnel and two civilians, including a revered mosque cleric. Bindeshwar Pathak, the visionary behind Sulabh International, an organization dedicated to human rights, environmental sanitation, waste management, and educational reforms, passed away due to a cardiac arrest on Tuesday. Pathak was a pioneer in the creation of community toilets as a means to combat open defecation. His efforts marked a significant stride in promoting public hygiene and societal advancement. He complained of uneasiness amid Independence Day celebrations at Sulabh complex at Palam-Dabri Road, New Delhi. He was taken to AIIMS, the Sulabh International said in a statement. Advertisement Reports suggest that Pathak had unfurled the national flag on the morning of Independence Day before suddenly collapsing. Subsequently, people around him took him to AIIMS Delhi. There the doctors pronounced him dead at 1.42 pm. The demise of Pathak meets condolences from Prime Minister Narendra Modi, who described him as a visionary. In a message shared on X (formerly Twitter), Prime Minister Modi lamented Pathaks passing and lauded his extensive work in the fields of societal progress and uplifting marginalized communities. Bindeshwar Ji made it his mission to build a cleaner India. He provided monumental support to the Swachh Bharat Mission. During our various conversations, his passion towards Swachhata was always visible. His work will continue to inspire several people. My deepest condolences to his family and loved ones during this difficult time. Om Shanti, he added. Who was Bindeshwar Pathak? Bindeshwar Pathak hails from a Brahmin family of Rampur Baghel village in Vaishali district, Bihar. He had deep roots firmly entrenched in his familial heritage. Born to Yogmaya Devi and Ramakant Pathak, he navigated his journey from these origins to make a remarkable impact on society. Venturing to Patna, he pursued his education at B.N. College, where he delved into sociology and graduated. His initial career path led him to teaching before a new avenue beckoned the Gandhi Centenary Committee in Patna, where he took up the mantle of a dedicated volunteer. Drawing inspiration from the ideals of Mahatma Gandhi, as elucidated by the Sulabh International website, Pathaks life trajectory was deeply rooted in the empowerment of those marginalized within Indias caste-based system. Over the past five decades, his endeavors focused particularly on advocating for the rights of manual scavengers, a group predominantly consisting of women from the lowest rungs of society. A pivotal moment arrived in 1973, when Bindeshwar Pathaks persuasion led Bhagdeo Singh Yogi, a member of the legislative assembly, to compose a letter to then Prime Minister Indira Gandhi, highlighting the plight of manual scavengers. The response from Gandhi, pledging to direct the chief ministers personal attention to the matter, marked a significant stride towards initiating change. Further fortifying his mission, a noteworthy incident occurred in the same year. An officer from Arrah municipality extended 500 rupees to Pathak for the construction of two demonstration toilets within its premises. These toilets left a lasting impression on the authorities, ultimately leading to the approval of a broader project for their widespread implementation. Saint-Laurent, CA (H4T1V6) Today Some sun this morning with increasing clouds this afternoon. Slight chance of a rain shower. High 74F. Winds N at 5 to 10 mph.. Tonight Partly cloudy skies. Low 57F. Winds light and variable. North Korea offers the first official confirmation that it has detained US soldier Travis King North Korea has offered its first official confirmation that it detained a U.S. soldier who bolted into its country last month The National Agency for the Prevention of Corruption (NAPC) has sent letters to companies that are on the list of international sponsors of the war. The agency suggests that the heads of such companies visit Ukraine and see the consequences of Russian aggression with their own eyes and discuss the exit of business from the terrorist country Russia. ADVERTISIMENT "If someone thinks that the war is somewhere far away, it is not so. This war touches everyone, and its consequences are felt by the whole world. We want to clearly show international business where and for what the taxes they pay to the terrorist state go. That is why we are inviting the management of companies on the list of sponsors of the war to Ukraine. We are ready to demonstrate the horror that Russia has brought to our land and continues to do so", - said the head of the NAPC Oleksandr Novikov. The appeal also notes that the NAPC is open for dialog on the strategies of companies on the list of sponsors of the war on withdrawal from the Russian Federation. The Agency hopes to hear relevant public statements by companies regarding condemnation of the Russian aggression against Ukraine, to see corporate decisions on business activities in the Russian Federation (e.g., reduction of activities or withdrawal from the market). ADVERTISIMENT The initiative is fully in line with the UN Guiding Principles on Business and Human Rights, which responsible businesses should respect. According to them, in order to assess human rights risks, business enterprises should, inter alia, engage in meaningful consultation with potentially affected groups and other relevant stakeholders. Note that the list of international war sponsors is a tool introduced by the NAPC to induce international companies that continue to operate in Russia, pay taxes, and support the occupying forces with goods and services (as in the case of preferential bank loans for the Russian military) to withdraw from Russia. As OBOZREVATEL reported, British companies are afraid to trade with Ukraine "because of the threat of banks closing accounts that are not at risk. Banks are forcibly closing accounts of British firms trading with Ukrainian partners because of concerns about Russian sanctions and money laundering. ADVERTISIMENT Only verified information from us at Telegram channel Obozrevatel and Viber. Do not fall for fakes! The variety of dogs allows you to choose a favorite not only by its working qualities, but also by its appearance. There is even a whole class of dogs - decorative. Their task is simply to be beautiful. However, in the list of the most spectacular breeds there are not only its representatives. ADVERTISIMENT OBOZREVATEL tells about ten breeds that will charm anyone with their appearance. In the list there are both very miniature creatures, and very large breeds. Yorkshire terrier A baby with a silky coat can charm anyone. Care for his appearance will require some effort from the owner, but charismatic yorkies can not be confused with anyone. They are very intelligent and strongly attached to their owners. They also look wonderful in stylish suits, which they wear with pleasure. Shih Tzu ADVERTISIMENT Another dog "with hair" on the list. They were favored by the Chinese emperors for their magnificent appearance and since the 17th century, only the ruling family was allowed to own a Shih Tzu. These small dogs are attached to all members of the family at once and are happy to spend time with everyone in turn, as they do not like loneliness. The Shih Tzu's long coat requires careful grooming and regular brushing. Papillon The Continental Toy Spaniel got its second name because of the resemblance of its large ears to the wings of a butterfly. It comes from the French word papillon, which means butterfly. It is one of the most intelligent breeds in the world. Despite their small size, the intelligence of this breed is very high - they easily learn commands and are very sociable with people. ADVERTISIMENT Dalmatian Once you see this dog, it is impossible to confuse it with anyone else. Its large size, majestic posture and recognizable white coloring with distinctive black spots create the unique beauty of the Dalmatian. This look probably made the breed popular back in ancient Egypt. They are strong dogs, excellent guards and companions. But they won't suit people who don't like to walk a lot. Pomeranian Most of all, these cute dogs resemble a fluffy ball of fluff on thin legs and with a foxy face that constantly appears to be smiling. Pomeranians are the most diminutive of all Spitzes. They are very energetic dogs, ready to play endlessly with their owners. A big plus of the breed is that while they are fluffy, they shed very little. ADVERTISIMENT Chinese Crested The breed gets its name from its spectacular crested mane, which stands out both in the bald subspecies and the downy subspecies. It is not a large breed with a proud posture. Bald dogs have very soft, pleasant to the touch skin, while downy dogs have a soft coat with no undercoat. For any tasks, except to be companions, these creatures are not suitable. But they will love their people with all their heart. Samoyed dog It is a northern breed, famous for its large snow-white coat and smart smiling face with black nose and eyes. Samoyeds are somewhat reminiscent of polar bears. Because they were bred to be sled dogs, these dogs are very energetic. At the same time, they are not aggressive and are very contactable. The flip side of the coin is that they can suffer from anxiety if separated from their owner for long periods of time. ADVERTISIMENT Pug First of all, pugs are loved for their gentleness and calm, even phlegmatic character. In ancient China they were kept by the nobility, and in Europe they first appeared in the 16th century in the Netherlands and immediately acquired the status of universal favorites. Pugs are very funny and yet incredibly cute. However, they are suitable only for those owners who are ready to pay a lot of attention to the care of the pet. Brabant Griffon (Brabanson) ADVERTISIMENT It is a small but very strong and hardy breed. Brabansons are intelligent and inquisitive, they love to play and are always very affectionate with their owners. However, their activity can frighten a small child, so in a family with kids they should be taken with caution. Most of all these dogs love to run, so the owner of a Brabanson should be ready to keep him company on jogs. Maltese It is not a large dog of white color with a long coat. Maltese have a surprisingly proud posture, so they give the impression of aristocratic animals. In fact, they are very affectionate and intelligent creatures, most of all loving walks with their owner. They are also happy to play games and need a lot of physical activity. In essence, they are a good-natured little bully who needs to be allowed to release energy. ADVERTISIMENT Earlier OBOZREVATEL told what breeds of dogs are the most popular in the world. Subscribe to OBOZREVATEL channels in Telegram and Viber to be aware of the latest events. Job Title: Administration and Finance Property Manager Organisation: Aga Khan Foundation (AKF) Duty Station: Kampala, Uganda About US: Aga Khan Foundation (AKF) is a private, not-for-profit, non-denominational international development agency of the Aga Khan Development Network (AKDN). AKF works in collaboration with partners to develop and promote innovative solutions that help improve the quality of life of communities in areas we work. This is achieved through a systemic and Multi-Input Area Development programming approach that responds to the opportunities and priorities of a given area with long-term and synergised interventions. Specifically in Uganda, AKF currently supports programming in education, early childhood development (ECD) and strengthening civil society. Job Summary: The Administration and Finance Property Manager will manage the property portfolio (including but not limited to security, building and grounds maintenance, renewal of lease of AKF properties, rent collection, upkeep of properties, managing relations with tenants, tenant data and other related tasks). Key Duties and Responsibilities: Develop property maintenance and renovation plan and implement the plan. Contract and manage consultants, contractors and suppliers for repair, maintenance and renovation of properties and delivery of supplies and goods. Undertake and follow up on lease renewals, rent payment, property subdivisions, environmental issues, approvals and other requirements associated with the Lands Departments and Local Councils and ensure that AKF is complying with all regulatory requirements for properties. Raise invoices, Statement and input rental payment and monitor outstanding rents with constant follow up with the tenants. Ensuring timely collections of rent and other dues. Undertake preparation of commercial and residential tenancy agreements, licenses and procedures related to leases and rent collection. Preparation and submission of timely and accurate monthly, quarterly and annual reports to the line manager. Preparation and monitoring of the property budgets. Ensuring properties are kept in good condition and ensuring that maintenance works are dealt with pro-actively and completed with acceptable cost and time considerations. Carry out monthly bank reconciliation of the property related accounts. Oversee and monitor AKF property insurances to ensure proper coverage based on AKF property location. Coordinate execution of financial reviews or audits and ensure timely follow up to review or audit conclusions and recommendations in close collaboration with your line manager. Overall management of leases and services contracts new and those for renewals that are property related: Ensure all AKF Services and properties rented have valid contracts and should be flagged three months in advance for their renewals. Management office workspace statutory issues: ensure all statutory obligations are paid; land rent, VAT returns, Property Rates etc. Qualifications, Skills and Experience: The applicant must hold a Diploma in Property Management or Building Economics, or related field. A postgraduate in Management qualification will be an added advantage. At least five years of related experience, with at least three of those years in a middle management position. Excellent oral and written communication skills in English and Kiswahili Proficiency in understanding technical drawings and specifications related to construction, bills of quantities and contracts would be an added advantage. Ability to work under pressure with multiple tasks, demands and deadlines with a positive and constructive attitude under minimal supervision. Computer Proficiency: Word processing, data base and spreadsheet applications, MS Office and the ability to present figures in graphs and other user-friendly methods. Willingness to travel on short notices. AKF is an equal opportunity employer and is committed to safeguarding and promoting the welfare of children and vulnerable adults and expects all staff and partners to share this commitment. How to Apply: All candidates who wish to join the Aga Khan Foundation (AKF) should apply online at the link below. Click Here Deadline: 25th August 2023 For more of the latest jobs, please visit https://www.theugandanjobline.com or find us on our facebook page https://www.facebook.com/UgandanJobline Job Title: Reservation & Ticketing Agent (Fresher Customer Service Job Opportunities) Organisation: Uganda National Airlines Company Limited Duty Station: Entebbe, Uganda About US: Uganda Airlines is the flag carrier of Uganda. The company is a revival of the older Uganda Airlines which operated from 1977 until 2001. It began flying in August 2019. Key Duties and Responsibilities: Make and confirm reservations for passengers. Arrange reservations and itineraries using flight timetables, airline manuals, reference guides, and tariff books. Perform a proper flight firming check to maximize flight utilization and reduce No-shows. Assist passengers with complaints and other concerns. Issue tickets and Passenger Travel Advisories quoting correct fare to reduce passenger complaints and debit notes. Advise passengers on changes in flight schedules, cancellations or confirm reservations. Maintain inventory of available passenger space on flights. Advise load control personnel and other stations of changes in passenger itinerary to control space and ensure utilization of seating capacity on flights. Ensure that the sales report is closed on time. Promote Uganda Airlines product to generate revenue. Record and process reservations made by phone / fax / e-mail, action telex messages and authorities. Assist travel agents with seat confirmations, upgrade/ downgrade proposals. Handle Miscellaneous Charges Order (MCOs) and refunds, process lost and time barred tickets. Take responsibility for own proficiency development and train and develop other employees, for proper succession planning and risk management. Assist respond to any emergency or a major operational disruption affecting the Airlines operations Other duties and responsibilities as requested by Management. Qualifications, Skills and Experience: The applicant for the Uganda Airlines job must hold a Certificate in IATA and Diploma in sales and marketing will be of added advantage. Two years of work experience will be added advantage. Detailed knowledge of computer Reservation Systems and IATA processes is an added advantage. Good communication and intrapersonal skills High level of computer proficiency A sound understanding of mathematics and world geography. Customer-focused and hospitable. Integrity and Resilience Team player & Proactive/results-orientated. Warm, friendly, Self-motivated, pleasant & presentable. How to Apply: All candidates who wish to join Uganda Airlines in this capacity should apply online at the link below. Click Here Deadline: 28th August 2023 For more of the latest jobs, please visit https://www.theugandanjobline.com or find us on our facebook page https://www.facebook.com/UgandanJobline Law enforcers have sent to court an indictment against a former MP who called on Russia to attack Ukraine and supported Russia's occupation of Ukrainian territories. The former MP also spread Russian fakes about the joy of the inhabitants of the temporarily occupied territories over the arrival of invaders and persuaded people to get Russian passports. ADVERTISIMENT If the court finds the ex-nardeputy guilty, he faces five years in prison, Kyiv police said. According to OBOZREVATEL sources, we are talking about the former "regionalist" who fled to the Russian Federation Kharkiv resident Dmitry Svyatash. Kyiv police investigators have sent the indictment against the former MP to court. He will be tried for a crime under part 1 of Article 110 of the Criminal Code of Ukraine - encroachment on the territorial integrity and inviolability of Ukraine. The sanction of the article provides for up to five years in prison. "The defendant published on his page in the social network a post with public calls for military aggression of the Russian Federation against Ukraine and supported the authorities of the Russian Federation in the occupation of the territories of our state. He also used propaganda fake information that the Ukrainian population in the captured territories of Ukraine has a positive attitude to the occupiers and persuaded residents of the so-called "liberated territories" to show their civic position and get Russian passports", - stated in the message. ADVERTISIMENT The police did not give the name of the MP, and his photo was published with a blurred face. However, OBOZREVATEL's sources claim that it is a former "regionalist" MP of five convocations of the Verkhovna Rada, Dmitry Svyatash from Kharkiv. Back in 2004, Sviatash was a confidant of presidential candidate Viktor Yanukovych. From 2005 to 2014, he was a member of the Party of Regions, and after the Revolution of Dignity he joined the political force Renaissance. Svyatash's name has repeatedly sounded in high-profile scandals, including those with a criminal trail. So, on October 8, 2019 he was announced on suspicion in part 4 of article 190 of the Criminal Code (fraud by prior conspiracy by a group of persons, in especially large amounts), and on part 3 of article 27 part. 3 Art. 358 of the Criminal Code (forgery of an official document). Svyatash is charged with conspiring with the management of the AIS group of companies to fraudulently seize money received from UkrSibbank as loans. According to the prosecutor's office, he caused damage to the bank worth 1.1 billion hryvnias. ADVERTISIMENT On 16 November, Svyatash was put on a wanted list as part of the criminal case. He is currently hiding on the territory of the Russian Federation. MP of the 8th convocation, former journalist Mustafa Nayem said in the spring of 2022 that it was Svyatash that Russia considered as one of the main candidates for the post of gauleiter of Kharkiv, a city that last April the Russian Federation still hoped to seize. We will remind, earlier it became known that the SSU reported about the suspicion of the ex-leader of the Communists Simonenko: during the occupation of Kyiv region he escaped to the Russian Federation accompanied by Russian special forces. The Kremlin sent a detachment of special forces to the village of Berezovka in Makarov Region after receiving a personal appeal from Symonenko about his "evacuation". The latter personally met the Russian special forces when they arrived in the Ukrainian settlement. He "quartered" them in his own house and provided them with food. ADVERTISIMENT Then, from March 4 to 8, 2022, the occupation special unit carried out an "escort" of Simonenko and his relatives to the territory of Belarus. From there he moved to Russia, where he was appointed deputy chairman of the "Central Committee of the international communist association "Union of Communist Parties - Communist Party of the Soviet Union". Only verified information from us in Telegram-channel Obozrevatel and Viber . Do not fall for fakes! . Scientists have identified a potential drug target for multiple mosquito-transmitted viruses, such as dengue and Zika, shedding light on how these viruses manipulate host cells to enhance their own reproduction. The findings, recently published in the journal Cell Host and Microbe, hold significant promise for the development of new therapeutics to combat these infections, which currently lack effective treatments. The research, led by Michaela Gack, Scientific Director of Cleveland Clinic's Florida Research & Innovation Center, marks a significant advancement in our understanding of mosquito-borne pathogens that pose a growing threat to global populations. Gack expressed optimism, stating that their innovative approach to studying viral pathogens and host enzymes could pave the way for effective preventive measures against future health risks. Viruses, reliant on host cells for survival, lack the complete genetic toolkit necessary for their replication. Consequently, they infiltrate host cells to exploit them as factories for their own reproduction. For instance, the Zika virus commandeers various proteins within the host cell to facilitate efficient replication. One crucial mechanism in this process involves human enzymes that "tag" proteins with additional molecules to enable proper functionality. In the absence of certain molecules required for its reproduction, the Zika virus has adapted by utilizing a human enzyme called KAT5?. This enzyme, an acetyltransferase, aids in amplifying the virus's RNA genome within viral replication complexes. The pivotal role of KAT5? in virus replication has been unveiled through this research, marking the initial step in devising inhibitory molecules aimed at curbing viral replication and treating infections. Unlike viruses, human proteins evolve at a slower pace, rendering them less prone to rapid mutations that could lead to antiviral drug resistance. Cindy Chiang, the study's second author, emphasized that targeting the host's KAT5? protein offers a more durable and effective approach to combating these viruses over time. Importantly, the study suggests that the development of drugs targeting the human KAT5? enzyme could potentially tackle not only the Zika virus but also a range of other mosquito-transmitted flaviviruses. This breakthrough paves the way for innovative therapeutic interventions against these persistent and evolving health threats. The Kolkata Police arrested four more persons in connection with its probe into the death of a first-year student of Jadavpur University, a senior officer said on Wednesday. Three former students of the varsity are among the four held on Tuesday night during multiple operations conducted by teams of the city police, he said. With these arrests, the Kolkata Police apprehended a total of seven people in the case. "We arrested them last night after they gave incoherent replies to our officers during questioning. It seems that they were actively involved in the death of the student. We will produce them in a court later in the day," the officer said. Earlier, the police had arrested three students of JU for their alleged involvement in ragging the 18-year-old undergraduate student who died last week after falling from the balcony of a hostel. The city police have taken cognisance of JU students' social media posts, including photographs, which suggest that there was an element of sexual harassment in the ragging of the deceased. He was a resident of Bagula in Nadia district and an undergraduate student of Bengali (Honours). He allegedly fell from the second-floor balcony of the main hostel around 11.45 pm on Wednesday and died while undergoing treatment at a hospital the next day. Rajasthan Chief Minister Ashok Gehlot extended support to his former deputy Sachin Pilot who was attacked by the BJP over claims that his father Rajesh Pilot dropped bombs as an air force pilot in Mizoram in March 1966. The Congress veteran also accused the BJP of insulting the sacrifice of the Indian Air Force. "Congress leader Shri Rajesh Pilot was a brave pilot of the Indian Air Force. By insulting them, the BJP is insulting the sacrifice of the Indian Air Force. The whole country should condemn this," Gehlot wrote on X (formerly Twitter). The rare gesture from Gehlot, who has been at loggerheads with Pilot over the chief minister post, is seen as an attempt to show that the Congress is united in the state ahead of the assembly elections due later this year. Earlier, BJP IT department head Amit Malviya had claimed that Rajesh Pilot and Suresh Kalmadi were flying the Indian Air Force planes that bombed Aizawl, the capital of Mizoram, on March 5, 1966. "Later both became MPs on Congress tickets and ministers in the government. It is clear that Indira Gandhi gave a place in politics as a reward, gave respect to those who carried out air raids on their own people in the Northeast," Malviya had said in a post on X. Hitting back at Malviya, Sachin Pilot said the facts and dates were wrong as his father was commissioned into the force in October that year. "@amitmalviya - You have the wrong dates, wrong factsYes, as an Indian Air Force pilot, my late father did drop bombs. But that was on erstwhile East Pakistan during the 1971 Indo-Pak war and not as you claim, on Mizoram on the 5th of March 1966, Sachin Pilot tweeted. "He was commissioned into the IAF only on 29th October 1966! (Certificate attached). Jai hind and a happy Independence Day," Pilot said on X and shared the certificate according to which Rajesh Pilot was commissioned in the Indian Air Force on October 29, 1966. Ahead of the next meeting of the opposition INDIA alliance, the underlying differences between the Congress and the Aam Aadmi Party became evident as the Congress' central leadership convened a meeting of its Delhi unit leaders today to discuss the party's preparations for the Lok Sabha elections in the national capital. The remarks made by Delhi Congress leaders after the meeting, to the effect that the central leadership had asked them to prepare for the Lok Sabha polls in all seven parliamentary constituencies in the capital, immediately attracted a strong reaction from the AAP, which said that it would have to take a call on whether it should attend the Mumbai meeting in the wake of the comments. The Congress got into damage control mode following the AAP retort and insisted that the meeting held today did not discuss the issue of alliance with the AAP. Its advice to the AAP was that it should not fall into the trap set by BJP-leading media. Congress President Mallikarjun Kharge chaired a meeting of party leaders from Delhi to discuss preparations for the Lok Sabha elections. Former party chief Rahul Gandhi and AICC General Secretary K.C. Venugopal were also present in the discussion. As many as 40 leaders from the party's Delhi unit attended the meeting. The meeting lasted for around four hours, and the discussions are significant in the backdrop of speculation whether the Congress will ally with the AAP in Delhi and other states. However, Delhi Congress leaders, as they emerged from the meeting, said the instruction from the central leadership was that they have to work to strengthen the organisation in all seven Lok Sabha seats in the capital. Delhi Congress leader Alka Lamba said that the emphasis of the central leadership in the meeting was on strengthening the organisation in Delhi and its clear instruction to the state's leaders is that they should prepare for elections in all seven seats in the capital. It was discussed how the organisation in Delhi, which has weakened, must be strengthened. The issues that important in Delhi were discussed. We have been asked by the central leadership to prepare in all seven seats, she said. When asked about the possibility of the Congress entering into an alliance with the AAP, she said no discussion has taken place on that so far. She said the party leaders have been asked to prepare the organisation for elections in all the seven seats, and if any decision is taken on an alliance with the AAP, that is a different matter. We will keep our preparation up to the mark, she emphasised. Following the sharp retort from the AAP, AICC in-charge for Delhi Deepak Babaria sought to bring down the temperature, saying, Alka Lamba is a spokesperson but she is not authorised to talk about such issues. I have said as an in-charge that there were no such discussions in the meeting today. I refute Alka Lamba's statement. Babaria also said that Lamba has clarified to him that she had not made the comments attributed to her by a section of the media. Meanwhile, according to sources, Rahul spoke about his visits to different parts of Delhi and his interaction with the people. He is learnt to have said that there is a positive sentiment amongst the people for the Congress and it should capitalise on it. The Supreme Court on Wednesday ordered to maintain status quo on railway authorities' demolition drive to clear illegal structures near the Krishna Janmabhoomi in Uttar Pradesh's Mathura for 10 days. "Let there be status quo as regards the subject premises for a period of 10 days," an apex court bench said in a notice issued to the central government and the Indian Railways, asking the matter to be listed again after one week. The petitioner Yakub Shah's lawyer told the three-judge bench presided by Justice Aniruddha Bose that 100 houses have been demolished and only 70 to 80 houses are remaining. The whole thing will become infructuous. They conducted the exercise on a day when Uttar Pradesh courts were closed," he told the bench, which also comprises judges Sanjay Kumar and SVN Bhatti. He added that 3,000 families, most of whom have been living in the area since the 1800s, will be affected by the drive. The lawyer urged the court to intevene, saying the railway authorities chose a day when UP courts were closed to bulldoze the buildings. The railway authorities said the drive was carried out as part of making land available for converting the Mathura-Vrindavan narrow gauge to broad gauge. The latest ruling comes two days after the Shri Krishna Janma Mukti Nirman Trust had moved the top court against the Allahabad High Court's dismissal of its request for a survey of the Shahi Idgah mosque near the Mathura temple. -- with PTI inputs Coimbatore-based Isha Foundation has launched the 'Yoga for Stress Management and Holistic Wellness' programme in collaboration with the Southern Command of the Indian Army, on the occasion of the 77th Independence Day. The programme aims to bring holistic wellness to our soldiers who otherwise undergo a lot of stress under testing conditions, the foundation said in a statement. Isha Hatha Yoga teachers will conduct a week-long free classical Hatha Yoga programme for over 10,000 serving soldiers in 23 locations in nine states under the Command. As soldiers you have done enough for your physical fitness and wellbeing, but to bring a different level of mental and energetic capability within you, yoga and the technologies for inner wellbeing can make a phenomenal difference. Weve already taught this yogic processes for thousands of soldiers and other forces and also we have trained over 300 trainers within the forces, and now we want to offer this to Southern Command, which is an important part, Isha founder Sadhguru said in a video message. In willingly committing their lives to protect and defend the Nation, our men and women in uniform are offering the highest commitment and the greatest service to the Nation, Sadhguru later wrote on X (formerly Twitter). A Privilege to offer Isha Classical Hatha Yoga to the Southern Command, Indian Army- this will bring a different level of mental and energetic capability within you & will make a phenomenal difference both in terms of how you perform & how you experience your life. Best Wishes & Blessings, he added. Inauguratig the ceremony, Lt Gen Ajai Kumar Singh, General Officer-Commanding-in-Chief Southern Command, highlighted the importance of mental wellness in the Indian Army and how yoga can be used to ameliorate mental health issues prevalent in the society in general and Indian Army in particulars. With rising stress, suicides and marital discords, its very important that we connect back with our cultural and spiritual heritage. I am grateful to Sadhguru for helping us connect with our culture, he said. As part of the programme, Isha Hatha Yoga teachers will teach classical Hatha Yoga practices such as Surya Kriya, Angamardana, Nadi Shuddhi and Isha Kriya to the soldiers. The first set of batches with thousands of Amy participants began on Tuesday in cities including - Mumbai, Pune, Ahmedabad, Gwalior, Jhansi, Secunderabad, Chennai and Bengaluru, among others, the foundation said. The Kerala government, under the leadership of Chief Minister Pinarayi Vijayan, has taken the initiative to offer free travel in Kerala State Road Transport Corporation buses to students hailing from severely impoverished backgrounds. Additionally, students who complete Class X will be provided seats for Plus One and Plus Two in schools situated near their homes. The government has also outlined plans to extend scholarships for higher education, offer stipends, and provide free meals from college canteens to students coming from extremely disadvantaged households. During his Independence Day Address on August 15, the chief minister conveyed that his government is committed to the comprehensive elimination of extreme poverty in society. He emphasised that such an initiative is groundbreaking within the context of Indian states. A total of 64,006 families falling into the extremely impoverished category have been identified in the state. The necessary government documents for these families have already been disseminated. The CM said, "We have meticulously devised microplans aimed at enhancing the quality of life and means of sustenance for the extremely impoverished residents of the state. Through the collaborative efforts of diverse departments, we expect to eradicate extreme poverty from the state by the year 2025." The Kerala government has categorised extremely impoverished families based on four key aspects: food, healthcare, income, and shelter. According to official government records, a total of 4,736 families were identified as grappling exclusively with food-related challenges. Moreover, 13,753 families were recognised as having difficulties in accessing adequate healthcare. Within this group, a total of 28,663 individuals were identified as suffering from severe healthcare issues. Besides, 1,705 families are contending with insufficient household income, and as many as 8,671 families are confronted with challenges encompassing both food and healthcare. For efficient administration, various departments will be granted access to the details of those classified as extremely poor through the MIS Portal of Kerala. The government has also issued job cards to those encountering difficulties in securing a livelihood. Additionally, a commitment has been made to offer rehabilitation and treatment to individuals grappling with mental health issues. Notably, individuals with mental health conditions who are found wandering the streets will receive treatment at Medical Colleges or District Hospitals. The chief minister has also directed for the acceleration of procedures aimed at providing land and residences to individuals from the extremely impoverished segment who are without land and housing. The mercenaries of the Russian private military company "Wagner" continue to stay on the territory of Belarus, while the information about their removal to Russia or Africa has not been confirmed. In total, there may be about 4-4.5 thousand "Wagnerites" in Belarus. ADVERTISIMENT They are actively used for provocations on the western borders of Belarus with neighboring countries. This is reported by the monitoring project "Belaruski Gayun". The project estimates that about 4-4.5 thousand mercenaries of PMC "Wagner" may remain in Belarus. "Information about the export of some mercenaries from Belarus to Russia is still unconfirmed. Also, we have not recorded special export flights with mercenaries from Belarus to Africa," noted in the message. According to "Belaruski Gayun," mainly mercenaries are concentrated in a camp in the village of Celje in Osipovichi district. They also use for their own purposes the territory of the former military camp 28 "Poplavy" in Osipovichi district, 27 km from the village of Celje. ADVERTISIMENT At the same time, no active movements of mercenaries of PMC "Wagner" on the territory of Belarus have been recorded. "One of the activities of mercenaries is training with the Armed Forces of Belarus and other security agencies of Lukashenko's regime. The last such reports were received last week. It was also reported that servicemen of the 38th Airborne Assault Brigade completed joint training with mercenaries. The presence of mercenaries in Belarus is actively used to carry out information provocations and create tension on the borders with NATO countries," the monitoring project described the situation. Recall, it became known earlier that the army of Belarus together with PMC "Wagner" was conducting exercises near NATO borders . At the same time, the British intelligence considers it unlikely that the compound is currently deployed with the means of support necessary to bring it to combat readiness. ADVERTISIMENT Bihar Chief minister Nitish Kumar arrived here on Wednesday and will meet a number of opposition leaders amid expectations that he may be given a formal position in the INDIA bloc. The Janata Dal (United) leader also paid tributes to former prime minister Atal Bihari Vajpayee at his memorial on his death anniversary. Kumar was a minister in the Vajpayee-led government at the Centre and has often lauded his leadership despite his falling out with the BJP. JD(U) sources said Kumar is expected to meet a number of opposition leaders, including those from the Congress and the Aam Aadmi Party. He will be deliberating with other opposition leaders on the INDIA grouping's strategy ahead of the meeting of the 26-party bloc in Mumbai on August 31-September 1. Sources said the longtime Bihar chief minister, who comes from the backward Kurmi caste, may be given a formal position in the alliance. The renaming of the Nehru Memorial Museum and Library in New Delhi to Prime Ministers Museum and Library (PMML) Society has elicited criticism from the Congress, which hit out at Prime Minister Narendra Modi for trying to destroy the Nehruvian legacy. The news of the name change was confirmed by PMML vice-chairman A Surya Prakash on the social media platform 'X'. "Nehru Memorial Museum and Library (NMML) is now Prime Ministers Museum and Library (PMML) Society wef August 14, 2023 in tune with the democratisation and diversification of the remit of the society," Prakash said. He added that Prime Minister Modi felt the need to set up a museum of prime ministers. Once the question as to where it could be located arose, the Prime Minister chose the NMML because it had a 28-acre estate there. "That is the ideal location because we already have Nehru Museum there and the idea was to showcase the work of all PMs of India," he said. The decision was taken to rename the NMML to PMML by the Culture Ministry at a special meeting convened in mid-June, which was attended by Defense Minister Rajnath Singh, who is also the vice-president of the society. The Congress believe the decision is a "relentless assault" on Jawaharlal Nehru's legacy. In a post on X, Congress general secretary Jairam Ramesh said, "Mr. Modi possesses a huge bundle of fears, complexes and insecurities, especially when it comes to our first and longest serving Prime Minister. He has had a single-point agenda of denying, distorting, defaming and destroying Nehru and the Nehruvian legacy," he said. "He (Modi) has erased N and put P instead. That P is really for pettiness and peeve," the Congress leader said. Jairam Ramesh added that nobody could take away Nehru's gigantic contributions to the freedom movement and his towering achievements in building the democratic, secular, scientific and liberal foundations of India. Shiv Sena (UBT) leader Sanjay Raut too hit out at the decision. "You may change the name of the building but you can't change the name of Pandit Nehru that is mentioned in history. You can't change the history created by Mahatma Gandhi, Pandit Nehru, Netaji Subhas Chandra Bose, Savarkar ji...You can't create a history like them so you are changing names," Raut, a staunch critic of the BJP, said. However, the PMML director believes the move has diversified and democratised the museum. "Last year, the PM inaugurated the Pradhanmantri Sangrahalaya where we have showcased the work of all PMs. Once the remit of Nehru Memorial Museum and Library changed, it got diversified. In a sense, it got democratised. Naturally, the name of the institution also had to reflect that new name and responsibility that the institution has," he added. As several states in north India continues to reel under monsoon mayhem, the water level in Yamuna followed a downward trend Wednesday morning after the river crossed the danger mark of 205.33 metres for a brief period on Tuesday. Punjab too is facing the brunt of excess rain after the release of water from Pong and the Bhakra dams inundated several areas in the state. The Yamuna's water level in Delhi crossed the danger mark of 205.33 metres on Tuesday following torrential rain in the river's upper catchment areas over the past two days. However, Central Water Commission's (CWC) said the water level at the Old Railway Bridge stood at 205.14 metres at 8 am on Wednesday. It had crossed the warning mark of 204.5 metres at 3 pm on Tuesday and rapidly rose to 205.39 metres at 10 pm. "However, the river may not swell to the evacuation level of 206.00 metres in Delhi unless the hilly region receives more rain," he said. #WATCH | Delhi: Yamuna continues to overflow; latest morning visuals from Old Yamuna Bridge (Loha Pul) pic.twitter.com/JJ9YuSCpPX ANI (@ANI) August 16, 2023 The flow rate at the Hathnikund barrage in Haryana's Yamunanagar district stood around 27,000 cusecs at 9 pm -- considered moderate during the monsoon season. The national capital faced flooding last month after heavy rainfall caused Yamuna to swell to a record 208.66 metres on July 13. Punjab The excess inflow of water into the Pong and Bhakra dams has resulted in flood situations in several villages near the Beas river in Punjab's Hoshiarpur district and the Sutlej river in the Rupnagar district. Officials are monitoring the situation and many villagers have already been evacuated to safety in Gurdaspur. People residing in Amritsar, Hoshiarpur, Kapurthala and Tarn Taran districts have been urged not to venture near the Beas. The Bhakra dam on the Sutlej and the Pong dam on the Beas -- both in Himachal Pradesh -- are brimming after heavy rain in their respective catchment areas. In Hoshiarpur, several farmlands in the Talwara, Hajipur, Dasuya, Tanda and Mukerian villages were flooded due to water discharge from the Pong dam reservoir. Deputy Commissioner Komal Mittal said the National Disaster Response Force (NDRF), State Disaster Response Force and non-government organisations are being enlisted for relief operations. Mittal said the evacuation work in about 15 villages is still underway and around 1,000 people have been sent to relief camps. The relief camps have been set up at Bhangala, Atalgarh, Sariana, Gera, Motka, Mehtabpur and Harse Mansar villages. Officials said the inflow in Pong reservoir is 1.42 lakh cusecs and the water is being discharged in a controlled manner. While the water level in Pong Dam is currently at 1,399.65 feet, it is 1,677 feet at Bhakra. In the Rupnagar district, about half a dozen villages, including Bela Dhyani, Bhanam, and Palasi in the Nangal area, were inundated following the release of excess water from the Bhakra dam. The Vishva Hindu Parishad said cow vigilante Bittu Bajrangi who was arrested in connection with the communal clashes in Haryana's Nuh district has no connection with its youth wing Bajrang Dal. "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad (VHP) also does not consider the content of the video allegedly released by him to be appropriate," VHP said in a statement. Who is Bittu Bajrangi? Bittu Bajrangi, whose real name is Raj Kumar, leads a cow vigilante group called Goraksha Bajrang Force, which calls itself an "animal rescue service" on social media. He is an alleged aide of Bajrang Dal leader Monu Manesar. Manesar is also being probed over Nuh clashes and is wanted in connection with the killing of two Muslim men earlier this year. Arrest over Nuh violence Bittu was arrested on Tuesday in connection with the communal clashes that erupted in Nuh on July 31, police said. The FIR against Bajrangi and several others has been registered under IPC sections 148 (rioting), 149 (unlawful assembly), 332 (voluntarily causing hurt to deter public servant from his duty ), 353 (assault or criminal force to deter public servant from discharge of his duty), 186 (obstructing a public servant from discharging duty), 395 (dacoity), 397 (armed robbery), and 506 (criminal intimidation) and provisions of the Arms Act, police said. The communal clashes in Nuh resulted in death of six people, including two home guards and a cleric. -- with PTI inputs Researchers have introduced a groundbreaking solution to address the environmental impact of unsustainable fishing practices: 3D-printed vegan seafood. With concerns over depleting fish populations and the harm caused by aquaculture practices, the scientific community has been searching for alternatives. To meet this demand, a team of scientists has successfully developed a process to create plant-based seafood alternatives that are both appetizing and healthy. The researchers, from the National University of Singapore, utilised an innovative approach by 3D-printing an ink derived from microalgae protein and mung bean protein. These ingredients not only replicate the taste and texture of real fish but also maintain a nutritional profile similar to that of actual seafood. This development holds the potential to revolutionize the market for sustainable and ethical food options, particularly in a world where the future of seafood supply is uncertain. The team's proof-of-concept involved crafting calamari rings using their 3D-printing technique. The rings were then air-fried, producing a snack that resembled the real thing in both taste and texture. The researchers are set to present their findings at the upcoming fall meeting of the American Chemical Society. Dejian Huang, the lead researcher behind the project, explained that the scarcity of seafood is becoming a pressing concern, especially in places like Singapore, which heavily relies on fish imports. The oceans, once considered an endless resource, are now facing overfishing and contamination issues that have driven some consumers towards plant-based alternatives. While meat substitutes have gained popularity, creating plant-based seafood has presented unique challenges. The textures, flavors, and nutritional content of fish meat have proven difficult to replicate using vegetables or fungi. However, the team's breakthrough ink-based approach successfully recreated the flakiness and mouthfeel of real fish. The 3D-printed seafood product offers varied textures within a single item, closely mimicking the experience of consuming real fish. The researchers used microalgae and mung beans, both high-protein plant sources, to develop their vegan seafood. The natural "fishy" taste of some microalgae made them a prime candidate for the squid-ring analogue. Mung bean protein, derived from a byproduct of noodle manufacturing, added to the innovative mix. The process involved extracting proteins from these sources and combining them with plant-based oils containing omega-3 fatty acids. The resulting high-protein paste was designed to match the nutritional profile of calamari rings made from squid. The paste was then subjected to temperature variations, allowing it to be 3D-printed into rings. Although the 3D printing process imbued the vegan seafood mimic with structure and texture, further cooking was required to replicate the final product's flavor. In a preliminary cooking test, samples were air-fried, resulting in a snack with an acceptable taste and promising texture. The researchers envision that in the coming years, these plant-based seafood alternatives could become available in upscale restaurants and specialty outlets. With its ability to offer a seafood-like experience without compromising sustainability, this innovation could significantly impact the future of food choices. UK Prime Minister Rishi Sunak, on Tuesday, attended 'Ram Katha' being conducted by spiritual leader Morari Bapu at Jesus College, University of Cambridge. "Bapu, I am here today not as a Prime Minister, but as a Hindu, said Sunak, while speaking at the event, PTI reported. "For me, faith is very personal. It guides me in every aspect of my life. Being Prime Minister is a great honour, but it is not an easy job. There are difficult decisions to make, hard choices to confront and our faith gives me courage, strength, and resilience to do the best that I can for our country, he added. He also said that his Hindu faith gives him the courage to do his best as the Prime Minister of Britain and guides him in every aspect of his life. Sunak is UK's first Indian-origin prime minister. Born in Southampton, Sunak is a practising Hindu with Punjabi roots. His appointment has been cheered by Indians all over. Sunak went on to talk about the special moment when, in 2020, he first lit Diwali diyas outside No. 11 Downing Street as the first British Indian Chancellor. He also revealed that there's a Ganesha on his desk at 10 Downing Street. "It is a constant reminder to me about listening and reflecting on issues before acting, he said. He added that he had fond memories of his neighbourhood temple in Southampton and that his family would often organise havans, pujas and aartis. Our values and what I see Bapu does each day of his life are the values of selfless service, devotion and keeping faith. But perhaps the greatest value is duty or sewa, as we know it. These Hindu values are very much shared British values, Sunak said. He also made note of how many among the hundreds gathered at the event had parents and grandparents who came to the UK with very little from India and East Africa. After concluding his address with the words Jai Siya Ram, Sunak went on to participate in an aarti on stage. New Delhi, Aug 16 (PTI) Hospitality tech platform OYO on Wednesday said it will facilitate on-the-job training across its vacation home business in countries such as Denmark to selected youth from Haryana in conjunction with Vishwakarma Skills University. OYO signed a memorandum of agreement (MoU) with Vishwakarma Skill University, Haryana Kaushal Rozgar Nigam Limited (HKRNL) and the Foreign Cooperation Department of Haryana government, the company stated. "By facilitating partnerships between educational institutions, government agencies, and private sector companies like OYO, the state government is actively working to enhance its young population's employability and skill sets. "Today's MoU signing initiative not only will contribute to the economic development of the state but also foster international cooperation and cultural exchange through the movement of skilled workers," said Haryana Chief Minister Manohar Lal Khattar. He said that the youth is a significant asset, and creating employment opportunities abroad will lead to substantial improvements in their lives. The chief minister shared the outline of a plan in which the Haryana Kaushal Rozgar Nigam Limited will collaborate with OYO. Under this plan, they will select youngsters registered on HKRNL, who will receive skill training aligned with the specific job requirements identified by the company. Vishwakarma Skill University will be responsible for providing this training and awarding the corresponding certificates, said the chief minister. He further shared that once the training is completed, the process of facilitating overseas employment for these youths will be managed by the Foreign Cooperation Department. "The state government has taken the initiative to establish a dedicated overseas placement cell aimed at offering employment prospects in foreign countries to the youth," he said. Founder and CEO, OYO, Ritesh Agarwal said, "The signing of this MoU has encouraged the company to take another important step and send fresh, out-of-university bright talent for technical and vocational roles in our vacation homes business in various European countries such as Denmark, Netherlands, etc." The trained students will also be given an allowance for the entire programme. "OYO and Shri Vishwakarma Skills University will facilitate on-the-job training across OYO's vacation home business in countries such as Denmark to provide students with hands-on experience and exposure to international hospitality," the company stated. The Digital film #HarDayIndependenceDay is scheduled to run from 15th to 17th August 2023 The campaign for the digital film is being amplified across various digital media platforms such as Facebook, Instagram, Whatsapp, Twitter, and YouTube KOLKATA, India, Aug. 16, 2023 /PRNewswire/ -- SEL Tiger, India's leading TMT Re-Bars by Shyam Metalics, has unveiled an impactful digital film #HarDayIndependenceDay that encapsulates the essence of India as it celebrates its Independence Day. Aligned with the brand's core principles of invoking national pride, this three-day campaign elegantly portrays a trajectory of responsible and responsive behaviour, contributing to a sense of unity and pride in India. Created and conceptualized by Madison Loop, this innovative digital film is poised to redefine the way citizens interact, advocating for responsible behaviour not just limited to Independence Day, but extending throughout the entire year. Through this film, SEL Tiger encourages every individual to play an active role in the advancement of society. This involves refraining from participating in the unfortunate culture of social media trolling, abstaining from character assassination, curbing the propagation of unfounded rumours, showcasing empathy towards fellow citizens, and placing the nation's welfare above personal interests. In an age marked by unprecedented connectivity, SEL Tiger acknowledges the pressing need for nurturing a culture underpinned by empathy, respect and responsible conduct. Commenting on the digital film launch, Aneesh Mishra, Sr. VP -Sales & Marketing, Shyam Metalics said, "SEL Tiger invites citizens to join the movement towards responsible and responsive behaviour, thereby contributing to a harmonious and proud India. Let's ensure that our commitment to our nation radiates throughout the year, making a lasting impact on society. By prioritizing the common good over individual gain, we can truly contribute to our nation's growth and prosperity." Watch the digital film here: https://www.seltigertmt.com/hdid/ #HarDayIndependenceDay About Shyam Metalics India Limited: Shyam Metalics is one of the top steel companies in India. Shyam Metalics and Energy Ltd. also manufactures Ferroalloys and holds a leading position among the other brands, making the company a leader in TMT bar manufacturing company in east India. The company is famous for holding high pellet capacity and ranks fourth in the sponge iron industry. For further information, please visit - https://www.seltigertmt.com/about-us/ Photo: https://mma.prnewswire.com/media/2187122/SEL_Tiger.jpg (Disclaimer: The above press release comes to you under an arrangement with PRNewswire and PTI takes no editorial responsibility for the same.). PTI PWR PWR Ahmedabad (Gujarat) [India], August 16: Veerhealth Care Ltd engaged in the business of ayurvedic, herbal and personal care products has approved issue of bonus equity shares in the ratio of 1:1 i.e 1 fully paid up equity share for every 1 equity share held as on record date. For Q1FY24 total income grew 28% Y-o-Y and Net Profit grow 294% Y-o-Y. Company has successfully turnaround its business operations in FY23. The board has also approved to increase the authorised share capital of the company to Rs. 31 crore from Rs. 21 crore and consequent alteration in the Memorandum of Association. The company board also decided to convene 31st AGM on September 11, 2023. Company has successfully turnaround the business operations in FY23 and ready for the next phase of growth and quantum jump in the revenue and profits. Company is progressing well on its long-term growth roadmap and expect to complete its expansion plans very soon. Companys Ayuveer brand is getting good traction in the domestic and export markets and company is planning to launch many innovative product in time to come. Highlights:- Company has a strong product portfolio of over 100 Herbal and Ayurvedic Products in Skincare, Bodycare, Haircare, Oralcare, Healthcare and Fragrance Company has successfully completed Rs. 5.9 crore preferential issue of 30.65 lakh shares at Rs 19.25 per share to fund its expansion plans Companys brand Ayuveer and e-commerce platform is getting strong traction in the domestic and export markets; Started exports to USA and South Africa Companys client includes Dava India, Gracious Pharma, Babuline Pharma, Graciera Pharma etc Company has a strong product portfolio of over 100 Herbal and Ayurvedic Products in Skincare, Bodycare, Haircare, Oralcare, Healthcare and Fragrance. Companys brand Ayuveer and its e-commerce platform is getting strong traction in the domestic and export markets; Started exports to USA and South Africa. Companys client includes Dava India, Gracious Pharma, Babuline Pharma, Graciera Pharma etc. Company has a vision to become a leading provider of health care and personal care products by making available research-based quality products to meet the varied health needs of customers. Company has recently signed contract to supply WHIDENT range of toothpaste to North West African countries including Morocco, Casablanca etc. The move is part of companys expansion plans to expand its presence in the export market and increase export sales. Company has already been successfully manufacturing and exporting various types of toothpaste to East African countries. In the month of March 2023, company completed preferential allotment of 30.65 lakh equity shares of Rs. 10 each at Rs. 19.25 per share including premium of Rs. 9.25 per share amounting Rs. 5.90 crore. The funds are being utilised for the expansion of companys manufacturing facilities, new product launches and install new manufacturing lines. The Company produces Intensive research-based quality products with expert guidance and enormous experience of renowned Vaid of Mumbai, Dr. Vinod C. Mehta, an Ayurvedic practioner since 30 years and Dr. Rajiv Bhirud, an expert in formulations of cosmetic and personal care products. The company is well-equipped with state-of-the-art manufacturing facilities and skilled personnel to ensure optimum utilization of resources with the best quality product. Ayurveer Story Ayuveer has been created from the founders passion for authentic products. The team is committed to bring supreme quality of products to you and your family. Ayuveer is not only about a business, but it is an endeavour to make every home cruelty free. Company wish to inspire every brand to get their products to follow Make In India. Company has carefully curated every product to make sure that only the best reaches to its customers. Company aim to provide you with excellent customer service, hassle free purchases and timely deliveries. (Disclaimer: The above press release comes to you under an arrangement with PNN and PTI takes no editorial responsibility for the same.). PTI PWR PWR New Delhi, Aug 16 (PTI) The ICAR-Central Institute of Freshwater Aquaculture (CIFA) should carry out need-based research for the benefit of aqua farmers and focus on diseases that cause huge loss to the industry every year, Fisheries Secretary Abhilaksh Likhi said on Wednesday. The secretary -- who reviewed the activities of ICAR-CIFA and the National Fresh Water Fish Brood Bank (NFFBB) in Bhubaneswar -- also urged the two institutes to take steps to create mass awareness about developed technology and best management practices among farmers. Likhi also reviewed the progress of projects sanctioned under the Pradhan Mantri Matsya Sampada Yojana in Odisha. He also visited Penthakata village in Puri, where fishermen demanded the construction of fish landing centre/jetty and a clean fish market, according to a statement. The secretary directed the state government officials to extend assistance to them under central and state schemes, it added. Two more railroad routes are planned to be launched between Ukraine and Poland. One of them will connect Rava-Ruska with Warsaw. The second is the Sanok - Hirev train, which ran until 2010 but was canceled due to an influx of smugglers. ADVERTISIMENT After a 13-year hiatus, this train has been given a chance to connect Podkarpackie Voivodeship and Lviv region again. This was reported in the Polish railway company SKPL, writes Ukrayina.pl. The private carrier said it is ready to launch three daily pairs of trains from December 15, 2024 to December 14, 2029. This plan comes after Ukrzaliznytsia completed the revitalization of the Ukrainian section of track from Hirev to the Polish border in early 2023. SKPL Cargo was also authorized to operate passenger rail services between Ukraine and Warsaw. This is the route from Rava-Ruska. Presumably, it will be launched from August 24. According to Yuriy Buchko, deputy chairman of Lviv regional military administration, this train will depart daily from Rava-Ruska to Warsaw, making two trips each day. The new trains are expected to help relieve pressure on popular routes. ADVERTISIMENT As reported OBOZREVATEL, in Ukraine on August 11, did not arrive train from Hungary, although the arrival was scheduled in the schedule. We are talking about flight 628 Debrecen - Mukachevo. Only verified information from us in Telegram-channel Obozrevatel and Viber. Do not fall for fakes! New Delhi, Aug 16 (PTI) US-based boutique investment firm GQG Partners along with other investors on Wednesday bought an 8.1 per cent stake in Adani Power Ltd for over Rs 9,000 crore (USD 1.1 billion) as the marquee investor shrugged off damning report of a US short seller to invest in billionaire Gautam Adani's group. GQG Partners and other investors bought 31.2 crore shares of Adani Power in a block deal -- one of the largest ever secondary market equity transactions -- stock market data showed. Adani Power is the fourth firm of the ports-to-energy conglomerate where GQG has invested since May. Promoter Adani family, which held 74.97 per cent in the firm, sold 31.2 crore or an 8.1 per cent stake at an average price of Rs 279.17 per share. GQG Partners Emerging Markets Equity Fund and Goldman Sachs Trust II-Goldman Sachs GQG Partners Intl Opportunities Fund bought 15.2 crore shares at Rs 279.15 apiece, according to the stock market data. The US-based investment firm, which started investing in the Adani Group in early March when the group was reeling under damaging allegations made by short-seller Hindenburg Research, has been increasing its stake in group companies. GQG had previously picked up a 5.4 per cent stake in Adani Enterprises, a 6.54 per cent stake in Adani Green Energy Ltd and a 2.5 per cent stake in Adani Transmission Ltd. Adani Power is one of India's strategic energy and power producer. The transaction is the first of its kind in India between an investor and a promoter group. Sources said the investment highlights the intrinsic strength of Adani Group's diversified business ventures but also stands as an endorsement of the Group's commitment to the highest standards of governance. Furthermore, the success of this investment programme underscores the Group's unparalleled ability to raise substantial funds seamlessly across all its portfolio of companies. Hindenburg Research, in a bombshell report in January, alleged accounting fraud and stock price manipulation at the group, triggering a stock market rout that had erased about USD 150 billion in its market value at its lowest point. Adani Group has denied all allegations by Hindenburg and is plotting a comeback strategy that includes recasting its ambitions, scrapping acquisitions, pre-paying debt to address concerns about its cash flows and borrowings, and scaling back its pace of spending on new projects. In March, promoters sold stakes worth Rs 15,446 crore (USD 1.87 billion) in group companies to GQG Partners. GQG ramped up that investment with an additional purchase of shares worth USD 400-500 million in May. Adani family had raised USD 1.38 billion (Rs 11,330 crore) through stake sale in the three portfolio companies -- Adani Enterprises Ltd, Adani Green Energy Ltd and Adani Transmission Ltd. In addition, the three portfolio companies have received board approvals for primary issuances through a share sale to investors. Adani Enterprises Ltd plans to raise Rs 12,500 crore through share sale to investors while Adani Transmission plans to mop up Rs 8,500 crore. Adani Green Energy plans to raise Rs 12,300 crore. Investments have been in key Adani group firms that are linked to India's ongoing energy transition. Adani Enterprises is incubating the green hydrogen projects while Adani Green Energy is the renewable energy arm that is building 45 GW of capacity by 2030. Adani Transmission lays lines to wheel such power. The Group's 360-degree energy suite encompasses renewables, power generation, transmission and gas, reflecting a well-rounded perspective on India's dynamic energy landscape. India stands at a crucial juncture, balancing its colossal energy demands with global sustainability commitments. The share sales come months after Adani Enterprises was forced to abort a Rs 20,000 crore Follow-on Public Offering (FPO) in the wake of the Hindenburg report. The offer was fully subscribed but the company returned the money to subscribers. The funds that Adani Group is raising will be used to pare debt and fund the group's expansion projects. The sources said GQG's bet on Adani was primarily because of the conglomerate emerging as the largest and fastest-growing critical infrastructure developer. Adani's portfolio offers a one-stop play for India's growing infrastructure theme with no equivalent alternative. The group's flagship and incubator Adani Enterprises Ltd is developing businesses like airports, roads (transport and logistics), data centres, copper and green hydrogen, which could lead to massive value unlocking over the next 5 to 15 years for its investors, they said. Its incubator model has a 100 per cent success rate of creating unicorns and has built unicorns like Adani Transmission, Adani Power and Adani Ports & SEZ. The combined market capitalisation of these four companies alone stands at USD 62 billion -- almost twice that of the incubator. The management, in the recent past, has said that they will be separately listing airports and road businesses in the next three to four years. Adani Green has emerged as the largest and fastest-growing renewable energy player in India. Its green capacities have grown at 33 per cent CAGR over the past five years, outpacing the industry average of 15 per cent. Currently, the size of its renewable energy portfolio stands at 20.4 GW (8.2 GW operational and another 12,2 GW under construction and near construction projects). It is targeting a 45 GW portfolio by 2030. London, Aug 16 (PTI) An Indian-origin man from Surrey in south-east England has been convicted after he pleaded guilty to one count of fraud by abuse of position, which led to over GBP 50,000 being stolen from a business he was employed with. Sunny Bhayani, 62, was sentenced last week at Aylesbury Crown Court to two years imprisonment, suspended for two years, after an investigation by the Thames Valley Police. He was also ordered to pay GBP 565 in costs and GBP 51,794.27 to be repaid at GBP 1,075 a month. This has been an extraordinarily long and complex investigation that has taken over five years and involved a large number of officers, said Detective Constable Gemma Thompson, Investigating Officer from Thames Valley Police. Bhayani held a position of trust which he took advantage of and he neglected the financial interests of the company. Im extremely pleased with this result and that he is required to repay all of the money he stole from his employer, she said. The court heard that the offence took place between January 2017 and January 2018. Bhayani, who was working in Customer Services for Dreams Limited in High Wycombe, was found to have committed fraud in the region of GBP 51,794. Bhayani created and carried out fraudulent refunds to customers, but actually refunded the money to cards owned and controlled by him. Under the terms of the suspended sentence which prevents a custodial term, Bhayani is required to wear an electronic tag with a curfew for six months and pursue a rehabilitation activity. He must also complete 250 hours of unpaid work within 12 months, the police said. Niamey, Aug 16 (AP) Nigeriens are preparing for war against regional countries threatening to invade, three weeks after mutinous soldiers ousted the nation's democratically elected president. Residents in the capital, Niamey, are calling for the mass recruitment of volunteers to assist the army in the face of a growing threat by the West African regional bloc, ECOWAS, which says it will use military force if the junta doesn't reinstate the deposed President Mohamed Bazoum. ECOWAS has activated a standby force" to restore order in Niger after the junta ignored a deadline to reinstate and release Bazoum. The initiative, spearhead by a group of locals in Niamey, aims to recruit tens of thousands of volunteers from across the country to register for the Volunteers for the Defence of Niger, to fight, assist with medical care, and provide technical and engineering logistics among other functions, in case the junta needs help, Amsarou Bako, one of the founders, told The Associated Press Tuesday. "It's an eventuality. We need to be ready whenever it happens," he said. The recruitment drive will launch Saturday in Niamey as well as in cities where invasion forces might enter, such as near the borders with Nigeria and Benin, two countries, which have said they would participate in an intervention. Anyone over 18 can register and the list will be given to the junta to call upon people if needed, said Bako. The junta is not involved, but is aware of the initiative, he said. Regional tensions are deepening as the standoff between Niger and ECOWAS shows no signs of defusing, despite signals from both sides that they are open to resolving the crisis peacefully. Last week the junta said it was open to dialogue with ECOWAS after rebuffing the bloc's multiple efforts at talks, but shortly afterwards charged Bazoum with high treason and recalled its ambassador from neighbouring Ivory Coast. ECOWAS defence chiefs are expected to meet this week, for the first time since the bloc announced the deployment of the standby force. It's unclear when or if the force will invade, but it would probably include several thousand troops and would have devastating consequences, say conflict experts. A military intervention with no end in sight risks triggering a regional war, with catastrophic consequences for the vast Sahel that is already plagued by insecurity, displacement and poverty, said Mucahid Durmaz, senior analyst at Verisk Maplecroft, a global risk intelligence company. Niger was seen as one of the last democratic countries in the Sahel region south of the Sahara Desert, and a partner for Western nations in the effort to beat back growing jihadi violence linked to al-Qaida and the Islamic State group. France, the former colonial ruler, and the US have approximately 2,500 military personnel in the region which train Niger's military and, in the case of France, conduct joint operations. Coups in the region have been rampant and the one in Niger is seen by the international community as one too many. But analysts say the longer this drags on, the probability of an intervention fades as the junta cements its grip on power, likely forcing the international community to accept the status quo. A diplomatic solution is likely; the question is how much military pressure is applied to make it happen, a Western official who was not authorized to speak to the media told The AP. On Tuesday United States Secretary of State Antony Blinken said there was still space for diplomacy to return the country to constitutional rule and said the US supported ECOWAS' dialogue efforts, including its contingency plans. The new US ambassador to Niger, Kathleen FitzGibbon, is expected to arrive in Niamey at the end of the week, according to a US official. The United States hasn't had an ambassador in the country for nearly two years: some Sahel experts say this has left Washington with less access to key players and information. The US is in a difficult situation with no good choices, said Michael Shurkin, a senior fellow at the Atlantic Council and director of global programs at 14 North Strategies. It either sticks to a principled position and pushes for democracy while alienating the junta and risk pushing it into Russia's arms, or we give up in principle and work with the junta in the hope of salvaging a productive working relationship, he said. While regional and western countries scramble for how to respond, many Nigeriens are convinced they'll soon be invaded. The details of Niger's volunteer force are still vague, but similar initiatives in neighbouring countries have yielded mixed results. Volunteer fighters in Burkina Faso, recruited to help the army battle its jihadi insurgency, have been accused by rights groups and locals of committing atrocities against civilians. Bako, one of the heads of the group organizing Nigerien volunteers, said Niger's situation is different. The (volunteers in Burkina Faso) are fighting the Burkinabe who took weapons against their own brothers...The difference with us is our people will fight against an intrusion, he said. (AP) SCY SCY Prague, Aug 16 (AP) The Czech Republic on Wednesday completed the ratification of a defence treaty with the United States that deepens military cooperation and makes it easier to deploy US troops in Czech territory. Czech Prime Minister Petr Fiala's signature was the final step in the ratification process of the Defence Cooperation Agreement, which had been endorsed by both houses of Parliament in July and by President Petr Pavel on August 1. The document sets a legal framework for possible deployment of US troops in the country at a time of Russian aggression against Ukraine. Czech Defence Minister Jana Cernochova signed the treaty together with US Defence Secretary Lloyd Austin in Washington, DC on May 23. The US has similar agreements with 24 other NATO members, including Poland, Slovakia, Hungary, Lithuania, Latvia, Estonia, Romania and Bulgaria that form the eastern flank of the alliance. Any deployment of US forces would still need approval by the Czech government and Parliament. (AP) FZH Beijing, Aug 16 (AP) China appears to be constructing an airstrip on a disputed South China Sea island that is also claimed by Vietnam and Taiwan, according to satellite photos analysed by The Associated Press. The work on Triton island in the Paracel group mirrors construction on seven human-made islands in the Spratly group to the east which have been equipped with airstrips, docks and military systems, although it currently appears to be somewhat more modest in scale. China claims virtually the entire South China Sea as its own, denying the claims of others and defying an international ruling invalidating its assertion. Satellite photos from Planet Labs PBC analysed by the AP show construction on the airstrip first visible in early August. The runway, as currently laid out, would be more than 600 metres (2,000 feet) in length, long enough to accommodate turboprop aircraft and drones, but not fighter jets or bombers. Also visible are large numbers of vehicle tracks running across much of the island, along with what appear to be containers and construction equipment. Triton is one of the major islands in the Paracel group, which is roughly equidistant from the coast of Vietnam and China's island province of Hainan. The US takes no stance on the sovereignty claims, but regularly sends Navy ships on freedom of navigation operations near the Chinese-held islands. Triton was the focus of one of those missions in 2018. China has had a small harbour and buildings on the island for years, along with a helipad and radar arrays. Two large fields on the island sport a star from the Chinese flag and a hammer and sickle representing the ruling Communist Party. China has refused to provide details of its island construction work other than to say it is aimed at helping global navigation safety. It has rejected accusations that it is militarising the crucial waterway, through which an estimated $5 trillion in trade passes annually, and says it has the right to do as it wishes in its sovereign territory. China seized full control of the Paracels from Vietnam in a brief 1974 naval conflict. (AP) SCY SCY Seoul, Aug 16 (AP) North Korea on Wednesday offered its first official confirmation that it had detained a US soldier who bolted into its country last month, releasing a statement through its propaganda outlet attributing unverified statements to the Army private that criticised the United States. One expert called the announcement 100 per cent North Korean propaganda. There was no immediate verification that Pvt. Travis King actually made any of the comments about his home country. King, who had served in South Korea and sprinted into the North while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. The official Korean Central News Agency, citing an investigation, reported that King told them he decided to enter North Korea because he harboured ill feelings against inhuman mistreatment and racial discrimination within the US Army. The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he was disillusioned at the unequal American society. KCNA is a propaganda outlet and an official voice of leader Kim Jong Un's government, and its content is carefully calibrated to reflect North Korea's official line that the United States is an evil adversary. The report said North Korea's investigation into King's illegal entry would continue. Verifying the authenticity of the comments attributed to King in North Korea's state media is impossible. WHAT MIGHT HAPPEN NEXT The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A US Defence Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the US had no way to verify North Korea's claims about King. The official said the Pentagon was working through all available channels to bring King back to the US. This is 100 per cent North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative, said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. As for King's release, his fate rests in North Korea's hands. Perhaps the regime will try to bargain' King's life in exchange for financial concessions from the US. More than likely, negotiations won't be easy, and terms will be dictated by Pyongyang, she said. The soldier's family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. She's a mom worried about her son and would be grateful for a phone call from him, family spokesman Jonathan Franks said in the statement. Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home. Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didn't immediately express its intentions of accepting King as refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Korea's description of King as an illegal entrant, rather than someone who voluntarily entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he won't provide it with high-profile US intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie King's release to the US cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. THE BIGGER DIPLOMATIC PICTURE North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of US-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defence in the face of North Korea's evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to US officials. On Tuesday, North Korea slammed US-led plans for an open UN Security Council meeting on its human rights record as despicable and only aimed at achieving Washington's geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved empire of evils. In a statement carried by state media, Kim accused the US of fostering racial discrimination, gun-related crimes, child maltreatment and forced labour. King, 23, was among about 28,000 US troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to be heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. US officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonourable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. (AP) GRS GRS Kathmandu, Aug 16 (PTI) Former Nepal prime minister KP Sharma Oli on Wednesday said that the people of Nepal and India should benefit from the open border that exists between the two countries, but cautioned against its "misuse" by "unwanted elements. Speaking as the chief guest at a programme organised by the Nepal-India Friendship Society to commemorate India's 77th Independence Day, Oli extended his wishes to the people and government of India. He said there existed people-to-people relations between Nepal and India long before the two countries were formed. The relations between the two countries are born out of nature and nurtured by common history, culture, religion and social values, said Oli, who is the chairman of the Communist Party of Nepal (Unified MarxistLeninist). The people of our two countries should benefit from the open border existing between us, but at the same time, we should be careful not to misuse the open border by unwanted elements, he pointed out. Asserting that the two countries need to understand each others concerns, worries and interests, Oli added, "We need to seek legitimate solutions to the problems emerging from the open border but without affecting the rights of free movement enjoyed by people residing on both sides of the border." Speaking on the occasion, the Indian Ambassador to Nepal, Naveen Srivastava, said, "The independence day attained by India some 76 years ago inspires us to move ahead on the path of progress and development by fulfilling our progressive dreams. He asserted that India wants to honour those Nepalese people and leaders who made sacrifices by participating in its independence movement and added that India will never forget their contributions. "India is moving ahead to become a fully developed country by 2047, as we complete a century of our independence, and we are becoming the third power from the current fifth power in the next five years as envisioned by Prime Minister Narendra Modi," Srivastava said. At the occasion, a host of speakers, including Nepali Congress leader Arjun Narsingh Kesi, President of Janamat Party C.K. Raut, Vice President of Rastriya Prajatantra Party, Hem Jung Gurung, and President of Janata Samajwadi Party, Upendra Yadav, underlined the need to further strengthen people-to-people relations between the two countries by resolving various problems faced by the people living on both sides of the border "whose destiny is tied together". The programme was chaired by the president of Nepal India Friendship Society, Prem Laskery. Beijing, Aug 16 (PTI) China on Wednesday said the latest round of the border talks with India was held in a "candid and pragmatic atmosphere" and the two sides had a "positive, constructive and in-depth discussion" on the resolution of the remaining issues along the Line of Actual Control in the Western Sector. The 19th round of China-India Corps Commander Level Meeting was held at Chushul-Moldo border meeting point on the Indian side from August 13 to 14. A joint press release issued after the two-day talks did not indicate any immediate breakthrough in the disengagement of troops in the remaining friction points. "China commends the progress made through the meeting. In a candid and pragmatic atmosphere, the two sides had a positive, constructive and in-depth discussion on the resolution of the remaining issues along the LAC in the Western Sector," Foreign Ministry spokesperson Wang Wenbin said on Wednesday in response to a question by the official media here. "In line with the guidance provided by the leadership, they exchanged views in an open and forward-looking manner," Wang said. The two sides agreed to resolve the remaining issues in an expeditious manner and maintain the momentum of dialogue and negotiations through military and diplomatic channels. In the interim, the two sides agreed to maintain the peace and tranquility on the ground in the border areas, the spokesperson said. The talks were held days ahead of Prime Minister Narendra Modi's visit to Johannesburg next week to attend a summit of BRICS (Brazil-Russia-India-China-South Africa) where he is set to come face-to-face with Chinese President Xi Jinping. The Indian and Chinese troops are locked in an over three-year confrontation in certain friction points in eastern Ladakh even as the two sides completed disengagement from several areas following extensive diplomatic and military talks. In the 18th round of the military dialogue that was held on April 23, the Indian side had pitched for resolving the lingering issues at Depsang and Demchok at the earliest. On July 24, National Security Advisor Ajit Doval met top Chinese diplomat Wang Yi on the sidelines of a meeting of the five-nation grouping BRICS in Johannesburg. India has been maintaining that its ties with China cannot be normal unless there is peace in the border areas. The eastern Ladakh border standoff erupted on May 5, 2020, following a violent clash in the Pangong lake area. The ties between the two countries nosedived significantly following the fierce clash in the Galwan Valley in June 2020 that marked the most serious military conflict between the two sides in decades. As a result of a series of military and diplomatic talks, the two sides completed the disengagement process in 2021 on the north and south banks of the Pangong lake and in the Gogra area. Thane, Aug 16 (PTI) A 17-year-old girl, who left home to attend a programme at her school on August 15 in Navi Mumbai of Maharashtra, has gone missing, the police said on Wednesday. As per a complaint lodged by the teens parents, she went out around 6.40 am on Tuesday saying she would be attending a cultural function at her school as part of the Independence Day celebrations, said an official. However, the girl did not return home till late in the night. When her parents failed to trace her they approached the Taloja police, the official said. The police have registered a case of kidnapping against unidentified persons and efforts are on to find the girl, the official added. Bhubaneswar, Aug 16 (PTI) The Economic Offence Wing (EOW) of Odisha Police arrested a man from Bhubaneswar in connection with the Rs 1,000 crore STA Crypto-Ponzi scam, an official statement said. The EOW arrested Ratnakar Palai on Tuesday and he will be produced before the Odisha Protection of Interests of Depositors (In Financial Establishments) Act, 2011 (OPID) Court in Cuttack. The EOW statement said that Ratnakar Palai, 45, is an important and up-line member of STA having a huge number of members below him (known as down-line members in pyramid-based schemes. He is very close to Gurtej Singh and Nirod Das, the India and Odisha chief of the ponzi company. The Solar Techno Alliance (STA) has more than 2 lakh members (pan India) mainly in the states like Punjab, Rajasthan, Bihar, Jharkhand, Haryana, Delhi, Madhya Pradesh, Maharashtra, Odisha and Assam. STA (Solar Techno Alliance) was launched in September 2021. Palai is an M Tech in IT from Karnataka University, Bangalore and claims to be an international speaker, entrepreneur and investment guru. He is also the propaganda head and media influencer of STA in Odisha. He used to run a YouTube channel for wider publicity of this scheme. He is a member of some other such dubious scams too which is under scrutiny. He also runs an institute namely 'Learn to Earn'. However, after the arrest of Gurtej and Nirod, he either deleted or deactivated his social media accounts. He had also visited Goa along with Nirod to participate in the STA celebration, the EOW said. On August 10, Oleksiy Arestovich, a former advisor to the Office of the President of Ukraine, aired a lengthy thesis on the inadmissibility of "humanizing" the occupants on the air of Russian journalist Yulia Latynina. This time, he said that Ukrainians gave Russians "excellent motivation to fight" because they hate those who came to kill them on their own land. ADVERTISIMENT This time Arestovich's reflections, which have long caused a stormy scandal in the information space, bore quite concrete fruit: a statement was written against him to the Security Service of Ukraine. The author of the statement, Oleksandr Novetsky, addressed the Service with a proposal to check whether Arestovich's speech contains signs of justification, recognition of the legitimate armed aggression of the Russian Federation against Ukraine. On his Twitter , Novetsky published a fragment of Arestovich's scandalous interview. In it, the former OPU adviser once again started arguing that Ukrainians had no right to "dehumanize" their enemies. This time, as an argument, he cited the thesis that, allegedly, the hatred Ukrainians feel for the occupants supposedly motivates the latter to continue fighting. ADVERTISIMENT "The main thing we did when we allowed ourselves to dehumanize the Russians: this is our main mistake. At first we held on, and then we plunged into it all with pleasure. Collective Ukrainians, I mean. We gave the average Russian, mobilized Russians, not cadre military, but exactly mobilized Russians, who now make up the backbone of the army, gave excellent motivation to fight," Arestovich said. He is convinced that hundreds of thousands of Russians from the depths of the Russian Federation, who often go to kill the inhabitants of a neighboring country out of desperation or out of a desire to earn money to repay loans, suddenly, thanks to the Ukrainians, have become aware of why a senseless and bloody aggressive war against Ukraine is necessary, have filled it with "meaning": supposedly they are not occupying, but protecting their families. ADVERTISIMENT "He always has an explanation for what he is fighting for. We gave him a reason for ressentiment, gave him a reason for revenge. I am considered an animal, my wife is considered an animal, my children are considered animals, my parents are considered animals ... We're all considered slaves, animals, maniacs, psychos, assholes and so on. And what is to be done with you after that, my friends?" - Arrestovich easily and casually shifted the blame from the aggressor to the victim. Another scandalous statement, which looks very much like an attempt to justify the occupants, has led to the fact that the SBU may have its say in history. According to Novetsky, these statements may well contain qualifying signs of a crime under the Criminal Code of Ukraine. "Criminal Code of Ukraine, Article 436-2. 1. Justification, recognition of lawful, [...] armed aggression of the Russian Federation against Ukraine, shall be punishable by corrective labor for up to 2 years or arrest for up to 6 months, or imprisonment for up to 3 years," Novetsky wrote. ADVERTISIMENT He asked the SSU to dispel his doubts. "Rolled a statement to the SSU, I wonder what will happen," he commented on the posted screenshots of the submitted statement. ADVERTISIMENT In the comments, Novetsky's act was warmly supported by people. In May, Arestovich was spotted on the beach in Tel Aviv in the midst of the war in Ukraine. In February, he was seen in Italy. It is not known how a man who poses as a serviceman and intelligence officer repeatedly traveled outside the country during the martial law regime. At the level of assumptions, there were versions that three minor children, including a daughter from a previous marriage, could have helped him in this. After a rest, Arestovich returned and began to issue one after another scandalous statements, such as the speech that angered the defenders of Ukraine about the military "who will throw grenades under the door of neighbors" . Only verified information from us in Telegram-channel Obozrevatel and Viber . Do not fall for fakes! New Delhi, Aug 16 (PTI) Days after Sabyasachi Das, a professor at Ashoka University, resigned following a controversy over his research paper, his department's faculty members have written to the Governing Body saying they won't teach till Das is offered reinstatement. The university had earlier distanced itself from the paper, "Democratic Backsliding in the World's Largest Democracy", in which Das argued that the BJP won a disproportionate share of closely contested parliamentary seats in 2019 Lok Sabha polls, especially in states where it was the ruling party at the time. The research was published on the Social Science Research Network on July 25. Das had claimed that the alleged electoral manipulation by the BJP also appeared to have taken the form of targeted electoral discrimination against Muslims, partly facilitated by weak monitoring by election observers. The faculty members of the Economic Department have now written an open letter, warning the Governing Body's "interference" in the process to "investigate the merits" of his study was likely to "precipitate an exodus of faculty". The departments of English and Creative Writing, in a joint statement, too demanded that Das be reinstated. They also stated that they would not be able to carry out their teaching obligations unless questions regarding basic academic freedoms are resolved before the Monsoon 2023 semester". "The offer of resignation by our colleague Prof Sabyasachi Das and its hasty acceptance by the University has deeply ruptured the faith that we in the faculty of the department of Economics, our colleagues, our students, and well-wishers of Ashoka University everywhere, had reposed in the university's leadership," the letter said. "We urge the governing body to address this immediately, but no later than August 23, 2023. Failure to do so will systematically wreck the largest academic department at Ashoka and the very viability of the Ashoka vision," it added. Demanding that the governing body unconditionally reoffer Sabyasachi his position and also affirm that it will play no role in evaluating faculty research, the letter said, "Unless these questions regarding basic academic freedoms are resolved before the start of the Monsoon 2023 semester, faculty members of the department will find themselves unable to carry forward their teaching obligations in the spirit of critical enquiry and the fearless pursuit of truth that characterise our classrooms". After Das' research paper came under criticism, the University distanced itself and had stated that social media activity or public activism by Ashoka faculty, students or staff in their individual capacity does not reflect its stand. Das later resigned and the university had accepted his resignation. According to Das' paper, the "disproportionate" wins were never observed in past elections by BJP or Congress, and also that they were mainly seen in states ruled by BJP at that time. His paper cites that the reasons for this could be that either the BJP committed electoral fraud or it was able to accurately predict closely contested seats and mobilise party workers to campaign more intensively. The open letter by professors said, "Das did not violate any accepted norm of academic practice. Academic research is professionally evaluated through a process of peer review. The Governing Bodys interference in this process to investigate the merits of his recent study constitutes institutional harassment, curtails academic freedom, and forces scholars to operate in an environment of fear. "We condemn this in the strongest terms and refuse as a collective to cooperate in any future attempt to evaluate the research of individual economics faculty members by the Governing Body." The letter alleged that the actions of the Governing Body pose an existential threat to the department and is likely to precipitate an exodus of faculty and prevent the university from attracting new faculty. The Governing Body comprises Ashoka University Chancellor Rudrangshu Mukherjee, Vice Chancellor Somak Raychaudhury, Madhu Chandak, Puneet Dalmia, Ashish Dhawan, Pramath Raj Sinha, Siddharth Yog, Deep Kalra and Ziaa Lalka. New Delhi, Aug 16 (PTI) The Aam Aadmi Party-dominated Delhi Assembly on Wednesday passed a motion of privilege against the officers who discontinued the appointment of 116 fellows of its research centre and referred the matter to its privileges committee. Charing the session, Deputy Speaker Rakhi Birla, after the motion was passed by the House, referred the matter to the privileges committee of the Legislative Assembly and directed it to submit a report in one month. AAP MLA Sanjiv Jha, who presented the motion during the first day of special session, said removal of the fellows was contempt of the House. He also alleged that some BJP leaders including Shehzad Poonawala, MP Parvesh Verma and Kapil Mishra spread rumours that some of the appointed fellows were relatives of AAP MLAs and leaders, including him as well. Jha said he has filed defamation cases against such leaders. Jha defended the appointment of 116 fellows at the Delhi Assembly Research Centre (DARC) saying they were highly qualified and selected through written test and interview by experts of Delhi Technological University. He alleged that the removal of the fellows was aimed at hampering Delhi government's work as they were providing vital inputs related to policy and programmes besides helping the legislators in conducting their day to affairs in a well informed manner. He said the move was also aimed at "defaming" the AAP government. Last week, the Delhi Assembly secretariat issued orders for disengagement of 116 fellows under the DARC programme. This comes after the Services department following directions of the Delhi LG ordered termination of appointments of advisors, consultants and fellows in different government departments and the Assembly in July. It was alleged that the appointments were made without approval of the LG and the reservation rules were also not followed. BJP MLAs, including Vijender Gupta and Ajay Mahawar, opposed the motion. "I fail to understand how a matter of administrative irregularity in appointment of fellows can be treated as a contempt of the House. It appears to be an instance of revenge and you (AAP) are bringing it just to release your frustration," Gupta said. Mahawar questioned the utility of appointment of fellows and expressed suspicion that it appeared to him they were hired to keep watch on the MLAs and their activities. AAP MLA Madan Lal objected to Mahawar's claim and advised him not to speak in such manner as the "fellows who were highly qualified helped the legislators through their research work". "The fellows were appointed by the House, their removal is a matter of contempt of the House. I urge this matter to be referred to the privileges committee," said the AAP MLA. He also alleged that the BJP was hampering AAP government's work because it was "scared that it could gain power at the Centre as well". Supporting the motion, AAP MLA Vinay Mishra hit out at the BJP and its government at the Centre saying why an exception was made of Delhi when such fellows were also appointed in many other states such as Uttar Pradesh, Madhya Pradesh, Maharashtra, Uttarakhan and Jharkhand. Former Cabinet minister and AAP MLA Rajendra Pal Gautam also supported the motion and appealed to the House and the government that representation of all the classes of the society in future appointment of fellows should be followed. The motion was passed by voice vote in the House dominated by the AAP that has 62 MLAs against eight of the BJP. Thiruvananthapuram, Aug 16 (PTI) The Left government in Kerala on Wednesday said it would bring out additional textbooks, which include the portions that had been removed earlier by the National Council of Educational Research and Training (NCERT), for the 11 and 12th standards. The NCERT's recent move to exclude some key portions in various subjects citing the syllabus rationalisation process had evoked widespread criticism across the country. Announcing the government's decision, State General Education Minister V Sivankutty said here that the additional textbooks would be brought out on August 23. Chief Minister Pinarayi Vijayan would release the books during a function to be held in a government school here on the day, he said. As the state used its own textbooks from the first standard to the 10th standard, the NCERT's exclusion of topics from the textbooks of those classes would not affect Kerala, the minister said. However, the state uses the NCERT textbooks for classes 11 and 12, and the omission of significant portions from them cannot be accepted, he said. Of them, the exclusion of portions from humanities subjects like history, sociology, political science and so on can not be accepted for the state at any cost, the minister said, explaining the circumstances that persuaded the state to bring out additional textbooks. Though textbooks should be renewed in accordance with the time, no change can be made in the country's history, constitutional values, and so on, he said in a statement. Kerala would oppose any such move in the future as well, the minister added. Relatives are raising questions about the police killing of a man who was trying to escape in a stolen car after an officer and police dog climbed in and the dog attacked Westerly, RI (02891) Today A mix of clouds and sun during the morning will give way to cloudy skies this afternoon. A stray shower or thunderstorm is possible. High 84F. Winds W at 5 to 10 mph.. Tonight Cloudy skies. Low 63F. Winds N at 5 to 10 mph. Yesterday, the New Jersey Supreme Court issued a decision in favor of a Catholic school in a case that has ramifications for New Jersey yeshivos and religious institutions. The case, Crisitello v. St. Theresa School, was brought by Victoria Crisitello, a former teacher at St. Theresa school, who sued the school for terminating her employment because she had violated a Catholic religious principle. Ms. Crisitello claimed that the schools action violated New Jerseys Law Against Discrimination, claiming, among other arguments, that the school failed to investigate other employees religious behavior, thereby rendering their act discriminatory against her. The New Jersey trial court sided with the school, but the Appellate Court ultimately reversed that decision and agreed with Ms. Crisitello. The school then appealed to the New Jersey Supreme Court. Agudath Israel filed an amicus curiae friend of the court brief in support of the school. The brief argued that religious schools should have the ability to control their own internal operations in religious matters without outside involvement from secular courts. Agudath Israel thanks the Becket Fund for Religious Liberty and Eric Rassbach and Daniel Benson for their work on this brief. Yesterday, the New Jersey Supreme Court unanimously ruled in favor of St. Theresas in agreement with Agudahs brief. According to Justice Lee Solomon, who authored the opinion of the court, since St. Theresa required its employees to abide by Catholic law to remain employed and Ms. Crisitello signed a contract saying she would follow the Catholic tenets for the duration of her employment, Crisitellos termination was indeed lawful. Thus, when Ms. Crisitello openly disclosed to her employer that she had committed a breach of religion and hence a breach of contract St. Theresa had the right to terminate her. This case has important ramifications for Jewish schools and all religious institutions in New Jersey, said Mr. Daniel Kaminetsky, general counsel for Agudath Israel of America. Teachers serve as role models for children, and it is entirely appropriate for religious schools to insist that they conduct themselves in ways that comport with religious standards. It would be highly improper for government to interfere with a religious schools decision to terminate a non-compliant teachers employment. A significant precedent has been set by the state of New Jersey, said Rabbi Avi Schnall, director of Agudath Israel of Americas New Jersey office. With the help of yesterdays Supreme Court ruling, children in religious schools across New Jersey are protected from adverse influences. We hope to see similar protections become commonplace across the country. The judge in Donald Trumps Manhattan hush-money criminal case has rejected the former presidents demand to step aside, denying defense claims that hes biased against the Republican front-runner because hes given cash to Democrats and his daughter is a party consultant. New York Judge Juan Manuel Merchan acknowledged in a ruling late Friday that he made several small donations to Democratic causes during the 2020 campaign, including $15 to Trumps Democratic rival Joe Biden, but said he is certain of his ability to be fair and impartial. Removing himself from the case would not be in the public interest, Merchan wrote. His six-page ruling echoed a state court ethics panels recent opinion that endorsed his continued involvement in the Trump case. The decision on recusal was entirely up to Merchan. He previously rejected a similar request when Trumps company, the Trump Organization, was on trial last year for tax fraud. Trump lawyer Susan Necheles declined comment. The Manhattan district attorneys office, which is prosecuting the case and said in court papers that it wanted Merchan to remain on the case, also declined comment. Trumps hush-money trial one of three pending criminal cases against him is scheduled to start March 25, overlapping with the presidential primary season as he seeks a return to the White House. A federal judge last month denied Trumps request to move the case out of Merchans state courtroom and into federal court. Trump is appealing the ruling that he failed to meet a high legal bar for changing jurisdiction. Trump pleaded not guilty in April in Manhattan to 34 felony counts of falsifying business records. The charges relate to hush-money payments made during the 2016 campaign to bury allegations that he had extramarital encounters. He has denied wrongdoing. Trumps lawyers wanted Merchan off the case in part because his daughter, Loren, is a political consultant whose firm has worked for some of Trumps Democratic rivals and because, they contend, he acted inappropriately by involving himself in plea negotiations last year for Trumps longtime finance chief, Allen Weisselberg. Merchan said he previously rejected that argument when asked to exit the Trump Organization case. Trumps lawyers also raised concerns about the political donations, asking Merchan to explain three contributions totaling $35 that were made to Democratic causes in his name during the 2020 election cycle. Merchan, in his ruling, said the donations at issue are self-evident and require no further clarification and pointed to the ethics panels conclusion that such small-dollar contributions wouldnt require recusal. These modest political contributions made more than two years ago cannot reasonably create an impression of bias or favoritism in the case before the judge, the panel wrote. Merchan, a state court judge in New York, sought input from the Advisory Committee on Judicial Ethics after Trumps April 4 arraignment, as news outlets started reporting on his political contributions and Trump pointing to the daughters work complained that hes a Trump-hating judge with a family full of Trump haters. The ethics panel, in its May 4 opinion, concluded that a judge in Merchans situation may continue to preside in the matter provided the judge believes he/she can be fair and impartial. Trumps lawyers sought Merchans recusal on May 31, arguing in court papers that the hush-money case is historic and it is important that the People of the State of New York and this nation have confidence that the jurist who presides over it is impartial. Matthew Colangelo, a senior counsel to Manhattan District Attorney Alvin Bragg, countered that Trumps recusal motion was the latest in a prolific history of baselessly accusing state and federal judges around the country of bias. Merchans daughter, Loren, is a political consultant whose firm has worked on campaigns for prominent Democrats including Biden, Vice President Kamala Harris, House Minority Leader Hakeem Jeffries and California Gov. Gavin Newsom. Merchan, citing the ethics panels finding that his daughters work had no bearing on his impartiality, said in his ruling that Trumps lawyers had failed to demonstrate that there exists concrete, or even realistic reasons for recusal to be appropriate, much less required on these grounds. (AP) A prompt tip provided by a Jewish community security group led to the apprehension of a 34-year-old Los Angeles man, Ryan Scott Bradford, who advocated for violence against Jews. The arrest, which took place last month, was the result of a joint effort by various law enforcement agencies, following a raid on Bradfords residence. During the execution of the search warrant, authorities uncovered 116 rounds of ammunition, which Bradford was prohibited from having due to his criminal history. Additionally, the U.S. Attorneys Office reported the discovery of substantial Nazi propaganda materials, including posters of Adolf Hitler and written statements promoting violence against Jewish people. The raid was made possible through the diligent efforts of the Community Security Initiative (CSI), a program spearheaded by the Jewish Federation of Greater Los Angeles. CSI employs a team of analysts who continuously monitor various platforms for indications of anti-Semitic threats, with the goal of preventing potential attacks. Joella Dunn-Bernstein of CSI emphasized, Our team constantly scours open-source information, looking for patterns of hate. The disturbing trends weve identified indicate a rise in hate-related incidents, which is deeply concerning. The trail leading to Bradford began in March 2022 when CSI first became aware of his activities. Subsequent monitoring revealed an escalation in his engagement in hate-related activities, prompting concern from the security group. Larry Mead, a representative of CSI, detailed the findings, saying, We uncovered evidence that the suspect was producing ghost guns using 3D printers, some of which displayed Nazi swastikasa significant escalation in his level of threat. Upon identifying Bradfords increasingly dangerous behavior, CSI collaborated with law enforcement agencies, sharing crucial information that eventually led to his arrest. Rabbi Noach Farkas of the Jewish Federation of Greater Los Angeles commented on the situation, calling it a reemergence of antisemitism. He revealed a startling statistic: Over the past few years, there has been a 200% surge in anti-Semitic incidents and crimes targeting Jewish individuals. Beyond its role in threat detection and prevention, CSI offers safety and security training for various communities. (YWN World Headquarters NYC) Jury selection began Tuesday in the trial of two white men in Mississippi who are accused of chasing and shooting at a Black FedEx driver who had dropped off a package at a home. Brandon Case and his father, Gregory Charles Case, were indicted in November on charges of attempted first-degree murder, conspiracy and shooting into the vehicle of DMonterrio Gibson in January 2022. Gibson, who was 24 at the time, was not injured. But the chase and gunfire led to complaints on social media of racism in Brookhaven, about 55 miles (90 kilometers) south of the state capital, Jackson. The trial will take place in Brookhaven. During a news conference days after the confrontation, Gibson said he was wearing a FedEx uniform and driving an unmarked van FedEx had rented when he dropped off a package at a house. He said that as he was leaving, he noticed a white pickup truck pulling away from another house on the same large lot. Gibson said the pickup driver tried to cut him off as he left the driveway. He said he swerved around the driver and then encountered a second man who had a gun pointed at the van and was motioning for him to stop. Gibson said the man fired as he drove away, damaging the van and packages inside. The white pickup chased him to Interstate 55 near Brookhaven before ending the pursuit, he said. Carlos Moore, an attorney who represented Gibson in a lawsuit in federal court, compared the episode to the killing of Ahmaud Arbery, a 25-year-old Black man who was running empty-handed through a Georgia subdivision in 2020 when three white strangers chased him down and blasted him with a shotgun. Moore said Tuesday that Gibsons family is cautiously optimistic that theyll get justice here in Lincoln County. On Thursday, U.S. District Judge Daniel P. Jordan III dismissed the $5 million lawsuit Moore filed on behalf of Gibson in January 2023. The suit was against FedEx, the city of Brookhaven, Brookhaven Police Chief Kenny Collins, Brandon Case and Gregory Charles Case. Jordan wrote that Gibsons attorney failed to prove FedEx discriminated against Gibson because of his race. The Cases alleged conduct is deplorable, Jordan wrote. But Gibson fails to state a viable claim against FedEx for which the Court would have original jurisdiction. Moore said Friday that he plans to sue in state court. He also said Gibson is still employed by FedEx and is out on workers compensation leave. (AP) A 50-year-old man, nicknamed "The Geneva Patient", has managed to completely overcome the human immunodeficiency virus (HIV). He became the sixth person in the world to be cured of the disease after a bone marrow transplant. ADVERTISIMENT This was reported by the publication France24. It is noted that the man was diagnosed with HIV in 1990. Since then, until November 2021, he was taking antiretroviral drugs. However, after a bone marrow transplant, doctors advised him to stop treatment. Twenty months after stopping the drugs that reduce the amount of HIV in his blood, doctors at the University of Geneva found no traces of the virus in his body. And although medics do not rule out that it could return, they now state that the "Geneva patient" is in a state of long-term remission. Asier Saez-Zirion, a scientist at France's Pasteur Institute, said that if after 12 months they have not found any signs of the virus in the blood, there is a "high probability that it will be undetectable" in the future. Although the patient is now HIV-free, he is still being closely monitored by researchers to study treatments for the disease. ADVERTISIMENT As a reminder, the previous 5 patients who are considered cured of HIV from: Berlin, London, Dusseldorf, New York and City of Hope. The first person in the world to be cured of HIV was the "Berlin patient" Timothy Brown. He died of leukemia in 2020. According to World Health Association statistics, there are more than 42 million people living on the planet infected with the immunodeficiency virus (HIV), and every day this number increases by another 14-15 thousand. The majority of HIV-infected people are young people under the age of 30. As reported OBOZREVATEL: - In January 2022, the journal Nature Communications published an article with the results of the work of American scientists who took another step to cure the human immunodeficiency virus (HIV). They conducted a study in which they were able to clear cells where the virus is normally dormant; ADVERTISIMENT - in 2021, scientists from the NIAID Immunoregulation Laboratory conducted an HIV vaccine experiment based on the technology of two highly effective COVID-19 vaccines in mice and primates. The result of booster vaccination showed the result of reducing the risk of infection by up to 79% in contrast to unvaccinated animals. Only verified information from us in Telegram-channel Obozrevatel and in Viber. Do not fall for fakes! The Islamic State group still commands between 5,000 and 7,000 members across its former stronghold in Syria and Iraq and its fighters pose the most serious terrorist threat in Afghanistan today, U.N. experts said in a report circulated Monday. The experts monitoring sanctions against the militant group, also known by its Arab acronym Daesh, said that during the first half of 2023 the threat posed by IS remained mostly high in conflict zones and low in non-conflict areas. But the panel said in a report to the U.N. Security Council that the overall situation is dynamic, and despite significant losses in the groups leadership and reduced activity in Syria and Iraq, the risk of its resurgence remains. The group has adapted its strategy, embedding itself with local populations, and has exercised caution in choosing battles that are likely to result in limited losses, while rebuilding and recruiting from camps in the northeast of the Syrian Arab Republic and from vulnerable communities, including in neighboring countries, the experts said. The Islamic State group declared a self-styled caliphate in a large swath of territory in Syria and Iraq that it seized in 2014. It was declared defeated in Iraq in 2017 following a three-year battle that left tens of thousands of people dead and cities in ruins, but its sleeper cells remain in both countries. Despite sustained counter-terrorism operations, Daesh continues to command between 5,000 and 7,000 members across Iraq and Syria, most of whom are fighters, though it has reduced its attacks deliberately to facilitate recruiting and reorganization, the experts said. In northeast Syria, approximately 11,000 suspected Daesh fighters are being held in facilities of the Kurdish-led Syrian Democratic Forces, which have played a prominent role in the fight against IS, the panel said. The fighters include more than 3,500 Iraqis and approximately 2,000 from almost 70 nationalities, it said. Northeast Syria is also the site of two closed camps al-Hol and Roj where the experts said some 55,000 people with alleged links or family ties to IS are living in dire conditions and significant humanitarian hardship. Approximately two-thirds of the population are children including over 11,800 Iraqis, nearly 16,000 Syrians and over 6,700 youngsters from more than 60 other countries, the experts said. The panel quoted one unnamed country as saying Daesh has maintained its Cubs of the Caliphate program, recruiting children in the overcrowded al-Hol camp. In addition, more than 850 boys, some as young as 10, were in detention and rehabilitation centers in the northeast, the experts said. In Afghanistan, the panel said U.N. members assess the Islamic State group poses the most serious terrorist threat to the country and the wider region. IS has reportedly increased its operational capabilities and now has an estimated 4,000 to 6,000 fighters and family members in Afghanistan, it said. In Africa, on a positive note, the experts said the deployment of regional forces in Mozambiques Cabo Delgado province disrupted the IS affiliate, and regional countries estimate it now has 180-220 male fighters with battlefield experience, down from 280 previously. In the east, the experts said several countries expressed concern that terrorist groups like Daesh could exploit political violence and instability in conflict-wracked Sudan. And some countries assess that the Daesh affiliate in Africas Sahel has become increasingly autonomous and had played a significant role in the escalation of violence in the region, alongside other terrorist groups, they said, pointing to increased IS attacks on several fronts in Mali and to a lesser extent in Burkina Faso and Niger. (AP) The Jerusalem Magistrates Court on Tuesday morning ordered the release of Burqa suspect Yechiel Indore to house arrest, rejecting the polices request to extend his detention. Indore, who was severely injured by Arabs about ten days ago and underwent emergency neurosurgery, was visibly frail as he walked into court, angering many Israelis about the polices treatment of a still-recovering patient, who after enduring a severe head injury and neurosurgery was released straight into police custody while still weak and suffering from the emotional trauma of almost being killed by an Arab mob. During the hearing, the police were forced to admit that the pathological report on the Palestinian whom Indor allegedly killed in self-defense during the incident did not include an autopsy. Attorney Avichai Hajabi asked the police investigator: Was the deceased [Arab] alive or dead when he entered the Palestinian vehicle? The investigator replied: I have no indication about that. A doctor did not examine him in the field. The statement contradicted the polices claim at the hearing last week that an autopsy had been carried out. The police representative also admitted that according to the pathological report, the shooting was carried out from several meters away and no bullet was found that could be traced to Indors personal weapon. Following the courts decision, the police filed an appeal to the Jerusalem District Court against the decision, and a hearing was held hours later, on Tuesday afternoon. In a humiliating blow to the police, the court upheld the ruling of the Magistrates Court, releasing Indore from police custody. Attorney Hajabi said after the hearing: Today we discovered that the stories of the investigative unit according to which there is a pathological report are not true. The investigative unit cannot know what killed him, at what angle he was killed, and also cannot answer whether Indores bullet was the one that killed the Palestinian, and whether it was after he was brutally attacked and his skull was broken. From the beginning, we said that this is a case of a brutal attack by Palestinians on Jewish settlers. Attorney Nati Rom said: Were seeing today what we expected from the start. Its not clear why there is such fervor against my clients and davka against the Jews who were attacked, in contrast to the Palestinians, about whom the police say that [their arrests] require coordination and a lot of force, and they dont carry out the arrests and fail to even once challenge the release of the attackers [the Palestinians were released by the court due to lack of evidence.]. We rue every day that Mr. Indore sat here in detention while he was sick after brain surgery. The claim of self-defense here is clear. We are happy with the decision of the court. On Monday, the police and IDF arrested two Palestinians for suspected assault under aggravating circumstances and throwing rocks at Jews. The arrest of additional Palestinian suspects is expected in the near future. Five Palestinians were previously arrested and interrogated but were released by the court due to a lack of evidence. (YWN Israel Desk Jerusalem) Medical Properties Trust (NYSE:MPW Get Free Report) and Extra Space Storage (NYSE:EXR Get Free Report) are both finance companies, but which is the superior business? We will compare the two companies based on the strength of their institutional ownership, earnings, profitability, analyst recommendations, dividends, valuation and risk. Valuation & Earnings This table compares Medical Properties Trust and Extra Space Storages top-line revenue, earnings per share (EPS) and valuation. Get Medical Properties Trust alerts: Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Medical Properties Trust $1.54 billion 2.94 $902.60 million $0.11 69.00 Extra Space Storage $1.92 billion 9.05 $860.69 million $6.13 21.04 Medical Properties Trust has higher earnings, but lower revenue than Extra Space Storage. Extra Space Storage is trading at a lower price-to-earnings ratio than Medical Properties Trust, indicating that it is currently the more affordable of the two stocks. Volatility and Risk Dividends Medical Properties Trust has a beta of 0.99, meaning that its share price is 1% less volatile than the S&P 500. Comparatively, Extra Space Storage has a beta of 0.54, meaning that its share price is 46% less volatile than the S&P 500. Medical Properties Trust pays an annual dividend of $1.16 per share and has a dividend yield of 15.3%. Extra Space Storage pays an annual dividend of $4.04 per share and has a dividend yield of 3.1%. Medical Properties Trust pays out 1,054.5% of its earnings in the form of a dividend, suggesting it may not have sufficient earnings to cover its dividend payment in the future. Extra Space Storage pays out 65.9% of its earnings in the form of a dividend. Medical Properties Trust has increased its dividend for 8 consecutive years. Medical Properties Trust is clearly the better dividend stock, given its higher yield and longer track record of dividend growth. Analyst Recommendations This is a summary of recent ratings for Medical Properties Trust and Extra Space Storage, as provided by MarketBeat.com. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Medical Properties Trust 2 5 3 0 2.10 Extra Space Storage 1 4 3 1 2.44 Medical Properties Trust presently has a consensus target price of $12.25, indicating a potential upside of 61.40%. Extra Space Storage has a consensus target price of $165.43, indicating a potential upside of 28.26%. Given Medical Properties Trusts higher possible upside, equities analysts plainly believe Medical Properties Trust is more favorable than Extra Space Storage. Profitability This table compares Medical Properties Trust and Extra Space Storages net margins, return on equity and return on assets. Net Margins Return on Equity Return on Assets Medical Properties Trust 5.07% 0.84% 0.37% Extra Space Storage 41.53% 20.69% 6.92% Institutional and Insider Ownership 74.3% of Medical Properties Trust shares are owned by institutional investors. Comparatively, 96.8% of Extra Space Storage shares are owned by institutional investors. 1.2% of Medical Properties Trust shares are owned by company insiders. Comparatively, 1.6% of Extra Space Storage shares are owned by company insiders. Strong institutional ownership is an indication that hedge funds, large money managers and endowments believe a company will outperform the market over the long term. Summary Extra Space Storage beats Medical Properties Trust on 11 of the 17 factors compared between the two stocks. About Medical Properties Trust (Get Free Report) Medical Properties Trust, Inc. is a self-advised real estate investment trust formed in 2003 to acquire and develop net-leased hospital facilities. From its inception in Birmingham, Alabama, the Company has grown to become one of the world's largest owners of hospital real estate with 444 facilities and approximately 44,000 licensed beds in ten countries and across four continents. MPT's financing model facilitates acquisitions and recapitalizations and allows operators of hospitals to unlock the value of their real estate assets to fund facility improvements, technology upgrades and other investments in operations. About Extra Space Storage (Get Free Report) Extra Space Storage Inc., headquartered in Salt Lake City, Utah, is a self-administered and self-managed REIT and a member of the S&P 500. As of March 31, 2023, the Company owned and/or operated 2,388 self-storage stores in 41 states and Washington, D.C. The Company's stores comprise approximately 1.7 million units and approximately 180.0 million square feet of rentable space. The Company offers customers a wide selection of conveniently located and secure storage units across the country, including boat storage, RV storage and business storage. The Company is the second largest owner and/or operator of self-storage stores in the United States and is the largest self-storage management company in the United States. Receive News & Ratings for Medical Properties Trust Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Medical Properties Trust and related companies with MarketBeat.com's FREE daily email newsletter. Rexel (OTCMKTS:RXEEY Get Free Report) and Arrow Electronics (NYSE:ARW Get Free Report) are both computer and technology companies, but which is the superior business? We will contrast the two companies based on the strength of their risk, analyst recommendations, earnings, valuation, institutional ownership, dividends and profitability. Profitability This table compares Rexel and Arrow Electronics net margins, return on equity and return on assets. Get Rexel alerts: Net Margins Return on Equity Return on Assets Rexel N/A N/A N/A Arrow Electronics 3.35% 22.19% 5.92% Institutional and Insider Ownership 95.6% of Arrow Electronics shares are held by institutional investors. 1.1% of Arrow Electronics shares are held by insiders. Strong institutional ownership is an indication that hedge funds, endowments and large money managers believe a stock will outperform the market over the long term. Valuation & Earnings Gross Revenue Price/Sales Ratio Net Income Earnings Per Share Price/Earnings Ratio Rexel N/A N/A N/A $0.76 29.66 Arrow Electronics $37.12 billion 0.19 $1.43 billion $19.65 6.53 This table compares Rexel and Arrow Electronics revenue, earnings per share (EPS) and valuation. Arrow Electronics has higher revenue and earnings than Rexel. Arrow Electronics is trading at a lower price-to-earnings ratio than Rexel, indicating that it is currently the more affordable of the two stocks. Analyst Ratings This is a summary of recent recommendations for Rexel and Arrow Electronics, as provided by MarketBeat. Sell Ratings Hold Ratings Buy Ratings Strong Buy Ratings Rating Score Rexel 1 3 1 0 2.00 Arrow Electronics 1 4 1 0 2.00 Rexel currently has a consensus target price of $21.60, suggesting a potential downside of 4.51%. Arrow Electronics has a consensus target price of $129.57, suggesting a potential upside of 0.94%. Given Arrow Electronics higher probable upside, analysts clearly believe Arrow Electronics is more favorable than Rexel. Summary Arrow Electronics beats Rexel on 8 of the 9 factors compared between the two stocks. About Rexel (Get Free Report) Rexel S.A., together with its subsidiaries, engages in distribution of low and ultra-low voltage electrical products and services for the residential, commercial, and industrial energy markets in France, Europe, North America, and Asia-Pacific. The company offers smart cameras, sensors, controllers, and monitoring software; light sources, lights, and control switches; climate control products, including heat pumps, air conditioning, and water heaters; fire alarms, surveillance equipment, access controls devices, and emergency lightings; and connection cables, and switches and routers, as well as enclosures, mounts, and racks. It also provides solar modules and inverters; connected lighting, intelligent home system, home control touch screen, and smart thermostat products; residential chargers, commercial stations, and charging cables for electric vehicles; electric motors, variable speed drives, programmable logic controllers, and computers and operator interface; and fastening systems, electrical power and control, and valves and instrumentation products. The company offers products, services, and solutions in the fields of technical supply, automation, and energy management related to the construction, renovation, maintenance, and production. It operates a network of approximately 1,900 branches in 21 countries. The company was founded in 1967 and is headquartered in Paris, France. About Arrow Electronics (Get Free Report) Arrow Electronics, Inc. provides products, services, and solutions to industrial and commercial users of electronic components and enterprise computing solutions in the Americas, Europe, the Middle East, Africa, and the Asia Pacific. The company operates in two segments, Global Components and Global Enterprise Computing Solutions. The Global Components segment markets and distributes semiconductor products and related services; passive, electro-mechanical, and interconnect products, including capacitors, resistors, potentiometers, power supplies, relays, switches, and connectors; and computing and memory products, as well as other products and services. The Global Enterprise Computing Solutions segment offers computing solutions, such as datacenter, cloud, security, and analytics solutions. This segment provides access to various services, including engineering and integration support, warehousing and logistics, marketing resources, and authorized hardware and software training. The company serves original equipment manufacturers, value-added resellers, managed service providers, contract manufacturers, and other commercial customers. Arrow Electronics, Inc. was founded in 1935 and is based in Centennial, Colorado. Receive News & Ratings for Rexel Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Rexel and related companies with MarketBeat.com's FREE daily email newsletter. Shares of Dine Brands Global, Inc. (NYSE:DIN Get Free Report) have been given a consensus recommendation of Moderate Buy by the seven ratings firms that are currently covering the company, Marketbeat.com reports. Three analysts have rated the stock with a hold recommendation and four have issued a buy recommendation on the company. The average 1-year price target among brokers that have issued ratings on the stock in the last year is $72.17. DIN has been the subject of a number of recent research reports. Piper Sandler initiated coverage on Dine Brands Global in a research report on Monday, July 17th. They set a neutral rating and a $63.00 price target for the company. 888 reissued a downgrade rating on shares of Dine Brands Global in a report on Wednesday, June 14th. Barclays cut their price objective on Dine Brands Global from $80.00 to $75.00 and set an overweight rating for the company in a report on Friday, August 4th. Wedbush reissued a neutral rating and issued a $65.00 price objective on shares of Dine Brands Global in a report on Friday, August 4th. Finally, KeyCorp cut their price objective on Dine Brands Global from $78.00 to $68.00 in a report on Wednesday, July 19th. Get Dine Brands Global alerts: View Our Latest Analysis on Dine Brands Global Institutional Investors Weigh In On Dine Brands Global Dine Brands Global Stock Down 1.4 % A number of large investors have recently added to or reduced their stakes in the business. FMR LLC raised its stake in Dine Brands Global by 1.2% in the 1st quarter. FMR LLC now owns 13,618 shares of the restaurant operators stock valued at $921,000 after acquiring an additional 159 shares during the period. Bank of Montreal Can raised its stake in Dine Brands Global by 3.8% in the 1st quarter. Bank of Montreal Can now owns 5,659 shares of the restaurant operators stock valued at $440,000 after acquiring an additional 209 shares during the period. PNC Financial Services Group Inc. raised its stake in Dine Brands Global by 27.1% in the 2nd quarter. PNC Financial Services Group Inc. now owns 1,019 shares of the restaurant operators stock valued at $59,000 after acquiring an additional 217 shares during the period. The Manufacturers Life Insurance Company raised its stake in Dine Brands Global by 4.2% in the 2nd quarter. The Manufacturers Life Insurance Company now owns 6,171 shares of the restaurant operators stock valued at $358,000 after acquiring an additional 248 shares during the period. Finally, American International Group Inc. raised its stake in Dine Brands Global by 3.4% in the 2nd quarter. American International Group Inc. now owns 8,403 shares of the restaurant operators stock valued at $488,000 after acquiring an additional 276 shares during the period. Institutional investors own 94.75% of the companys stock. NYSE:DIN opened at $55.78 on Friday. Dine Brands Global has a twelve month low of $55.65 and a twelve month high of $82.43. The business has a 50 day moving average price of $59.13 and a two-hundred day moving average price of $65.67. The company has a market cap of $867.38 million, a P/E ratio of 11.29 and a beta of 1.83. Dine Brands Global (NYSE:DIN Get Free Report) last released its quarterly earnings results on Thursday, August 3rd. The restaurant operator reported $1.82 earnings per share for the quarter, topping analysts consensus estimates of $1.53 by $0.29. Dine Brands Global had a negative return on equity of 36.32% and a net margin of 9.03%. The firm had revenue of $208.40 million during the quarter, compared to the consensus estimate of $209.60 million. During the same quarter in the prior year, the firm posted $1.65 EPS. The businesss revenue was down 12.4% on a year-over-year basis. As a group, analysts anticipate that Dine Brands Global will post 6.46 earnings per share for the current fiscal year. About Dine Brands Global (Get Free Report Dine Brands Global, Inc owns and franchises casual and family dining restaurants. It operates through the following segments: Franchise, Rental, Company Restaurant, and Financing Operations. The Franchise Operations segment consists of royalties, fees, and other income for Applebees and IHOP franchised and area licensed restaurants. Featured Articles Receive News & Ratings for Dine Brands Global Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Dine Brands Global and related companies with MarketBeat.com's FREE daily email newsletter. ING Groep NV acquired a new stake in Las Vegas Sands Corp. (NYSE:LVS Free Report) during the first quarter, according to its most recent filing with the Securities and Exchange Commission (SEC). The institutional investor acquired 3,445 shares of the casino operators stock, valued at approximately $198,000. Several other hedge funds also recently added to or reduced their stakes in the business. Meiji Yasuda Asset Management Co Ltd. acquired a new stake in shares of Las Vegas Sands during the first quarter valued at about $209,000. Commonwealth of Pennsylvania Public School Empls Retrmt SYS lifted its stake in Las Vegas Sands by 0.3% during the first quarter. Commonwealth of Pennsylvania Public School Empls Retrmt SYS now owns 55,712 shares of the casino operators stock worth $3,201,000 after purchasing an additional 169 shares during the last quarter. Bank of New York Mellon Corp lifted its position in shares of Las Vegas Sands by 8.6% during the 1st quarter. Bank of New York Mellon Corp now owns 8,391,096 shares of the casino operators stock worth $482,068,000 after buying an additional 666,588 shares during the last quarter. Samalin Investment Counsel LLC acquired a new stake in Las Vegas Sands during the 1st quarter worth $212,000. Finally, MGO One Seven LLC increased its position in shares of Las Vegas Sands by 46.6% during the first quarter. MGO One Seven LLC now owns 12,206 shares of the casino operators stock worth $701,000 after purchasing an additional 3,881 shares in the last quarter. 39.66% of the stock is currently owned by hedge funds and other institutional investors. Get Las Vegas Sands alerts: Wall Street Analyst Weigh In LVS has been the topic of a number of recent research reports. Stifel Nicolaus lifted their price objective on shares of Las Vegas Sands from $73.00 to $78.00 and gave the company a buy rating in a research note on Thursday, July 20th. Wells Fargo & Company cut their price target on shares of Las Vegas Sands from $71.00 to $69.00 and set an overweight rating for the company in a report on Thursday, July 20th. Credit Suisse Group lifted their target price on shares of Las Vegas Sands from $62.00 to $67.00 and gave the stock an outperform rating in a research report on Thursday, April 20th. Barclays cut their price target on Las Vegas Sands from $70.00 to $69.00 in a report on Thursday, July 20th. Finally, Citigroup upped their price objective on shares of Las Vegas Sands from $76.00 to $80.50 and gave the company a buy rating in a research note on Thursday, April 20th. Three research analysts have rated the stock with a hold rating and twelve have given a buy rating to the stock. According to MarketBeat.com, the company has an average rating of Moderate Buy and a consensus target price of $70.81. Las Vegas Sands Stock Down 2.1 % Shares of NYSE:LVS opened at $54.64 on Wednesday. The company has a 50 day moving average of $58.03 and a two-hundred day moving average of $58.06. Las Vegas Sands Corp. has a 52-week low of $33.38 and a 52-week high of $65.58. The company has a quick ratio of 2.75, a current ratio of 2.76 and a debt-to-equity ratio of 3.57. The company has a market capitalization of $41.77 billion, a price-to-earnings ratio of 780.57, a price-to-earnings-growth ratio of 5.99 and a beta of 1.17. Las Vegas Sands (NYSE:LVS Get Free Report) last released its quarterly earnings results on Wednesday, July 19th. The casino operator reported $0.46 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.44 by $0.02. Las Vegas Sands had a net margin of 0.75% and a return on equity of 5.73%. The firm had revenue of $2.54 billion for the quarter, compared to the consensus estimate of $2.41 billion. During the same quarter in the previous year, the firm posted ($0.34) EPS. The firms quarterly revenue was up 143.3% on a year-over-year basis. As a group, analysts forecast that Las Vegas Sands Corp. will post 1.87 earnings per share for the current fiscal year. Las Vegas Sands Cuts Dividend The business also recently disclosed a quarterly dividend, which will be paid on Wednesday, August 16th. Shareholders of record on Tuesday, August 8th will be paid a dividend of $0.20 per share. The ex-dividend date of this dividend is Monday, August 7th. This represents a $0.80 dividend on an annualized basis and a yield of 1.46%. Las Vegas Sandss dividend payout ratio (DPR) is 1,142.86%. Las Vegas Sands Profile (Free Report) Las Vegas Sands Corp., together with its subsidiaries, develops, owns, and operates integrated resorts in Macao and Singapore. It owns and operates The Venetian Macao Resort Hotel, the Londoner Macao, The Parisian Macao, The Plaza Macao and Four Seasons Hotel Macao, Cotai Strip, and the Sands Macao in Macao, the People's Republic of China; and Marina Bay Sands in Singapore. Featured Articles Want to see what other hedge funds are holding LVS? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Las Vegas Sands Corp. (NYSE:LVS Free Report). Receive News & Ratings for Las Vegas Sands Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Las Vegas Sands and related companies with MarketBeat.com's FREE daily email newsletter. Principal Financial Group Inc. lowered its stake in shares of ConocoPhillips (NYSE:COP Free Report) by 1.1% in the first quarter, according to its most recent filing with the Securities and Exchange Commission (SEC). The firm owned 1,687,904 shares of the energy producers stock after selling 19,371 shares during the quarter. Principal Financial Group Inc.s holdings in ConocoPhillips were worth $167,457,000 at the end of the most recent reporting period. Other institutional investors have also recently added to or reduced their stakes in the company. Fairfield Bush & CO. bought a new position in shares of ConocoPhillips during the first quarter worth about $31,000. Cetera Investment Advisers lifted its position in ConocoPhillips by 4.0% in the 1st quarter. Cetera Investment Advisers now owns 25,399 shares of the energy producers stock valued at $2,540,000 after purchasing an additional 968 shares during the last quarter. Baird Financial Group Inc. boosted its stake in ConocoPhillips by 1.9% during the 1st quarter. Baird Financial Group Inc. now owns 512,126 shares of the energy producers stock valued at $51,213,000 after purchasing an additional 9,313 shares during the period. Zions Bancorporation N.A. grew its position in ConocoPhillips by 59.1% in the 1st quarter. Zions Bancorporation N.A. now owns 1,810 shares of the energy producers stock worth $181,000 after purchasing an additional 672 shares during the last quarter. Finally, Brown Brothers Harriman & Co. increased its stake in shares of ConocoPhillips by 21.3% in the first quarter. Brown Brothers Harriman & Co. now owns 14,063 shares of the energy producers stock valued at $1,406,000 after purchasing an additional 2,465 shares during the period. 80.20% of the stock is currently owned by institutional investors. Get ConocoPhillips alerts: ConocoPhillips Stock Down 1.9 % Shares of ConocoPhillips stock opened at $115.49 on Wednesday. The company has a quick ratio of 1.28, a current ratio of 1.41 and a debt-to-equity ratio of 0.33. ConocoPhillips has a 12-month low of $91.53 and a 12-month high of $138.49. The firm has a market capitalization of $138.30 billion, a PE ratio of 11.13, a price-to-earnings-growth ratio of 0.75 and a beta of 1.31. The stocks fifty day moving average is $108.63 and its 200-day moving average is $105.66. ConocoPhillips Dividend Announcement ConocoPhillips ( NYSE:COP Get Free Report ) last posted its quarterly earnings data on Thursday, August 3rd. The energy producer reported $1.84 earnings per share (EPS) for the quarter, missing the consensus estimate of $1.94 by ($0.10). ConocoPhillips had a return on equity of 27.27% and a net margin of 18.66%. The company had revenue of $12.88 billion for the quarter, compared to analyst estimates of $14.64 billion. During the same quarter in the previous year, the firm posted $3.91 EPS. Equities analysts anticipate that ConocoPhillips will post 8.64 earnings per share for the current year. The company also recently declared a quarterly dividend, which will be paid on Friday, September 1st. Investors of record on Wednesday, August 16th will be given a $0.51 dividend. The ex-dividend date is Tuesday, August 15th. This represents a $2.04 annualized dividend and a dividend yield of 1.77%. ConocoPhillipss dividend payout ratio (DPR) is presently 19.65%. Analysts Set New Price Targets COP has been the subject of several analyst reports. Raymond James reduced their price objective on ConocoPhillips from $142.00 to $138.00 and set a strong-buy rating for the company in a research report on Monday, July 24th. UBS Group began coverage on ConocoPhillips in a research report on Wednesday, April 19th. They set a buy rating and a $139.00 price target for the company. Morgan Stanley reissued an overweight rating and issued a $124.00 price target on shares of ConocoPhillips in a research note on Wednesday, July 19th. Royal Bank of Canada restated an outperform rating and set a $130.00 price objective on shares of ConocoPhillips in a research note on Monday. Finally, StockNews.com assumed coverage on shares of ConocoPhillips in a research report on Thursday, May 18th. They issued a hold rating for the company. Five equities research analysts have rated the stock with a hold rating, sixteen have given a buy rating and one has assigned a strong buy rating to the stock. According to MarketBeat.com, the stock currently has a consensus rating of Moderate Buy and an average price target of $132.90. View Our Latest Report on COP About ConocoPhillips (Free Report) ConocoPhillips explores for, produces, transports, and markets crude oil, bitumen, natural gas, liquefied natural gas (LNG), and natural gas liquids in the United States and internationally. The company's portfolio includes unconventional plays in North America; conventional assets in North America, Europe, Asia, and Australia; various LNG developments; oil sands assets in Canada; and an inventory of global exploration prospects. Further Reading Want to see what other hedge funds are holding COP? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for ConocoPhillips (NYSE:COP Free Report). Receive News & Ratings for ConocoPhillips Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for ConocoPhillips and related companies with MarketBeat.com's FREE daily email newsletter. Amember of one of the most influential clansin the Gaza Strip, Rami Haisam Musa al-Farra,was liquidated in Donetsk region. The man died on August 5, according to one version from a rocket attack, according to another - due to the explosion of a rocket-propelled grenade. ADVERTISIMENT This is reported by Radio Liberty, citing a statement of the al-Farra clan. As the journalists found out from the father of the deceased Rami - Haisam, the man died fighting in Ukraine on the side of the Russian Federation. He voluntarily went to serve under contract with the Ministry of Defense of the Russian Federation, not through mobilization. This is the first case of death of a citizen of the partially recognized state of Palestine during the conflict in Ukraine. Earlier, the Lebanese special services also reported about the recruitment of Palestinians to participate in the war against Ukraine. Rami al-Farra was born in Russia in October 1994. In 2000, his mother, a Russian citizen, moved him to Gaza, where his father was working at the time. Rami lived there until 2007. After Hamas prevailed in the Strip, the family evacuated back to Russia. ADVERTISIMENT According to the father of the deceased, Russian diplomats provided them with assistance with their departure. Rama had dual citizenship - Russian and Palestinian. In 2011, four years after his return from Gaza, al-Farra enrolled in the fee-paying department of the Bauman Moscow State Technical University, located in the Maikop branch of the local state technological university. There, he chose to specialize in customs. In his own Instagram profile, Rami published photos of his military service in the Russian Federation. According to his father, al-Farrah was drafted into the army in 2018 and studied to be a sniper. He sent his last photos to his father already from the war in Ukraine, where he served on the side of the occupiers. Haisam al-Farra says that his son was engaged in "guarding the military" performing demining work somewhere near Bakhmut or in the occupied territory of Donbass. ADVERTISIMENT The body of the deceased, as Haisam says, has already been taken to Kurganinsk, where he will be buried in the near future. Recall that on the Ukrainian front liquidated 45-year-old Russian occupier Alexander "Papa" Yuvitsa from Kuban. He was deputy commander of the detachment "BARS-11" (combat army reserve special) and was involved in Russia's annexation of Crimea. ADVERTISIMENT As reported OBOZREVATEL, in Ukraine liquidated the occupant Ilya Buholtsev, who boasted of "glamorous" photos. The invader from the Penza region of the Russian Federation at one time became the winner of the photo contest "Macho in the village of Arkhangelskoye 2012". Only verified information from us in Telegram-channel Obozrevatel and Viber. Do not fall for fakes! The Ukrainian Defense Forces have liberated the village of Urozhaine in Donetsk Region from Russian occupants. Our defenders are consolidating on the borders of the settlement. ADVERTISIMENT This was reported by the Deputy Minister of Defense of Ukraine Anna Maliar on her Telegram. According to her, the offensive actions of the Ukrainian army continue. The speaker of the General Staff of the AFU Andriy Kovalev said that after the liberation of Urozhaine Ukrainian troops continue attacks on the Russians at a briefing. ADVERTISIMENT "Units of the Ukrainian Defense Forces have captured the settlement of Urozhaine while conducting offensive operations and are consolidating on the achieved borders," the speaker said. He added that the Ukrainian military inflicts fire damage by artillery and carries out counter-battery countermeasures. The offensive operation continues in Bakhmut, Melitopol and Berdiansk directions. The head of the Donetsk RMA Pavlo Kyrylenko reported that brutal fighting continued in Urozhaine the day before. However, the AFU military managed to enter the territory of the settlement and evacuate three people. The village is subjected to heavy shelling by the Russian occupants. The fighting does not stop, so there is not even talk about stabilization measures, Kyrylenko stressed. Up to five hundred people lived in Urozhaine before the full-scale invasion on February 24, 2022. ADVERTISIMENT The defenders also repelled attempts of the occupants to restore the lost position in the areas of Staromaiorske, Robotyne and around Bakhmut. As reported OBOZREVATEL: - Information about a column of occupiers that escaped from Urozhaine in the Donetsk region appeared online back on August 13. The mopping-up operation in the settlement continues, while Russian propagandists have thrown a tantrum over the "alarming situation" in the locality; - AFU General Staff announced partial successes in the Rabotyno direction of the Zaporizhzhia region. The Defense Forces managed to strengthen the positions there. Two powerful armed factions battled in Libyas capital on Tuesday in the citys worst violence this year, but the deadly clashes calmed after one side released a commander whose detention had triggered the fighting. A Tripoli health agency said 27 people were killed and more than 100 injured in the violence, without saying if the figure included both combatants and civilians. The Special Deterrence Force and the 444 Brigade are two of the strongest military forces in Tripoli and their fighting from late on Monday rocked districts across the capital. Dark smoke hung over parts of the city for much of Tuesday and the sound of heavy weapons rattled through the streets as fighting erupted in different suburbs. Both factions had backed the interim Government of National Unity (GNU) during brief battles last year and their sudden bout of fighting shattered months of relative calm in Tripoli, underscoring the risks in a conflict that remains unresolved. Libya has had little peace or security since a 2011 NATO-backed uprising and it split in 2014 between warring eastern and western factions. An assault by eastern forces on Tripoli, in the west, collapsed in 2020 leading to a ceasefire that has halted most major warfare. Turkey, which backed the Tripoli government, maintained a military presence in Libya. However, there has been little progress towards a lasting political solution to the conflict and on the ground armed factions that have gained official status and financing continue to wield power. Last year factions backing a rival government declared by the eastern-based parliament launched a doomed attempt to oust Prime Minister Abdulhamid al-Dbeibah, head of the interim unity government, leading to a day of heavy clashes in Tripoli. Sporadic fighting has also this year rocked the city of Zawiya, west of the capital. FIGHTERS The clashes began late on Monday after the Special Deterrence Force, which controls Tripolis main Mitiga airport, detained 444 Brigade commander Mahmoud Hamza as he attempted to travel and continued until Tuesday evening. The Special Deterrence Force has been one of Tripolis main armed factions for years, holding Mitiga and the surrounding coastal area, including a stretch of the main road to the east. The 444 Brigade controls large swaths of the capital and areas south of Tripoli. Hamza, a former officer in the Special Deterrence Force, has previously been a key figure in mediating an end to tension between other armed factions. Another significant Tripoli armed faction, the Stabilisation Support Apparatus, had fighters and vehicles out on the street in areas it controls, but was not involved in the clashes, a Reuters witness said. The clashes paused after an agreement for the Special Deterrence Force to hand Hamza to the Stabilisation Support Apparatus and for fighters to return to their bases, city elders who negotiated the deal announced on television. A resident of the Tarik Shok area of southern Tripoli described going to bed on Monday with the sound of fighting and waking up on Tuesday to find it had gotten worse. We can hear heavy gunfire since early morning. My family lives in the Khalat Furjan area about 7 km (4 miles) away and they also hear clashes, he said. Some of the fighting was around Mitiga airport, residents said. Flights were diverted from the airport to Misrata, a city about 180 km (110 miles) east of Tripoli, airlines and airport sources said. A Turkish defence ministry official said on Tuesday afternoon that the situation calmed down in Tripoli and there were no problems regarding the security of Turkish troops. Mitiga hosts a Turkish military presence, diplomats say. SOURCE: REUTERS, TOE Polands biggest military parade since the Cold War took place in Warsaw, as the NATO-member country flexed its military muscle in what the government hoped would be both a message to Moscow and to voters ahead of elections in October. Russias invasion of Ukraine has made boosting the armed forces a priority for Polands ruling nationalists Law and Justice (PiS), and with the election campaign in full swing the immense display of military hardware provided a chance to burnish their security credentials. The Armed Forces Day parade, taking place on the 103rd anniversary of Polands victory over the Soviet Union in the Battle of Warsaw, saw 2,000 soldiers from Poland and other NATO countries march through the capital accompanied by 200 items of military equipment and 92 aircraft. August 15 is not only an opportunity to pay homage to the heroes of the victorious Battle of Warsaw and to thank contemporary soldiers for defending our homeland, Defence Minister Mariusz Blaszczak told troops and onlookers who had gathered near the Vistula river. It is also a perfect day to show our strength, to show that we have built powerful armed forces that will effectively defend our borders without hesitation. PiS, in power since 2015, has vowed to double the size of the army and spend around 4% of gross domestic product (GDP) on defence this year. President Andrzej Duda, a PiS ally, contrasted what he said was the lack of priority given to defence under the previous government with the investments made in recent years. The last eight years have been a time of rebuilding the Polish army, he said. Earlier we had the opposite situation, a decrease in the size of the Polish armed forces. Manual worker Dariusz Gawronski, 56, had travelled from the western town of Debno to watch the display. The parade gives a very good impression that we have something to defend ourselves with, he said. I personally feel safe. However, opposition lawmakers accuse the government of instrumentalising the military for its own political gain. I wish you (soldiers) that you never again have to provide a background for political hatred, Marcin Kierwinski, a lawmaker from liberal opposition party Civic Platform (PO) wrote on social media platform X, formerly known as Twitter. Duda, Blaszczak and other policymakers including Prime Minister Mateusz Morawiecki looked on as soldiers marched past, while helicopters including Black Hawks and jets including F-16s and FA-50s flew overhead. Other equipment on display included M1A1 Abrams tanks bought from the United States, South Korean K2 tanks and K9 self-propelled howitzers as well as HIMARS rocket launchers, Patriot air defence systems and Polish-made Borsuk infantry fighting vehicles. Reporting by Kacper Pempel, Justyna Pawlak and Alan Charlish, additional reporting by Kuba Stezycki, editing by Angus MacSwan, Alexandra Hudson SOURCE: REUTERS- TOE Russian occupiers shelled Kherson region on the morning of August 16. As a result of the flight on the educational institution and the territory of the hospital in Kherson three people were wounded, one of the injured - a patient. ADVERTISIMENT This was reported by the head of the Kherson regional state administration Alexander Prokudin. According to him, the wounded patient is 55 years old and was undergoing treatment for ischemic stroke. As a result of the shelling, he received a chest injury. In addition, a 45-year-old man working in the field came under enemy fire in Zelenivka. He was hospitalized with a leg wound. In Khreschenivka, a 60-year-old man received head injuries. He is being provided with medical care. At midnight the Russian army shelled Nikolske. In their own house the spouses: a 60-year-old woman and a 63-year-old man received medium severity wounds. They are now in hospital, Prokudin reported. ADVERTISIMENT As reported by OBOZREVATEL: - On the night of August 16, the occupiers attacked Ukraine with "shaheds", the air defense destroyed 13 enemy drones. There is a flight on one of the ports of the Danube in Odessa region. - According to British intelligence, the Russian Federation has begun to use "shaheds" of its own production, but it is still dependent on supplies of both components and finished drones from Iran. Only verified information we have in our Telegram channel Obozrevatel and in Viber. Do not fall for fakes! The Board of Glanbia plc has announced that Siobhan Talbot has notified it of her intention to retire from Glanbia plc following ten successful years as Group Managing Director of the company. Siobhan, a past pupil of Scoil Mhuire Secondary School in Carrick-on-Suir, will step down from her position and from the Glanbia Board on December 31 2023 and will retire from the Group in January 2024. Hugh McGuire, currently Chief Executive Officer (CEO) of Glanbia Performance Nutrition (GPN), will be appointed CEO of Glanbia plc and join the Glanbia Board as an Executive Director effective 1 January 2024. Donard Gaynor, Chairman of Glanbia plc said: On behalf of the Board I would like to express our deep gratitude to Siobhan for her leadership and contribution to Glanbia over the past ten years as Group Managing Director. "A deeply principled and values driven leader, Siobhan has provided outstanding strategic direction to Glanbia, reshaping the business and its culture to become a clear leader in the world of better nutrition. "She led the creation of a focused business which is aligned to growing consumer trends with clear purpose and values, a strong balance sheet and continued ambition for growth which are all key parts of her distinguished legacy," he continued. Mr Gaynor added: "The CEO appointment was the conclusion of an extensive selection process led by the Boards Nomination and Governance Committee with the support of an executive search firm. "Following this process, the Board is delighted to appoint Hugh McGuire as CEO of Glanbia effective 1 January 2024. Hugh has led the growth and evolution of GPN with unrelenting focus to become a global leader and a key earnings generator. He combines a passion for our business and our served markets with entrepreneurial flair and a growth mind-set. I have known Hugh since I have joined the Board and I know he is committed to Glanbias success and is the ideal leader to take us through to the next phase of our growth and evolution. Siobhan Talbot, Group Managing Director, Glanbia plc said: It has been my great privilege to lead Glanbia over the past ten years. I am very proud of how the organisation has evolved, grown and strengthened over this period. It is well positioned for the next phase of growth with great people, culture and capabilities. I want to thank my leadership team and all my colleagues right across the Group for their hard work and commitment to Glanbia and their personal friendship. "I would like to wish Hugh well as he takes the helm in 2024 and I look forward to working with him on the leadership transition over the coming months. I know how passionate and committed to Glanbia he is and I have no doubt he will drive the Group forward in pursuit of its strategic focus on better nutrition. Hugh McGuire, CEO of Glanbia Performance Nutrition added: I am honoured and delighted to have been appointed as the next CEO of Glanbia, an organisation I have been proud to serve over the last 20 years. It is a business and a sector that is closely aligned to my own passions and values and Ive been privileged to be part of its transformation journey. Siobhan leaves Glanbia in a very strong position for future growth and Id like to sincerely thank her for all her support over the years. "My focus will be to build upon these strong foundations for the benefit of all stakeholders in the years to come. Glanbia has great nutritional ingredients, brands and businesses, serving exciting categories in health and wellness that truly support our consumers and customers to reach their nutritional goals. "In addition we have really talented people and a strong values led culture. I look forward to working with my colleagues globally to capture the growth opportunities ahead, to sustainably create shareholder value and achieve our full potential as a better nutrition business. The 7th Irish Hair and Beauty Awards 2023, one of the most prestigious awards in the hair and beauty industry are back for the annual celebration of the beauty stars that operate in the country. After the overwhelming response from the public, who nominated their favourite stylists and salons with more than 60,000 votes received, the full list with the finalists has been compiled. The black-tie ceremony will take place on Sunday 24th September at the Raddison Blu Hotel in Cork and will celebrate rising and well-established stars that make a difference in the industry. This chapter is proudly sponsored by Boost Beauty in partnership with Juliette Armand and Storyderm. The ceremony promises to be an unforgettable night with many accolades to be awarded in categories such as Beauty Salon of the Year, Hair Stylist of the Year, Salon Team of the Year and many more. Creative Oceanic launched the official Hair and Beauty Awards in Ireland seven years ago. The English, Scottish, Welsh, Northern Ireland, London, Toronto and British Columbia Hair & Beauty Awards have all been successfully established over the years, increasing the brands international appeal and recognition. Lisa Cohalan PMU, Cappawhite, Co. Tipperary has been shortlisted in the Semi Permanent Make Up Specialist category. Lisa Cohalan PMU will find out if they will be crowned winners at the elegant ceremony taking place in September. Lisa is absolutely thrilled to be a finalist again this year having won the title of Brow Artist of the Year in 2022 and Semi Permanent Make Up Artist of the Year in 2019 (awards were not held during the lockdown). A spokesperson for The Irish Hair and Beauty Awards 2023, said: We had an outstanding response from the public who went behind our nomination process and put forward tried and tested beauty professionals that deserve recognition. The number of 60,000 votes received is just incredible and record breaking for us and therefore, we would like to thank everyone who contributed to this overwhelming response. The awards remark the strength, skill and innovation of those who have shown excellent commitment to customer satisfaction and have changed the industrys landscape by introducing innovative treatments and services, building extremely close and trusted relationships with their clients. We would like to congratulate everyone for being shortlisted and we cant wait to welcome all our guests and deliver an enjoyable event. We wish all finalists the best of luck. More information can be found on the facebook page. There is currently no new evidence about the Omagh bomb atrocity which would warrant holding a public inquiry in Ireland, a minister has said. However, Peter Burke, Minister for European Affairs and Defence, stressed that the Irish Government would cooperate fully with the UK Government in an attempt to ensure there are no unanswered questions left about the 1998 bombing. Twenty-nine people, including a woman pregnant with twins, were killed when a massive car bomb exploded in the Co Tyrone town, the worst loss of life in a single incident in Northern Irelands troubled past. It came just months after the historic Belfast/Good Friday Agreement. No-one has ever been criminally convicted of the attack. In 2021, a High Court judge recommended the UK Government carries out an investigation into the Omagh bombing, and urged the Irish Government to do likewise, after finding plausible arguments that there had been a real prospect of preventing the atrocity. Earlier this year, Northern Ireland Secretary Chris Heaton-Harris announced that an independent statutory inquiry will be carried out. Families of some victims of the bombing have urged the Irish Government to do the same. Mr Burke, who attended a memorial service in the town at the weekend, told the BBC Good Morning Ulster programme: We have had a number of previous investigations in this jurisdiction in relation to the events surrounding the Omagh bombing. Also we have had the Nally inquiry which was very detailed. The Nally inquiry found no significant new evidence which warranted the establishment of a public inquiry. That is our position at the moment. We have met with a number of survivors and victims, we are also awaiting the final publication of the terms of reference for the inquiry in the UK. We will be giving full cooperation with that inquiry. The Irish Government is very anxious to ensure that when that inquiry has concluded there are no unanswered questions. He added: There have been a number of significant efforts and trials where we have tried to get convictions and hold to account those who perpetrated this heinous crime. The Irish Government wants to work hand in glove with the UK Government in relation to this issue and we will be cooperating fully with this inquiry. A spokesman for the Department of Justice reiterated this position and added: The Irish Government is anxious to ensure as far as possible, that by the end of the UK inquiry, there are no unanswered questions relating to the Omagh bombing that can only be examined in this state. On Tuesday, a number of families who lost loved ones in the 1998 attack, gathered and laid flowers at the spot where the bomb exploded. Speaking afterwards, Kevin Skelton, who lost his wife Philomena in the bombing, called on the Irish Government to step up to the plate over Omagh. Speaking about the UK inquiry, he said: Unless the southern government is involved, its a waste of time. If they do get involved, whether it bears fruit or not I dont know. He said the bomb was driven to Omagh from the Republic of Ireland. [August 16, 2023] Jeana Goosmann of Goosmann Law Firm Interviewed for Nationally Distributed Public Television Program "Viewpoint with Dennis Quaid" Tweet Goosmann Law Firm is excited to announce that CEO and Managing Partner Jeana Goosmann, was featured in an interview on the highly acclaimed national television program "Viewpoint with Dennis Quaid." The segment focused on diversity in the legal field, shedding light on the significance of diverse representation and the challenges women and minorities face. During her interview, Goosmann emphasized the positive impact of diverse representation. "When you have diverse representation, you have diverse viewpoints, which in turn helps you advocate more effectively on behalf of the client," she affirmed. As the founder of Goosmann Law Firm, the largest certified woman-owned law firm in the Midwest, Goosmann has defied traditional norms and achieved remarkable success. With over 30 attorneys currently working at the firm, her entrepreneurial journey is a testament to the determination and resilience required to build a thriving law firm. Acknowledging the hurdles that women and minorities still face in the legal field, Goosmann encourages female and minority professionals to fearlessly pursue their dreams. Through her pioneering efforts and unwavering commitment, Goosmann has not only established a reputable law firm but has also become a beacon of inspiration for aspiring female entrepreneurs. Jeana Goosmann's enlightening interview on "Viewpoint with Dennis Quaid" serves as a reminder that while progress has been made, there is still work to be done to achieve equality and greater representation in the legal profession. Through her steadfast leadership, Goosmann continues to pave the way for future generations and inspire change. This segment is now available on participating Public Television Networks nationwide. https://www.viewpointproject.com/features-postidd504cc2c/ About Goosmann Law Firm Goosmann Law Firm is the largest certified woman-owned law firm in the Midwest. With locations in Omaha, Nebraska, Sioux City, Iowa, Spirit Lake, Iowa, and Sioux Falls, South Dakota. Their areas of practice include Business, Estates, Employment, Disputes and Trials. To learn more visit their website at www.GoosmannLaw.com. Disclaimer Attorney Adverting. Prior results do not guarantee a similar outcome. Non-attorney spokesperson. Goosmann Law Firm associates with local firms in states where it does not maintain an office. Goosmann Law Firm attorneys are licensed in Iowa, Nebraska, South Dakota, and Minnesota. View source version on businesswire.com: https://www.businesswire.com/news/home/20230814624475/en/ [ Back To TMCnet.com's Homepage ] [August 15, 2023] MONAT Global Donates Over $300,000 to Youth Education Programs From Sales of Too Grateful Feet Kit Tweet Global healthy-aging haircare, skincare and wellness innovator, MONAT Global Corp (MONAT), raised more than $300,000 for youth education programs through sales of the brand's Too Grateful Feet Kit. 100% of the net proceeds will be donated through MONAT's philanthropic arm, MONAT Gratitude Foundation, with beneficiaries including Big Brothers Big Sisters of America and Big Brothers Big Sisters of Canada. This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230815048555/en/ Big Brothers Big Sisters of America, a national nonprofit with a mission to create and support one-to-one mentoring relationships that ignite the power and promise of youth, is one of the beneficiaries of MONAT's Too Grateful Feet Kit campaign. (Photo: Business Wire) Included in the Too Grateful Feet Kit is the More than a Foot Scrub by MONAT , an invigorating foot scrub enriched with natural exfoliants, sugar crystals, vitamin E, and peppermint oil, that exfoliates, softens, moisturizes and soothes tired feet. The set also includes a Pedicure Brush to sweep away dead skin and a Perfect On-the-Go Travel Cosmetic Bag to keep all your essentials in one place. "We are humbled and incredibly proud to see the overwhelming success of the Too Grateful Feet Kit campaign," said Lu Urdaneta, MONAT Global chief culture officer and MONAT Gratitude Foundation CEO. "The generosity and support of our Market Partners and customers have allowed us to make a significant impact on the lives of young individuals through our partnership with Big Brothers Big Sisters of America and Big Brothers Big Sisters of Canada. We believe that investing in the education and mentorship of young people is one of the most powerful ways to create a brighter future." The MONAT Gratitude Foundation is committed to supporting nonprofit organizations in the thre areas of children, education and families. In 2022 alone, MONAT Gratitude Foundation donated more than $600,000 to more than 40 youth education programs across the globe. "At Big Brothers Big Sisters, we believe in the transformative power of mentorship, and this partnership with the MONAT Gratitude Foundation exemplifies how partners can be true catalysts for meaningful impact," said Deborah Barge, Chief Development Officer of Big Brothers Big Sisters of America. "With MONAT's support, we are empowering youth on their path to a Big Future." Follow MONAT on Instagram, Twitter, Facebook, Pinterest and YouTube. Additional information about the company can be found at its website, www.monatglobal.com. Follow MONAT Gratitude Foundation on Facebook and Instagram. More information about the efforts and philosophy behind Gratitude can be found at http://monatgratitude.com. About MONAT Global MONAT Global is an international healthy aging haircare, skincare and wellness brand founded to help people everywhere enjoy beautiful, healthy, fulfilling lives through exceptional, naturally based products; a fun and rewarding business opportunity; and a culture of family, service, and gratitude. Founded in 2014 and headquartered in Miami, Florida, MONAT provides ground-breaking opportunities through a novel Social Marketing approach to Direct Sales. MONAT's product development is led by Chief Science Officer Alan J. Meyers and supported by a Scientific Advisory Board comprised of eminent professionals in medicine, cosmetics, haircare, science and health. MONAT offers a unique and exciting business model and one of the most generous compensation plans in the U.S., Canada, the U.K., Ireland, Australia, Spain, Lithuania, New Zealand and Poland. About MONAT Gratitude Foundation MONAT Gratitude Foundation is a 501(c)(3) nonprofit organization formally established in 2020 in South Florida. Initially founded as a movement in 2014, MONAT Gratitude Foundation partners with innovative nonprofit organizations in communities around the world that support efforts under its three pillars: families, children, and education. Leveraging a global network of community leaders, MONAT Global distributors, and partnerships with local organizations, MONAT Gratitude Foundation champions meaningful community service across the globe - bringing to life the foundation's global vision with a local impact in the U.S., Canada, the U.K., Ireland, Poland, Lithuania, Spain, New Zealand and Australia. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815048555/en/ [ Back To TMCnet.com's Homepage ] [August 16, 2023] Ronesans Holding Spearheads Construction of 286km Electric Railway in Southern Turkiye, Boosting UK-Turkiye Export and Sustainable Transportation Tweet ISTANBUL, Aug. 16, 2023 /PRNewswire/ -- Ronesans Holding announces its commitment to the construction of a transformative 286km electric railway network in southern Turkiye, connecting major cities through a high-speed, low-carbon route. This landmark project, authorised by the Turkish Ministry of Transport, will not only bolster international relations and the volume of export between Turkiye and the UK but also contribute significantly to the region's economic growth and environmental sustainability. Operating at speeds of up to 200 km/h, this high-speed rail link will reduce travel time from Gaziantep to Mersin from six hours to two hours the second largest container port in Turkiye and a thriving city of over one million people by four hours, with the improved transportation connectivity expected to generate a significant economic boost to the area. With a presence in over 30 countries and a successful track record of delivering more than 40bn of complex and large-scale infrastructure projects worldwide, with over 70% of its revenues generated from international business outside Turkiye, Ronesans Holding brings a wealth of expertise and experience to this ambitious railway endeavour. The project is the latest in the company's long history of successful strategic partnerships from all around the world, having worked with partners and shareholders including Government of Singapore Investment Corporation (GIC), Meridiam, a Paris-based infrastructure fund, Japanese trading house Sojitz Corporation, and International Finance Corporation (IFC), of the World Bank Group which is a shareholder in Ronesans, and Samsung CT, among others. Leveraging its global network of over 30,000 professionals, the company is well-positioned to implement the cutting-edge solutions and innovative technologies needed to construct and operate a high-speed electrified railway in an area known to experience seismic activity. Dr. Erman Ilicak, President of Ronesans Holding, expressed his enthusiasm for the project, stating, "This railway line is a hugely exciting development for southern Turkiye and will also see Ronesans strengthen its relationships with key UK suppliers. We are delighted to be appointed to the project, and to work togeher with such prolific UK businesses to bring this high-speed electrified railway to life. This truly collaborative project will not only enhance our relationship with UK exporters, it will also revolutionise rail links in Turkiye, significantly enhancing the region's industrial connectivity and trade while actively reducing negative environmental impact." The financing for this project has been supported by the UK government's export credit agency, UK Export Finance (UKEF), which has underwritten 781m of funding (equivalent to 680m) of the 923 million total project financing. The loan was provided by a number of global financial institutions, including JP Morgan, ING, and BNP Paribas. This pan-European deal also includes involvement from Italian and Austrian export credit agencies, SACE and OeKB, who will be providing the necessary reinsurance to secure the project for Turkish rail infrastructure. UKEF's support for the railway project opens new multimillion-pound export contract opportunities for collaboration between UK suppliers and Ronesans Group. Discussions are already underway to negotiate contracts for essential components, including signalling equipment, ESG consultancy services, and mechanical components. The project's success is aligned with the growth in national production and construction outputs experienced this year, promising even greater prospects for UK exporters. This ambitious railway project will play a crucial role in Turkiye's efforts to achieve its environmental goals and increase high-speed railway coverage in the country to 10,000km. Spanning a distance greater than that between Cardiff and London, the railway will enable faster and more efficient travel, benefitting regional infrastructure and growth. It will also establish strategic connections with airports, ports, and industrial zones in the hinterlands, including many major cities like Adana and Gaziantep, as well as larger cities in Southeastern Anatolia such as Hatay, Diyarbakir, and Sanliurfa, and also Central Anatolia. The electric railway will replace the current diesel locomotive-operated railway, providing a lower-carbon and more sustainable alternative between Mersin and Gaziantep. Project forecasts indicate that the completed route will save an impressive 157,000 tonnes in CO2e emissions in its first year alone. By reducing travel time and increasing the usage of railways, it will alleviate traffic congestion and accidents on highways, while promoting a much more sustainable transportation system by reducing carbon emissions. The project also plays a significant part in the wider reconstruction efforts following the devastating twin earthquakes that struck Turkiye in February 2023. By contributing to the rebuilding of Gaziantep, Osmaniye, and other areas severely impacted by the disaster, the railway will aid in the region's recovery and growth. Under the leadership of Dr. Erman Ilicak, the President, and Ipek Ilicak Kayaalp, the Chairperson of the Board of Directors, this is of particular importance to Ronesans, who played a key role in the disaster relief efforts, and remain committed to the cause collaborating with UNICEF and UNFPA on active projects to aid the areas and people affected. Ronesans Holding is dedicated to fostering sustainable and innovative transportation solutions, and this high-speed electrified railway is a testament to its commitment to environmental stewardship and economic progress. About Ronesans Holding Ronesans Holding, headquartered in Ankara, is the 24th largest international contracting company globally and the 8th largest in Europe with international operations in 30 countries across Europe, Central Asia, the Middle East and Africa - including Ballast Nedam in the Netherlands and Heitkamp in Germany, Ronesans has been operating as the main contractor and investor successfully for 30 years across construction, real estate development, energy, healthcare and industrial facilities. Putting resilience and growth through innovation at the core of the company, with a priority on sustainability and social development, Ronesans has developed projects supporting students with scholarships, academic platforms and initiatives; been a signatory of the UN Global Compact since 2015; and a signatory of the UN Women's Empowerment Principles since 2016. Along with its partners GIC, Meridiam Infrastructure, Sojitz, Samsung, and IFC, of the World Bank Group (minority shareholder in the group), Ronesans has invested more than EUR7 billion into pioneering projects in Turkiye. Ceren Yuksel, +905382540053, [email protected] Photo - https://mma.prnewswire.com/media/2186432/Ronesans_Holding.jpg Logo - https://mma.prnewswire.com/media/2186431/Ronesans_Holding_Logo.jpg View original content:https://www.prnewswire.co.uk/news-releases/ronesans-holding-spearheads-construction-of-286km-electric-railway-in-southern-turkiye-boosting-uk-turkiye-export-and-sustainable-transportation-301901383.html [ Back To TMCnet.com's Homepage ] The reports about the Kremlin allegedly preparing explosions at the Zaporizhzhya and Kursk nuclear power plants, which were circulating online on August 16, are an attempt by Russia to sow panic among Ukrainians. There is no real threat of Russian provocations at the Zaporizhzhya and Kursk nuclear power plants; if the danger grows, the competent authorities will certainly notify Ukrainians about it. ADVERTISIMENT This was stated by the Main Directorate of Intelligence. The Center for Strategic Communications and Information Security under the Ministry of Culture and Information Policy urged Ukrainian citizens not to trust the scary scenarios launched through anonymous Telegram channels with unknown administrators. The military intelligence assured that the threat of provocations by the occupants at the ZNPP is currently minimal. However, the Russians, seeking to cause panic among Ukrainians, deliberately threw fake information into the information space about the alleged preparation of an explosion at Europe's largest nuclear power plant. "As for a possible provocation by Russians at ZNPP, there is no danger now. The Russian informational and psychological operation is actively working, they are trying to sow panic, to scare Ukrainians. Of course, in case of increased probability of provocations, as before, the Main Directorate of Intelligence, the President and other special services will definitely warn. But now you should not worry", - noted in the Main Directorate of Intelligence of the Ministry of Defense. ADVERTISIMENT Refuted the "apocalyptic" scenarios from the network and the head of the Zaporizhzhya regional military-civil administration Yuri Malashko. "So far, the information that is spread in the media regarding some planned terrorist attack by Russian occupants at the Zaporizhzhya nuclear power plant is not confirmed. It does not correspond to reality. Why the Ukrainian media are spreading Russian narratives and sowing panic in society is an open question. I hope that the answer to it will be given by the competent services in the near future," Malashko emphasized. The Center for Strategic Communications and Information Security of the Ministry of Culture and Information Policy urged not to trust anonymous sources, which may be administered from Moscow. They emphasized that the threat of provocations at ZNPP has existed since the first day when it was seized by Russian occupants. And it was not a secret for anyone. The Main Directorate of Intelligence of the Ministry of Culture and Information Policy, in particular, repeatedly warned about the increased risks. ADVERTISIMENT "Insider" that Russian President Vladimir Putin allegedly ordered to blow up ZNPP and even set a specific date has been made public by an anonymous Russian Telegram channel positioning itself as "opposition" and engaged in publishing high-profile "insides" and conspiracy theories. "Such channels cannot be a reliable source of information, moreover, they can be administered by the Russian intelligence services. Trust only official sources of information and observe information hygiene," the statement said. ADVERTISIMENT We shall remind you that earlier State Nuclear Regulatory Inspectorate stated about the deterioration of the situation at Zaporizhzhya NPP. Due to incompetent and irresponsible actions of the occupants there was an incident with water leakage: the integrity of one of the barriers to the spread of radiation into the environment was broken. [August 15, 2023] Federman & Sherwood Investigates New York Life Insurance Company for Data Breach Tweet The law firm of Federman & Sherwood has initiated an investigation into New York Life Insurance Company with respect to their recent data breach. On August 11, 2023, New York Life Insurance Company notified individuals that the company experienced a data breach after an unauthorized party accessed sensitive consumer data entrusted to the company. The breach was discovered on June 6, 2023. According to New York Life Insurance Company, they determined that information moved through file transfer tool, MOVEit Transfer may have been subject to unauthorized access between May 29, 2023 and May 30, 2023.New York Life Insurance Company determined that the information exposed in the data breach includes: name and Social Security number. If you wish to discuss this action, obtain further information, and/or participate in this litigation, please contact Lacrista A. Bagley either by email at [email protected] or visit our firm's website www.federmanlaw.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815754260/en/ [ Back To TMCnet.com's Homepage ] [August 15, 2023] FRMO Corp. Announces Results for Fiscal 2023 and Annual Meeting Tweet FRMO Corp. (the "Company" or "FRMO") (OTC Pink: FRMO) today reported its financial results for the fiscal year 2023 ended May 31, 2023. Financial Highlights FRMO's total book value as of May 31, 2023 was $284.1 million ($6.45 per share on a fully diluted basis), including $114.8 million of non-controlling interests. Book value for the same period, excluding the non-controlling interests was $169.3 million ($3.85 per share). This compares with total book value at the prior fiscal year end on May 31, 2022 of $314.3 million ($7.14 per share), including $132.9 million of non-controlling interests. Book value for the same period, excluding the non-controlling interests was $181.4 million ($4.12 per share). Current assets, comprised primarily of cash and equivalents and equity securities, amounted to $201.3 million as of May 31, 2023, and $223.8 million as of May 31, 2022. Total liabilities were $19.4 million as of May 31, 2023, and $23.9 million as of May 31, 2022, comprised primarily of securities sold, not yet purchased and deferred taxes. Annual net (loss) income attributable to the Company in the fiscal year ended May 31, 2023 was $(12,603,972) ($(0.29) per diluted share) compared to $2,945,877 ($0.07 per diluted shares) in 2022. For the three months ended May 31, 2023, FRMO's net (loss) income attributable to the Company was $(20,050,797) ($(0.46) per diluted share) compared to $14,343,798 ($0.33 per diluted share) a year earlier. Net income attributable to the Company excluding the effect of unrealized (loss) gain from equity securities net of taxes for the three months ended May 31, 2023 was $(7,197,926) ($(0.16) per diluted share) compared to $4,014,188 ( $0.09 per diluted share) for the three months ended May 31, 2022. For the year ended May 31, 2023 this figure was $(6,382,222) ($(0.14) per diluted share) and $(1,621,363) ($(0.04) per diluted share) in the previous year. Net income (loss) attributable to the Company excluding the effect of unrealized gain (loss) from equity securities net of taxes is a measure not based on GAAP and is defined and reconciled to the most directly comparable GAAP measures in "Information Regarding Non-GAAP Measures" at the end of this release. Valuation of securities and cryptocurrencies are subject to change after May 31, 2023. The market value of several securities and cryptocurrencies might have changed substantially since that date. We look forward to finding new ways to expand our cryptocurrency mining operations. As of May 31, 2023 and May 31, 2022, the Company held a 21.80% and 21.88% equity interest in Horizon Kinetics Hard Assets LLC ("HKHA"), a company formed by Horizon Kinetics LLC and certain officers, principal stockholders, and directors of FRMO Corp. ("the Company"). Due to the common control and ownership between HKHA and the Company's principal stockholders and directors, HKHA has been consolidated within the Company's financial statements. The noncontrolling interest of 78.20% and 78.12% in HKHA has been eliminated from results of operations for the periods ended May 31, 2023 and May 31, 2022. Further details are available in the Company's Consolidated Financial Statements for the fiscal year ended May 31, 2023. These statements have been filed on the OTC Markets Group Disclosure and News Services, which may be accessed at www.otcmarkets.com/stock/FRMO/filings. These documents are also available on the FRMO website at www.frmocorp.com. Annual Meeting Murray Stahl, Chairman and CEO, and Steven Bregman, President and CFO, will host the 2023 Annual Meeting of Shareholders ("Meeting") on Thursday, September 7, 2023, at 3 p.m. Eastern Time, to be held at The Union League Club (The Mary Murray Room) at 38 East 37th Street and online via live webcast at: https://www.virtualshareholdermeeting.com/FRMO2023. Admission to the FRMO in person Annual Meeting is limited to stockholders who owned Common Stock as of the close of business on July 24, 2023, the record date, or their duly appointed proxies, and one guest. Proof of ownership of FRMO stock and valid government-issued photo identification must be presented to be admitted to the Annual Meeting. Each guest must also present valid government-issued photo identification. If your shares are held in the name of a bank, broker, or other holder of record, you must bring a brokerage statement or other proof of ownership (or the equivalent proof of ownership as of the close of business on the record date of the stockholder who granted you the Proxy). If your shares are held in certificate form, ownership will be verified by consulting the list of Registered Shareholders as of the record date. Registration will begin at 2:00 p.m. No cameras, recording equipment, electronic devices, large bags, briefcases, or packages will be permitted in the Annual Meeting. Dress code for the Union League Club is strictly enforced by the Club's staff. For men: Traditional business attire, jacket is required for all men and equally formal attire for women. Condensed Consolidated Balance Sheets (in thousands) May 31, May 31, 2023 2022 Assets Current Assets: Cash and cash equivalents $ 38,077 $ 33,289 Equity securities, at fair value 159,729 187,386 Other current assets 3,539 3,083 Total Current Assets 201,346 223,758 Investment in limited partnerships and other equity investments, at fair value 70,656 82,630 Investments in securities exchanges 4,869 4,815 Other assets 1,815 2,177 Investment in Horizon Kinetics LLC 14,608 14,702 Participation in Horizon Kinetics LLC revenue stream 10,200 10,200 Total Assets $ 303,494 $ 338,282 Liabilities and Stockholders' Equity Current Liabilities: Securities sold, not yet purchased $ 2,353 $ 2,573 Other current liabilities 354 212 Total Current Liabilities 2,707 2,785 Deferred Tax Liability 16,004 20,470 Mortgage payable 669 700 Total Liabilities 19,379 23,955 Stockholders' Equity: Stockholders' Equity Attributable to the Company 169,283 181,409 Noncontrolling interests 114,832 132,919 Total Stockholders' Equity 284,114 314,327 Total Liabilities and Stockholders' Equity $ 303,494 $ 338,282 (Components may not sum to totals due to rounding) Condensed Consolidated Statements of Income (Loss) (amounts in thousands, except share data) Three Months Ended Years Ended May 31, May 31, May 31, May 31, 2023 2022 2023 2022 (Unaudited) Revenue: Fees $ 737 $ 873 $ 3,222 $ 4,678 Equity (losses) earnings from limited partnerships and limited liability companies (685 ) 625 1,614 653 Unrealized (losses) gains from investments (12,614 ) 3,502 (13,661 ) (5,576 ) Other 402 (536 ) 4,046 141 Total revenue before unrealized (losses) gains from equity securities (12,159 ) 4,464 (4,779 ) (104 ) Unrealized (losses) gains from equity securities (52,374 ) 41,175 (28,368 ) 13,978 Total Revenue (64,533 ) 45,638 (33,147 ) 13,874 Total Expenses 343 250 1,837 1,380 (Loss) Income from Operations before (Benefit from) Provision for Income Taxes (64,877 ) 45,388 (34,984 ) 12,493 (Benefit from) Provision for Income Taxes (6,075 ) 257 (3,222 ) (774 ) Net (Loss) Income (58,802 ) 45,131 (31,762 ) 13,267 Less net (loss) income attributable to noncontrolling interests (38,751 ) 30,787 (19,158 ) 10,321 Net (Loss) Income Attributable to FRMO Corporation $ (20,051 ) $ 14,344 $ (12,604 ) $ 2,946 Diluted Net (Loss) Income per Common Share $ (0.46 ) $ 0.33 $ (0.29 ) $ 0.07 Weighted Average Common Shares Outstanding Basic 44,022,781 44,017,781 44,021,069 44,016,014 Diluted 44,022,781 44,034,588 44,021,069 44,034,813 (Components may not sum to totals due to rounding) About FRMO Corp. FRMO Corp. invests in and receives revenues based upon consulting and advisory fee interests in the asset management sector. FRMO had 44,022,781 shares of common stock outstanding as of May 31, 2023. For more information, visit our website at www.frmocorp.com. Safe Harbor Statement Under the Private Securities Litigation Reform Act of 1995 - With the exception of historical information, the matters discussed in this press release are forward-looking statements that involve a number of risks and uncertainties. Words like "believe," "expect" and "anticipate" mean that these are our best estimates as of this writing, but that there can be no assurances that expected or anticipated results or events will actually take place, so our actual future results could differ significantly from those statements. Factors that could cause or contribute to such differences include, but are not limited to: our ability to maintain our competitive advantages, the general economics of the financial industry, our ability to finance growth, our ability to identify and close acquisitions on terms favorable to the Company, and a sustainable market. Further information on our risk factors is contained in our quarterly and annual reports as filed on our website www.frmocorp.com and on www.otcmarkets.com/stock/FRMO/filings. Information Regarding Non-GAAP Measures Net income attributable to the Company excluding the effect of unrealized gain (loss) from equity securities is net income attributable to the Company exclusive of unrealized gains (losses) from equity securities, net of tax. Net income attributable to the Company is the GAAP measure most closely comparable to net income attributable to the Company excluding the effect of unrealized gain (loss) from equity securities. Management uses net income attributable to the Company excluding the effect of unrealized gain (loss) from equity securities, along with other measures, to gauge the Company's performance and evaluate results, which can be skewed when including unrealized gain (loss) from equity securities, which may vary significantly between periods. Net income attributable to the Company excluding the effect of unrealized gain (loss) from equity securities is provided as supplemental information, and is not a substitute for net income attributable to the Company and does not reflect the Company's overall profitability. The following table reconciles the net income attributable to the Company excluding the effect of unrealized gain (loss) from equity securities to net income attributable to the Company for the periods indicated: Three Months Ended Three Months Ended Years Ended Yeras Ended May 31, 2023 May 31, 2022 May 31, 2023 May 31, 2022 (Unaudited) (Unaudited) Amount Diluted earnings per common share Amount Diluted earnings per common share Amount Diluted earnings per common share Amount Diluted earnings per common share (000's except per common share amounts and percentages) Net (Loss) Income Attributable to the Company Excluding the Effect of Unrealized (Loss) Gain from Equity Securities and Diluted Earnings per Common Share Reconciliation: Net (loss) income attributable to the Company $ (20,051 ) $ (0.46 ) $ 14,344 $ 0.33 $ (12,604 ) $ (0.29 ) $ 2,946 $ 0.07 Unrealized (loss) gain from equity securities (52,374 ) 41,175 (28,368 ) 13,978 Unrealized (loss) gain from equity securities attributable to noncontrolling interests (39,059 ) 30,543 (21,907 ) 9,397 Unrealized (loss) gain from equity securities attributable to the Company (13,315 ) 10,632 (6,461 ) 4,580 Tax benefit (provision) on unrealized (loss) gain from equity securities attributable to the company 463 (302 ) 240 (13 ) Unrealized (loss) gain from equity securities attributable to the Company, net of taxes (12,853 ) $ (0.29 ) 10,330 $ 0.24 (6,222 ) $ (0.14 ) 4,567 $ 0.10 Net (loss) income attributable to the Company excluding the effect of unrealized (loss) gain from equity securities $ (7,198 ) $ (0.16 ) $ 4,014 $ 0.09 $ (6,382 ) $ (0.14 ) $ (1,621 ) $ (0.04 ) Weighted average diluted shares outstanding 44,022,781 44,034,588 44,021,069 44,034,813 (Components may not sum to totals due to rounding) View source version on businesswire.com: https://www.businesswire.com/news/home/20230815895513/en/ [ Back To TMCnet.com's Homepage ] [August 15, 2023] Federman & Sherwood Investigates Milliman, Inc for Data Breach Tweet The law firm of Federman & Sherwood has initiated an investigation into Milliman, Inc with respect to their recent data breach. On August 14, 2023, MILLIMAN, INC notified individuals that the company experienced a data breach after an unauthorized party accessed sensitive consumer data entrusted to the company. The breach was discovered on July 21, 2023. According to MILLIMAN, INC, they determined that information moved through file transfer tool, MOVEit Transfer may have been subject to unauthorized access between May 29, 2023 and May 30, 2023. MILLIMAN, INC determined tha the information exposed in the data breach includes: name and Social Security number. If you wish to discuss this action, obtain further information, and/or participate in this litigation, please contact Lacrista A. Bagley either by email at [email protected] or visit our firm's website www.federmanlaw.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230815941623/en/ [ Back To TMCnet.com's Homepage ] [August 15, 2023] Gallant Capital Partners Invests in Cybersecurity Firms DynTek and rSolutions to Support Growth and Innovation Tweet Paul Kerr appointed as CEO of both companies LOS ANGELES, Aug. 15, 2023 /PRNewswire/ - Gallant Capital Partners ("Gallant"), a Los Angeles-based investment firm, announced that it has completed a strategic growth investment in DynTek, Inc. ("DynTek"), a US-based professional IT services, cybersecurity, and risk management organization, and rSolutions Corporation ("rSolutions"), a Canadian-based cybersecurity firm. Gallant's investment in both companies will support accretive growth through further innovation and development of technical offerings and cybersecurity services, operational enhancements that drive customer experience, and strategic acquisitions. Gallant's investment was made in partnership with DynTek CEO Tim Montgomery, rSolutions CEO Richard Baker, and additional sellers and members of both executive leadership teams who collectively will remain material owners of the combined business. This represents the first investment made out of Gallant's second fund, Gallant Capital Partners II. As part of the investment, Gallant will unify both organizations under a single leadership structure and investment umbrella. The combined entities also announced that Paul Kerr has joined to serve as Chief Executive Officer to execute the combined strategic business goals. Kerr is a dynamic and seasoned executive with over 25+ years of experience in the information technology industry. Paul founded Scalar Decisions in 2004 and grew Scalar to over C$250M revenue before it was acquired by CDW in 2019. "I'm looking forward to embarking on this new chapter as CEO and working with the teams at DynTek, rSolutions, and Gallant" stated Mr. Kerr. "With decades of experience, both DynTek and rSolutions have firmly established themselves as market leaders, underlined by enduring client relationships, strategic top-tier partnerships, and an unparalleled team of technical professionals," stated Jon Gimbel, Partner at Gallant. "We are excited to partner with them and Paul to help foster the next phase of accelerated growth for which they are well positioned. Both organizations have developed a distinct market differentiation with state-of-the-art Security Operations Centers, which fortify clients across diverse industries against security threats, enabling them to detect, contain, and swiftly eradicate potetial risks. Together, we are set to unlock new opportunities, further market expansion, and continue to drive growth in the high-demand cybersecurity market," added Anthony Guagliano, Partner at Gallant. "We are thrilled to join forces with Gallant in this transformative partnership, setting the stage for unparalleled growth, development, and an extended footprint in serving our valued clients," said Mr. Montgomery. "The Gallant team brings a tremendous amount of industry, operational, and strategic expertise that will significantly bolster our ability to accelerate our already strong growth trajectory." "We've been working on this for the past several months and with every passing day the sense of optimism surrounding our team has only grown," said Mr. Baker, CEO and Founder of rSolutions. "We are excited for the opportunities to provide cybersecurity solutions for a broader set of end markets, businesses and individuals through our services, leveraging the great strength of our partners to become a leading Managed Security Services Provider (MSSP) in North America." DC Advisory served as exclusive financial advisor to Gallant. B. Riley Securities, Inc. served as exclusive financial advisor to DynTek. Royal Bank of Canada, Mid-Market M&A served as exclusive financial advisor to rSolutions. About DynTek As a national systems integrator and risk management partner, DynTek delivers exceptional, cost-effective professional IT consulting services, end-to-end IT solutions, managed IT services, and IT product sales to state and local government, educational, healthcare and enterprise customers in the largest IT markets nationwide. Our broad range of technical expertise and vendor partnerships allow us to deliver solutions that support digital business transformation including IT Security, Managed Security Services, Microsoft, Digital Infrastructure, Modern Workplace, Data Center and Cloud solutions. With a team of certified experts, DynTek empowers organizations to achieve their IT goals, drive innovation, and maximize their technology investments. For more information, visit http://www.dyntek.com. About rSolutions rSolutions delivers managed cybersecurity services, product sales and cybersecurity professional services to clients in many industry sectors including financial services, telecommunications, energy, government and retail from its owned and operated Security Operations Centres (SOCs) in Canada. rSolutions SOC offers a 24/7/365 fully Managed Security Services including Extended Detection and Response (XDR), Endpoint Detection and Response (EDR), managed Security Information & Event Monitoring (SIEM), Vulnerability Management Program services Security Awareness Training Services. For more information please visit: https://rSolutions.com About Gallant Capital Partners Gallant Capital Partners is a private equity firm that invests in technology, business services, and industrial companies. Gallant executes on an operationally focused investment strategy with a priority on partnering closely with companies that can benefit from its extensive industry relationships and operating expertise. The firm partners with owners, founders, and management teams to maximize value and drive long-term, sustainable growth for its portfolio companies. Gallant was founded in 2018 and is headquartered in Los Angeles. For more information, visit www.gallantcapital.com. View original content:https://www.prnewswire.com/news-releases/gallant-capital-partners-invests-in-cybersecurity-firms-dyntek-and-rsolutions-to-support-growth-and-innovation-301901691.html SOURCE rSolutions Corporation [ Back To TMCnet.com's Homepage ] [August 15, 2023] Singapore Air Charter Soars into New Financial Horizons With Innovative Payment Solutions Tweet Introducing Flexible Instalment Plans and Acceptance of Cryptocurrency Payments to Enhance Customer Accessibility and Convenience SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- Singapore Air Charter Pte Ltd , a global premium aviation industry leader, announces its latest venture into innovative payment solutions, aiming to make its executive passenger and freight services more accessible and customer-centric. As a part of this innovative stride, Singapore Air Charter is introducing flexible instalment plans, offering an alternative to the traditional lump-sum payment option. This initiative is tailored to ensure that customers have even smoother and more convenient access to the premium services offered by the aviation leader. "Singapore Air Charter has always prided itself on providing bespoke aviation services with a customer-first approach. Our new payment solutions will provide our clients with an easy, flexible way to access our premium services," said Cheston Hong, Director of Charter. "Our customers are at the heart o everything we do, and these innovative payment solutions are the result of us listening to their needs and responding in a way that enhances their experience." Furthermore, Singapore Air Charter is extending its payment portfolio to include cryptocurrency, recognising the digital currency's increasing adoption and flexibility. This move demonstrates the company's commitment to staying in tune with global financial trends and catering to the needs of a new, digitally-savvy generation of clients. "We've seen an increase in clients who appreciate cryptocurrency's flexibility and potential anonymity," Cheston added. "We're excited to cater to this trend and provide a multitude of payment options to our clients, thereby making our premium services more accessible to a broader audience." Singapore Air Charter's foray into cryptocurrency and flexible payment plans further underscore their commitment to innovation and customer satisfaction. These initiatives are a testament to the company's dedication to remaining at the aviation industry's forefront. About Singapore Air Charter Pte Ltd: Established in 2010, Singapore Air Charter is a Singapore-based aircraft charter company specialising in private jets , group charters , and cargo . The company prides itself on designing and implementing executive passenger and air freight transportation solutions and developing and optimising operations to provide strategic global air-cargo requirements for international and local organisations. View original content:https://www.prnewswire.com/apac/news-releases/singapore-air-charter-soars-into-new-financial-horizons-with-innovative-payment-solutions-301900469.html SOURCE Singapore Air Charter Pte Ltd [ Back To TMCnet.com's Homepage ] [August 15, 2023] Green Fintech MVGX Launches Dedicated Subsidiary for Carbon SaaS Products and Services, Ex-BSI Exec to Lead Tweet MVGX Tech will focus on offering Carbon Software-as-a-Service (SaaS) products and services designed to accelerate public and private sector clients' decarbonisation journeys Existing Carbon SaaS offerings have been consolidated into the 'Carbon Connect Suite' New business unit will be led by fintech, ISO standard certification, and sustainability veteran Frank Zhang , former managing director for Greater China at the British Standards Institution (BSI) SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- MVGX Holdings ("MVGX"), a Singapore-based green fintech group providing regulated financial services and end-to-end carbon Software-as-a-Service solutions for a more inclusive and sustainable future, announced the establishment of a new subsidiary, MVGX Tech, dedicated to Carbon SaaS products and services. Frank Zhang, a fintech, ISO standard certification, and sustainability veteran is assuming the leadership role of president of MVGX Tech. MVGX Tech's selection of Carbon SaaS offerings, focusing on carbon measurement, reporting, and verification ("MRV"), decarbonisation rating, carbon credit development and issuance, carbon credit listing and registry, and carbon credit trading infrastructure, have been consolidated into the 'Carbon Connect Suite'. The system will provide a holistic, end-to-end suite of products, software, and services, that enable corporations, governments, and institutions to meet their sustainability commitments. Commenting on the formation of the subsidiary, Frank Zhang, the newly-appointed President of MVGX Tech, said: "The increasing severity of the climate crisis has shown organisations of all sizes across the globe that we need to take immediate action in the fight against climate change. Making decarbonisation commitments is one thing, but having the right tools, data, and expertise to verifiably back them up is another. It is MVGX Tech's goal to enable companies to effectively measure, manage, and report their carbon footprint and to achieve their carbon reduction targets while meeting evolving regulatory requirements and shareholder demands for greater climate responsibility." MVGX Tech's Carbon Connect Suite covers all three scopes of emissions, enabling companies to manage their carbon balance sheet while giving thema more transparent and thorough understanding of their sustainability journeys. Critically, it enables companies to comply with emerging regulatory requirements such as mandatory disclosures as part of the European Union's Carbon Border Adjustment Mechanism (CBAM). The Carbon Connect Suite can also help companies to develop carbon credit projects and provide carbon credit issuance and listings services while also providing carbon credit registry and trading capabilities. Developed in partnership with globally-recognised ISO certification bodies, such as BSI and TUV-SUD, the Carbon Connect Suite ensures the utmost efficiency, rigour, and credibility in carbon calculation consistent with international standard requirements. Additionally, the Carbon Connect Suite is distinguished by its technology which provides verifiable, high-integrity data as its foundation. This is enabled by MVGX Tech's proprietary Non-Fungible Digital Twin (NFDT) which provides an auditable, transparent trail of all transactions and associated data pertaining to carbon footprint and carbon credits. Dr. Bo Bai, Executive Chairman and Co-Founder of MVGX, said: "For the past few years, we've built up our technology capabilities, expertise, and infrastructure across the entire decarbonisation value chain. The formation of MVGX Tech is a culmination of that work as we continue to formalise and strengthen our offerings in the Carbon SaaS space. With his expertise in bringing technology solutions to market, I'm thrilled to have Frank leading the team during this exciting period of growth, especially as the demand for sustainability reporting solutions intensifies in the market." Frank holds over 20 years of experience in business strategy, technology management, and advisory services across the United States and the Asia Pacific markets. Most recently, he served as the Managing Director of Greater China at BSI. Frank was responsible for the overall organisation and its business growth, partnerships, and government relations in the Greater China market. He has held various leadership positions in companies in information technology, banking, insurance, management consulting, and marketing industries across the US and China. These companies include BSI, IBM, Citibank, Bank of China, Capgemini, Ernst & Young, and Dentsu International. ### About MVGX Holdings ("MVGX") Founded in 2018 and headquartered in Singapore, MVGX Holdings ("MVGX") is a leading green fintech group providing regulated financial services and end-to-end carbon Software-as-a-Service solutions for a more inclusive and sustainable future. Compliant by design, MVGX's subsidiaries hold licenses from the Monetary Authority of Singapore including the Recognised Market Operator license, Capital Market Services license, and Major Payment Institution license. Enabled by its proprietary Carbon SaaS software and products, its digital green exchange for voluntary carbon credits and asset-backed securities and tokens, and an impact investment arm for green technologies and infrastructure, MVGX strives to be a key partner for its clients' decarbonisation journeys through the stages of define, measure, mitigate, offset, certify, and finance. About MVGX Tech Pte. Ltd. MVGX Tech is a Singapore-based Carbon Software-as-a-Service (SaaS) company that aims to empower corporations, governments, and institutions to take action at every stage of their decarbonisation journeys. Consolidated under the Carbon Connect Suite, MVGX Tech's products, services, and software focus on carbon measurement, reporting, and verification ("MRV") as well as decarbonisation rating, carbon credit development and issuance, carbon credit listing and registry, and carbon credit trading. Carbon Connect Suite is powered by MVGX Tech's proprietary blockchain-enabled technologies including its Non-Fungible Digital Twin (NFDT) and Digital Carbon Credit (CNT). Media Contact (MVGX) [email protected] View original content:https://www.prnewswire.com/apac/news-releases/green-fintech-mvgx-launches-dedicated-subsidiary-for-carbon-saas-products-and-services-ex-bsi-exec-to-lead-301901708.html SOURCE MVGX Holdings [ Back To TMCnet.com's Homepage ] [August 15, 2023] LG SUGGESTS SUSTAINABLE LIFESTYLE WITH LG SMART COTTAGE AT IFA 2023 Tweet Offering Energy Efficiency, Comfort and Convenience, LG's Innovative Housing Solution Seamlessly Merges Space with Smart Home Appliances and Services SEOUL, South Korea, Aug. 16, 2023 /PRNewswire/ -- LG Electronics (LG) is set to unveil its housing solution, LG Smart Cottage, at IFA 2023. The Smart Cottage is a compact, prefabricated home incorporating LG's cutting-edge heating, ventilation and air conditioning (HVAC) and energy solutions, as well as its newest smart home appliances and services. Blending premium appliances and convenient services with a space constructed using the latest in modular housing technologies and techniques, LG Smart Cottage introduces a new paradigm in residential living. Designed with sustainability in mind, and aligned with LG's vision of a Better Life for All, the Smart Cottage features a two-story, studio-style layout that provides a functional, convenient living environment and maximum space efficiency. The modular home, which has a small footprint yet boasts all the appliances and facilities one could need, can be easily transported and placed in the desired location. According to research conducted by ReportLinker, the global prefabricated housing market is expected to reach an estimated USD 22.9 billion by 2028 with a compound annual growth rate of 4.8 percent from 2023 to 2028. Inside, the space has a relaxed, premium feel thanks to LG's 'gentle, stable and refined' design and the harmonious use of diverse materials, such as hardwood, tile and brass. To reduce environmental impact, the home's replaceble module components have been made with low-carbon steel materials produced by POSCO, a renowned South Korean steel company. The innovative, high-efficiency LG kitchen, living, and air solutions installed in LG Smart Cottage include the WashTower Compact, QuadWash dishwasher, various built-in kitchen appliances, a water purifier and the Therma V Monobloc air-to-water heat pump (AWHP). In addition to delivering year-around indoor comfort while using less power than a conventional heating and cooling system, LG's energy-saving AWHP can provide a reliable supply of hot water via connection to the 200-liter-capacity Integrated Water Tank (IWT). Moving outside, the exterior of the Smart Cottage is equipped with sustainability-focused LG technologies that can meet the daily energy needs of up to two occupants. Roof-mounted 4-kilowatt (kW) solar panels connect with the company's Energy Storage System (ESS) to ensure the safe, reliable on-site collection and storage of solar power, generating as much as 15 kilowatts of electricity per day. Any surplus energy can be stored in the ESS for later use, or sold to the contracted electricity provider. Additionally, LG's modular house has an electric vehicle (EV) charger built in, so homeowners won't have to worry about fitting one themselves in order to power up their EV. For a smart and convenient user experience, all of the appliances inside this extraordinary abode connect seamlessly with LG ThinQ. Via the ThinQ app, users can effortlessly control each appliance, manage the entire HVAC system, and monitor energy storage and consumption in real-time. What's more, LG ThinQ connects to the Smart Cottage's security-enhancing Internet of Things (IoT) accessories, including cameras, motion sensors and smart doorbells, helping to keep occupants safe and give them peace-of-mind. To help bring the Smart Cottage to life, LG partnered with GS Engineering & Construction Corp (GS E&C), a South Korean construction and civil engineering service provider. "Far more than just a prefabricated home, LG Smart Cottage is an innovative housing solution that facilitates a sustainable lifestyle through state-of-the-art ThinQ connectivity," said Lyu Jae-cheol, president of LG Electronics Home Appliance & Air Solution Company. "LG aims to redefine residential living by seamlessly integrating its energy solutions, appliances and services into a space that lets people live life their way." Visitors to LG's booth (Hall 18, Messe Berlin) at IFA 2023 from September 1-5 can explore LG Smart Cottage and a host of other innovations for a better life. About LG Electronics Home Appliance & Air Solution Company The LG Home Appliance & Air Solution Company is a global leader in home appliances, smart home solutions, air solutions as well as visionary products featuring LG ThinQ AI. The company is creating various solutions with its industry leading core technologies and is committed to making life better and healthier for consumers by developing thoughtfully designed kitchen appliances, living appliances, HVAC and air purification solutions. Together, these products deliver enhanced convenience, superb performance, efficient operation and compelling health benefits. For more news on LG, visit www.LGnewsroom.com. View original content to download multimedia:https://www.prnewswire.com/apac/news-releases/lg-suggests-sustainable-lifestyle-with-lg-smart-cottage-at-ifa-2023-301901752.html SOURCE LG Electronics, Inc. [ Back To TMCnet.com's Homepage ] [August 15, 2023] RTX CORPORATION SHAREHOLDER ALERT: CLAIMSFILER REMINDS INVESTORS WITH LOSSES IN EXCESS OF $100,000 of Lead Plaintiff Deadline in Class Action Lawsuit Against RTX Corporation f/k/a Raytheon Technologies Corporation - RTX Tweet NEW ORLEANS, Aug. 15, 2023 (GLOBE NEWSWIRE) -- ClaimsFiler, a FREE shareholder information service, reminds investors that they have until October 2, 2023 to file lead plaintiff applications in a securities class action lawsuit against RTX Corporation f/k/a Raytheon Technologies Corporation (NYSE: RTX), if they purchased the Companys securities between February 8, 2021 and July 25, 2023, inclusive (the Class Period). This action is pending in the United States District Court for the District of Connecticut. Get Help RTX investors should visit us at https://claimsfiler.com/cases/nyse-rtx/ or call toll-free (844) 367-9658. Lawyers at Kahn Swick & Foti, LLC are available to discuss your legal options. About the Lawsuit RTX and certan of its executives are charged with failing to disclose material information during the Class Period, violating federal securities laws. The alleged false and misleading statements and omissions include, but are not limited to, that: (i) the Companys Geared Turbofan (GTF) engine that powers hundreds of aircraft across many airlines had been affected by a quality control issue from at least 2015-2020; (ii) the quality control issue would require the Company to recall and reinspect many of its GTF airplanes, affecting customers and harming its business; and (iii) as a result, RTXs statements about its business, operations, and prospects, were materially false and misleading and/or lacked a reasonable basis at all relevant times. The case is Peneycad v. RTX Corporation f/k/a Raytheon Technologies Corporation, et al., No. 23-cv-01035. About ClaimsFiler ClaimsFiler has a single mission: to serve as the information source to help retail investors recover their share of billions of dollars from securities class action settlements. At ClaimsFiler.com, investors can: (1) register for free to gain access to information and settlement websites for various securities class action cases so they can timely submit their own claims; (2) upload their portfolio transactional data to be notified about relevant securities cases in which they may have a financial interest; and (3) submit inquiries to the Kahn Swick & Foti, LLC law firm for free case evaluations. To learn more about ClaimsFiler, visit www.claimsfiler.com. [ Back To TMCnet.com's Homepage ] [August 15, 2023] CDNetworks and 17LIVE Joined Forces to Deliver the Top Live Streaming Experience in Asia Tweet With seamless low-latency live streaming services and round-the-clock support, CDNetworks elevates 17LIVE's live streaming user experience to greater heights. SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- CDNetworks, an APAC-leading network to deliver edge as a service, proudly acknowledges its instrumental role in the ascent of 17LIVE, Asia's leading live streaming platform. The collaboration between the two companies, which began in 2016, underpins 17LIVE's evolution as today's leading live streaming platform in Japan and, soon, across Asia. With a wide range of content offerings that span interactive gaming, music, and art sharing, 17LIVE has become a major player in the live streaming industry since its inception in 2015. Its unique combination of low latency, high stability, and superior audiovisual presentation sets it apart from competitors, creating an immersive interactive experience for users. This exceptional user experience is closely tied to the robust CDN capabilities and Media Delivery technologies offered by CDNetworks. How CDNetworks Powered up 17LIVE's Live Streaming Business Enhance Global Network Infrastructure (High-performance Cross-border Streaming) With a mission "To empower human connections through live technology," 17LIVE dedicated itself, from day 1, to serving global users with an unparalleled experience. CDNetworks, with over 2,800 points-of-presence in more than 70 countries and regions around the world, provides 17LIVE with the high-quality CDN and streaming media distribution services it needs to deliver on this vision. Notably, in Asia, CDNetworks is recognized as the top-performing CDN provider, enabling 17LIVE's live streaming platform to offer exceptional service and gain a competitive edge right from the beginning. For regions such as China, that enforce unique internet policies, CDNetworks overcame cross-border latency issues and unstable connections through its China Premium Service. This service enables live streaming viewers and key opinion leaders (KOLs) to engage in seamless cross-country interactions without limitations. Enable Low Latency Streaming Unlike some other CDN providers, CDNetworks is one of the few that offers latencies as low as 3 seconds for live streaming platforms through its support of FLV large volumedistribution. This protocol not only provides lower latency compared to HLS/DASH, RTMP, and other protocols. It also helps live streaming platforms avoid the high costs associated with using ultra-low latency technologies that are required only for live event broadcasting. It perfectly suits the round-the-clock continuous live streaming business of 17LIVE. 17LIVE's growing user base is evidence of how low latency in the live streaming arena can be critical to a company's success by unlocking 17LIVE's many interactive features. Currently, 17LIVE has successfully developed multiple apps, including video streaming, voice streaming, and e-commerce streaming, all built around low latency features. Create Immersive User Experiences To build a platform that delivers a truly immersive live streaming experience, 17LIVE leverages the various streaming media processing technologies provided by CDNetworks, including screenshot, recording, and storage, to further enhance user engagement on their platform. For example, through the screenshotting and recording features, the platform can set corresponding covers for channels and provide users with the ability to replay content, thereby increasing interactive communication on the platform. At the same time, CDNetworks also offers transcoding to adapt and transcode live streaming content based on different network environments and device terminals. This approach ensures seamless end-to-end interactive experiences for users. Boost Daily Operation Efficiency With the exponential increase in the number of users and KOLs, the 17LIVE platform was in dire need of finding an automated solution that could regulate and store the mounting amounts of real-time content that were being generated on a daily basis. To address this challenge, CDNetworks built an efficient moderation and storage mechanism using the aforementioned streaming technologies that helped 17LIVE reduce the manpower required to perform daily operations. In this way, 17LIVE's backend team could easily monitor the entire platform around the clock, utilizing AI-monitoring and machine-learning technologies to prevent any illegal and inappropriate conduct on its streaming platforms. Provide 24/7 Dedicated Support CDNetworks formed a dedicated work group to provide wide ranging services such as business analysis, instant message services, policies customization & optimization, configuration validation, emergency response, and proactive optimization. CDNetworks also arranged periodic business reviews that enabled 17LIVE to boost its key technology iterations. "The CDNetworks' media delivery solutions provided to 17LIVE can be described as all-weather, all-encompassing, and multi-dimensional. We are fortunate to have become a valued partner from the very beginning of 17LIVE and witness its growth into the Asia's leading live streaming platform. 17LIVE's ongoing success serves as a testament to CDNetworks' cutting-edge media delivery solutions in the industry." said Doyle Deng, Head of Global Marketing and Product at CDNetworks. Regarding this successful cooperation Andy Tseng, Sr. Engineering Director from 17LIVE commented, "CDNetworks has been our trusted growth partner since 2016. Their services continue to play a critical role that empower us to meet the increasing demands of our growing business year after year. Their robust content delivery network, media processing capabilities, and supporting services enable us to provide exceptional streaming experiences to our end users and ultimately become the largest live streaming platform in Asia." Looking ahead, CDNetworks and 17LIVE are committed to further enhancing the live streaming experience for users and expanding 17LIVE's global reach. Both companies have ambitious plans for innovation, content delivery, and audience engagement, with the goal of providing the best live streaming platform to global viewers. About CDNetworks As the APAC-leading network with over 2800 global Points of Presence and more than 20 years of technology experience, CDNetworks embraces the new era of Edge and takes it to the next level by using the Edge as a service to deliver the fastest and most secure digital experiences to end users. Our diverse products and services include web performance, media delivery, cloud security, zero trust security, and colocation services all of which are uniquely designed to spur business innovation. To learn more, visit cdnetworks.com and follow us on LinkedIn About 17LIVE 17LIVE (one seven live) is Japan's largest* live-streaming platform and is located in Tokyo, headed by Global CEO, Hirofumi ONO. With a mission to "Empower Human Connections through Live Technology", we aim to create a world where users can enjoy every moment of their lives on the seven continents under one sky. The platform reached 50 million registered users worldwide as of September 2021. For more information about the company, please visit https://jp.17.live/ *Data from App Annie on downloads and sales (January-December 2020) Media Contact CDNetworks Co. Ltd media@cdnetworks.com www.cdnetworks.com SOURCE CDNetworks [ Back To TMCnet.com's Homepage ] [August 15, 2023] ADA Crowned Mob-Ex Southeast Asia Agency Champion 2023 With Record-Breaking 10 Wins Tweet SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- Independent agency partner ADA dominated the Mob-Ex Awards 2023 with a historic 10 wins, cementing its position as the fastest-growing agency in the region. Its haul of 2 Golds, 5 Silvers and 3 Bronzes spanned across mobile campaigns for clients in Indonesia, Malaysia, Thailand, and Sri Lanka, en route to winning the coveted Mob-Ex Southeast Asia Agency Champion title. Blu by BCA Digital topped the chart with 2 Golds in Best App Install and Best Original Content for their #JadiWise campaign. Other notable wins include Silvers for Matahari Lebaran campaign (Best Mobile Campaign), BCA KPR Anti-Drama (Best Insight-Driven Mobile Campaign), TMRW UOB Thailand (Best Mobile Advertising Strategy & Best App Install Campaign) and Unilever Sri Lanka (Best Consumer Goods Campaign). Other award-winning campaigns include Kopi Kenangan's bronze for Best F&B Campaign, Japan National Travel Organisation (JNTO) for Best Hospitality & Travel Campaign as well as VF Group (Timberland) for Best Use of Promotion. These victories highlight ADA's prowess in crafting effective, innovative mobile strategies for a diverse range of clients, underlining an unwavering commitment to innovation and excellence in mobile marketing. Delivering meaningful business outcome to clients Faradi Bachri, ADA's Regional Head of Marketing Performance reflected on the amazing wins, "For a 5-years old agency, we are incredibly proud of this remarkable achievement. ADA has always pride ourselves on delivering meaningful business outcomes to our clients and to be recognised for this feat in a prestigious award like Mob-Ex will only spur us to do better." ADA core strength comes from an outcome-driven approach that transcends traditional performance marketing. Other components of the digital ecosystem are seamlessly weaved into media strategies, ranging from data analytics, content, digital commerce solutions and cutting-edge marketing technology. This dynamic blueprint enables a customised and multifaceted approach that not only propels campaign performances but also forges impactful connections across all marketing efforts. About ADA ADA provides services that enable enterprises and brands to drive top line growth through digital marketing and sales transformation across Asia. It operates three data-driven service segments: i. Marketing Solutions: provides performance marketing, which enables clients to reach consumers efficiently on social media, native ads, display ads and search marketing; creative solutions to drive user engagement and conversation rates; and marketing technology transformation involves consultation on the implementation of managed services that enable brands to achieve superior customer experiences with technology platforms. ii. Digital Commerce Solutions: provide brands with end-to-end store management to fulfil enablement on marketplaces, social channels, third party messaging channels and owned websites. iii. Customer Engagement Solutions: used by enterprises to enhance customer support and communicate with consumers in real-time through SMS, WhatsApp and other messaging applications. iv. Data & AI: enables brands to unlock the power of data through data engineering, analytics, strategy and management for seamless problem solving and enhanced business outcomes. ADA, which operates 13 offices across Asia with approximately 1,400 employees, was formed in 2018 and is headquartered in Singapore and Malaysia. View original content to download multimedia:https://www.prnewswire.com/apac/news-releases/ada-crowned-mob-ex-southeast-asia-agency-champion-2023-with-record-breaking-10-wins-301901822.html SOURCE ADA [ Back To TMCnet.com's Homepage ] There have been several incidents in European countries related to the poisoning of Russian journalists who emigrated from Russia for political reasons over the past year. Experts suspect that this could have been done not with a different substance rather than the famous Novichok. ADVERTISIMENT This is stated in the investigation of The Insider, which has studied the circumstances of these poisonings together with experts on poisonous substances. The material refers, in particular, to the incidents that happened to the correspondent of Novaya Gazeta and Meduza Elena Kostyuchenko in Munich, Germany, the journalist of Ekho Moskvy Irina Babloyan in Tbilisi, Georgia, and the head of the foundation Free Russia Natalia Arno in Czech Prague. The journalists noted that they usually do not publish such investigations, but now they have decided to do so to warn those who have left Russia with the consent of the victims. Elena Kostyuchenko was on the territory of Ukraine at the beginning of the full-scale invasion and published a material in which she pointed out that Russian soldiers kidnapped and tortured people. The correspondent was going to Mariupol after that but left for Germany due to real threats. ADVERTISIMENT After traveling from Berlin to Munich, a woman experienced severe malaise, loss of strength and shortness of breath. There was severe abdominal pain that felt even in the spine, as well as dizziness, nausea, severe anxiety and insomnia. The journalist went to the hospital in just 10 days. Tests revealed elevated liver enzyme values. Doctors recommended taking toxin tests at the Charite clinic. Medics interviewed by The Insider reported that the symptoms Kostyuchenko described were similar to poisoning from an external substance. Journalist Irina Babloyan felt sick in Georgia a week after Kostyuchenko. She felt weak and dizzy, and a day later her palms became red. The woman then experiened pain in the stomach, nausea and insomnia and a metallic taste in her mouth. The symptoms disappeared after two days but the journalist is still experiencing sudden redness on her skin. ADVERTISIMENT According to the publication, medics believe that Babloyan could have been poisoned with the same or a similar substance that was probably used against Kostyuchenko. Natalia Arno took part in a non-public event in Prague in early May 2023. She saw her room open when returning to the hotel. The room, as she said, smelled of perfume. Arno later awoke to a pain in her mouth. The painful sensations began to spread through her body and a mineral taste appeared in her mouth. The journalist flew to Washington and sought medical attention. ADVERTISIMENT Toxicology results are not yet available, but medics have reported that Arno probably has poisoning with a neurotoxic substance. The Insider experts say that the described symptoms may speak of poisoning with a nerve agent, particularly of the Novichok class. The case is being investigated by U.S. law enforcement authorities. As reported by OBOZREVATEL: - Russian citizen Victoria Nasyrova, who has lived in the state of New York (USA) for many years, was found guilty of attempted murder of a Ukrainian woman. She tried to poison a woman who had a similar appearance not just to steal her passport but her identity in general; - Four people aged 45 to 71 died under strange circumstances in Lviv. They were found dead in a basement room. It is suspected that the cause of death was gas poisoning from rotten potatoes; ADVERTISIMENT - Partisans poisoned the officers of the Russian occupants en masse in the temporarily occupied Mariupol. Two officers out of 17 have already died. Only verified information from us on Telegram channel Obozrevatel and Viber. Do not fall for fakes! [August 15, 2023] Musoni and Brankas Team Up to Enhance Credit Scoring and Corporate Financing in Asia Tweet YANGON, Myanmar, Aug. 16, 2023 /PRNewswire/ -- Musoni System is excited to announce partnership with Brankas , a leading open finance technology company. Brankas is integrated with over 100 enterprise partners including banks and fintechs to facilitate instant account-to-account payments, bank account opening, and secure retrieval of financial data. The synergy between Musoni's core banking system and Brankas' integration network marks a transformative leap in financial innovation. By seamlessly incorporating loan management, credit scoring, and collection offerings, this integration empowers Financing Institutions with enhanced capabilities. Simultaneously, it offers Musoni customers a fast and streamlined route to access a diverse range of financial products. This collaboration not only uplifts the services of financing institutions and digital banks but also optimizes their operations, ensuring they remain leaders in an ever-evolving financial landscape. This partnership will particularly benefit nascent digital banks and financial organizations that are just entering Asia, and non-financial businesses such as those in logistics or e-commerce that are introducing an embedded finance experience. Businesses and consumers looking to apply for loans can use Brankas' data APIs to quckly and securely share the financial and bank data that is required for the loan entity. The loan entities can then rely on Musoni's credit checking and collections systems to manage the entire loan process, and lastly use any preferred loan disbursement and payment method, including Brankas' payment APIs . "At Musoni , we firmly believe that the future of digitalization in financial services relies on the seamless integration of multiple technology solutions. This strategic partnership represents a significant milestone in our efforts to revolutionize and enhance the value of financial services in Asia. This integration significantly reduces time to market and integration costs for our customers, streamlining operations, enhancing customer experience, and expanding product offerings. Together with Brankas , we aim to unlock new opportunities and revolutionize the financial services landscape in Asia." said Casper Gottlieb Busch, Head of Global Sales at Musoni System. About Brankas Brankas is a leading global open finance technology provider. We provide API-based solutions, data and payments solutions for financial service providers (like banks, lenders and e-wallets) and online businesses. Brankas partners with banks to build and manage their open finance infrastructure, producing APIs for real-time payments, identity and data, new account opening, remittances, and more. With Brankas' secure open banking technology, online businesses, fintech companies and digital banks can use Brankas APIs to create new digital experiences for their users. Visit Brankas at https://www.brankas.com/ About Musoni System Musoni is the leading digitalisation partner for microfinance institutions around the world: We help organizations improve their efficiency and extend their outreach through a variety of fintech solutions. These include a cloud banking system , digital field application , and Open APIs capabilities. We have over a decade of experience and now support 70+ organizations in 26 countries. We take pride in our hands-on and personalized approach, with local teams in the Netherlands, Kenya, and Myanmar. Visit Musoni at https://musonisystem.com/ CONTACT: Yiyang Teo, [email protected] View original content to download multimedia:https://www.prnewswire.com/apac/news-releases/musoni-and-brankas-team-up-to-enhance-credit-scoring-and-corporate-financing-in-asia-301901219.html SOURCE Brankas [ Back To TMCnet.com's Homepage ] [August 16, 2023] 20-fold Growth in 4 Years: Huawei Cloud Aims to Have the Longest-Running and Fastest-Growing Cloud Presence in Southeast Asia Tweet SINGAPORE, Aug. 16, 2023 /PRNewswire/ -- Huawei Cloud is seeing incredible momentum in the Asia Pacific region. In a recent Caijing megazine interview, Mr. Zeng Xingyun, President of Huawei Cloud Asia Pacific, shared some impressive growth numbers. He said that over just the past four years, Huawei's public cloud services in Southeast Asia have grown by an astounding 20 times, making Huawei one of the fastest growing clouds in the region. How did Huawei Cloud achieved this level of success in such a competitive landscape and become the cloud of choice for many Chinese enterprises to go global? Let's start with digits. According to IDC, Huawei Cloud ranks No. 4 in the public cloud IaaS market in Southeast Asia, with a market share of 3.5%, the top among Chinese cloud vendors. Mr. Zeng holds that there are a few factors that have driven their rapid growth. First, the customer-centric approach - putting customer needs above all else. The Southeast Asian market values innovative software and services, and Huawei tailors their offerings according to each customer's specific requirements. There is no one-size-fits-all solution or business model. Truly understanding customers and delivering what they want pave the way to success for Huawei. Cloud infrastructure is also a strategic priority for Huawei. They have made strategic investments in expanding their data center coverage across the region. Currently, Huawei Cloud leads the pack with the most Availability Zones (AZs) in Southeast Asia a total of 14 across 11 countries (Singapore, Indonesia, Thailand, Malaysia, Philippines, Vietnam, Myanmar, Laos, Cambodia, Brunei, and Timor-Leste). In addition, Huawei Cloud has four data center AZs in Hong Kong, China and plans to launch three more in Manila in December this year. By then, Huawei Cloud will have 21 data center AZs in Southeast Asia, the highest number among cloud service providers. Mr. Zeng also emphasized the importance of long-term commitment to customers. Building brand and trust takes dedication over time, and relies on successful delivery. There is no shortcut to the success of B2B business. Huawei focuses on continually meeting promises, serving customer needs, and boosting their competitiveness in solutions and services, localized cloud infrastructure, and industry ecosystems. This ongoing customer-centric approach has earned Huawei Cloud a large customer base across local industries such as government and public sectors, IT, Internet cloud media, retail, culture, tourism, real estate, finance, banking, credit, and gaming. With extensive experience in industry digital transformation, in Thailand, Huawei cloud was the first to launch a local cloud Region, has 29.4% IaaS market share according to Gartner, and has won the national government cloud project. Major customers there include SCB Bank (Thailand's second largest commercial bank), BBTV (Thailand's largest TV station), Siriraj Hospital (Thailand's largest public hospital), and MONOMAX (popular video streaming platform in Thailand). Ecosystem partners are also crucial to Huawei Cloud. Huawei features developing partnerships based on customer needs. While focusing on IaaS and general PaaS services, Huawei Cloud aims to be neutral. As a longtime provider across Asia Pacific's government, enterprise and carrier sectors, Huawei Cloud complements application software providers as well. Mr. Zeng shared that Huawei Cloud ecosystem partners in Southeast Asia are classified into global, local, and Chinese ones. Customer needs always steer ecosystem cooperation and benefit sharing. According to IDC, Huawei Cloud leads in IaaS market share among Chinese vendors in Southeast Asia. It has become the cloud of choice for Chinese enterprises to go global. In Asia Pacific, for Asia Pacific. Compliance also remains a top priority, ranked above commercial interests. Mr. Zeng said Huawei cannot stress enough on compliance. Huawei is also ready to become a major contributor to the digital economy in Asia Pacific. Huawei has cultivated more than 70,000 digital talent for Asia Pacific through projects such as ASEAN Academy and ICT Academy in 2022. Around 120 startups in places like Singapore, Thailand, and Indonesia are supported via Huawei Cloud startup programs. Strong local support is another key. With over 20 years in local markets, Huawei assists established government, enterprise, and carrier customers through professional local teams, while developing Internet service providers into cloud native adopters. Four regional service centers and 170+ local centers provide 24/7 multilingual support. Looking ahead, Mr. Zeng said Huawei Cloud will remain customer-centric in Southeast Asia, and keep improving competitiveness and creating value locally through partnerships and tailored services. "In local, for local". Huawei Cloud aims to have the longest-running and fastest-growing cloud presence in the region. View original content to download multimedia:https://www.prnewswire.com/apac/news-releases/20-fold-growth-in-4-years-huawei-cloud-aims-to-have-the-longest-running-and-fastest-growing-cloud-presence-in-southeast-asia-301901958.html SOURCE Huawei Cloud APAC [ Back To TMCnet.com's Homepage ] [August 16, 2023] TAT joins forces with foodpanda, and Tellscore: Renowned Influencers Propel "Amazing Thailand" Unveiling Thai Tourism and Cuisine as Unstoppable Soft Power! Tweet BANGKOK, Aug. 16, 2023 /PRNewswire/ -- foodpanda and Tellscore have teamed up with the Tourism Authority of Thailand (TAT) to bring Thai soft power to the world as part of Amazing Thailand campaign, reaffirming the country's status as one of the world's top destinations. Through fresh perspectives of three renowned influencers of three different nationalities, the new collaboration invites travelers to discover Thailand's upcountry charms with new itineraries and, during their stay, tease their taste buds with local Thai food conveniently delivered to their doorstep and enjoy easy access to daily essentials at their fingertips via foodpanda app. The post-pandemic time continues to see the tourism industry regaining its strength globally, especially in Thailand as a top destination among travelers from across the world. According to the Ministry of Tourism and Sports , Thailand's tourist arrivals from January to June 2023 totaled 12,914,691, generating a currency inflow of more than 514.23 billion baht. The figures confirmed the continuing recovery of Thailand's tourism industry. Through the government's soft power development policy, Thailand seeks to establish its creative industry in the global scene to help attract more international visitors and build better recognition of the country. Thailand is ranked 35th out of 120 countries on the Global Soft Power Index 2022. Cultural tourism topped the list of Thailand's most valuable soft power assets with a value of 409 billion baht, followed by Thai food at 267 billion baht, advertising at 208 billion baht, fashion at 189 billion baht, and design at 125 billion baht. To keep the growth momentum in tourism strong, TAT as the lead organization in tourism promotion is spurring domestic tourism based on its plan for the third quarter. In collaboration with foodpanda as Southeast Asia's leading food and grocery delivery platform and Tellscore as a prominent influencer hiring automation platform, TAT is powering its Amazing Thailand campaign with "Soft Power Tourism" concept that highlights tourism experiences based on the 5Fs of Thai soft power: Food, Film, Fashion, Fight, and Festival. These areas of focus are being promoted along with enhancements to the country's offerings in wellness, ecological, and sustainable tourism. Moreover, fresh and engaging perspectives will be presented to underline Thailand as a top world destinaion whereas collaboration will be expanded to more partners who can make an impact on international target audiences. The Amazing Thailand campaign activity joined by foodpanda is a cultural trip held in Chiang Rai from July 8 to 11. foodpanda and Tellscore engaged three famous influencers of 3 different culture: loktitha , a foreign influencer captivated by the Thai way of life; egendd , a highly acclaimed Asian influencer; and peeweebkk , also known as "Pee Wee", an influencer from Europe whose adoration for Thailand led to relocation to the country. The three joined the trip and created content to share their impressions on social media and inspire their followers to discover these experiences in person. Curated by TAT, the trip was a fascinating blend of cultural tourism and experiential learnings about Thai way of life. Trip highlights included appreciation of Thai sculpture at the White Temple, a culinary experience in local cooking, rice and vegetable harvesting at Ahsa Farmstay, and an excursion on the nature trail of Doi Pha Mee. The three international influencers also had the opportunity to observe life of elephants kept in natural surroundings. As the expert in food and grocery delivery with presence in 11 countries across Southeast Asia, foodpanda played its role in presenting food, one of the 5Fs, to the international influencers through its food delivery service. Thanks to the English user interface and food menus with large, clear, and colorful images, they could easily enjoy local flavors from famous local restaurants through quick, convenient delivery service of foodpanda. If they craved flavors from their home countries, they could also order their favorite dishes among choices of international cuisines American, Italian, Indian, Chinese, Japanese, Korean, and Vietnamese, for example offered on foodpanda platform by famous partner restaurants who are celebrated for flavors that delight Thai and international palates alike. With app interface, language options, and product categories tailored to the needs of its users, foodpanda believes that its well-developed platform will help smaller local restaurants gain more exposure and enjoy more opportunities. At the same time, this translates to the convenience of customers in all parts of Thailand and a key source of income for riders as the heroes who bring the joy of delicious food to the doorstep of the customers. TAT's collaboration with foodpanda, and Tellscore in its Amazing Thailand campaign will expectedly energize Thai tourism, placing it in the hearts and minds of travelers and resulting in greater income distribution to local communities. Learn more about foodpanda services on App Store and Google Play . For news and information updates, visit foodpanda on Facebook https://www.facebook.com/FoodpandaThailand , website foodpanda.co.th , and Instagram https://www.instagram.com/foodpandathailand/ . About foodpanda foodpanda is a leading delivery platform in Asia dedicated to bringing consumers a wide range of food, groceries and more, quickly and conveniently. Powered by technology and operational excellence, foodpanda is spearheading the growth of quick-commerce (q-commerce) across the region with its network of retail partners, as well as pandamart cloud stores to provide more on-demand options beyond the millions of food delivery options. foodpanda operates in more than 400 cities across 11 markets in Asia - Singapore, Hong Kong, Thailand, Malaysia, Pakistan, Taiwan, Philippines, Bangladesh, Laos, Cambodia and Myanmar. foodpanda is a subsidiary of Delivery Hero, a global leader of the food delivery industry. For more information, visit www.foodpanda.com . View original content to download multimedia:https://www.prnewswire.com/apac/news-releases/tat-joins-forces-with-foodpanda-and-tellscore-renowned-influencers-propel-amazing-thailand-unveiling-thai-tourism-and-cuisine-as-unstoppable-soft-power-301902017.html SOURCE foodpanda [ Back To TMCnet.com's Homepage ] [August 16, 2023] Get Me Insured, a PCF Insurance partner, selects Ascend to Automate Financial Operations Tweet SAN FRANCISCO, Aug. 16, 2023 /PRNewswire/ -- Ascend announced today that it has signed a partnership with Get Me Insured, a PCF Insurance Services partner, to modernize their agency bill financial operations. Ascend is designed specifically to elevate agency management systems by automating post-placement administrative tasks associated with agency bill policies - making it easier for service, accounting, and production teams to seamlessly work together. With Ascend's industry-leading technology platform, Get Me Insured now has a comprehensive solution for all the labor-intensive back-office tasks associated with agency bill suc as premium collections, financing, carrier payables, and reconciliation. "Not only was Ascend unique in the sense that it was the only true end-to-end solution for agency bill, but its' integration alongside Vertafore allows us to easily add Ascend into our existing workflows," said Casey Paulson, CEO of Get Me Insured. "This synergy allows us to start realizing efficiency gains instantly." Ascend is a financial operations automation platform that streamlines all AR and AP tasks that occur with every agency bill transaction. From collections to premium financing to carrier payables, Ascend is the only solution that helps agencies be more profitable by eliminating the time-intensive and operationally expensive tasks required of agencies when collecting premiums directly from insureds. About Ascend Ascend is the industry-leading provider of financial operations automation software, dedicated to modernizing the insurance industry's financial infrastructure. Ascend is the only payment automation technology designed to enhance agency management systems, streamlining the insurance life cycle for agency-bill processes through the elimination of administrative accounting costs, improvement of cash collection cycles, and offers a best-in-class customer experience. By leveraging the Ascend platform, agencies eliminate manual administrative financial operations and significantly increase their productivity, efficiency, and profitability. To learn more about how Ascend can transform your business, please visit www.useascend.com About Get Me Insured GMI Get Me Insured, a PCF Insurance Services partner, services customers nationwide for personal and commercial lines. Located in St George, Utah we have been in business for over 20 years and are committed to providing our clients with the highest level of service and coverage. We have a relationship with a vast amount of insurance carriers which gives us an edge in making sure our clients are insured across all their different insurance needs. Contact: Mike Nguyen press@useascend.com View original content to download multimedia:https://www.prnewswire.com/news-releases/get-me-insured-a-pcf-insurance-partner-selects-ascend-to-automate-financial-operations-301901926.html SOURCE Ascend [ Back To TMCnet.com's Homepage ] [August 16, 2023] Nokia and Eastlink announce multi-year deal to enhance 5G network in Canada Tweet Press Release Nokia and Eastlink announce multi-year deal to enhance 5G network in Canada Eastlink will leverage Nokias AirScale portfolio to boost performance and capacity of its mobile network serving communities across Canada 16 August 2023 Dallas, Texas - Nokia and Eastlink today announced a multi-year strategic access network partnership that will further modernize Eastlinks mobile network. Using Nokias comprehensive, energy efficient AirScale portfolio, including 5G RAN, Eastlink will enhance its mobile experience with faster speeds, increased performance, and greater network capacity. The partnership includes new site expansion and existing site upgrades. The first site using Nokia technology is expected to go live in August. Nokias industry leading AirScale 5G portfolio includes baseband, remote radio heads, and massive MIMO antennas, which provide vast 5G capacity, coverage, and easy deployment. These solutions are powered by a new energy-efficient generation of ReefShark System-on-Chip (SoC) technology and deliver the highest capacity and network performance while enabling efficient deployments and operation. Powered by state-of-the-art fiber optic and mobile networks, Eastlink delivers world-class communications services, including high speed internet, mobile, TV, phone, data services, security and smart home solutions to residential, business and public sector customers in seven provinces across Canada and Bermuda. Eastlink has invested half a billion dollars ($500 million) since its launh in 2013 to grow its mobile service across the country, most recently in New Brunswick and in the Acadian Peninsula next. Jeff Gillham, CEO at Eastlink, said: Were so pleased to welcome Nokia as part of our dual supplier radio network strategy. We expect them to cover a sizeable portion of our mobile radio access network over the next few years. As a global leader in 5G technology, Nokia will be a key contributor towards helping us deliver the best mobile experience to our customers as we leverage their expertise of next-generation technology that focuses on energy-efficiency and security. Jeffrey Maddox, President of Nokia Canada, said: Nokia is thrilled to partner with Eastlink to enhance their 5G network. Nokias AirScale platform will deliver incredible 5G to Eastlinks consumer and enterprise customers with cutting edge 5G speeds and reliability. We are thrilled to see more Canadians get the opportunity to enjoy these amazing technologies and to see the transformative impact of 5G technology. About Nokia At Nokia, we create technology that helps the world act together. As a B2B technology innovation leader, we are pioneering networks that sense, think and act by leveraging our work across mobile, fixed and cloud networks. In addition, we create value with intellectual property and long-term research, led by the award-winning Nokia Bell Labs. Service providers, enterprises and partners worldwide trust Nokia to deliver secure, reliable and sustainable networks today and work with us to create the digital services and applications of the future. Media Inquiries Nokia Communications, Corporate Email: [email protected] Follow us on social media LinkedIn Twitter Instagram Facebook YouTube [ Back To TMCnet.com's Homepage ] [August 16, 2023] The Nature Conservancy Opens its Annual Photo Contest for 2023 Tweet Today, The Nature Conservancy (TNC) announced its annual Global Photo Contest will begin accepting submissions on August 30. The competition is open to all, and will be accepting entries through September 29. By entering your most captivating photos of nature you can help amplify the message that protecting and restoring it is a priority. While last year's competition broke records for global participation with over 100,000 entries from a total of 196 countries and territories, the 2023 rendition of this celebrated event is set to be the biggest ever. For the first time in the contest's history, the number of award categories has doubled, from six to a whopping 12. The expansion will give photographers the freedom to better define their submissions - and provide more opportunities to win. In 2022, Chinese photographer Li Ping took the grand prize, for his winning shot featuring a drone's eye view of a lonely highway bordered on each side by gulliesextending outward in the shape of a tree. This year's 12 categories are Oceans, People & Nature, Plants & Fungi, Freshwater, Lands, Mammals, Climate, Aerials, Insects & Arachnids, Underwater Life, Birds, and Reptiles & Amphibians. Submissions will be assessed by a prestigious panel of judges, including photographer Javier Aznar, photojournalist and filmmaker Morgan Heim and natural history photographer Frans Lanting. Together this panel will select a first and second place winner for each category, plus honorable mentions in all 12 categories. The contest will award over $25,000 in prize money, including a camera kit worth $5,000 for the overall grand-prize winner. Photographers of all skill levels are encouraged to enter. All winners will be announced in October 2023. Go to nature.org/photocontest for more info on contest rules, photo specifications and how to enter. To view all the 2022 winning photos please visit: https://www.nature.org/en-us/get-involved/how-to-help/photo-contest/2022-winners/ View source version on businesswire.com: https://www.businesswire.com/news/home/20230814523300/en/ [ Back To TMCnet.com's Homepage ] [August 16, 2023] Bradlink LLC Elevates Small Business Success as Silver Sponsor at SABOC 2023 Tweet SAN ANTONIO, Aug. 16, 2023 /PRNewswire/ -- Bradlink LLC, a distinguished technical service firm with a strong legacy since its inception in 2004, proudly announced its pivotal role as a Silver Sponsor at the San Antonio Business Opportunity Conference (SABOC) 2023 and Small Business is BIG Business in Government Training . This annual event, held today in the vibrant city of San Antonio, Texas, provided a dynamic platform for small businesses interested in doing business mostly with federal then state and local government agencies. With over 19 years of industry excellence, Bradlink LLC has emerged as a trailblazer in providing innovative solutions to navigate the complexities of the modern business landscape. As an SBA 8(a) and Economically Disadvantaged Woman-Owned Small Business (EDWOSB), the company's commitment to excellence and empowerment has been consisently recognized. The company's achievements have been marked by notable accolades, including being the proud recipient of the San Antonio Minority Business Development Agency (MBDA) Minority Business Enterprise (MBE) Award in 2017. Furthermore, Bradlink's dedication to fostering growth was underlined by the prestigious title of SBA Woman Business Champion for Region VI in 2016. Bradlink's President, Helen Callier, has been a driving force in the company's success. In 2023, her influential expertise earned her a prominent spot on page 49 of the SBA Small Business National Resource Guide, showcasing her as a thought leader in the industry. At SABOC 2023, Bradlink LLC embraced the opportunity to leverage its extensive experience and knowledge to empower small businesses aspiring to make their mark in the federal sector. As a Silver Sponsor, the company facilitated engaging workshops, providing insights into driving growth during challenging times, and how to do business with Joint Based San Antonio area agencies. Moreover, Bradlink's sponsorship of this event manifested its commitment to fostering a culture of collaboration. The company's representatives actively reached out to other peers during to review synergies and strategies for growth within the federal arena. Highlighting its dedication to innovation, Bradlink exhibited its latest technological solutions tailored to address the unique challenges faced by businesses in the federal sector. This commitment to staying at the forefront of advancements showcased the company's promise of delivering cutting-edge services. As the event drew to a close, Helen Callier, President of Bradlink LLC, expressed gratitude to Sheena Little, SBA Deputy Director, Area V, President of SABOC and to the event organizers. "SABOC serves as a beacon of empowerment for small businesses, and we are honored to have contributed as a Silver Sponsor. We commend the event's dedication to fostering collaboration and growth within the federal landscape." Bradlink LLC's involvement with SABOC 2023 not only reinforced its commitment to nurturing small businesses but also solidified its status as a frontrunner in thought leadership and making a difference in driving economic growth. The company's legacy of excellence, empowerment, and collaboration positions it as a key partner for businesses seeking success within the federal domain. For media inquiries and further information about Bradlink LLC's impactful participation in SABOC 2023, please contact: Lave Cal, Marketing Manager Bradlink LLC Email: [email protected] Phone: 281.361.5809 To learn more about Bradlink LLC and its rich history of contributions in government contracting, visit www.bradlinkllc.com. View original content to download multimedia:https://www.prnewswire.com/news-releases/bradlink-llc-elevates-small-business-success-as-silver-sponsor-at-saboc-2023-301902434.html SOURCE Bradlink LLC [ Back To TMCnet.com's Homepage ] [August 16, 2023] Sirius Wireless Partners with S2C on Wi-Fi6/BT RF IP Verification System for Finer Chip Design Tweet SAN JOSE, Calif., Aug. 16, 2023 /PRNewswire/ -- Sirius Wireless, a provider of RF IP solutions, collaborated with S2C - the expert of FPGA prototyping solutions, to develop its Wi-Fi6/BT RF IP Verification System, aiming to improve work efficiency and reduce time-to-market for their clients. The emergence of Wi-Fi6, a wireless connection technology (WCT), has unleashed unexpected potential, particularly in the IoT and intelligent hardware markets. Compared to Wi-Fi5, Wi-Fi6 enables 40% faster data transmission speeds, increased device connectivity, and improved battery life, making it widely adopted in IoT devices. Due to the specialized RF IP technology behind Wi-Fi6, only a few companies can provide such technology with Sirius being one of them. Leveraging S2C Prodigy S7-9P Logic System, Sirius Wireless designed the Wi-Fi6/BT RF IP Verification System with AD/DA and the RF front-end AFE as separate modules. The company then used Prodigy Prototype Ready IP which are ready-to-use daughter cards and accessories from S2C, to interface with digital MAC. This design approach reduces the complexity of verification design by allowing the modules to be individually debugged. In addition, the system can serve as a demonstration platform prior to tape-out to showcase the various RF performance indicators, including throughput, reception sensitivity, and EVM. S2C FPGA prototyping solutions greatly benefit customers in accelerting their time-to-market by shortening the entire chip verification cycle. S2C customers can conduct end-to-end verification easily by leveraging the abundant I/O connectors on the daughter boards. An example of such benefits is Sirius's development of its IP verification system. With this system, one of Sirius's customers on short-range wireless chip designs spent only two months to complete the pre-silicon hardware performance analysis and performance comparison test. The company thus saves over 30% in its production verification time and its customers' product introduction cycle. "S2C has more than 20 years of experience in the market." said Zhu Songde, VP Sales of Sirius Wireless, "Their prototyping solutions are widely recognized around the world. With S2C's complete prototype tool chain, we can speed up the deployment of prototyping environments and improve verification efficiency." S2C is committed to building an ecosystem with their partners. "We realize that a thriving ecosystem is crucial to market expansion." said Ying Chen, VP of Sales & Marketing at S2C, "We are working with our partners to provide better services for our customers in the chip design industry. Our partnership with Sirius Wireless is a successful story of that." About Sirius Wireless Headquartered in Singapore, Sirius Wireless was registered and established in 2018. The company has professional and outstanding R&D staff with more than 15 years of working experience in Wi-Fi, Bluetooth RF/ASIC/SW/HW. About S2C S2C is a leading global supplier of FPGA prototyping solutions for today's innovative SoC and ASIC designs, now with the second largest share of the global prototyping market. S2C has been successfully delivering rapid SoC prototyping solutions since 2003. With over 600 customers, including 6 of the world's top 15 semiconductor companies, our world-class engineering team and customer-centric sales team are experts at addressing our customer's SoC and ASIC verification needs. S2C has offices and sales representatives in the US, Europe, mainland China, Hong Kong, Korea, and Japan. For more information, please visit: www.s2cinc.com View original content:https://www.prnewswire.com/news-releases/sirius-wireless-partners-with-s2c-on-wi-fi6bt-rf-ip-verification-system-for-finer-chip-design-301902284.html SOURCE S2C [ Back To TMCnet.com's Homepage ] [August 16, 2023] Global Digital Publishing and Content Streaming Market Set to Reach $245 Billion by 2030 Tweet DUBLIN, Aug. 16, 2023 /PRNewswire/ -- The "Global Digital Publishing and Content Streaming Market 2023-2030" report has been added to ResearchAndMarkets.com's offering. The global digital publishing and content streaming market is projected to experience substantial growth, with a Compound Annual Growth Rate (CAGR) of 9.6% during the forecast period from 2023 to 2030. The market size, valued at $129 billion in 2022, is expected to reach $245 billion by 2030. Companies in the digital publishing and content streaming market are adopting progressive web applications (PWAs) to enhance user engagement and increase revenues. PWAs enable developers to create a single app that works across all platforms and devices, leading to significant cost and time savings in app development. The adoption of PWAs has enabled web content publishing companies to maximize reach, increase user engagement, and provide a unified customer experience across different media platforms. Segmental Outlook The global digital publishing and content streaming market is segmented based on type, product, and application. The types of segments include content streaming and digital publishing. The product segment is divided into subscription and on-demand services, while the application segment includes small and medium enterprises (SMEs) and large enterprises. Among these segments, the subscription sub-segment is anticipated to hold a prominent market share during the forecast period. The Subscription Sub-Segment to Lead the Market OTT (Over-The-Top) solutions, which deliver film and TV content through the Internet without traditional cable or pay-TV subscriptions, re expected to witness noticeable growth. The OTT segment is driven by the growing demand for improved business process automation and the widespread availability of broadband infrastructure. Emerging features in OTT services, such as hybrid monetization models, digital original content, and content fragmentation due to intense competition, are expected to further drive the growth of this segment. Regional Outlooks The global digital publishing and content streaming market is further segmented by geography, including North America (the US and Canada), Europe (Italy, Spain, Germany, France, and others), Asia-Pacific (India, China, Japan, South Korea, and others), and the Rest of the World (the Middle East & Africa, and Latin America). Among these regions, the Asia-Pacific region is expected to experience significant growth, with North America projected to hold a major share of the market. Asia-Pacific to Hold a Prominent Share in the Market The Asia-Pacific region is expected to play a leading role in the global digital publishing and content streaming market. Meanwhile, Africa is forecasted to be the fastest-growing region during the forecast period. To ensure scalable and affordable content distribution, content streaming service providers are offering low-cost membership services, especially for video streaming, in developing countries such as India, Brazil, and some African nations. Major players like Netflix and Amazon are offering different subscription plans in these regions to cater to a broader audience. Market Players Outlook Major companies serving the global digital publishing and content streaming market include: Adobe Inc. Amazon.com, Inc. Verlagsgruppe Georg von Holtzbrinck GmbH Google LLC (Google Play) MPS Ltd. (Magplus) Netflix, Inc. PageSuite Ltd. Quark Software Inc. Rakuten Group, Inc. RELX plc Xerox Holdings Corp. YUDU Ltd. These market players are driving growth through various strategies, including mergers and acquisitions, partnerships, collaborations, funding, and new product launches. For instance, Amazon.com, Inc. partnered with Google in April 2018 to bring official YouTube applications to Amazon's Fire TV, which poses a threat to existing key players in the market. For more information about this report visit https://www.researchandmarkets.com/r/r4nova About ResearchAndMarkets.com ResearchAndMarkets.com is the world's leading source for international market research reports and market data. We provide you with the latest data on international and regional markets, key industries, the top companies, new products and the latest trends. Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Logo: https://mma.prnewswire.com/media/539438/Research_and_Markets_Logo.jpg View original content:https://www.prnewswire.com/news-releases/global-digital-publishing-and-content-streaming-market-set-to-reach-245-billion-by-2030-301902249.html SOURCE Research and Markets [ Back To TMCnet.com's Homepage ] [August 16, 2023] Voiceitt2 Launched: First of Its Kind Stand-Alone Voice Application for People with Speech Disabilities Now Available to Customers Tweet New app employing advanced voice AI offers transcription, dictation, and AI integrations for users with speech disabilities; available to individuals and organizations through authorized reseller, RAZ Mobility. TEL AVIV, Israel and STAMFORD, Conn., Aug. 16, 2023 /PRNewswire/ -- Voiceitt, the leading provider of speech recognition technology for non-standard speech, today announced the release of Voiceitt2. The new web application, powered by the company's next-generation technology, will be available to customers through its partner and authorized reseller, the assistive technology company, RAZ Mobility. Voiceitt's new web app employs voice AI to help people with disabilities connect, communicate, and be more independent. Voiceitt 2 revolutionizes the way people with speech disabilities interact with technology. After an initial onboarding, the web application allows people with speech disabilities to speak spontaneously and be understood by family, friends, teachers, and others. The app allows someone with a speech disability to be understood by translating the user's non-standard speech into standard speech. The app also enables users to transcribe conversations, dictate notes, and seamlessly integrate with popular AI assistants such as ChatGPT, in an abundance of new contexts. Webex capabilities are available as a Voiceitt add-on enabling accessible transcription and captioning during video meetings. Voiceitt2 will be available in English as a browser-based software license for purchase for organizations and individuals in North America. Availability in the UK and Australia is anticipated in 2024, with additional languages and geographies expected to follow. RAZ Mobility is a leading provider of mobile assistive technology and developer of their signature RAZ Memory Cell Phone for individuals experiencing cognitive decline, especially dementia. RAZ Mobility is also an innovator in the development and sale of mobile devices specifically for people who are blind, and it trains and supports people with disabilities on the use of iOS and Android smartphones and tablets. The company sells its products and services directly to consumers, government, and select resellers. A key component of RAZ Mobility's mission is to give back, which it does by sponsoring support groups fr its customers caring for loved ones with dementia. "The launch of Voiceitt2 is an important milestone in the evolution of Voiceitt's technology and business," said Alyson Pace, CEO of Voiceitt. "Voiceitt's first product, an iOS app, was game changing for its ability to help people with speech disabilities express their wants and needs, and to access and control devices through voice commands, thanks to a unique integration with Amazon's Alexa. Voiceitt2 brings these existing use cases to the next level, by empowering people with speech disabilities to speak spontaneously. With the proliferation of new voice AI applications and use cases, I'm excited to witness the creative possibilities our community members will explore, enhancing productivity, fostering connections with loved ones, and bringing their ideas to life using their voices. We couldn't have found a better partner than RAZ Mobility to put Voiceitt2 in the hands of users." Both Voiceitt and RAZ Mobility are active participants of AARP's AgeTech Collaborative and have benefited substantially from the Collaborative's extensive support and activities. "We are thrilled to see the incredible advancements from Voiceitt and the natural affiliation with RAZ Mobility," said Rick Robinson, VP & GM of the AgeTech Collaborative from AARP. "This is exactly the kind of collision we hope to see among participants in the Collaborative portfolio, where both companies complement and connect with one another to the benefit of ever-larger audiences." Robert Felgar, the CEO of RAZ Mobility, acknowledged Voiceitt2's unique position in assistive technology, blending advanced and inclusive voice AI with an accessible user experience. He said, "Voiceitt2 occupies a special niche within our field. By catering to the diverse needs and preferences of users, Voiceitt has developed an inclusive solution that addresses the speech and motor challenges they face. The launch of Voiceitt2 allows RAZ Mobility to provide comprehensive support for individuals with disabilities, enabling them to express themselves confidently using their voices. We are proud to partner with Voiceitt to bring this impactful product to market." For full details on pricing and product specifications, please visit https://www.razmobility.com/solutions/voiceitt/ . Where to Buy Visit https://www.razmobility.com/solutions/voiceitt/ for further details on deploying the Voiceitt application to your teams and organizations, and to inquire about licensing. Reach out to learn more regarding general availability, staff training, licensing for the North America region. The Webex capabilities require a Voiceitt add-on, please contact Voiceitt at [email protected] for more information. About Voiceitt Voiceitt was founded with the mission to apply its machine learning and speech recognition technologies to help people with speech disabilities resulting from stroke, degenerative disease, or developmental disorders live more connected, independent lives. Its proprietary automatic speech recognition (ASR) makes voice AI accessible enabling people with speech impairments to interact with mainstream voice technologies, communicate by voice, and be understood. Voiceitt has been highlighted in international media, including Forbes, The New York Times, and the BBC. Investors include Cisco Investments, the Amazon Alexa Fund, AARP, and Microsoft's M12. Voiceitt was founded in 2012 and is headquartered in Israel with a subsidiary in Stamford, CT. About Raz Mobility RAZ Mobility offers cutting-edge mobile solutions for individuals with disabilities. These solutions encompass mobile devices and mobile applications. RAZ Mobility develops its own solutions, as well as resells the solutions of others, where RAZ Mobility believes that the solutions of others are unique and highly beneficial to individuals with disabilities. RAZ Mobility sells its solutions directly to consumers, wireless providers, to certain resellers, as well as government organizations, such as the United States Department of Veterans Affairs and State equipment distribution programs. Learn more about RAZ Mobility at www.razmobility.com. Media Contacts: For Voiceitt: Sara A Smolley Co-Founder & Vice President, Partnerships [email protected] For RAZ Mobility: Robert Felgar CEO robert@razmobility.com View original content to download multimedia:https://www.prnewswire.com/news-releases/voiceitt2-launched-first-of-its-kind-stand-alone-voice-application-for-people-with-speech-disabilities-now-available-to-customers-301901592.html SOURCE Voiceitt, Inc. [ Back To TMCnet.com's Homepage ] In response to the expulsion of 45 Russian diplomats from Moldova the previous day, Moscow threatened Chisinau with deterioration of relations between the countries. The Moldovan authorities are blamed for the fact that now Russian citizens in Moldova allegedly will not be able to receive quality services from the embassy. ADVERTISIMENT Moldovan President Maia Sandu herself responded to these warnings. According to the Moldovan NewsMaker agency, she pointed out that the Russian authorities have no reason to be so worried that their citizens will not be able to receive help and advice from their representatives in Chisinau. "I am sure that the staff of the Russian Embassy is sufficient to provide quality services. In general, our relations with Russia deteriorated sharply after Russia attacked Ukraine," she emphasized. Sandu added that today Russian troops are waging a war of conquest, killing civilians and destroying civilian infrastructure. After that, any diplomatic concerns raise doubts about their plausibility. We shall remind you that the day before, an airplane with 45 Russian diplomats and their families took an emergency flight from Chisinau. They were expelled from the country because they were suspected of destabilizing the political and social situation. ADVERTISIMENT As reported by OBOZREVATEL, Moldova will terminate the agreement signed within the CIS on the creation of the Joint Consultative Commission on Disarmament Issues. On Monday, August 14, the country's Cabinet of Ministers approved the denunciation of this document. Only verified information from us in Telegram-channel Obozrevatel and in Viber. Do not fall for fakes! [August 16, 2023] LambdaTest and GenRocket Join Forces to Revolutionize Software Testing and Data Generation Tweet San Francisco , Aug. 16, 2023 (GLOBE NEWSWIRE) -- LambdaTest, a leading cloud-based unified test execution and orchestration platform announced its technology integration partnership with GenRocket, a cutting-edge technology company specializing in synthetic test data generation. With this collaboration, software development, and quality engineering teams will be able to test more efficiently and generate more data. The partnership will allow the teams to work together to streamline the testing process and increase the amount of data they are able to collect. This data can then be used to improve the development process and ensure the overall quality of the software. As a result of this integration partnership, LambdaTest's HyperExecute users will have seamless access to GenRocket's synthetic test data generation capabilities. With HyperExecute's innovative test execution platform, testing/engineering teams can perform tests up to 70% faster than with existing cloud-based test execution grids. As a result of its high scalability and intelligent features, HyperExecute simplifies the execution of tests, enabling users to focus on refining their test cases instead of worrying about how to execute them. "Our integration with GenRocket aligns with our commitment to delivering exceptional testing experiences., said Mayank Bhola, Co-Founder and Head of Product at LambdaTest. By combining GenRocket's synthetic test data generation prowess with LambdaTest's efficient HyperExecute platform, we enable users to achieve unparalleled testing efficiency." With GenRocket, a premier synthetic test data generation platform, users can generate vast volumes of synthetic test data without relying on production data. The platform's compatibility with a wide range of commercial test automation, execution, and orchestration platforms makes it a versatile solution that canbe used in a wide variety of software testing environments. Additionally, GenRocket replaces sensitive production data with controlled and conditioned synthetic data ensuring that all test data is protected and compliant with industry regulations. "GenRocket's partnership with LambdaTest demonstrates a transformation in the way software testing and test data provisioning are fully integrated and automated to enable superior quality at speed and scale," said Garth Rose, GenRocket CEO and Co-founder. Were excited to collaborate on ways to further advance the state of this technology and the value it will deliver to our customers. Through this integration, users can create realistic test data within GenRocket and automate testing with HyperExecute. This leads to reduced testing cycle times and faster software delivery thanks to faster and more comprehensive testing processes. By automating test data creation and test execution, users can create more test cases in a shorter amount of time. This enables users to thoroughly test their software resulting in improved software quality, faster time to market, and an overall reduction in software delivery cycle times. To know more, visit: https://www.lambdatest.com/support/docs/genrocket-integration/ About GenRocket GenRocket is the leader in synthetic Test Data Automation, high-performance technology for automating the design of synthetic data for software testing and machine learning requirements. GenRockets patented, groundbreaking platform accelerates test data provisioning by more than 1,000% as it improves data quality and test coverage while reducing cost and ensuring data privacy. Headquartered in Ojai, California, GenRocket operates in global markets through a network of systems integration partners and has customers in more than 14 vertical markets including financial services, insurance, and healthcare. For more information, visit www.genrocket.com About LambdaTest LambdaTest is an intelligent and omnichannel enterprise execution environment that helps businesses drastically reduce time to market through Just in Time Test Orchestration (JITTO), ensuring quality releases and accelerated digital transformation. Over 10,000+ enterprise customers and 2+ million users across 130+ countries rely on LambdaTest for their testing needs. ? Browser & App Testing Cloud allows users to run both manual and automated tests of web and mobile apps across 3000+ different browsers, real devices, and operating system environments. ? HyperExecute helps customers run and orchestrate test grids in the cloud for any framework and programming language at blazing-fast speeds to cut down on quality test time, helping developers build software faster. For more information, please visit https://lambdatest.com LambdaTest press office: [email protected] [ Back To TMCnet.com's Homepage ] [August 16, 2023] Ohio Continues Leading Charge on Medicaid Modernization with Sandata Technologies Contract Renewal Tweet Sandata Technologies, the pioneering force in the homecare industry, announces the renewal of its contract with the Ohio Department of Medicaid (ODM). ODM awarded Sandata the new contract from a competitive procurement administered by Ohio Department of Administrative Services (DAS). Ohio was the first state to adopt the Open Electronic Visit Verification (EVV) Model and obtain CMS certification. Sandata is grateful to ODM for entrusting them to continue to provide innovative solutions and further enhance their evolving technical infrastructure, including integrating EVV into their Ohio Medicaid Enterprise Solution (OMES) environment. "ODM is impressive in its quest to find new ways to modernize Medicaid and provide important healthcare services to its more than 3.3 million residents of Ohio," said Emmet O'Gara, Chief Executive Officer of Sandata. "Sandata is equally committed to making ongoing investments in our customers and their stakeholders as we reshape the future of homecare." ODM has been at the forefront of leveraging EVV to help achieve their goals of enhancinghealth outcomes by ensuring top-notch home and community-based services (HCBS) for Ohioans. The renewal of this partnership with Sandata will drive further improvements, streamline the experience, and provide the ability to proactively monitor service gaps to reduce administrative burden on Ohio Medicaid providers and combat Medicaid fraud, waste, and abuse in near real time. "We extend our gratitude to the State of Ohio for their steadfast trust in Sandata," added O'Gara. "We are excited to embark on this next phase together and continue to drive positive change in the homecare industry." About Sandata Sandata Technologies is the pioneering force in the homecare industry, consistently leading the way with innovative technology solutions. As a trusted leader, Sandata is deeply committed to addressing critical challenges in the homecare sector by expertly connecting the homecare ecosystem through innovative technology solutions. This commitment creates a positive and lasting impact on payers, providers, caregivers, and those who receive care. Sandata's industry-leading software, systems, and services optimize billing and claims processing for payers, streamline administrative processes for providers, and facilitate better experiences for caregivers and those who receive care. Sandata's vision and commitment to transforming the industry continue to shape the future of homecare, ensuring better outcomes for all involved. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816207292/en/ [ Back To TMCnet.com's Homepage ] [August 16, 2023] U.S. Congressman Chris Deluzio Joins Ribbon Cutting for Vibrant Sunshine Juicery Cafe, From the Creators of The Pittsburgh Juice Company Tweet Today, the creators of The Pittsburgh Juice Company hosted a ribbon-cutting ceremony to celebrate the opening of Vibrant Sunshine Juicery Cafe in Aspinwall. Today's ceremony kicked off two weeks of grand opening special events and was attended by special guest U.S. Rep. Chris Deluzio (PA-17). This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20230816240738/en/ The journey to the cafe's opening wasn't easy. Owner Naomi Hoppel faced challenges common to many small businesses including accessing the necessary funds to expand and challenges that are unique to a fresh, organic fruit business. However, the vision to create a community destination that offers fresh food kept Hoppel moving forward. The location, formerly Patty's Farm Market, was purchased by Hoppel in April of this year to allow for a second cafe location and for the company to consolidate production to a single location. The new cafe location is dedicated to promoting happiness, wellness, and sustainability through delicious grab-and-go foods and juices. The high traffic area will allow those on the go access to fresh food with plant forward options. Today's event included a tour of the production facility that will greatly increase efficiency and volume. Joining the tour were lenders, economic developers, vendors and other guests, including: PA Rep. Mandy Steele (D-33) Tyrell Best-Savage, Field Representative, U.S. Rep. Summer Lee (PA-12) Chuck Leyh, President and CEO, Enterprise Bank Tim Zimmerman, Senior Advisor, Independent Community Bankers of America Joe Bute, President and Co-Founder, Food 21 Winthrop Watson, President and CEO, FHLBank Pittsburgh David Paulson, Chief Operating Officer, FHLBank Pittsburgh Also joining were FHLBank Pittsburgh Board and Affordable Housing Advisory Council members: FHLBank Pittsburgh Board: Louise Herrle, Vice Chair Dr. Howard Slaughter Jr., President and CEO, Habitat for Humanity of Greater Pittsburgh and Governance and Public Policy Committee Chair Brendan McGill, President and CEO, Harleysville Bank FHLBank Affordable Housing Advisory Council: Tonya Mitchell-Weston, President/CEO, Housing Authority of the County of Warren Karen Welsh, President, UpStreet Architects, Inc. "Small businesses make our community great, and it was a treat to attend today's ribbon cutting and celebrate the launch of this new business right in my neighborhood in Aspinwall," said Rep. Deluzio. "I will keep fighting in Congress to grow jobs and opportunity and to strengthen competition so small businesses can thrive." "This new location marks an important step forward for The Pittsburgh Juice Company and Vibrant Sunshine," Hoppel said. "The expanded retail space and production capacity will have a profound impact on our operations and enable us to grow to our full potential with expanded menu offerings. We are grateful for the support of everyone here with us today and for the opportunity to serve the Aspinwall community. We're so excited for the future." Today's event was co-hosted by FHLBank Pittsburgh which provided funding through the Banking On Business (BOB) program which was launched in 2000 to help eligible small businesses create or retain jobs. Pittsburgh Juice Company became the 1,000th small business to leverage funding from the program utilizing a special purpose credit program called Banking On Business Inclusion and Equity fund (BOBIE). The BOBIE program was created to meet the needs of underrepresented small businesses by offering flexible terms that are favorable to minority- and women-owned small business borrowers. In speaking of FHLBank Pittsburgh's commitment to economic development, Watson said, "We are pleased to join our member, Enterprise Bank, to celebrate the 1,000th small business to utilize our Banking On Business program. Pittsburgh Juice perfectly represents the vision of the program to help small businesses bring their vision to life while having a huge positive impact on the community. We thank Congressman Deluzio for his continued partnership that allows FHLBanks to support the communities that our members serve." Since inception, BOB and BOBIE have provided more than $96 million in funding and helped to create or retain over 12,000 jobs. FHLBank Pittsburgh member, Enterprise Bank, provided primary funding and secured the BOBIE funding on behalf of The Pittsburgh Juice Company. Chuck Leyh added that "Enterprise Bank is committed to helping small businesses serve communities and FHLBank Pittsburgh is an essential partner in that mission. We look forward to watching The Pittsburgh Juice Company thrive." The event concluded with a fresh juice toast and sampling. Grand Opening Events Thursday, Aug. 17 - Sunday, Aug. 20 8 a.m. - 1 p.m. daily Soft open (limited menu) Monday, Aug. 21 - Friday, Aug. 25 7 a.m. - 3 p.m. daily Grand opening week (with 1/2 off specials each day and evening community events) Saturday, Aug. 26 - Sunday, Aug. 27 7 a.m. - 3 p.m. daily Grand opening weekend (with yoga, women-owned business market, children's art class, terrarium class, kombucha + juice sampling, and more) Monday, Aug. 28 Regular operations commence All are invited to join the grand opening events. About Pittsburgh Juice Company and Vibrant Sunshine Juicery Cafe At The Pittsburgh Juice Company (affectionately known as "PJC") the goal is to provide a product that makes you feel good and that you can feel good about. They believe in sustainability and the importance of wholesome, unprocessed, plant-based foods. In this woman owned, family business, waste is minimal while ingredients are organic and seasonally local; all making for happy bodies and for a happy earth. Their new endeavor Vibrant Sunshine Juicery Cafe is a plant-forward cafe dedicated to promoting happiness, wellness, and sustainability through nutritious grab-and-go foods and drinks. About Enterprise Bank Enterprise Bank opened its doors for business in October 1998. Since that day, our focus has been on providing the region with a bank that addresses the particular needs of entrepreneurs, startups, expanding businesses, and businesses in distress. It is our conviction that every business deserves the chance to start, grow and succeed. Enterprise Bank strives to bring an entrepreneurial spirit to the traditional commercial banking industry. About FHLBank Pittsburgh FHLBank Pittsburgh provides reliable funding and liquidity to its member financial institutions, which include commercial and savings banks, community development financial institutions, credit unions and insurance companies in Delaware, Pennsylvania and West Virginia. FHLBank products and resources help support community lending, housing and economic development. As one of 11 Federal Home Loan Banks established by Congress, FHLBank has been an integral and reliable part of the financial system since 1932. Learn more by visiting www.fhlb-pgh.com. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816240738/en/ [ Back To TMCnet.com's Homepage ] [August 16, 2023] Government of Canada supports innovation efforts at Centre de technologies avancees BRP - Universite de Sherbrooke Tweet Advanced technology centre to receive over $850,000 in financial assistance from CED to contribute to the green transition of Quebec's economy. SHERBROOKE, QC, Aug. 16, 2023 /CNW/ - Canada Economic Development for Quebec Regions (CED) SMEs have a key role to play in ensuring Quebec's economy makes the environmental transition. They seek to innovate and grow by becoming more competitive in a greener world. That is why Elisabeth Briere, Member of Parliament for Sherbrooke and Parliamentary Secretary to the Minister of Mental Health and Addictions and Associate Minister of Health, on behalf of the Honourable Soraya Martinez Ferrada, Minister of Tourism and Minister responsible for CED, is today announcing a non-repayable contribution of $852,641 for the Universite de Sherbrooke's Centre de technologies avancees BRP (CTA). This CED support will enable the CTA to improve its capacity for technology transfer and enhance the performance of businesses in the transportation electrification sector. The CTA is a non-profit organization created in 2006 out of a willingness to combine the industrial sector's agility and efficiency with the academic sector's creativity and vision. It specializes in vehicle innovations for the specialized vehicle market, including rescue vehicles, recreational vehicles, electric aircraft, and rovers for the Canadian Space Agency. CED's contribution will enable the organization to acquire specialized equipment for research and development in the field of clean vehicle technologies, including software for prototyping, a test bench for small engines, and an electronic press. A sustainable, just, and more inclusive economic recovery will come, among other things, through businesses making the green transition. The Government of Canada supports SMEs by fostering sustainable development in all regions across Quebec. Adapted programs and services are available to accelerate the launch of innovative projects, the adoption of clean technologies, and the development of greener products. In this way, CED is providing concrete assistance to Quebec's businesses, entrepreneurs, and workers so they can better position themselves and seize opportunities arising out of an economy intransition. Quotes "Businesses play a key role in Quebec's economy and the green transition. With this support for the CTA, our government is committing to assist businesses as they launch innovation projects that will contribute to a greener economy for future generations. By boosting transportation electrification through the CTA's project, we are supporting a solution that is profitable not just for the environment, but also for the economy here at home." Elisabeth Briere, Member of Parliament for Sherbrooke and Parliamentary Secretary to the Minister of Mental Health and Addictions and Associate Minister of Health "The climate crisis is the greatest challenge of our times, and we must help businesses develop processes and products that are more respectful of the environment. That is why our government is supporting the CTA in a project that will enable it to enhance the performance of businesses in the transportation electrification sector. Congratulations to the entire CTA team on their efforts to help build a better future for coming generations. You are an example to us all!" The Honourable Soraya Martinez Ferrada, Member of Parliament for Hochelaga, Minister of Tourism and Minister responsible for CED "The CTA team is honoured by this concrete recognition from the Government of Canada, through CED's contribution. We are extremely stimulated by the challenges the coming years hold in an industry that is completely transforming itself and rapidly evolving. This government assistance will enable us to better meet the needs of Quebec and Canadian businesses, while training highly qualified labour." Pascal Ranger, General Manager, CTA Quick facts CED is a key federal partner in Quebec's regional economic development. With its 12 regional business offices, CED accompanies businesses, supporting organizations and all regions across Quebec into tomorrow's economy. The funding announced today has been granted under the Jobs and Growth Fund (JGF) program. This program targets businesses and economic organizations to help them prepare local economies for long-term growth. It involves strategic investments in projects aimed at reducing Canada's environmental impact and fostering a green, resilient economy. Associated links Centre de technologies avancees BRP - Universite de Sherbrooke CED funding initiatives and programs Canada's 2030 Emissions Reduction Plan Stay connected Follow CED on social media Consult CED's news SOURCE Canada Economic Development for Quebec Regions [ Back To TMCnet.com's Homepage ] [August 16, 2023] Energy Capital Vietnam Signs Cooperation Agreement with SaigonTel and Allotrope Partners to Lead Green Infrastructure Development Alliance in Vietnam Tweet The agreement is a significant step towards developing Vietnam's first net zero industrial parks and mobilizes provincial action plans to support national decarbonization goals. HOUSTON, Aug. 16, 2023 /PRNewswire/ -- At a conference on the Master Plan Announcement and Investment Promotion of Long An Province, Vietnam, Energy Capital Vietnam (ECV), SaigonTel (HOSE: SGT), Allotrope Partners LLC, Chart Industries, Inc. (NYSE: GTLS), and Babcock & Wilcox (NYSE: BW) signed a cooperation agreement to develop Vietnam's first net zero industrial parks and advance its national carbon emissions goals. Prime Minister Ph?m Minh Chinh delivered remarks during the conference, which was also attended by former President Truong T?n Sang and other past members of the Politburo, as well as current government officials and industry leaders. Under the agreement, the partners will focus on developing and implementing net zero strategies at SaigonTel's Tan Tap and Nam Tan Tap Industrial Parks. This will also serve as a foundation for developing a broader provincial roadmap for Long An to reach net zero emissions by 2050. "ECV is proud to join this powerful decarbonization consortium that will contribute to Vietnam's national net zero goals while preserving economic growth through energy security," said David Lewis, Chairman and CEO of Energy Capital Vietnm. "We are truly honored to work with Marc Stuart and the Allotrope team to develop pragmatic pathways to decarbonize industrial parks and provincial master plans. Long An Province will be at the forefront of low and no-carbon manufacturing facilities in Vietnam." Marc Stuart, Founder and CEO of Allotrope Partners, said, "I was impressed by the caliber of the conversations regarding decarbonization at the event. They were among the most sophisticated and nuanced I've had in 30 years in the field. We're excited to partner with this incredible group of leaders to take this step for meaningful decarbonization in one of the most productive industrial baskets in the world. Thanks to SaigonTel and the Energy Capital Vietnam team for tireless work bringing all the pieces together." Lewis continued: "One of ECV's three focus areas is climate and the environment. Working with the experts at Allotrope Partners and a blue-chip company like SaigonTel enables us to bring this model to additional provinces and demonstrates our commitment to core values and delivering practical energy solutions in Vietnam." About ECV: Energy Capital Vietnam (ECV) is a Houston, Texas-based project development and holding company established as a platform for direct investment into Vietnam's energy and infrastructure sectors. Learn more at: www.ecvholdings.com About Allotrope Partners: Allotrope Partners invests in projects and companies engaged in the clean economy transition. For the past five years, Allotrope has led deep engagement in Vietnam supporting industrial companies on clean energy and decarbonization solutions. This includes advancing aggregated commercial and industrial rooftop solar projects in Vietnam and leading a consortium of partners to install Vietnam's first behind-the-meter battery energy storage system. Learn more at: www.allotropepartners.com Contact: Frank De Maria [email protected] +1 347 647 0284 Elie Jacobs [email protected] +1 646 330 2585 View original content to download multimedia:https://www.prnewswire.com/news-releases/energy-capital-vietnam-signs-cooperation-agreement-with-saigontel-and-allotrope-partners-to-lead-green-infrastructure-development-alliance-in-vietnam-301902798.html SOURCE Energy Capital Vietnam [ Back To TMCnet.com's Homepage ] [August 16, 2023] Frederick Community College Selects YuJa Video Platform to Create Engaging Learning Experiences for Its More Than 12,000 Students Tweet Maryland-based Frederick Community College, which serves more than 12,000 students, has selected the YuJa Enterprise Video Platform to provide a comprehensive video and media creation, hosting, storage and distribution solution campuswide. The institution was seeking a centralized video tool to record and edit lectures, as well as to create and embed video quizzes in Blackboard Learn, its learning management system, to create a robust hyflex learning environment. In addition to user-friendly features that enable instructors to create engaging, interactive content, Frederick Community College will benefit from the ability to auto-caption, gradebook integration, visual analytics, and other features that help elevate teaching and learning. "YuJa's suite of ed-tech tools was designed to help institutions of all sizes create interactive synchronous and asynchronous content in a single, ecure platform," said Nathan Arora, Chief Business Officer at YuJa, Inc. "YuJa's tools are designed with ease of use in mind, and both faculty and students will benefit from the robust features the Video Platform offers." ABOUT FREDERICK COMMUNITY COLLEGE Frederick Community College (FCC) is a public college accredited by the Middle States Commission on Higher Education (MSCHE). Located in Frederick, Maryland, FCC is distinguished by its quality and affordability, making it attainable and valuable for local students. Accredited programs, comprehensive instruction, and affordable costs of attendance have made FCC the learning destination for more than 200,000 students since 1957. FCC offers 69 associate degree programs and areas of concentration, 43 certificate, and 48 subject areas in continuing education through credit and Continuing Education and Workforce Development (CEWD). ABOUT YUJA, INC. YuJa is a leader in ed-tech solutions. Our platforms provide organizations of all sizes with the tools to educate, engage, inspire and collaborate. We serve learning enterprises within all sectors, including higher-ed, K-12, government, healthcare, non-profit and corporate. We have legal headquarters in Delaware with primary U.S. offices in Silicon Valley, California, and Canadian offices in Toronto. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816556453/en/ [ Back To TMCnet.com's Homepage ] [August 16, 2023] PowerSchool Naviance CCLR Launches New Enhancements to Provide More Diverse Support for Students and Their Post-High School Journey Tweet PowerSchool (NYSE: PWSC), the leading provider of cloud-based software for K-12 education in North America, today announced new enhancements to PowerSchool Naviance CCLR, part of the Student Success and Workforce Development Clouds. Available to students ahead of the new school year, the new Naviance CCLR student experience includes a brand-new user interface and an interactive, personalized tool called My Future Plan. This update marks the first phase of Naviance CCLR's comprehensive updates to modernize and enhance the student experience to deepen support for students pursuing a variety of paths after high school. "We are thrilled to unveil the new My Future Plan student experience in Naviance CCLR," said Amy Reitz, Group Vice President, Product, PowerSchool. "Not only will these enhancements provide students with a more engaging and personalized planning experience, but they further our mission to help all students pursue their future aspirations, whatever they may be." These updates provide students with personalized information, actions, and guidance as they plan their postsecondary path. The student experience will be tailored based on their interests and selected path, and the content on their student homepage will adapt to reflect their choices. The new Naviance CCLR student homepag provides students and educators with multiple benefits including: A more engaging and cleaner user interface: The new Naviance CCLR student homepage will provide an easy-to-navigate experience for students. With an updated design and layout, the new homepage makes it easier for students to find what they need and tools to enhance their college and career research. The ability to build a personalized postsecondary plan: Students can select one or more paths from a diverse set of options to begin building their plans. Paths include associate degree, bachelor's degree, military service, apprenticeship program, employment, career education or trade school, gap or service year, and undecided. The ability to view the most relevant information first: The content students see on their homepage is driven by their interests and selected path, so they will be able to quickly find the most relevant information needed to reach their goals. With these updates, students will be able to explore career options and research colleges more easily. The opportunity to free up counselors' workloads: Because the new experience will help students easily navigate their postsecondary path of choice and access supporting resources, they are able to work more independently, giving counselors more time to help students who require additional support. Optimize district life readiness programs: With improved visibility into students' future planning, counselors can make data-driven decisions on what support is needed for existing and future college, career, and life readiness programs in their district. For more information about Naviance CCLR and the Workforce Development Cloud, please visit https://www.powerschool.com/classroom/naviance/. About PowerSchool PowerSchool (NYSE: PWSC) is the leading provider of cloud-based software for K-12 education in North America. Its mission is to power the education ecosystem with unified technology that helps educators and students realize their full potential, in their way. PowerSchool connects students, teachers, administrators, and parents, with the shared goal of improving student outcomes. From the office to the classroom to the home, it helps schools and districts efficiently manage state reporting and related compliance, special education, finance, human resources, talent, registration, attendance, funding, learning, instruction, grading, assessments and analytics in one unified platform. PowerSchool supports over 50 million students globally and more than 15,000 customers, including over 90 of the top 100 districts by student enrollment in the United States, and sells solutions in over 90 countries. Visit www.powerschool.com to learn more. PowerSchool. PowerSchool and other PowerSchool marks are trademarks of PowerSchool Holdings, Inc. or its subsidiaries. Other names and brands may be claimed as the property of others. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816620414/en/ [ Back To TMCnet.com's Homepage ] What's that saying about the enemy of my enemy??? I keep getting the message in my fortune cookie but always forget. Nevertheless . . . An important but overlooked development today . . . KANSAS CITY STREETCAR FANBOIS HATE THE SOUTH LOOP!!! For those of us who hate them both . . . This is welcomed news. Here's a peek at their efforts to stop a scheme that's mostly crafted for the benefit of local hotels, condos and the elite . . . Closing Walnut or Baltimore for tenants of luxury Power & Light apartments to walk their dogs and attend city-subsidized yoga parties is the wrong way to protect the city's biggest transit investment in a generation. Please do no harm to the city's transit system. Read more via www.TonysKansasCity.com link . . . In this post we keep our readers up to date on local public safety notes, police action, court cases and ALLEGED misdeeds. Check TKC news gathering . . . Community members, business owners react to Kansas City's new Multidisciplinary Public Safety Task Force Families who've lost loved ones to homicides and owners of nightclubs reacted Tuesday to a new program to fight violent crime, Kansas City's Multidisciplinary Public Safety Task Force Months after Overland Park apartment fire, Missouri suspect arrested, charged with arson Around 3 a.m. March 19, a fire at the Sheridan Ridge Townhomes in Overland Park displaced residents. A Missouri resident is arrested and accused of starting the fire. Olathe man sentenced to over 6 years in prison for leading police on chase at Kansas City downtown airport An Olathe man was sentenced to federal prison Tuesday after leading police on a chase on a runway at the Charles B. Wheeler Downtown Airport in KCMO. Teen takes plea deal in deadly 2022 Shawnee shooting Sabrina Clark, a teenager charged in the deadly Shawnee shooting of Jarod Rogers, has pleaded guilty to lesser charges. 19-year-old flees traffic stop then crashes, passenger runs from scene A strange series of events ended with a 19-year-old in the hospital and her passenger on the run from police. Suspect seriously injured in collision after fleeing Leawood police during traffic stop A driver was seriously injured in a collision Monday night after fleeing a Leawood police officer who attempted to conduct a traffic stop. Suspects wanted after shooting Independence gas station clerk A Kansas City-area gas station clerk was shot as when he left work. Now the manhunt is on for four suspects in what police call a well-planned crime. Three Missouri Cities Are in the Top 10 U.S. Cities with Highest Violent Crime Rates | That's interesting | NewsBreak Original This analysis by insiderMonkey, delves into the 30 U.S. cities with the highest rates of violent crime, shedding light on the factors contributing to this concerning trend. Of particular note is the presence of three cities from the state of Missouri within the top ten, indicating a significant challenge within the state's urban centers. Woman charged in connection to death of Fairway police officer back in court on Wednesday Andrea Cothran was charged with aggravated battery, reckless fleeing of law enforcement, felony theft, and reckless driving. Former Greenwood Police Chief Greg Hallgrimson found guilty of felony assault A Clay County jury found former Greenwood Police Chief Greg Hallgrimson guilty Tuesday of felony first-degree domestic assault. Kansas City mother wants answers in son's death 5 years later "It's never easier. It doesn't get easier, ever." 5 years later, family still waits for justice after two men murdered at Longview Lake One Kansas City mother still grieves her son's unsolved murder while she pleads to the public for information that could lead to an arrest. Developing . . . Here's what TKC is watching . . . KANSAS CITY MEDIA MOSTLY NOPES OUT OF ANY MENTION OF SEX OR DUI BACKSTORY GOSSIP THAT BETTER INFORMS THE DRAMA!!! In fact . . . The Kansas City Star Pulitzer nominee plays chicken and just mentions "personal" drama whilst mostly focusing on silly progressive talking points. In the meantime . . . Once again the Daily Mail comes to the rescue with this summary that offers an INTERNATIONAL perspective on small town crisis . . . Here's a quote from their work . . . "Kari Newell accused the Marion County Record of getting information illegally about her previous DUI as she was trying to obtain a liquor license "She triggered the search - which shocked the newspaper's co-owner Joan Meyer so much that she died the next day "This all started when Newell stood up at a council meeting on August 7, accusing multiple people of having her data, and threatening legal action . . ." The story is gaining momentum but here's kicker and the question that needs to answered . . . CAN KAREN SPARK POLICE RAIDS AGAINST NEWSIES JUST BECAUSE SHE'S ANGRY??? I guess the bar has been raised from just wanting to talk to your manager. And again . . . Here's the local connection reported by the Daily Mail, New York Post & others that Kansas City media are downplaying and haven't really mentioned in the past 24 hours . . . "The police chief who led the raid, Gideon Cody, had recently left the Kansas City Police Department where he was a captain after 24 years. And Meyer's newspaper had subsequently looked into the alleged sexual misconduct charges against him . . ." Spoiler alert . . . This morning the Wichita Eagle is calling for the chief to be fired. Read more via www.TonysKansasCity.com links . . . Kansas restaurant owner who sparked police raid on local newspaper The Kansas restaurant owner who sparked a police raid on a local newspaper that shocked its 98-year-old co-owner so much that she died has been pictured. 'Do you realize how angry KBI will be at me?' Marion police chief won't answer I-Team's questions on raid On Tuesday morning, the KSHB 41 I-Team continued its investigation into three raids, one of which took place at a Kansas newspaper, by paying a visit to the Marion police chief. Who was Joan Meyer? Kansas paper co-owner who rebuked police raid as 'Hitler tactics' - and died a day later Meyer's sudden death has ignited an outcry from news media advocates who condemned the police raid as something straight out of the authoritarian playbook Was raid on Marion, Kansas, newspaper personal for the new police chief? | Opinion Police Chief Gideon Cody had already threatened to sue the Marion County Record if they wrote about him. From Melinda Henneberger: Opinion | This police raid on rural Kansas newspaper is unconscionable and un-American With a search warrant in hand, police raided the office of The Marion County Record and the home its publisher Eric Meyer shared with his mother, Joan Meyer. Why care about Marion's newspaper? Because police raid was a step too far. - Kansas Reflector In the grand scheme of things, if such a thing exists, it's hard to imagine that anyone cares all that much what happens in Marion County. With a nation's eyes scrutinizing Kansas community, let's be careful with the facts - Kansas Reflector As the furor over police raiding the Marion County Record boils and bubbles, I want to take a step back today. 'Bullies will be bullies': Kansas newspaper staff works OT, details raid; judicial system remains silent Eric Meyer, the owner of the Marion County Record, detailed a recent police raid on the newspaper's office and his personal home. Anti-Press Hatred Is Alive and Well in Kansas The assault on the Marion County Record is another reminder that Trumpism is a threat to press freedom. Marion police chief lies and deflects on newspaper raid. He should be fired, immediately. | Opinion Marion Police Chief Gideon Cody has damaged the reputation not only of Marion, but the entire state of Kansas. Developing . . . We linked this nightmare in the making last week . . . The basics: There's an idea that taxpayer cash will create a paradise in a part of town known for hosting dead hookers. Here's the fancy idea . . . This is a fundamentally two-phase concept for a significant European-style, walkable, dense community that will meet or exceed the planning vision for the riverfront, said Jon Stephens, Port KC president and CEO. It will complete the primary core of the riverfront as it was envisioned 30 plus years ago. Close readers might recognize the name of the spokesman . . . Not so long ago he also served as the hype-man for the P&L District which also never paid off. Read more via www.TonysKansasCity.com link . . . The Missouri firebrand isn't pulling any punches after former Prez Trump was hit with more charges in Georgia. Here's the money line from his latest Fox News appearance . . . What is clear about this is, this is an effort by the ruling party, the Democrat Party, across states, in the federal government to make sure that anybody who criticizes them gets indicted, the Missouri senator added. Its not just Trump, although they are focused on Trump. Its also Catholics, who have had their parishes infiltrated. Its also pro-life demonstrators, who had SWAT teams sent to their doors. Its also those who have protested peacefully that the FBI show up and knock at their doors. Its parents who have gone [to] school board meetings and now have been labeled domestic terrorists by the Biden administration. I never thought we would see any of this in American history. We have seen all of it in just the last couple of years, Hawley continued. The common thread is, if you challenge them, if you challenge the ruling party, the ruling elites, they will use the force of law against you. Read more via www.TonysKansasCity.com link . . . Donald Trump indicted: Hawley slams Democrats' 'loud and clear' message of 'don't mess with us' Sen. Josh Hawley (R-MO) blasted the latest indictment against former President Donald Trump, saying it is an intentional effort to "keep the ruling party in power." Here's the clip . . . You decide . . . The Olenegorsky Gornyak large landing ship recently struck by a naval drone off the coast of the Novorossiysk port in Russia, is unlikely to return to service any time soon. Thats according to Dmytro Pletenchuk, the spokesman for Ukraines Naval Command, who spoke on the air of the national telethon, an Ukrinform correspondent reports. "As for Gornyak, which had been deployed from the Northern Fleet, we are sure that she will not return to service," he said. Answering a question about the behavior of the Russian forces in the Black Sea, Pletenchuk noted that the Russians are trying to show that they are allegedly masters in the region. The spokesman expressed confidence that Ukrainians will be able to prove different. "Its due to the violation of coastal warnings, which only Ukraine should issue in Ukrainian waters, its a result of violation of the ban on the use of the Kerch Strait that such cases occur with the Black Sea Fleet of the so-called Russian Federation (when their warships get damaged - ed.). And we can assure you that these are not the last such cases that will happen to them. Because, as we can see, they are not going to stop in their aggressive policy in the shared sea," said the Navy spokesman. Answering the question of what the Russians are doing to protect their warships, he said they were taking a series of measures to protect their fleet as much as possible, including by staying away from possible locations where they may be affected. "However, we are a civilized country. We also issued a coastal warning regarding the water area closer to the Russian shores because these areas are dangerous, and we say so frankly. And it is dangerous, first of all, because of the actions of the Russians in the Black Sea," Pletenchuk believes. As reported, in Novorossiysk Bay, a surface drone damaged the large landing ship operated by the Russian Navy, the Olenegorsky Gornyak. The head of Chechnya, Ramzan Kadyrov, is actively promoting the role of his units in the war in Ukraine to improve his reputation as a Putin loyalist. This was reported by the British Ministry of Defense on social network X (Twitter), citing intelligence data, according to Ukrinform. It is noted that on 10 August 2023, Chechen leader Ramzan Kadyrov acknowledged the efforts of the Chechen Vostok Akhmat Battalion in the heavily contested Orhikiv sector in Zaporizhzhia Oblast. Kadyrov's comments highlighted the continuing role of one of the premier Chechen units in this key area. Vostok officially comes under the command of the Southern Military District's 42nd Motor Rifle Division which has been active around the village of Robotyne. Chechen forces comprise a relatively small but high-profile component of Russian forces in Ukraine. Kadyrov likely heavily promotes his units' roles partially to burnish his credentials as a Putin loyalist, British intelligence believes. As reported, in a previous report, British intelligence described the course of the fighting on the Dnipro. Ukraine's defense forces have repelled an enemy attack near Avdiivka, with about 30 combat engagements recorded along the front line in the last 24 hours. The General Staff of the Armed Forces of Ukraine said this in a morning update posted to Facebook, Ukrinform reports. "In the Avdiivka sector, the enemy conducted unsuccessful offensives south of Avdiivka, Donetsk region. The enemy carried out airstrikes in the Avdiivka and Nevelske area. More than ten settlements, including Novokalynove, Semenivka, Yasnobrodivka, Karlivka and Nevelske in the Donetsk region, were hit by artillery fire," the update read. Last night, Russia launched another attack against Ukraine, using Iranian Shahed-136/131 one-way attack drones. Reports on the consequences of this terrorist attack are currently being clarified. In the last 24 hours, the Russian occupiers struck Ukraine with air and sea-based missiles. In total, at least 28 cruise missiles of different types were launched, including 4 Kh-22 cruise missiles, 20 Kh-101/Kh-555 cruise missiles and 4 Kalibr cruise missiles. Ukrainian air defenses intercepted 16 Kh-101/Kh-555 and Kalibr cruise missiles. In addition, the enemy launched at least eight S-300 and S-400 anti-aircraft guided missiles in the Dnipropetrovsk and Zaporizhzhia regions. In total, in the past 24 hours, the enemy launched 42 missile strikes, 56 airstrikes and carried out 36 MLRS attacks on the positions of Ukrainian troops and populated areas. As a result of Russian terrorist attacks, civilian casualties, including child casualties, were recorded. Residential buildings and other civilian infrastructure were damaged. The probability of the enemy launching missile and air strikes on targets across Ukraine remains high. On the Volyn and Polissia axis, the operational situation has not changed significantly. On the Sivershchyna and Slobozhanshchyna axis, the enemy carried out airstrikes near Atynske, Yastrubyne and Pavlivka, Sumy region. The enemy carried out mortar and artillery attacks on more than 30 settlements, including Tymonovychi, Khotiivka and Hremiach in the Chernihiv region, Sytne, Shalyhyne, Stepne, Uhroidy and Popivka in the Sumy region and Hraniv, Pletenivka, Okhrimivka, Kreidianka and Vilkhuvatka in the Kharkiv region. In the Kupiansk sector, the enemy conducted unsuccessful offensive actions southeast of Vilshany and east of Petropavlivka, Kharkiv region. The enemy carried out airstrikes outside Ivanivka, Zahryzove and Vilshany in the Kharkiv region and Stelmakhivka in the Luhansk region. The settlements of Kupiansk, Pishchane and Cherneshchyna in the Kharkiv region came under enemy artillery and mortar attacks. In the Lyman sector, the enemy carried out airstrikes outside Bilohorivka, Luhansk region, and Siversk, Spirne and Vesele in the Donetsk region. The settlements of Kolodiazi and Siversk in the Donetsk region were hit by artillery fire from the enemy. In the Bakhmut sector, the enemy carried out airstrikes near Vasiukivka, Klishchiivka, Andriivka and Pivnichne in the Donetsk region. More than 15 settlements, including Minkivka, Hryhorivka, Bakhmut, Ivanivske, Predtechyne and Dyliivka in the Donetsk region, were hit by enemy artillery shelling. In the Marinka sector, Ukraine's defense forces continue to hold back the advance of Russian troops outside the towns of Marinka and Krasnohorivka in the Donetsk region. The enemy launched an airstrike outside Krasnohorivka, Donetsk region. More than ten settlements, including Krasnohorivka, Pobieda, Novomykhailivka, Antonivka and Yelyzavetivka in the Donetsk region, were hit by artillery fire. In the Shakhtarske sector, the enemy made unsuccessful attempts to recapture lost positions outside Urozhaine, Donetsk region. The enemy carried out airstrikes near Velyka Novosilka, Makarivka, Staromaiorske and Urozhaine in the Donetsk region. More than ten settlements, including Bohoiavlenka, Zolota Nyva, Urozhaine, Blahodatne, Neskuchne and Rivnopil in the Donetsk region, were hit by artillery fire. In the Zaporizhzhia sector, the enemy carried out airstrikes near Omelnyk, Mala Tokmachka, Novodanylivka and Robotyne in the Zaporizhzhia region. More than 25 settlements were hit by enemy artillery shelling, including Levadne, Huliaipole, Bilohiria, Lukianivske, Piatikhatky and Plavni, Zaporizhzhia region. In the Kherson sector, the enemy carried out airstrikes outside Mykolaivka and Sadove, Kherson region. The settlements of Poniativka, Kherson and Novodmytrivka in the Kherson region and Ochakiv in the Mykolaiv region were hit by enemy artillery fire. At the same time, Ukraine's defense forces continue to conduct an offensive operation in the Melitopol and Berdiansk sectors, entrenching themselves on the achieved lines and carrying out counter-battery measures. Amid the decreasing morale of the personnel of the military units of the invasion forces, the enemy's command is increasingly resorting to staged filming of their success. For example, in the temporarily occupied village of Kozachi Laheri, Kherson region, preparations were underway for the filming of a propaganda video by a Russian film crew based on the plot "Destruction of 150 servicemen of the Ukrainian sabotage and intelligence group in the local village center of culture." However, as of August 13 of this year, up to 25 Russian military personnel from among former prisoners are stationed in this building. In the last 24 hours, the Ukrainian Air Force launched seven strikes on the areas of concentration of Russian military personnel, weapons and equipment. Units of Ukrainian rocket forces and artillery, in turn, hit four artillery pieces, an anti-aircraft missile system and another important enemy target. Ukraine's defense forces have liberated the village of Urozhaine in the occupied Donetsk region. Ukraine's Deputy Defense Minister Hanna Maliar said this in a post on the Telegram messaging app, Ukrinform reports. "Urozhaine has been liberated. Our defenders are consolidating their positions," Maliar said. She also added that Ukraine's offensive was ongoing. Earlier reports said that Ukraine's defense forces continued to conduct an offensive operation in the Melitopol and Berdiansk sectors. In the Avdiivka and Marinka sectors, Ukrainian defenders continue to hold back the advance of Russian troops. British intelligence reports that Moscow has begun using analogs of Iranian Shahed attack drones of its own production. This was reported by the UK Ministry of Defense, according to Ukrinform. As noted, Russia has almost certainly started to deploy domestically produced one way attack Uncrewed Aerial Vehicles (OWA-UAVs) based on Iranian Shahed designs. Russian forces have been importing Iranian-made systems since September 2022. According to British intelligence, the performance of these weapons has been variable and Ukraine has proved effective in neutralising the majority of incoming OWA-UAVs. Read also: British intelligence reports how Kadyrov proves his loyalty to Putin Russia likely aims for self-sufficiency in OWA-UAVs in the coming months. However, in the interim, Russia remains reliant on components and whole weapons from Iran, primarily shipped via the Caspian Sea, the UK Ministry of Defense believes. As reported, Russia has begun production of its own version of Iranian Shahed attack drones and has already used them against Ukraine. Researchers claim that disposable drones called Geranium-2 are a Russian version of Iranian drones. Deputy Minister of Internal Affairs and Administration of Poland Maciej Wasik said on Wednesday that his country is constantly expecting provocations on the Poland-Belarus border, which are an attempt to destabilize the situation in Poland. This was reported by Polish Radio, according to Ukrinform. "We are aware that Alexander Lukashenko will want to interfere in the Polish elections, destabilizing the situation and making various provocations," Wasik said. He noted that Latvia also sees a security threat on the common border with Belarus and is strengthening its security. According to Wasik, the situation on the Poland-Belarus border is "constantly monitored." As reported, Polish Defense Minister Mariusz Baszczak said that Poland plans to deploy up to 10,000 additional troops to the border with Belarus to support the Border Guard Service. On August 1, two Belarusian helicopters conducting exercises near the border violated Polish airspace. Mariusz Baszczak convened the National Security and Defense Committee and ordered an increase in the number of troops on the border and allocated additional forces and equipment, including combat helicopters. The incident was reported to NATO. For a more effective offensive, Ukraine needs HIMARS dual-purpose improved conventional munitions (DPICM) rockets which would make it possible to strike the enemy not only at the front but also in the rear. Dan Rice, a former special adviser to Ukrainian commander-in-chief General Valeriy Zaluzhny and incumbent president of the American University Kyiv said this in an interview with Newsweek, Ukrinform reports. If you get 2,000 cluster rockets, I think the war will be over. It's that simple Frontline battalions of the Russians are going to be wiped out, and the rear echelon is going to be wiped out. We have tens of thousands of these cluster rockets in Germany sitting around, waiting to be destroyed. Instead of destroying them, just give them to the Ukrainians. And they'll win the war, Rice said. According to him, the party that is going on must have an artillery of longer range to reach the enemy's defense lines and preserve valuable equipment. Rice believes that HIMARS DCIPM rockets could "even the score" and they could be used on the battlefield immediately: "There is no training required. They are fired from the HIMARS and the M270 multiple-launch rocket systems that [the Ukrainians] have and have used so effectively with solid projectiles." The ex-adviser also noted that as an American Army officer, I would never be in combat without DPICM. He called the Congress statements on the refusal to supply missiles with submunition hypocrisy. "The U.S. is withholding weapons because we think we're protecting future generations of Ukrainians. Meanwhile, we're sacrificing the current generation because we're not giving them the right ammo," ex-serviceman warned. As reported, in early July, the U.S. Department of Defense announced a $800 million security assistance package to Ukraine, which included cluster munitions. Soon, the Department officially confirmed that the cluster munitions had already been delivered to Ukraine. The Ukrainian side stated at the OSCE that the Armed Forces of Ukraine would use cluster munitions only in the areas of concentration of Russian troops in the temporarily occupied territories, carefully recording all cases. Li Shanfu, a member of the State Council and Minister of National Defense of China, will visit Moscow on August 14-19 to take part in the 11th Moscow International Security Conference and will also visit Belarus. This was announced on Monday by the Chinese Ministry of Defense, according to Ukrinform. It is noted that in the Russian capital, Li will speak at the aforementioned conference and meet with Russian military and political leaders, and during his visit to Belarus, he will hold talks with the state and military leadership of this country and visit military units of the Belarusian armed forces. As you know, China and the United States have recently resumed high-level dialogues in critical directions, including between the foreign and financial ministries and climate dialogue. At the same time, Beijing refuses to resume contacts between the heads of the defense ministries, demanding that the US side lift the sanctions against Li Shanfu imposed on him before he was appointed to the high post of minister. As reported, Yehor Chernev, an MP and head of the Verkhovna Rada's permanent delegation to the NATO Parliamentary Assembly, said that China is changing its position on the war waged by Russia against Ukraine and on Russia because of President Vladimir Putin's unconstructive position. NATO's position on the sovereignty and territorial integrity of Ukraine has been clear and unwavering. A NATO official said this in a comment to Ukraine's public broadcaster Suspilne, Ukrinform reports. He said the military alliance would continue to support Ukraine for as long as it takes. NATO is committed to achieving a just and lasting peace, he added. The official said that it is up to Ukraine to decide when and under what conditions to achieve peace. According to him, it is obvious that there is a need for security arrangements that would ensure that war does not happen again. Stian Jenssen, the NATO secretary general's chief of staff, proposed on August 15 that Ukraine cede part of its territory to Russia in exchange for NATO membership in order to end the war. The Ukrainian Foreign Ministry responded to this statement, calling such conversations unacceptable. Romanian Foreign Minister Luminita Odobescu has condemned another wave of Russian drone strikes on Ukraine, particularly on grain silos and warehouses in the Ukrainian Danube ports of Reni and Izmail. She reported this on the X social media platform (formerly known as Twitter), according to Ukrinform. "I strongly condemn the continued Russian attacks on innocent people, civilian infrastructure, including grain silos in the Ukrainian ports of Reni & Izmail. By these flagrant violations of int'l law Russia continues to jeopardize global food security & the safety of navigation in the Black Sea," Odobescu wrote. Reuters, citing the authority of the Romanian port of Constanta, reported that Ukraine had shipped 8.1 million tonnes of grain through this port in the first seven months of the year. The pace slowed in July when Russia began attacking infrastructure at Ukrainian inland ports. The Danube River is Ukraine's key waterway for grain exports after access to its own Black Sea ports was closed due to Russia's withdrawal from the Black Sea Grain Initiative in July. Since then, Russia has repeatedly attacked Ukraine's Danube River ports. Earlier reports said that Russia's overnight drone attacks in the Odesa region had damaged grain silos and warehouses in one of Ukraine's Danube ports. Photo: Mediafax The Cabinet of Ministers has approved an agreement on mutual recognition and exchange of national driver's licenses between Ukraine and the Republic of Lithuania. Taras Melnychuk, permanent representative of the Cabinet of Ministers in the Verkhovna Rada, announced this on Telegram, Ukrinform reports. "The agreement obliges the parties to recognize and exchange national driver's licenses based on the principle of reciprocity," Melnychuk noted. He added that such an exchange is carried out without the need to pass relevant practical and theoretical tests. The agreement establishes the correspondence of the driver's license categories of the two states, as well as determines the mechanism for confirming the fact of issuing a driver's license, which is submitted for exchange. As reported, on May 30, Ukraine and Lithuania signed an agreement on mutual recognition and exchange of national driver's licenses. To exchange national driver's licenses, drivers will only need to submit a document of permanent residence in the country, an original driver's license and a valid medical certificate. Ealth fund grew in value by 131 billion euros in the first half of the year, the country's central bank said in a statement on Tuesday Oslo, (UrduPoint / Pakistan Point News - 16th Aug, 2023 ):Oil producer Norway's sovereign wealth fund grew in value by 131 billion euros in the first half of the year, the country's central bank said in a statement on Tuesday. The performance, lifted by the financial markets, represented a return of 10 percent and helped boost the fund's value to 15,299 billion kroner (1,332 billion euros) at the end of June. In six months, the fund has almost wiped out the huge 1,637 billion kroner loss incurred last year as a result of the war in Ukraine and the global economic downturn. Norway's sovereign wealth fund is the world's biggest, according to the Sovereign Wealth Fund Institute, just ahead of two Chinese funds. Fuelled by revenues from Norway's state-owned oil and gas companies, the fund is aimed at financing future spending in the generous welfare state. Since the start of the year, the vast fund has also benefited from the weakening of the krone, which has increased the value of assets held in dollars, euros and other foreign currencies. Norges Bank had been set to publish its half-year results on Wednesday. It did not give a reason for publishing the results on Tuesday. The national air carrier of Azerbaijan (AZAL) will launch the regular flights to Pakistan from September 20, 2023 ISLAMABAD, (UrduPoint / Pakistan Point News - 16th Aug, 2023 ):The national air carrier of Azerbaijan (AZAL) will launch the regular flights to Pakistan from September 20, 2023. Flights will be operated to the capital of Pakistan - Islamabad, as well as to its largest cities - Lahore and Karachi. These three cities are important historical and cultural centers of Pakistan which makes them very interesting to visit, said spokesman of Azerbaijan Embassy while talking to APP on Wednesday. Flights from Baku to Islamabad will be performed on Wednesdays and Saturdays, to Lahore - on Mondays and Fridays, and to Karachi - on Thursdays and Sundays. This flexible schedule provides convenient options for travelers, he added. The opening of new AZAL flights promises to promote the development of ties between Azerbaijan and Pakistan, promote tourism, international transportation and strengthen diplomatic relations, he maintained. Customers can get acquainted with the flight schedule and purchase tickets on the official website of the airline www.azal.az/en or via AZAL mobile application. (@Abdulla99267510) State Department Deputy Spokesperson Vedant Patel says the United States looks forward to working with Pakistan's interim Prime Minister and his team. WASHINGTON: (UrduPoint/UrduPoint / Pakistan Point News-August 16th, 2023) The United States has reaffirmed its support to Pakistan in areas of mutual interest. Responding to a question at his daily news briefing in Washington, the State Department Deputy Spokesperson Vedant Patel said the United States looks forward to working with Pakistan's interim Prime Minister and his team. He said the United States will continue to partner with Pakistan in areas of mutual interest, including in Pakistans economic stability, prosperity, and security, conduct of free and fair elections and respect for democracy and rule of law. On August 10, Spokesperson of the US State Department Matthew Miller said that the allegations that the United States has interfered in internal decisions about the leadership of Pakistan are false. He said a number of people have taken this matter out of context and used it for political purposes. The United States, he said, valued its relationship with Pakistan including with respect to counter terrorism. Miller said we have supported Pakistan through a number of pieces of assistance to help with counterterrorism and with other law enforcement activities. He said we will continue to support Pakistan's counterterrorism operations. Responding to another question, the Spokesperson said the arrest of the PTI Chairman is an internal matter of Pakistan. He said we continue to believe that these are matters for the Pakistani people to decide. (@Abdulla99267510) "Leila's Brothers," a captivating portrayal of a family navigating economic challenges in Tehran, is prohibited in Iran following its release last year. TEHRAN: (UrduPoint/UrduPoint / Pakistan Point News-August 16th, 2023) A court in Iran handed down a six-month prison sentence to acclaimed filmmaker Saeed Roustaee for showcasing his film "Leila's Brothers" at the Cannes Film Festival last year, according to local sources on Tuesday. "Leila's Brothers," a captivating portrayal of a family navigating economic challenges in Tehran, was prohibited in Iran following its release last year. The movie competed for the Palme d'Or at last year's Cannes festival, earning the International Federation of Film Critics (FIPRESCI) award despite missing the top prize. Reformist daily Etemad reported that Roustaee and the movie's producer, Javad Noruzbegi, were both sentenced to six months in prison for screening the film at the Cannes Film Festival. The two were found guilty of promoting opposition propaganda against the Islamic system. "Leila's Brothers" faced a ban after being submitted to international film festivals without authorization and its director's refusal to comply with the culture ministry's requested changes, as stated by official media. The filmmakers will serve just nine days, or one-twentieth of their sentence, while the remainder will remain suspended over a five-year period, as per Etemad. The verdict is open to appeal. During the suspension, the defendants are required to complete a film-making course while upholding national and ethical interests, refraining from interactions with fellow cinema professionals, as reported by the newspaper. Roustaee, aged 34, gained international recognition following the release of his 2019 film "Just 6.5," which boldly addressed Iran's drug crisis and the harsh, unproductive police response. Iran's robust film industry, boasting renowned figures such as Jafar Panahi and Asghar Farhadi, has been celebrated globally with numerous awards. The United States said Wednesday that Russia's drone strikes on Ukraine's Danube infrastructure showed that President Vladimir Putin did not care about the food supply for the developing world Washington, (APP - UrduPoint / Pakistan Point News - 16th Aug, 2023 ) :The United States said Wednesday that Russia's drone strikes on Ukraine's Danube infrastructure showed that President Vladimir Putin did not care about the food supply for the developing world. "It is unacceptable. Putin simply does not care about global food security," State Department spokesman Vedant Patel told reporters. The governor of Ukraine's Odesa region said that Russian attack drones had damaged grain facilities at a port on the Danube, which has emerged as a key way to export grain from the breadbasket nation after Russia backed out of a UN-led agreement on safe passage in the Black Sea. The United States called on Russia to return to the Black Sea initiative, negotiated in part by Turkey, "immediately.""The contrast here is quite sharp. Our Ukrainian partners are inspiring the world, while Russia starves it by weaponizing food," Patel said. Kacie Hutton (left) and Jill Matlock, both prevention specialists with the Wyoming Department of Healths Chronic Disease Prevention Program, look over educational materials that are part of blood pressure kits available at the Natrona County Library. The kits are offered through a collaborative pilot project involving the Wyoming Center on Aging at the University of Wyoming, the Wyoming Department of Healths Chronic Disease Prevention Program and each library system in all 23 Wyoming counties. (Kyle Spradley Photo) Self-measured blood pressure monitoring kits are now available for checkout at libraries across the state. The kits are offered through a collaborative pilot project involving the Wyoming Center on Aging (WyCOA) at the University of Wyoming, the Wyoming Department of Healths Chronic Disease Prevention Program and each library system in all 23 Wyoming counties. In all, 252 blood pressure monitoring kits are available at 67 libraries. The project started out small with what was supposed to be a four-county pilot project in Albany, Converse, Park and Sweetwater counties. But, after WyCOA staff attended the Wyoming Library Association Conference in Casper in October 2022, the project quickly grew. We were able to demonstrate the kits to the library professionals, and they were so excited. They all asked when their library was next in line for kits, says Kara Beech, a regional coordinator with WyCOA. It was exciting to see how the libraries want to not only provide books but be an information and resources hub for their community, adds Kevin Franke, a regional coordinator with WyCOA. They were a great group of individuals to work with on this project. The kits, available in both English and Spanish, include an automated home blood pressure cuff; blood pressure logbooks; educational materials from the American Heart Association; information on what blood pressure is; and ideas for healthy lifestyle changes. The kits also include a resource directory to local community-based organizations and referral resources to the Healthy U chronic disease self-management program and Cent$ible Nutrition Program. The loan period is two or three weeks, depending on the library. Blood pressure kits can be renewed, up to two times, if there are no holds on the item. Nearly half of adults in the United States -- 47 percent, or 116 million -- have high blood pressure, also known as hypertension, or are taking medication for hypertension, and 24 percent with hypertension have their condition under control, according to the Centers for Disease Control and Prevention. In Wyoming, 30.7 percent of adults have been told that they have high blood pressure, according to the Wyoming Department of Healths Chronic Disease Prevention Program. While self-measured blood pressure is not a substitute for regular visits to primary care physicians, it is a way for individuals to see and track their numbers, giving them more information that can be communicated to their doctors. Information is power and, the more information a patient and their doctor have, the better the treatment plan, Beech says. Better treatment plans lead to better overall health. Thats the goal of this project -- to work to improve the health of our communities. Libraries around the state are committed to building and supporting strong, healthy communities. This project provided a unique opportunity to offer communities more information about self-monitored blood pressure and its important role in health. Were excited to partner with the Wyoming Department of Health and WyCOA to bring these much-needed resources to the community, says Lisa Scroggins, executive director of the Natrona County Library. To watch a video about this program, go to www.youtube.com/watch?v=Mmm4Y4x_JDc. To learn more about WyCOA and its programs, go to www.uwyo.edu/wycoa/. The University of Wyomings Center of Innovation for Flow through Porous Media (COIFPM) is accepting proposals for research grants as part of the Wyoming Gas Injection Initiative (WGII). Oil and gas operators with wells or fields in Wyoming are eligible to receive grants as part of this groundbreaking initiative. UW will serve as the awarding institution for grants under WGII. WGII makes available $22 million of matching funds from the state of Wyoming -- in close collaboration with oil and gas operators and Dow -- to implement multiple field pilot projects in the state. WGIIs request for proposal is currently open, with applications accepted through Friday, Sept. 29, at midnight. Selected applicant(s) will be notified by Thursday, Nov. 30. The initiative will fund projects over a three- to five-year period, and its goal is to support developments with significant potential to enhance well productivity and recovery from existing fields and wells in Wyoming that are in significant decline. Both field pilot testing and laboratory-scale studies will receive funding to advance implementation. Application instructions can be found here. To submit an application, click here. About the Center of Innovation for Flow Through Porous Media UWs COIFPM -- developed using more than a $100 million investment -- is the global leader in research, development and implementation of novel breakthrough technologies for oil and gas extraction, and geostorage of greenhouse gases. As the worlds premier facility of its kind, COIFPM is focused on developing cutting-edge technologies and discoveries that can transform the energy industry and change the world for the better. As the worlds largest experimental and computational research center focused on flow through porous media problems with applications primarily in oil and gas recovery, carbon utilization and storage, and hydrogen storage, COIFPM offers unparalleled capabilities at atomic, nano, micro and macro scales, establishing a massive capacity for users to conduct numerous multifaceted studies simultaneously. For more information, visit www.uwyo.edu/research/coifpm/index.html. About the Wyoming Gas Injection Initiative WGII involves field pilot testing of advanced enhanced oil recovery technologies such as foam-assisted gas injection, using recovered hydrocarbon gases, carbon dioxide or other gases for revitalization of oil fields and mitigation of greenhouse gas emissions from operations in Wyoming. It also includes laboratory-scale derisking of the recovery schemes, using site-specific rock and fluid samples at relevant field implementation conditions at UWs COIFPM and in close collaboration with Dow. For more information, email WGII@uwyo.edu. Vietnam Briefing has developed into a premium source for insight on doing business in Vietnam. It publishes business news concerning foreign direct investment into Vietnam, including the most important tax, legal and accounting issues. The Vietnam Briefing Magazine was first published in 2009, and is contributed to by investment professionals based in Vietnam. Chinese Foreign Minister Wang Yi ended a whistle-stop tour of Singapore, Malaysia and Cambodia Sunday as tensions in the hotly disputed South China Sea continued to rise ahead of the Sept. 5-7 ASEAN leaders' summit in Jakarta. His four-day trip was designed "to strengthen strategic communication with the three," according to a Chinese statement ahead of the tour, however, analysts said Wang was also keen to accelerate Beijings version of a Code of Conduct for the disputed international sea lanes and had focused on "friendly countries" in ASEAN. Hunter Marston, a Southeast Asian academic with Australia National University, said shoring-up Chinese goodwill with more pliable partners in the 10-country ASEAN bloc was key, while at the same time isolating Western-leaning countries like the Philippines and Vietnam. Philippine President Ferdinand Marcos Jr. has moved his country closer to the U.S. and taken a much harder line against Chinese maritime expansionism since his election last year. Talks between Manila and Hanoi have also begun for a maritime pact to defend their mutual interests amid confrontations with Chinese vessels in recent weeks, which Marcos says "will bring an element of stability to the problems that we are seeing now in the South China Sea." Marston said Wang had to reassert Chinese foreign policy concerning its interests, which meant offering more than simply ignoring a 2016 ruling by an international court in The Hague, which found in favor of the Philippines and rejected Chinese claims in the South China Sea. "In some way he's probably making the Philippines feel a little bit out there on its own. In some ways the trips to Malaysia and Singapore are a lot more comfortable places for China to visit in light of Marcos Jr.'s overtures to Washington," he said. Beijing's relations with ASEAN have been tested by its self-proclaimed control of most of the South China Sea through its nine-dash line, an assertion made despite overlapping claims from half of the bloc's members; Indonesia, Vietnam, the Philippines, Malaysia and Brunei. Perhaps adding to Chinese anxiety is U.S. President Joe Biden, who announced on Tuesday that he would visit Vietnam "shortly" and two days later told a campaign reception in Salt Lake City that the Quad alliance consisting of the U.S., Japan, India and Australia was proving effective. He said the U.S. was now in a position where "the Philippines and, soon, Vietnam and Cambodia" would want to be part of a U.S. relationship. "They dont want to have a defense alliance, but they want relationships because they want China to know that theyre not alone." Gavin Greenwood, an analyst with Hong Kong-based A2 Global said Chinas motives rest on a combination of reassurance to an overt ally, Cambodia; greater clarity on future foreign and defense policies with Singapore, and improved relations with Malaysia ahead of the leaders' summit. He also said Wang had chosen his countries carefully before embarking on the tour. Code of Conduct questioned "Further, Wangs short Southeast Asia tour omits other key ASEAN members where there are seriously contentious issues notably the Philippines and Vietnam and those where there is not Indonesia, Thailand, Laos and Myanmar." Beijing's commitment to the planned Code of Conduct has also been questioned and blamed for its many delays, with diplomats saying China enjoys a position of strength through bilateral negotiations with individual ASEAN states as opposed to finding a consensus through the agreement with the 10 nations as a bloc. That was evident last week during a confrontation between Chinese and Philippine vessels at Second Thomas Shoal in the Spratly Islands, where Manila maintains a scuttled naval vessel as an occupied base that Beijing wants removed. After Wang visited Singapore and Malaysia, the official Chinese state news agency, Xinhua, reported Wang had noted that China had repeatedly expressed its willingness to resolve differences with the Philippines through bilateral dialogue. It also said Wang hoped that the Philippine side would abide by the consensus reached in the past, and he stressed that China is willing to work with ASEAN countries to accelerate consultations on the Code of Conduct in line with international law. "The Chinese are clearly trying to put on a nice face by sending Wang around on a diplomatic tour in light of the blatant maritime harassment they have directed against the Philippines and Vietnam in recent weeks," Marston said. "It's also in Beijing's interests to send Wang, given his recent reappointment as foreign minister, to signal continuity and stability in China's foreign policy," he added. "I think some of it is directly aimed at The Philippines, especially in light of the latest blatant coercion and intimidation tactics." Meeting in Cambodia However, Biden's references to Cambodia China's closest regional ally were met with disbelief among bureaucrats in Phnom Penh who enjoy a cozy relationship with Beijing and will shortly open a Chinese-funded reconstruction of the Ream Naval Base on the south coast. "China wants to accelerate the Code of Conduct, which was already happening just before the COVID-19 pandemic erupted and Beijing wants that back on track. In its current form its the type of thing that will really annoy the Americans," said a source close to the Cambodian government. In Cambodia, Wang lunched with Prime Minister Hun Sen who has often been accused of acting as Chinas proxy within ASEAN and met with his son, Hun Manet, who will replace his father as prime minister on Aug. 22. After Wangs visit, the Chinese embassy in Phnom Penh said China will, as always, stand with Cambodia, and firmly support Cambodia in following a development path suited to its national conditions, safeguarding national interests and dignity, and playing a bigger role on international and regional occasions. Marston said, "The timing of the visit to Cambodia is well placed in light of recent elections, cementing Beijing's partnership with Phnom Penh but I wouldn't expect Phnom Penh to depart from Hun Sen's foreign policy of non-alignment/soft tilt toward China." However, the biggest issue confronting Hun Sen since winning last month's one-sided election remains Cambodia's struggling economy. Chinese investment and tourists once a hallmark in ties between the two countries have not returned since the pandemic subsided. "Cambodian officials increasingly recognize and openly note that the pre-COVID era of free-flowing Chinese cash has come to an end," said Bradley Murg, an affiliate fellow at Pacific Forum. "Wang will need to clarify China's financial commitments to its closest Southeast Asian ally and how Beijing will mitigate the reality that the long-awaited Chinese investment expected to flow into Cambodia is simply not here." These issues are expected to play out in Jakarta when ASEAN leaders meet next month. Greenwood said the bland rationale offered for Wang's visit to strengthen strategic communication with the three Southeast Asian countries appeared to match the nature and probable outcome of his brief trip. "Overall, Wang's visit can be expected to be viewed by Washington and its allies as routine with little indication that it will alter the status quo regarding the three countries' present foreign and security policies or priorities," he said. Cambodias incoming prime minister, General Hun Manet, had a trial run over the weekend for his most important foreign relationship, hosting Chinese Foreign Minister Wang Yi during a visit to Phnom Penh. Hun Manet assured Wang Yi that Cambodias new government will maintain an unchanged stance on Bejings one-China policy and a non-interference policy toward China, according to a message on the Cambodian leaders Facebook page. Hun Manet also agreed to join a Belt and Road Initiative forum in China in mid-October, which is likely to be one of his first foreign trips after being sworn in to office later this month. He will join the 20th China-ASEAN exposition in Nanning, the capital of the southern Guangxi region. Following his visit to Phnom Penh, Wang Yi said the forum would promote Chinas industrial development corridor and fish and rice corridor, as well as enhance Cambodia's capacity for independent development at a faster pace, according to a statement on the Chinese embassys website. He also thanked Hun Sen for his historic contribution to the China-Cambodia friendship and said Beijing is ready to work with the new Cambodian governmentso that the friendship between the two countries is deeply rooted in people's hearts and passes on from generation to generation. However, Hun Manet received Beijings highest blessing long before Prime Minister Hun Sen announced last month that his eldest son would succeed him within weeks of Julys national parliamentary election. In February last year, Hun Sen brought Hun Manet to a meeting with Chinese President Xi Jinping. His excellency the president strongly believes in Hun Manets capacity to maintain the speed of development for the country, said a senior Cambodian official after the visit. Cambodia over the past decade has become increasingly reliant on China for its economic growth, and by extension its political stability. At the same time, Cambodia has been a spoiler in regional efforts to counter Chinas rising influence. No one expects those dynamics to change under Hun Manet, who will have his father watching over him as president of the ruling Cambodian Peoples Party (CPP). However, there is some optimism that the 45-year-old West Point-educated scion will find a way to achieve a greater balance between Beijing and democratic countries including the United States, Japan, Australia and European Union members that have funded much of Cambodias recovery after the murderous Khmer Rouge and civil wars that continued until the early 1990s. People expect this because they know Hun Manet understands the Western perspectives very well given his educational background, said Chhay Lim, a visiting fellow at the Center for Southeast Asian Studies at the Royal University of Phnom Penh. Chhay Lim said the main question is not whether Cambodia will change its China strategy, but whether Phnom Penh can formulate strategies to engage with Western nations or to cultivate more friendly relations with them for potential economic benefits, given that the U.S. and EU remain its largest export markets. The ruling partys critics are skeptical that Hun Manet can bring about the type of democratic reforms that would be necessary to improve relations with Western powers. He is taking over following an election in which the main opposition party was barred from participating, amid an ongoing crackdown on all forms of dissent. The Hun Sen regime is trapped in its authoritarianism. This regime cannot afford any kind of liberalization, because this would lead to the collapse of the regime, Sam Rainsy, the longtime opposition leader currently living in France, told VOA Khmer in a telephone interview on August 7. I think they are going irreversibly to more and more authoritarianism, he said. And this trend can only be supported by China. Sam Rainsy said the notion that Western countries can somehow pull Hun Manet or Hun Sen away from China was an illusion, especially while Hun Sen continues to pull the strings. The 71-year-old prime minister, in power since 1985, said he will remain the president of the ruling party and become president of the Senate and head of King Norodom Sihamonis advisory council giving him many levers of power despite ceding his premiership. Astrid Noren-Nilsson, a senior lecturer at the Center for East and South-East Asian Studies at Lund University in Sweden, said Hun Sen would continue to wield immense power in the short-term. Whether Hun Manet might deviate from his fathers geopolitical path in the long-run remains a complete question mark, she said. But efforts are already underway in Cambodia to court Western countries through a think tank at the Foreign Ministry staffed by Western-educated officials, she added. Whilst the emphasis of the new government will be on deepening cooperation with China, I think there is an ambition toward diversification and a sort of normalization of relations with the U.S., Noren-Nilsson told VOA Khmer. The CPP has also spent more than $1 million on high-end lobbyists to burnish Cambodias image in Washington in recent years. Of particular concern to U.S. policymakers is development at Cambodias main naval base, where U.S. officials say China is secretly building a naval facility for its exclusive use. Both Phnom Penh and Beijing deny the reports. "It is not targeted at any third party, and will be conducive to even closer practical cooperation between the two militaries, better fulfillment of international obligations and provision of international public goods," Chinese Ambassador to Cambodia Wang Wentian said last year. CPP spokesman Sok Eysan said last week anyone who accuses Cambodia of being biased in favor of China is, in fact, biased against China. And he said Hun Manets geopolitical strategy would become clear with time. We cant analyze anything yet, he told VOA Khmer by phone. But, he added, whoever is the prime minister has to adhere to CPPs policy unchangeably. When Britain granted India independence in 1947, the subcontinent was divided along religious lines, triggering an exodus of an estimated 12 million people amid carnage and violence across the newly carved borders of the two countries, India and Pakistan. Among the cities that received a massive influx of refugees was the Indian capital, Delhi. A partition museum that opened in the city three months ago, documents the traumatic legacy of the times through the stories and memorabilia of the men, women and children who came there 76 years ago. Delhi was inundated with refugees. They came without any hope, without any home, they had lost their family, they had lost their friends, very often they came with very little money, and they had to start life all over again, said Kishwar Desai, chairperson of The Arts and Cultural Heritage Trust that has set up the museum. The museum, housed in a revamped Mughal-era building given by the government, is the second one set up by the non-profit group it opened one in the northern city of Amritsar six years ago. The purpose is to ensure that future generations can learn of the massive scale of loss and displacement that accompanied the subcontinents chaotic division. Its a very important but forgotten narrative, said Desai. One of the seven galleries in the museum recreates a train in which millions fled across both sides of the border. Even some of the trains were ambushed by mobs. The journeys were difficult, with refugees clambering onto trains clutching a handful of possessions some meant to secure livelihoods, others as memorabilia. Some of these items that were carefully preserved by families for decades have been donated to the museum. They are diverse -- a sewing machine, a chair, a drum used to store wheat. In another gallery, a tent symbolizes the sprawling refugee camps that sprang up in the city for those who survived the slaughtering and rioting in which half-a-million to one million people were killed. There are black and white photographs of the times, newspaper clippings and interviews running on screens of those who made it across the border. But the exhibits also demonstrate that, despite the violence at that time and the decades-long political rivalry between India and Pakistan that persists, the bond among ordinary people on both sides of the border remains strong. There is an old electricity meter handed over to an Indian family when it revisited their former home in Pakistan the Pakistani family living there had kept it in memory of the earlier occupant. A frayed ledger on display belonged to an Indian man who once ran a shop in the neighboring country. It had been carefully preserved by the shops new owner in Pakistan. These small things, memories which are kept alive by both sides, add to the fact that there is still hope, said Desai. Even if politically, it is a very difficult narrative, when people from here go back to Pakistan, the contact is just wonderful. They are treated like VIPs (very important persons). People say come in, this is your own home, and this happens on both sides of the border. Many survivors of partition carry no bitterness. Like Ashok Kumar Talwar, who has donated a brass bowl to the museum it was among the handful of things his family had carried when they brought him to Delhi as a five-year-old. Why a brass bowl? I dont know, he answers. He speculates that it is probably because his family thought they would be able to return and reclaim their more precious possessions like jewelry, so they only carried what they needed during the journey. Talwars family still fondly calls him Shaukat, a Muslim name given to him in Pakistan by his fathers student. And he has not forgotten his Pakistani roots. I am fond of Pakistani things. I watch Pakistani movies and shows on TV. I have friends who are Muslim in the city. I am doing very well with them. There is no enmity at the grassroot level. The political relationship is starkly different ties between the two bitter South Asian rivals have been in deep freeze for nearly eight years. Many visitors to the museum are young people. Some draw a lesson from an event that left a deep mark on millions in both India and Pakistan but about which they had so far learned largely from fiction or movies. For Sangeeta Geet, a postgraduate student, the museum highlighted the dangers of polarization that she says is driven by politicians on both sides of the border. We should learn from 1947. Here we can see what happens when we divide on the basis of religion, said Geet. So, we should step forward toward peace. That is the message the museum reinforces in the last section. Here a red mail box of dreams and hope underlines the hope that two countries with a shared heritage can have a better future. Visitors can write down their thoughts on postcards many have said they had no idea what an older generation had experienced. We want people to leave the museum saying this should never happen again, said Desai, who grew up hearing stories of partition from her parents, who also had to leave their homes in Pakistan in 1947. Sitting on the carpet in a small, third-floor apartment of a crowded building on the edge of the Pakistani capital, Islamabad, six Afghan men sip traditional green tea as they scroll through messages and videos on their phones. All of them are anxiously awaiting the same thing - an email that will tell them where they stand in their journey to resettlement in the United States. The men were pilots and engineers in Afghanistans military when the Taliban seized control of the country in August 2021. Fearing retaliation from those they had fought for years, these pilots, like hundreds of their colleagues, fled the country. Almost 18 months since their arrival in Pakistan, the men voice frustration as their applications slowly make their way through the complicated U.S. refugee resettlement process. Some of my friends got to Europe with help from human traffickers. If I had taken the money that I have spent here, from my savings, for the last 18 months, if I had spent that money on [trafficking] my life would have been better, said a pilot who asked that we identify him as Hafeezullah, instead of with his real name as he feared for his safety. I would have gotten refuge in a European country by now, the 27-year-old said. In the first few months of the Talibans return to power, the U.S. welcomed nearly 90,000 Afghans who feared for their well-being under the new regime. In early 2022, Washington moved to a second phase in which the U.S. Refugee Admissions Program provides the most straightforward path to Afghans seeking refugee resettlement in the United States. To qualify, refugees must, on their own, first reach a third country where they can contact the State Department to begin the resettlement process. The pilots chose Pakistan as it provides an easy land route out of Afghanistan, and has diplomatic relations with the U.S., unlike Iran. The pilots with whom VOA met were recommended for refugee resettlement by some of the American soldiers who trained them during the U.S.-led Afghan war. After waiting for months, the pilots received their Afghan Referral Record, or ARR, numbers from the Resettlement Support Center in Pakistan. But there is still a long road ahead. Case processing can be lengthy (potentially 12-18 months), says the State Departments website. The pilots will go through a pre-screening process at the Resettlement Support Center. This will be followed by an interview conducted by a U.S. immigration officer, multiple security checks, and a medical examination to determine their eligibility for resettlement in the United States. The time-consuming process is also suffering delays because it is understaffed, partly due to a 2017 Trump administration decision to drastically cut down refugee admissions. So, it had to really be recreated from scratch, said Bill Frelick, the refugee and migrant rights director at Human Rights Watch. In addition to that, the entire infrastructure which is largely run by non-governmental locations was decimated, he said. Despite the Biden administration increasing staffing, nearly a quarter of the positions are still unfilled in the international and refugee affairs division of the U.S. Citizenship and Immigration Services. Thats according to a recent report by the office of the Special Inspector General for Afghanistan Reconstruction, or SIGAR, an autonomous U.S. government auditor. As cases drag on, patience and money dwindle During case processing, prospective refugees must be able to support themselves in the third country. For Afghan pilots living in Pakistan on expired visas, finding work is hard. Most of them are jobless and rely on their families back home to borrow money to send them. An Afghan pilot, who wished to be called Ahmed to protect his identity, told VOA he had run out of the money he gathered by selling his household goods before leaving Afghanistan. Now I have started selling my wifes jewelry, said the 30-year-old Black Hawk pilot. Another pilot who requested to be called Tawheed for security reasons said the stress of not knowing what the future held for his two little girls was causing him health problems. We [I] have high blood pressure, we [I] have a sugar [diabetes], we [I] have a mentally [mental health] problem, we [I] have depression, the 32-year-old said. Despite the uncertainty, the pilots say they cannot go back to Afghanistan as they worry the Taliban will accuse them of spreading "propaganda against them in Pakistan. Others like Ahmed say the Taliban are looking for them. They came and searched my house many times. They took many of my books, because I had many English books. They took some of my [training] awards, said Ahmed as he pulled up a video on his phone shot by a relative showing armed Taliban guards visiting his home. Roughly 40 Afghan pilots are in Pakistan, waiting to be moved to the U.S. Seeing little progress in their refugee resettlement cases, the pilots VOA met said they felt abandoned. We are unhappy with our American friends who advised us to come here, said Hafeezullah. But the Americans who referred the pilots cannot do much. VOA reached out to several but did not get a response. In written reply to VOA, the State Department said it was prioritizing Afghan allies and actively trying to expand processing capacity in Pakistan, which it admitted remains limited. We have been actively pursuing all potentially viable options to enable USRAP processing in Pakistan and will continue our efforts to process cases promptly, the department said without elaborating on the options. Addressing the complex nature of the refugee vetting process, the department said it will continue to use every tool to prevent those who might pose threats to American communities from entering the United States. But the Americans who referred the pilots cannot do much. VOA reached out to a few but did not get a response. VOA reached out to the State Department as well but did not get a response as of publication. Away from loved ones, with little in their pockets, and not much information on when the next step in their resettlement will come, the pilots are losing patience. Hafeezullah, who said he had joined the air force to serve his country, says he now feels purposeless. When I wake up, I have a mind without goals. I see a devastated life with no future, he said. Others are trying not to give up hope of making it to the U.S one day. We just want from Allah, from God, there should be something good, some hope for the future, for my family, for my daughters, Tawheed said. If I see the situation, there is no hope, but Allah, I know will change everything. One of Australias biggest universities is urging students and academic staff to report any foreign government interference witnessed on campus. Human rights activists have said that pro-China groups have intimidated students critical of the Chinese Communist Party. The University of New South Wales in Sydney has warned that foreign interference can take the form of harassing, intimidating or threatening behavior directed at students and staff on behalf of a foreign government. The university said that this kind of activity threatens academic freedom and freedom of speech. Staff and students were sent an email in June and July warning of the dangers of foreign interference on campus. In June 2021, a report by Human Rights Watch Australia asserted that the Chinese government maintains surveillance of Chinese mainland and Hong Kong students in Australian universities who experience direct harassment and intimidation from Chinese classmates. In reaction to that 2021 report, the Chinese Embassy in Canberra rejected the HRWs assertions, calling them biased. Daniela Gavshon, director of Human Rights Watch Australia, told the Australian Broadcasting Corp. that such behavior is continuing. Professors and academics say their pro-China students are not necessarily directed or led by an external force, but, you know, they have their own personal motivation of loyalty to the state and when they believe there has been an affront to China or the system, they feel compelled to defend their country. So, then they will do things like intimidate, threaten, dox the addresses of other students. So, you know expose the addresses and really sort of engage in threatening behavior, she said. The Chinese Embassy in Canberra did not respond to a VOA request for comment on the universitys concerns. In addition to accusing China of intimidation on campus, activists have also accused Iran and Rwanda of transnational coercion in Australia. So far, there has been no public response from these governments regarding the allegations. In April, Australias Department of Defense warned overseas students posed a potential security risk, exposing university campuses to foreign interference. In 2019, the former conservative government in Canberra warned that China could be exerting too much influence at its universities. Students demonstrating in support of pro-democracy activists in Hong Kong said they had been put under surveillance by individuals loyal to Beijing. The University Foreign Interference Taskforce was set up in Australia in November 2019, which later released guidelines to help stop meddling in class. At the time, some academics argued the debate over Beijings alleged influence over Australian universities had been overrun by anti-China hysteria. The British Museum said Wednesday that a member of its staff has been dismissed after items dating back as far as the 15th century B.C. were found to be missing, stolen or damaged. The museum said it has also ordered an independent review of security and a vigorous program to recover the missing items. The stolen artifacts include gold jewelry and gems of semiprecious stones and glass dating from the 15th century B.C. to the 19th century A.D. Most were small items kept in a storeroom and none had been on display recently, the museum said. "Our priority is now threefold: first, to recover the stolen items; second, to find out what, if anything, could have been done to stop this; and third, to do whatever it takes, with investment in security and collection records, to make sure this doesn't happen again," said George Osborne, the museum's chair. "This incident only reinforces the case for the reimagination of the museum we have embarked upon," Osborne said. The museum said that legal action would be taken against the dismissed staff member and that the matter was under investigation by London's Metropolitan Police Service. The 264-year-old British Museum is a major London tourist attraction, drawing visitors from around the world who come to see a vast collection of artifacts ranging from the Rosetta Stone that unlocked the language of ancient Egypt to scrolls bearing 12th century Chinese poetry and masks created by the indigenous people of Canada. But the museum has also attracted controversy because it has resisted calls from communities around the world to return items of historical significance that were acquired during the era of the British Empire. The most famous of these disputes include marble carvings from the Parthenon in Greece and the Benin bronzes from West Africa. Hartwig Fischer, the director of the British Museum, apologized and said the institution was determined to put things right. This is a highly unusual incident, said Fischer said. "I know I speak for all colleagues when I say that we take the safeguarding of all the items in our care extremely seriously.'' A 9-year-old child was killed and five villagers were wounded when Burmese troops protecting a Chinese-owned mine fired on civilians in Sarlingyi Township in the northwest part of the country. Lieutenant Sit Naing of the Sarlingyi Public Defense Force told VOA Burmese that trucks from the Chinese company Wanbao Mining Ltd. were transporting copper ore in a truck convoy when security troops began firing shots indiscriminately on Monday. The official press office for the military junta has not released information about the attack. VOA Burmese contacted Wanbao Mining several times for comment but received no response. The Chinese Embassy in Yangon did not respond to requests for comment from VOA Burmese. Kyaw Thiha, 9, a resident of Pay Kone village, was killed on the spot, and three women and two men were wounded, according to The Irrawaddy, a news site run by Myanmar expatriates in Thailand. Kyaw Thihas father was also injured, but his condition is not critical. My two relatives who were seeking refuge in the house were injured. All those injured are being treated in hospital, Ko Lwan Thu, head of the Yinmabin-Sarlingyi multivillage strike steering committee, told The Irrawaddy. Even before the attack, tensions were running high between local armed groups and Wanbao mine security forces in Sarlingyi Township. Wanbao Mining is a subsidiary of the Chinese state-owned defense firm China North Industries Corp. In July 2021, the U.S. sanctioned Wanbao Mining and two of its subsidiaries for supporting Myanmars military regime that overthrew the civilian government in February 2021. Wanbao had expanded the fencing around its compound and had deployed fully equipped security personnel to guard copper ore transfers to Monywa, in Sagaing Region. Residents of villages near the mine told VOA Burmese that Wanbao was confiscating land and fencing it. Some 30 households have refused compensation and remain living on the fenced-in land. The residents also said that around 1,000 troops, armed with the military council's heavy artillery, had been deployed to protect Wanbaos copper mine. The council is the juntas local presence. Tin Than Win, spokesman for the Sagaing Region Military Council who is also the regional minister of natural resources for the junta, told VOA Burmese on Monday that Wanbao is not allowed to expand its territory. Since the Monday attack, armed local resistance groups near Sarlingyi Township have told VOA Burmese they will retaliate against Wanbao, which operates in partnership with the militarys Myanmar Economic Holding Ltd. A local resident of Sarlingyi Township told VOA Burmese that Wanbao was transporting about 20 truckloads of ore a day, and that its security guards were firing shots at villages along the highway. Wanbaos copper ore sales are bankrolling the militarys campaign of terror, according to the Australian rights group Publish What You Pay, which called the Wanbao copper mines a jackpot for the military junta. In May 2022, more than 500 groups in Myanmar sent a letter to Chinese President Xi Jinping warning that Chinas support for the military regime via the copper mines would fuel anti-Chinese sentiment. At the time, Wanbao said it financially supported health, education and environmental conservation projects in the region. A new report by Human Rights Watch finds that racist content denigrating Black people is increasingly common on the Chinese internet, and that major social media platforms and Chinese authorities have failed to address the issue systematically. HRW analyzed hundreds of videos and posts on popular Chinese social media platforms, including Bilibili, Douyin, Kuaishou, Weibo and Xiaohongshu, since late 2021. It found that content portraying Black people based on offensive racial stereotypes has become rampant. It says much of the content is created to generate money. There are clicks and viewership involved, and that usually means profit for social media content creators, Yaqiu Wang, senior China researcher at HRW, told VOA. Racism fueled by stereotypes and censorship According to the report, one type of video thats widely shared on Chinese social media usually portrays Africans as poor and dependent while framing Chinese people, who are often the content creators of those videos, as wealthy providers of jobs, housing and money. In addition to stereotypes against Black people, online content featuring interracial relationships often attracts hostile comments or threats to individuals in the photos or videos. HRW found that Chinese internet users will accuse Black people married to Chinese people of contaminating and threatening the Chinese race and unleash online threats against Chinese women who share photos with their Black male partners. In some cases, these women will receive death or rape threats or experience doxing, meaning their personal information is shared online without their consent. In 2019, a study buddy program involving foreign and Chinese students at Shandong University became the target of racist and sexist attacks online. Some netizens accused the school of assigning Chinese female students to foreign male students, particularly Black students. Some Chinese female students involved in the program experienced harassment and intimidation on campus. Wang from HRW says the rampant circulation of racist content against Black people and Africans on Chinese social media is prompted by the Chinese governments portrayal of Africa as a poor, backward continent that needs investment from China. This gives Chinese people the impression that Africans are less developed, less intelligent and less diligent, and it contributes to the rampant racism [against Black people] in China, she told VOA in a phone interview. Videos or posts that promote racial equality or criticize racism in China will often be censored after becoming a trending topic on Chinese social media platforms. Unlike the U.S., where racism is widely discussed in the media and academia, there is no press and academic freedom in China, so its hard for good content on racism to spread, Wang said. Lack of incentive to censor racist content online Even though most Chinese social media platforms have community guidelines that ban content promoting racism and discrimination, the HRW report argues that the amount of racist content on the internet suggests that these platforms either fail to enforce content moderation based on their guidelines or their existing policies are inadequate to address racist content. In one case, the Chinese Embassy in Malawi said it strongly condemns racism in any form and that it urged social media platforms to strictly prohibit the dissemination of all racist contents. The comments came after the BBC released a documentary exposing a Chinese man using local children to film personalized greeting videos that contained racist content. Following the BBC expose, Chinese social media platforms censored videos containing the term Africa, which affected some educational videos. Analysts say this incident reflects Chinese social media platforms typical practice of suppressing content that has generated widespread public discussion. When social media platforms try to silence discussions related to certain issues, they usually impose search bans on words or phrases, Eric Liu, an analyst at China Digital Times, told VOA. [In the BBC case,] Chinese social media platforms censored the word Africa for a short period of time, and when the news had blown over, they removed censorship over the word. And since censorship tools on Chinese social media platforms focus on only blocking keywords, deleting posts or suppressing public opinion, they cant effectively stop the circulation of discriminatory content, said Liu, a former censor operator for Weibo. They can censor the word Africa, but they cant respond to discriminatory content, he said. Some Black people living in China expressed shock at the lack of actions taken to do away with online hate speech. For me, its shocking that [racist] stuff like that doesnt get censored or banned given how quickly the Great Firewall works to ban, a West African man in Shanghai told HRW. He was referring to Chinas internet firewall. VOA reached out to Chinese social media companies, including Bytedance, Weibo and Tencent, for comments, and so far, only Tencent has responded. In an e-mailed response, Tencent referred VOA to clauses related to inciting national hatred or hate speech in its community guidelines but didnt explain how the platform addresses racist content against Black people. In a written response to HRWs inquiry, the Chinese short video platform Douyin said that it relies on a combination of people and technology to enforce content moderation guidelines, and that it takes action on approximately more than 300 videos and comments per day that include violative content targeting Black people. Wang from HRW says Chinese social media platforms ways of handling online racist content is an appeasement of the Chinese government. When the Chinese government no longer pays attention to this issue, they just go back to the old ways of allowing racist content to spread as it creates business for them, she told VOA. HRW said that while Beijing often touts China-Africa anti-colonial solidarity and unity, Chinese authorities have ignored pervasive hate speech against Black people on the Chinese internet. Beijing should recognize that undertaking investments in Africa and embracing China-Africa friendship wont undo the harm caused by unaddressed racism, Wang said. To effectively address online hate speech against Black people, HRW urged Beijing to implement efforts that include public education, promotion of tolerance, publicly countering incendiary misinformation and strengthening the protection of individuals whose security is threatened. Despite these suggestions, Liu from China Digital Times said he thinks its unlikely that online racist content will disappear anytime soon. Instead of cultivating capabilities to combat online racist content, [Chinese] social media platforms may respond to the report by blocking words such as 'Black people' or 'Africans,' he told VOA. A new organization in Washington will spend $2 million in an advertising campaign aimed at shoring up Republican lawmakers' support for Ukraine. The effort is being launched ahead of a spending fight in Congress that is likely to endanger continued U.S. funding for that country's fight against a Russian invasion. Republicans for Ukraine, a project of the larger organization Defending Democracy Together, is spearheaded by Republican pollster Sarah Longwell and conservative pundit Bill Kristol. The campaign takes shape as support for continued U.S. aid to Ukraine is waning among all U.S. voters, but especially among conservative Republicans. The group has solicited video testimonials from more than 50 Republican voters across the country, in which they outline their reasons for continuing to support U.S. aid to Ukraine. Republicans for Ukraine will use those videos in a series of advertisements directed at Republican voters and lawmakers, including commercials scheduled to air during the party's first presidential primary debate next week. Creating 'permission structures' John Conway, the director of strategy for Republicans for Ukraine, told VOA that support for democracy abroad has historically been a core value of the Republican Party, and that the aim of the group is to showcase the voices of Republican voters who still feel that way. "We're elevating the voices of real Republicans and conservatives who want the United States to continue to fight for Ukrainian democracy," Conway said. "We're going to use the testimonials of these Republicans and conservatives to counter a lot of the loudest voices in the Republican Party who are willing to let Ukraine democracy fall and are willing to appease [Russian President Vladimir] Putin, in his fight in Ukraine." Conway said that during the coming negotiations over spending bills, his group will target Republican lawmakers in a position to exert influence on decisions about aid to Ukraine. However, he said the group is also trying to create a "permission structure" that helps rank-and-file Republican voters to see that membership in the party and support for Ukraine are not mutually exclusive. "Showing these messengers, people like themselves, creates these permission structures where it's acceptable to be a member of the Republican Party it might even be fine to be a Trump supporter and to have the MAGA hat and to still support the United States' efforts in Ukraine," he said. History of US support U.S. support for Ukraine since Russia launched its full-scale invasion in February 2022 has included military, financial and humanitarian assistance. Combined, that support has totaled more than $113 billion, according to the House Committee on Oversight and Accountability. Currently, the administration of President Joe Biden says that it has enough funds to continue supporting Ukraine at current levels for a few more months. Last week, Biden requested that Congress approve an additional $24 billion in order to maintain support into fiscal 2025, which begins in October. When lawmakers return to Washington after their August recess, they will have until the end of September to agree on a broader spending bill or risk a government shutdown beginning as early as Oct. 1. Republican lawmakers differ Among prominent figures in the Republican Party, there are a wide range of positions on continued support for Ukraine. Former President Donald Trump, currently the front-runner for the party's presidential nomination in 2024, refused to commit to supporting Ukraine in an interview in May. In the past, he has referred to Putin's invasion as "genius." In the Senate, Minority Leader Mitch McConnell recently reaffirmed his support for Ukraine, calling the war there "the most important thing going on internationally right now" in an interview with Politico. Senator Lindsey Graham, a vocal advocate of Ukraine's bid to join NATO, has also continued to voice support. However, other Republicans in the Senate, such as Josh Hawley of Missouri and J.D. Vance of Ohio, have been openly skeptical of continued assistance. In the House of Representatives, where Republicans have the majority, the number of Republican members opposed to continued funding for Ukraine is significant among the party's base. That means that it will be difficult to pass a bill that includes more funding without extensive Democratic assistance. House Speaker Kevin McCarthy has said that he will not bring a supplemental Ukraine funding bill to the floor for a vote, meaning that any such funding could only find its way to the floor of the House if it is part of a larger spending bill. Popular support fades Popular support for providing aid to Ukraine was high and bipartisan in the early days of Russia's invasion but has fallen as the war has dragged on and costs have mounted. Significant differences between Democrats and Republicans have also emerged. A recent poll conducted by CNN and the research company SSRS found that 51% of Americans believe that the U.S. has done enough to support Ukraine, while 48% say it should do more. The same survey found that 55% believe Congress should not authorize additional funding for Ukraine, while 45% believe lawmakers should. The results show significant differences depending on how respondents identified politically. Among those who identified as Republican, 59% said that the U.S. has done enough to support Ukraine, and 71% said that Congress should not authorize more funding. Among Democrats, only 38% of respondents said that they believe the U.S. has already done enough, and the same percentage were in favor of cutting off funding. Respondents who identified as politically independent were more likely to oppose continued support for Ukraine, with 56% saying the U.S. has already done enough and 55% in favor of blocking additional funding. Attitudes seen as 'malleable' Despite public polling that shows a majority of Republicans believe the U.S. has already done enough for Ukraine and that funding should be cut off, Conway, of Republicans for Ukraine, says he believes there is an opportunity to change some minds. "We think that public opinion is still a little bit malleable right now," he said. "So we're not ready to give up the fight. We think that there's still a portion of the Republican Party and a substantial one that is receptive to this message. We're going to do the hard work of bringing folks back to that kind of traditional Republican value of supporting democracy around the world." Criswell said she would accompany President Joe Biden on Monday when he visits Maui to survey the damage and "bring hope." The Federal Emergency Management Agency opened its first disaster recovery center on Maui, "an important first step" toward helping residents get information about assistance, FEMA administrator Deanne Criswell said Wednesday. They also can go there for updates on their aid applications. Elsewhere on Maui, crews cleaned up ash and debris at schools, and tested air and water quality. Displaced students who enroll at those campuses can access services such as meals and counseling, Hayashi said. The education department is also offering counseling for kids, family members and staff. "There's still a lot of work to do, but overall the campuses and classrooms are in good condition structurally, which is encouraging," Hayashi said in a video update. "We know the recovery effort is still in the early stages, and we continue to grieve the many lives lost." At least three schools untouched by flames in Lahaina, where entire neighborhoods were reduced to ash, are being assessed after sustaining wind damage, said Hawaii Department of Education superintendent Keith Hayashi. The campuses will open when they're deemed safe. Public schools on Maui started the process of reopening and traffic resumed on a major access road in signs of recovery a week after wildfires demolished a historic town and killed at least 110 people. Meanwhile, transportation officials said the Lahaina Bypass Road, closed since Aug. 8, was open again, allowing residents access to some areas near the burn zone during specified hours. Herman Andaya, Maui Emergency Management Agency administrator, defended not sounding the sirens during the fire. "We were afraid that people would have gone mauka," he said, using the Hawaiian directional term that can mean toward the mountains or inland. "If that was the case then they would have gone into the fire." There are no sirens in the mountains, where the fire was spreading downhill. Hawaii created what it touts as the largest system of public safety outdoor alert sirens in the world after a 1946 tsunami that killed more than 150 on the Big Island of Hawaii. Andaya said the sirens are primarily meant to warn of tsunamis. The website for the Maui siren system says they may be used to alert for wildfires. With the death toll rising to 110, a mobile morgue unit with additional coroners arrived in Hawaii on Tuesday to help with the grim task of identifying remains. Search and recovery crews using cadaver dogs had covered about 38% of the burn area by Tuesday, officials said. The number of canine teams was increasing to more than 40 because of the difficulty and scope of the operation, FEMA said. The dogs need to rest frequently because of the terrain and heat. Searchers combing through the ashes found some of Lahaina's most vulnerable residents, including children, among the victims. Gov. Josh Green said this week that teams found a family of four killed in a charred car and the remains of seven family members inside a burned-down house. "Some of the sights are too much to share, or see, just from a human perspective," Green told Hawaii News Now on Tuesday. Just more than a quarter of Lahaina's 13,000 residents are younger than 18, according to Census Reporter, an organization that analyzes U.S. Census data. About 10% are age 71 or older. Kimberly Buen was awaiting word Wednesday of her father, Maurice "Shadow" Buen, a retired sport fisherman who lived in an assisted-living facility that was destroyed. The 79-year-old was blind in one eye, partially blind in the other and used a walker or an electric scooter to get around. In recent weeks, he also had swollen feet. "For him, there is no moving quickly," Buen said. The stories from survivors who fled the fast-moving flames terrified her. "If able-bodied people were having to run and jump into the ocean, I can only imagine what's happened to the assisted living and the lower income and the elderly people that didn't have warning, you know, or have any resources to get out," she said. Bill Seidl, 75, lived in the same complex. His daughter, Cassie Seidl, of Valencia, California, said her father knocked on doors before escaping. "I think people were assuming it was just another brushfire," she said. "I don't think people realized, and they were not warned." Seidl said her father made his way to a nearby mall and slept outside for two days, eating and drinking little to nothing. He's now camping on a friend's property in Wailuku. None of the roughly 3,000 public school students in Lahaina were in classes last Tuesday when the fires started. The education department had closed several West Maui campuses because of dangerously high winds and many students stayed home while their parents worked, according to survivors' accounts. Communication on Maui remained difficult Wednesday. Some people walked periodically to a seawall, where phone connections were strongest, to make calls. Flying low off the coast, a single-prop airplane used a loudspeaker to blare information about where to find water and supplies. Thousands of displaced residents were staying in shelters, hotel rooms and Airbnb units, or with friends. The power company restored electricity to more than 10,000 customers, but around 2,000 homes and businesses still had none on Tuesday night, Maui County wrote. The cause of the wildfires, already the deadliest in the U.S. in more than a century, was under investigation. Green has warned that scores more bodies could be found. John Allen and his daughter surveyed an ash-gray landscape once festooned with colorful orchids and plumerias from a hill above the Lahaina fire zone. His daughter wept as she pointed to the coffee shop where she used to work, and the places they used to live. Allen moved to Maui two years ago after leaving Oakland, California, where he witnessed a destructive wildfire race up hillsides in 1991. "No one realizes how quickly fires move," Allen said. Georgia, a NATO and European Union aspirant, is touting a new strategic partnership with China, prompting concerns the alliance will strain Tbilisi's long-standing Western partnerships. Georgia signed a strategic partnership agreement with China following an official visit to Beijing last month by Georgian Prime Minister Irakli Garibashvili. The partnership carries with it the potential of increased trade and infrastructure development, with possible economic benefits which Tbilisi is touting for its more than 3.5 million citizens. "China very rapidly became the third-largest trading partner for Georgia. And today, since you asked about the potential, what else could we achieve? I think easily, if we continue to export more goods, more products, more quality products on the Chinese market and vice versa, I think China can easily become the No. 1 trading partner for Georgia. So, there's a big potential," Garibashvili recently told the Chinese CGTN show "Leaders Talk." Garibashvili underscored his country's unique strategic location at the eastern end of the Black Sea as a way to connect East with West by what he termed "the shortest route." Path to prosperity or long-term risk? The agreement has come under scrutiny as Georgia awaits a European Commission decision on its EU candidacy, expected at the end of this year. As explained by Garibashvili, the strategic partnership aims to enhance trade and logistics cooperation. He says it commits Georgia, among others, to China's Belt and Road infrastructure initiative as well as a Global Security Initiative, or GSI, part of a proposed security architecture announced by Chinese President Xi Jinping last year. Some view this latest move as a threat to Georgia's European aspirations, which are backed by an overwhelming majority of the population and enshrined in its constitution. Some experts are particularly concerned about the GSI, which, according to Lily McElwee, a fellow with the Center for Strategic and International Studies, "basically is a way to provide Chinese-style solutions to governance issues." 'Anti-Western, anti-American initiative?' Formally announced on April 21, 2022, two months after Russia started its full-scale war in Ukraine, GSI is "an alternative to the support that the West has given to Ukraine," former Georgian Defense Minister Tina Khidasheli told VOA. The fundamental premise of GSI as outlined by the Chinese Communist Party is that the "security of one country should not come at the expense of others." "The legitimate and reasonable security concerns of all countries should be taken seriously and addressed properly, not persistently ignored or systemically challenged," states a position paper published by China's foreign ministry. Some observers view it as a challenge to the rules-based international order dominated by Western democracies. "This is an initiative stemming from China, aiming to reshape the global landscape and the current world order," said Miro Popkhadze, a fellow in the Eurasia Program at the Foreign Policy Research Institute. He told VOA the Chinese version of global order directly undermines Georgia's security. "Russia is not in favor of countries like Georgia or Ukraine joining NATO," he said. "If one were to heed Russia's concerns in line with this initiative, Georgia might need to reconsider its European aspirations. Georgia's stance appears conflicting: Georgia aims to be part of NATO and the European Union, yet the country's government also is supporting an initiative that might be harmful to Georgia's interests." While the partnership with Georgia expands China's economic and security interests in the Caucasus and the Black Sea, other observers say Tbilisi itself is not getting much out of the deal. Tinatin Khidasheli, Georgia's former defense minister who now heads the Tbilisi-based non-governmental organization Civic Idea, called the partnership "a game changer" and "a huge challenge for the Georgian state." "Not only on the level of everyday life, but even on the level of the constitutional foundation of this country," she told VOA. "Because the constitution of Georgia specifically says that Georgia's foreign policy priority is becoming a member of the European Union." One of the most meaningful indicators of Georgia not being an equal partner in the relationship is the document's opening paragraph, which reads, "The two sides reaffirm their respect for the sovereignty, independence, and territorial integrity of all countries. Georgia firmly adheres to the one-China principle." China understands the one-China principle to legitimize its sovereignty over the mainland, Hong Kong, Macao and Taiwan, a position that the United States and most Western countries have not endorsed in the case of Taiwan. Georgia's occupied territories The document also fails to mention Georgia within its internationally recognized borders, which include the two Russian-occupied regions of Georgia the Tskhinvali Region, also called South Ossetia, and Abkhazia which Russia invaded in 2008 and then declared as independent states. "This omission is likely because China's close strategic partner is Russia," said Khidasheli, adding that a balanced statement would have recognized Georgia's territorial integrity "in relation to the occupied territories." Others see Georgia's budding alignment with China as moving in the opposite direction of the West, said Kurt Volker, former U.S. ambassador to NATO. "It's true that lots of countries, including Western countries, have ongoing substantial relationships with China, but the trend has been to pull away from that," he told VOA. "Whereas what we've seen from the Georgian government is going in the opposite direction by just recently signing a new strategic partnership with China." Georgia's foreign affairs ministry did not respond to VOA requests for a response. Volker thinks some economic deals signed with China "give advantage to China in these foreign markets." Citing the 2022 enactment of the U.S. CHIPs and Science Act, Volker points to the United States as just one example of a Western nation weaning itself from Chinese supply chains by "favoring domestic production of semiconductors to ensure system integrity." Taiwan currently produces some 60% of the world's semiconductors, which are used in a wide variety of products including smartphones and electric vehicles, and have military applications. Because Taiwan faces threats from China, which claims the self-ruling island as its own, the U.S. has allocated $52.7 billion to promote semiconductor research, development, manufacturing, and workforce development on its own soil. In this global context, Volker cautions that by favoring short-term deals with China, the Georgian government "may be underestimating Georgia's own long-term interests and its relationship with the West." Matthew Bryza, a former U.S. ambassador to Azerbaijan, expressed worries about the timing of this partnership and the message it might send to the West. "The moment chosen to announce this strategic partnership and form it is now against the backdrop of Ukraine's counteroffensive and Georgia's refusal to join the sanctions against Russia," he told VOA. "[The Georgian government's] ridiculous lies that the United States wants to use Georgia to open a second front against Russia ... all this together cannot be seen as a friendly set of actions by a country that wishes to join the transatlantic community," he said. "Any country that does that is not fit for joining the transatlantic family." The official U.S. government position was subtler. In a written comment obtained by VOA's Georgian service, the State Department said, "The United States respects countries' sovereign decisions about with whom they want to engage with or do business with." "However, we emphasize the importance of these activities being done transparently, according to the rule of law, and with trusted vendors," it said. The United States has had a strategic partnership with Georgia since 2009. Victor Tsvyk harvested 4,800 tons of wheat this month. But after Russia exited a wartime deal that allowed Ukraine to ship grain to the world, he has no idea where his produce will go. Or how his beloved farm will survive. Tsvyk, who normally exports up to 90% of his harvest from the southern port of Odesa, faces a crisis: His yield is 20% higher compared with last year, which would have been a boon in times of peace. But in war, exorbitant logistics costs and Russia's blockage of the ports has made shipping grain too expensive for him. Tsvyk is one of thousands of Ukrainian farmers facing a similar dilemma. "It's too painful to talk about," the 67-year-old said when asked how he envisions the future. Last month, Russia pulled out of the deal that the U.N. and Turkey brokered to provide protection for ships carrying Ukrainian grain through the Black Sea. Moscow has since stepped up attacks on Ukrainian ports and grain infrastructure while Ukraine has hit one of Russia's own ports, leading wheat and corn prices to zigzag on global markets. While countries worldwide press for a restoration of the grain deal and fighting intensifies in the Black Sea, Ukraine's farmers are left wondering how they will stay in business and provide the food critical to people in nations struggling with hunger. Tsvyk doesn't know what he will do with his harvest or how he will keep paying his 77 workers. "What could I feel in this situation?" he asked. "It is a great sorrow for everyone." Blocked port hinders sales Tsvyk's vast farm in Shurivka, 120 kilometers (75 miles) from Kyiv, produces not only wheat but also goat cheese and juices. Goats munch on hay, and workers toil around the clock, turning milk into cheese, kefir and yogurt drinks that are bottled and sold across Ukraine. While four of Tsvyk's employees have left to join the fight, the ones who remain have harvested and stored his wheat and are now seeding his many acres of land, preparing for the next season. The tinge of uncertainty hangs heavy. Grain is the farm's main source of income, and the now-blocked Odesa port was the key gateway to trade with the world. Tsvyk's products went as far as India and poverty-stricken countries in North Africa, he says. Now, with the only other options being more costly road, rail and river routes through Europe that have stirred pushback from neighboring countries, his grain will likely sit in storage depots, costing him tens of thousands of dollars in losses. Last year, Tsvyk was left with 1,500 tons of grain he was unable to sell. This year, he is scared he may not be able to sell any. Growing less risks less It means many farmers are simply not planting as much: corn and wheat production in agriculture-dependent Ukraine is down nearly 40% this year from prewar levels, analysts say. The soaring cost to transport wheat eroded Tsvyk's income last year. Every step in the supply chain has increased in price because of the risks associated with the war, leading some farmers to turn to other products, such as sunflower oil, to squeeze out some profit. Oleksandr Sivogorlo, Tsvyk's trusted agronomist, said that profit or no profit, the land can't be neglected. "There are some limited routes [for export] through the Danube [River], but it's very limited," Sivogorlo said. Plus, Russia has targeted Ukrainian ports on the Danube, raising uncertainty about their use. The farm is conducting barter schemes with suppliers, where some of their crop is exchanged for better fertilizer to produce higher-quality wheat next year, he said. Tsvyk also will produce different products he knows he can sell without incurring exorbitant costs, such as sunflower and rapeseed oil, and lessen his reliance on grain exports. "We cover our losses with these products," Sivogorlo said. "And what will be with our wheat crops hard to say at this point, it all depends on export." These are strategies Tsvyk has resorted to in times of desperation to keep the farm afloat. But he doesn't expect to make a profit breaking even is the best he can hope for. A search warrant that set the stage for the controversial police raid of a Kansas newspaper last Friday was withdrawn on Wednesday and all of the items that were seized will now be returned. The withdrawal comes a few days after the Kansas Bureau of Investigation took over the investigation that led to the police raid, which sparked outcry from media advocacy groups and news organizations. The KBI announced Wednesday afternoon that its investigation will move forward independently "and without review or examination of any of the evidence seized on Friday, Aug. 11." On that Friday, police raided the offices of the weekly Marion County Record and the publishers home, seizing computers, phones and a file server. Marion County Attorney Joel Ensey withdrew the warrant Wednesday, saying in a press release that the affidavits established probable cause that a Marion County Record employee may be guilty of unlawful acts with computers, but there wasn't enough evidence found between the "alleged crime and the places searched and the items seized." Press freedom groups like the Freedom of the Press Foundation welcomed the move but said the local police still need to be held accountable for the raid. Authorities deserve zero credit for coming to their senses only after an intense backlash from the local and national media and an aggressive letter from the Records lawyer, FPF advocacy director Seth Stern said in a statement. Caitlin Vogus, FPF deputy director of advocacy, added in the statement that "this raid never should have happened." "The Record did nothing wrong, and yet police decided to raid the newsroom and the journalists' home and take every piece of equipment they have, jeopardizing the Records ability to continue publishing," Vogus said. Following widespread condemnation from press freedom groups and news organizations, the KBI took over the case as of Monday morning. The KBI is now the lead law enforcement agency on the case, according to The Kansas City Star and the Marion County Record. As we transition, we will review prior steps taken and work to determine how best to proceed with the case. Once our thorough investigation concludes, we will forward all investigative facts to the prosecutor for review, KBI spokesperson Melissa Underwood told the newspaper in a statement. The KBI, which is headquartered in the state capital, Topeka, did not immediately reply to VOAs email requesting comment. The raid on the newspaper in a small central Kansas county shocked First Amendment advocates and journalist associations. In a statement this week, the Society of Professional Journalists condemned what it called an egregious attack on freedom of the press, the First Amendment and all the liberties we hold dear as journalists. The White House on Wednesday expressed concern about the raids. They raise a lot of concerns and a lot of questions for us," press secretary Karine Jean-Pierre said. "The freedom of the press, that is a core value when we think about our democracy. In a Monday night statement, Kansas Governor Laura Kelly expressed support for further investigation into the raid. I want to make sure that in the state of Kansas, that we are not violating either individuals or presss constitutional right to free speech, Kelly said. We look forward to getting all of the facts out so we know what kind of issue we have. The Marion County Record, in covering the raid on its own office and publisher, has said it believes the raids were linked to a dispute between the newspaper and Kari Newell, a local restaurant owner. Newell accused the newspaper of invading her privacy and illegally accessing information about her, including a 2008 drunken driving conviction against her, the Associated Press reported. She also suggested the newspaper targeted her after she threw Eric Meyer, the newspapers co-owner and publisher, and a reporter out of a restaurant during a political event. Meyer has said in interviews that he thinks the papers coverage of local politics played a role in prompting the raids. Meyer said the Marion County Record was also investigating Marion Police Chief Gideon Codys past work with the Kansas City, Missouri, police. Cody led last Fridays raids. Researchers in heavily drilled Pennsylvania were preparing Tuesday to release findings from taxpayer-financed studies on possible links between the natural gas industry and pediatric cancer, asthma and poor birth outcomes. The four-year, $2.5 million project is wrapping up after the state's former governor, Democrat Tom Wolf, in 2019 agreed to commission it under pressure from the families of pediatric cancer patients who live amid the nation's most prolific natural gas reservoir in western Pennsylvania. A number of states have strengthened their laws around fracking and waste disposal over the past decade. However, researchers have repeatedly said that regulatory shortcomings leave an incomplete picture of the amount of toxic substances the industry emits into the air, injects into the ground or produces as waste. The Pennsylvania-funded study involves University of Pittsburgh researchers and comes on the heels of other major studies that are finding higher rates of cancer, asthma, low birth weights and other afflictions among people who live near drilling fields around the country. Tuesday evening's public meeting to discuss the findings will be hosted by the University of Pittsburgh School of Public Health and the state Department of Health, on the campus of state-owned Pennsylvania Western University. Edward Ketyer, a retired pediatrician who is president of the Physicians for Social Responsibility Pennsylvania and who sat on an advisory board for the study, said he expects that the studies will be consistent with previous research showing that the "closer you live to fracking activity, the increased risk you have at being sick with a variety of illnesses." "We've got enough evidence that associates, that links, that correlates fracking activity to poor health. And the biggest question is, why is anybody surprised about that?" Ketyer said. The gas industry has maintained that fracking is safe, and industry groups in Pennsylvania supported Wolf's initiative to get to the bottom of the pediatric cancer cases. The study's findings are emerging under new Governor Josh Shapiro, also a Democrat, whose administration has yet to publish or otherwise release the researchers' reports since taking office earlier this year. The advent of high-volume hydraulic fracturing, combined with horizontal drilling miles deep in the ground over the past two decades, transformed the United States into a worldwide oil and gas superpower. But it also brought a torrent of complaints about water and air pollution, and diseases and ailments, as it encroached on exurbs and suburbs in states like Texas, Colorado and Pennsylvania. One of the most enduring images of gas drilling pollution was residents in a northern Pennsylvania community lighting their tap water on fire. A state grand jury investigation later found that a company had failed to fix its faulty gas wells, which leaked flammable methane into residential water supplies in surrounding communities. The Pennsylvania-funded study comes on the heels of other major studies, such as one published last year by Harvard University researchers, who said they found evidence of higher death rates in more than 15 million Medicare beneficiaries who lived downwind of oil and gas wells in major exploration regions around the U.S. Yale University researchers last year said they found that children in Pennsylvania living near an oil or gas wellsite had up to two to three times the odds of developing acute lymphocytic leukemia, a common type of cancer in children. Establishing the cause of health problems is challenging, however. It can be difficult or impossible for researchers to determine exactly how much exposure people had to pollutants in air or water, and scientists often cannot rule out other contributing factors. Because of that, environmental health researchers try to gather enough data to gauge risk and draw conclusions. "The idea is we're collecting evidence in some kind of a systematic way, and we're looking at that evidence and judging whether causation is a reasonable interpretation to make," said David Ozonoff, a retired environmental health professor who chaired the Department of Environmental Health at Boston University. Another key piece of evidence is to identify an activity that exposes people to a chemical as part of assembling evidence that fits together in narrative, Ozonoff said. Nigers defense ministry said late Tuesday an attack by suspected jihadists in the western part of the country killed 17 Nigerien soldiers. A defense ministry statement said its forces were ambushed near the town of Koutougou, which is located near Nigers borders with Mali and Burkina Faso. In addition to those killed, the statement said the attack wounded 20 other soldiers, and that all of the casualties had been evacuated to Niamey. The defense ministry also said Nigerien troops killed more than one hundred militants. The incident comes three weeks after a military coup in Niger, with leaders saying they acted after the elected governments lack of action to control jihadi violence. Some information for this report came from Agence France-Presse and Reuters. North Korea has publicly confirmed for the first time it has custody of U.S. soldier Travis King, who ran into the North's side of the Joint Security Area on the DMZ nearly a month ago, becoming the first known tourist to do so. Pyongyang is investigating the Army private second class for his "illegal intrusion" into North Korea, KCNA said Wednesday, adding King "confessed" to being motivated by "inhumane maltreatment and racial discrimination within the U.S. Army." It said the 23-year-old expressed a desire to seek refuge in North Korea or a third country, saying he was "disillusioned" over inequality in American society. King was facing pending administrative separation from the Army when he returned to his base in Fort Bliss, Texas, after spending time in a South Korean jail on assault charges. The calvary scout was due to board a flight back to the U.S. on July 17, but somehow made it onto a civilian tour of the border complex one day later. King was taken by North Korean soldiers on duty at the Joint Security Area, KCNA said, when the plain-clothed soldier "deliberately intruded into the area of the DPRK side between the room for the DPRK-U.S. military contacts and the rest room of security officers along the Military Demarcation Line." DPRK is an acronym for North Korea's official name, the Democratic People's Republic of Korea. The Military Demarcation Line is the official border separating the two Koreas, put in place by an armistice that paused the 1950-1953 Korean War, which remains without a formal end and peace treaty. Eyewitnesses who were part of the same tour group last month said King was laughing when he darted over the marked border, a low row of concrete blocks, with some thinking the bizarre act was a joke or an attempt to shoot a social media video. The U.S. Department of Defense and State Department both said they cannot verify the statements attributed to King put forth by North Korea. They reiterated that the priority remained bringing King home, and that they were using all available channels toward that outcome. King's official status with the U.S. military remains AWOL (absent without leave), which automatically switches to "deserter" once a full month has passed. Previous considerations of assigning him POW (prisoner of war) status to facilitate bringing him home appear unlikely, given that he ran across the border on his own volition. Kings family continues to appeal for his humane treatment. His mother asked North Korea for a phone call from her son, said a statement delivered by a family spokesperson on X, formerly known as Twitter. Over the decades, there have been six active duty U.S. soldiers who defected to North Korea prior to King. Only one made it out: Sgt. Charles Robert Jenkins called the decision "the biggest mistake I ever made," after being released in 2004, some 39 years after his crossing. Timed talk North Korea chose to break its silence on King amid weeks of prodding from the U.S., about two days before the United Nations Security Council is set to openly deliberate the states human rights record for the first time since 2017. Last week, U.S. Ambassador Linda Thomas-Greenfield, who presides over the Security Council this month, announced the revived meeting set for Thursday, noting the Kim Jong Un governments human rights abuses and violations have facilitate[d] the advancement of its unlawful weapons of mass destruction and ballistic missiles program. North Korea views criticism of its human rights as a grave challenge to [its] dignity and sovereignty, as declared by its vice foreign minister for international organizations, Kim Son Gyong, on Tuesday in defiance of the planned U.N. discussions. The North Korean statement also precedes President Joe Biden's hosting of his Japanese and South Korean counterparts at Camp David. Their first standalone trilateral summit, previewed as historic by U.S. officials, is expected to announce newly strengthened military and economic cooperation in the face of evolving North Korea threats and Chinese ambitions in the region. North Korea concluded that Travis King wants refuge there or in another country because of "inhuman maltreatment and racial discrimination within the U.S. Army," state media said on Wednesday, Pyongyang's first public acknowledgment of King crossing from South Korea on July 17. A private in the U.S. Army, King dashed into the North while on a civilian tour of the Joint Security Area (JSA) on the heavily fortified border between the two Koreas. U.S. officials have said they believe King crossed the border intentionally and have declined so far to classify him as a prisoner of war. North Korean investigators also have concluded that King crossed deliberately and illegally, with the intent to stay in the North or in a third country, state news agency KCNA said. "During the investigation, Travis King confessed that he had decided to come over to the DPRK, as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," KCNA reported, using the initials of North Korea's official name. "He also expressed his willingness to seek refuge in the DPRK or a third country, saying that he was disillusioned at the unequal American society." KCNA said King was "kept under control by soldiers of the Korean People's Army" after his crossing, and the investigation is still active. Uncertain future U.S. officials have so far said that the North had not provided substantive responses to their requests for information on King. The Pentagon said it could not verify King's comments as reported by KCNA and remains focused on his safe return. It did not address whether it had heard more details from North Korea. A spokesman for the United Nations Command (UNC), which oversees the border village where King crossed, said he did not have anything to add to previous statements. How to classify the 23-year-old has been an open question for the U.S. military. As an active-duty soldier, he might appear to qualify as a POW, given that the United States and North Korea technically remain at war. The 1950-53 Korean War ended in an armistice rather than a peace treaty. The Korean Peninsula technically remains at war, with the UNC providing oversight for the armistice. Factors including King's decision to cross into North Korea of his own free will, in civilian attire, appear to have disqualified him from POW status, U.S. officials have said. Pleaded guilty to assault King, who joined the U.S. Army in January 2021, is a Cavalry Scout with the Korean Rotational Force, which is part of the U.S. security commitment to South Korea. But his posting was dogged by legal troubles. He faced two allegations of assault in South Korea, and eventually pleaded guilty to one instance of assault and destroying public property for damaging a police car during a profanity-laced tirade against Koreans, according to court documents. He was due to face more disciplinary measures when he arrived back in the United States. A crowd in majority-Muslim Pakistan assaulted a Christian locality in an eastern part of the country and set on fire several buildings, including churches, over allegations of blasphemy. Local police said the vandalism had occurred in Jaranwala, a small town in the industrial district of Faisalabad, after a Christian boy was accused of desecrating Islam's holy book, the Quran. Pakistani authorities did not immediately share details of the losses the enraged mob had inflicted on the minority community, but Christian leaders reported significant damage to multiple churches and houses. "Words fail me as I write this. We, Bishops, Priests, and lay people are deeply pained and distressed at the Jaranwala incident," Azad Marshall, moderator bishop of the Church of Pakistan, said on X, formerly known as Twitter. "Bibles have been desecrated and Christians have been tortured and harassed having been falsely accused of violating the Holy Quran," he added. Marshall demanded that Pakistani authorities immediately bring those responsible to justice and ensure the safety of all citizens. Pakistan's caretaker Prime Minister Anwaar-ul-Haq Kakar vowed "stern action" against those behind Wednesday's attack. "All law enforcement has been asked to apprehend culprits and bring them to justice. Rest assured that the government of Pakistan stands with our citizenry on an equal basis," Kakar said on X. Akmal Bhatti, the head of Minorities Alliance Pakistan, said the crowd had torched at least five churches and looted valuables from houses their owners had abandoned after Islamist clerics made announcements in mosques inciting the mob. "Despite this, the police officers could not deploy the necessary security for the protection of the colony and the property in time," Bhatti said after visiting the affected community. "Today, we have suffered great pain and sorrow when our churches were desecrated and burnt." The independent Human Rights Commission of Pakistan condemned the mob assault against the Christian neighborhood, accusing the government of failing to protect the countrys religious minorities. The police chief of the central Punjab province where the incident occurred confirmed to local media that the protesters had vandalized "portions of the churches" and said his officers were trying to calm the situation. Blasphemy is a highly sensitive issue in Pakistan, and mere allegations have led to the mob lynching dozens of suspects, some even in police custody. Insulting the Quran or Islamic beliefs is punishable by death under the country's s blasphemy laws, though no one has ever been executed. Critics have long called for reforming the blasphemy laws, saying they are often misused to settle personal scores. Hundreds of suspects, mostly Muslims, are languishing in jails in Pakistan because external pressures deter judges from moving their trials forward. "Civil society organizations reported judges were reluctant to exonerate individuals accused of blasphemy, fearing vigilante violence," the United States said in its annual report on the human rights situation in Pakistan. The State Department 2022 report quoted civil society groups as stating that courts often failed to protect the rights of religious minorities against Muslim accusers in blasphemy-related cases. "While the majority of those imprisoned for blasphemy were Muslim, religious minorities were disproportionately affected. Lower courts often failed to adhere to basic evidentiary standards in blasphemy cases, and most convicted persons spent years in jail before higher courts eventually overturned their convictions or ordered their release," the report said. Surgeons transplanted a pig's kidney into a brain-dead man and for over a month it's worked normally a critical step toward an operation the New York team hopes to eventually try in living patients. Scientists around the country are racing to learn how to use animal organs to save human lives, and bodies donated for research offer a remarkable rehearsal. The latest experiment announced Wednesday by NYU Langone Health marks the longest a pig kidney has functioned in a person, albeit a deceased one and it's not over. Researchers are set to track the kidney's performance for a second month. "Is this organ really going to work like a human organ? So far it's looking like it is," Dr. Robert Montgomery, director of NYU Langone's transplant institute, told The Associated Press. "It looks even better than a human kidney," Montgomery said on July 14 as he replaced a deceased man's own kidneys with a single kidney from a genetically modified pig and watched it immediately start producing urine. The possibility that pig kidneys might one day help ease a dire shortage of transplantable organs persuaded the family of the 57-year-old Maurice "Mo" Miller from upstate New York to donate his body for the experiment. "I struggled with it," his sister, Mary Miller-Duffy, told the AP. But he liked helping others and "I think this is what my brother would want. So I offered my brother to them." "He's going to be in the medical books, and he will live on forever," she added. Attempts at animal-to-human transplants have failed for decades as people's immune systems attacked the foreign tissue. Now researchers are using pigs genetically modified so their organs better match human bodies. Last year with special permission from regulators, University of Maryland surgeons transplanted a gene-edited pig heart as a last-ditch attempt to save a dying man. He survived only two months before the organ failed for reasons that aren't fully understood but that offer lessons for future attempts. Now, the Food and Drug Administration is considering whether to allow some small but rigorous studies of pig heart or kidney transplants in volunteer patients. The NYU experiment is one of a string of developments aimed at speeding the start of such clinical trials. Also Wednesday, The University of Alabama at Birmingham reported another important success a pair of pig kidneys worked normally inside another donated body for seven days. Kidneys don't just make urine they provide a wide range of jobs in the body. In the journal JAMA Surgery, UAB transplant surgeon Dr. Jayme Locke reported lab tests documenting the gene-modified pig organs' performance. She said the weeklong experiment demonstrates they can "provide life-sustaining kidney function." These experiments are critical to answer more remaining questions "in a setting where we're not putting someone's life in jeopardy," said Montgomery, the NYU kidney transplant surgeon who also received his own heart transplant and is acutely aware of the need for a new source of organs. More than 100,000 patients are on the nation's transplant list and thousands die each year waiting. Previously, NYU and a team at The University of Alabama at Birmingham had tested pig kidney transplants in deceased recipients for just two or three days. An NYU team also had transplanted pig hearts into donated bodies for three days of intense testing. But how do pig organs react to a more common human immune attack that takes about a month to form? Only longer testing might tell. The surgery itself isn't that different from thousands he's performed "but somewhere in the back of your mind is the enormity of what you're doing ... recognizing that this could have a huge impact on the future of transplantation," Montgomery said. The operation took careful timing. Early that morning Drs. Adam Griesemer and Jeffrey Stern flew hundreds of miles to a facility where Virginia-based Revivicor Inc. houses genetically modified pigs and retrieved kidneys lacking a gene that would trigger immediate destruction by the human immune system. As they raced back to NYU, Montgomery was removing both kidneys from the donated body so there'd be no doubt if the soon-to-arrive pig version was working. One pig kidney was transplanted, the other stored for comparison when the experiment ends. "You're always nervous," Griesemer said. To see it so rapidly kickstart, "there was a lot of thrill and lot of sense of relief." How long should these experiments last? Alabama's Locke said that's not clear and among the ethical questions are how long a family is comfortable or whether it's adding to their grief. Because maintaining a brain-dead person on a ventilator is difficult, it's also dependent on how stable the donated body is. In her own experiment, the donated body was stable enough that if the study wasn't required to end after a week, "I think we could have gone much longer, which I think offers great hope," she said. The University of Maryland's Dr. Muhammad Mohiuddin cautions that it's not clear how closely a deceased body will mimic a live patient's reactions to a pig organ but that this research educates the public about xenotransplantation so "people will not be shocked" when it's time to try again in the living. NATO member Poland staged a massive military parade on Tuesday to showcase its state-of-the-art weapons and defense systems, as war rages in neighboring Ukraine and ahead of parliamentary elections on October 15. President Andrzej Duda, the chief commander of the armed forces, said in his opening speech that the protection of Poland's eastern border is a key element of state policy. He also noted that Poland is supporting Ukraine in its struggle against Russia's aggression of almost 18 months. "The defense of our eastern border, the border of the European Union and of NATO is today a key element of Poland's state interest," Duda said. Crowds waving national white-and-red flags gathered in scorching temperatures that reached 36 degrees Celsius to see U.S.-made Abrams tanks, HIMARS mobile artillery systems and Patriot missile systems. Also on display were F-16 fighter planes, South Korean FA-50 fighters and K9 howitzers. A U.S. Air Force F-35 roared overhead, in a sign that Poland was also purchasing these advanced fighter planes. Polish-made equipment including Krab tracked gun-howitzers and Rosomak armored transporters were also featured. Some 2,000 troops, 200 vehicles and almost 100 aircraft took part in the parade. Poland's armed forces have more than 175,000 troops, up from some 100,000 eight years ago, Duda said. Since Russia launched its invasion of Ukraine in February 2022, Poland's conservative government has focused on strengthening the armed forces and has spent more than $16 billion on tanks, missile interceptor systems and fighter jets, many purchased from the U.S. and South Korea. Duda said Poland's defense budget this year will be a record $34 billion, or some 4% of the gross domestic product, the highest proportion in all of NATO. "The goal of this huge modernization is to equip Poland's armed forces and create such a defense system that no one ever dares attack us, that Polish soldiers will never need to fight," Duda said, while voicing his respect for the military. Responding to criticism that Poland, a nation of some 37 million, was taking out huge loans to make the purchases, Duda said, "We cannot afford to be idle. This is why we are strengthening our armed forces here and now. "The security of Poles is priceless," he said. Poland borders on the east with the Russian city of Kaliningrad; with Lithuania, a fellow NATO member; with Russia's key ally Belarus and with Ukraine. The parade was held in Poland's capital, which was vastly destroyed during World War II, on the anniversary of the 1920 Battle of Warsaw, in which Polish troops defeated Bolshevik forces advancing on Europe. The military upgrades have bolstered Poland's defense capabilities, and some items replaced Soviet- and Russian-made equipment that Poland gave to Ukraine. Poland is building one of Europe's strongest armies to beef up deterrence against potential aggressors and has increased the number of troops to some 10,000 along its border with Belarus, where it has also built a wall to stop migrants arriving from that direction. Showing off its military is also a way for Poland's government to attract voter support ahead of October elections, in which the populist ruling Law and Justice Party will seek to win an unprecedented third term. Ukrainian officials said Wednesday that Russia damaged grain infrastructure at a port in the Odesa region in southern Ukraine as part of an overnight drone attack. Andriy Yermak, chief of staff for Ukrainian President Volodymyr Zelenskyy, said on Telegram the attack targeted the port of Reni on the Danube River. Odesa's Gov. Oleh Kiper said on Telegram the attack damaged warehouses and grain storage facilities at the port. Odesa's Gov. Oleh Kiper said on Telegram the attack damaged warehouses and grain storage facilities at a port on the Danube River. Kiper said there were no reported casualties from the attack, and that Ukraine's air force had downed 11 Russian drones over Odesa. The Ukrainian military said its air defenses destroyed 13 total drones overnight, saying Russia had used Iranian-made Shahed drones to target Odesa and Mykolaiv. In the eastern part of the country, Ukrainian forces recaptured the settlement of Urozhaine in the Donetsk region, Deputy Defense Minister Hanna Maliar said. "Urozhaine liberated," Maliar said on Telegram. "Our defenders are entrenched on the outskirts." Russia's defense ministry said Wednesday its air defense systems destroyed three Ukrainian drones over the Kaluga region. The Russian ministry said the early morning attack did not cause any injuries or infrastructure damage. Black Sea shipping The Hong-Kong-flagged container ship Joseph Schulte left Ukraines port of Odesa on Wednesday. Ukrainian Deputy Prime Minister Oleksandr Kubrakov said the vessel was the first to set off down a temporary Black Sea corridor that Ukraine established for civilian ships following Russias withdrawal from the Black Sea Grain Initiative. The Joseph Schulte was carrying 30,000 metric tons of cargo, Kubrakov said. The vessel had been stuck in Odesa since Russian launched its invasion of Ukraine in February 2022. Russia has not said whether it will respect Ukraines shipping corridor. On Sunday, a Russian patrol ship fired warning shots at a vessel after what Russia said was a failure by the captain to respond to a request for an inspection. Some information for this report came from The Associated Press, Agence France-Presse and Reuters The Taliban on Wednesday banned all political parties in Afghanistan, stating that such activities are against Islamic law, or Sharia. The move comes a day after the de facto Afghan leaders marked the second anniversary of returning to power in Kabul. Abdul Hakim Sharaee, the Taliban minister of justice, announced the ban at a news conference in the Afghan capital, Kabul. "There is no Sharia basis for political parties to operate in the country. They do not serve the national interest, nor does the nation appreciate them," the minister said without elaborating. More than 70 major and small political parties were formally registered with the Ministry of Justice until two years ago, when the then-insurgent Taliban reclaimed control of war-ravaged Afghanistan. The Taliban have since been persistently accused of curbing freedom of association, assembly and expression to suppress critics, allowing only supporters to undertake such activities. They have since imposed their strict interpretation of Islamic law to govern the impoverished South Asian nation, banning girls from attending schools beyond the sixth grade and barring most Afghan women from work and public life. Afghan media is also under attack by the new rulers, forcing scores of news channels and outlets to close and hundreds of journalists to leave the country. The United Nations and other global monitors have consistently decried worsening human rights conditions in Afghanistan and demanded that the Taliban reverse their restrictions on women and civil liberty. The Taliban seized power on Aug. 15, 2021, as the United States and NATO withdrew all their troops after 20 years of involvement in the Afghan war. The insurgent takeover prompted prominent Afghan political party leaders and politicians to flee the country, fearing retribution for their association with the U.S.-backed former government. Many self-exiled Afghan political leaders have since opposed the new rulers in Kabul and called for armed resistance to dislodge them, but they have not received international backing for their campaign. Foreign countries have refused to recognize the Taliban as the country's legitimate rulers for their treatment of Afghan women and for not involving other ethnic and political groups in running the country. Torek Farhadi, an Afghan political commentator, said the Taliban follow the example of Gulf countries without political parties. "What is needed is the participation of women and people from all walks of life to participate in a conversation about the country's future," Farhadi said. "As much as it can sound politically incorrect, political parties can create unnecessary divisions in Afghanistan today, and that is the last thing the country needs." The U.N. says years of war and prolonged drought have worsened the humanitarian crisis in the country, where two-thirds of the population need aid. A solution to potential shortages of two critical minerals used in making semiconductors and advanced military equipment exports of which were restricted by China this month may be lying in some waste storage ponds in central Tennessee. Owners of a zinc processing facility in the southern U.S. state say they are developing a plan to extract the two minerals gallium and germanium from the ponds where for years the company has deposited the residue from its refining of zinc from five mines located in central and eastern Tennessee. Now the company Netherlands-headquartered Nyrstar is looking for ways to finance the project. Industry experts say the United States should be willing to pay the price to develop a guaranteed source of the minerals, which are deemed critical for the manufacture of the tiny chips that control electronic devices ranging from smart bombs to refrigerators. Beijing announced last month that it was imposing export controls on the two minerals effective Aug. 1 in what was seen as retaliation for U.S. export controls on finished computer chips that China could use in the manufacture of high-tech weapons. While Western chipmakers say they have ample supplies of the two minerals in the short term, Beijing's action has prompted a scramble to secure new sources. China currently accounts for 98% of the gallium used worldwide in chipmaking and about 60% of refined germanium. While the minerals can be obtained in other countries, aggressive Chinese pricing has effectively put everyone else out of business, according to Christopher Ecclestone, a London-based minerals and commodities strategist interviewed by VOA. "The reason why the Chinese ended up with dominance in these metals is because they've been prepared to produce and sell these metals at knock-down prices, sometimes at a loss, to make sure that nobody else produces them," he said. "This is all part of their strategic thinking that 'we've got it and other people don't have it, so we're potentially in control.'" The United States has not produced primary (low-purity, unrefined) gallium since 1987 and has none in its government stockpile. "The remaining producers outside of China most likely restricted output owing to China's dominant production capacity," a U.S. Geological Survey report on critical minerals stated. The picture is a little less extreme with germanium, with Canadian mining conglomerate Teck Resources providing about one-third of the world's supply, Ecclestone said. Enter the Clarksville, Tennessee-based zinc refinery with its plan to extract the two minerals from residue in its waste storage ponds, where they have been sitting as natural byproducts of the zinc-refining process. Existing stocks would be augmented with residue from future zinc processing at a new $150 million state-of-the-art facility. "Both germanium and gallium are by-product metals," Ecclestone explained. "There's no gallium mine out there." Rather, he said, gallium is a byproduct of bauxite smelting and zinc smelting. Germanium, similarly, is derived from the process of zinc smelting and also resides in the fly ash of coal production. Recycled minerals Other efforts to extract the minerals are also underway. The Pentagon has initiated a program to recycle germanium from decommissioned military equipment that could be used in night-vision, thermal-sensing devices and other products, according to information released by the U.S. government. Windows of decommissioned tanks and other military vehicles are also said to be a reliable source of germanium. Altogether the Pentagon programs are expected to produce up to 3 metric tons per year of high-purity germanium ingot. But that is dwarfed by Nyrstar's plans, first reported in Tennessee media, which call for the production of up to 30 tons of germanium and 40 tons of gallium a year. That would make up for much of the 43.7 tons of germanium that China exported in 2022 and its 94 tons of gallium. The company says it is exploring funding opportunities from federal and state governments as well as private U.S. sources. Company officials are hopeful that funding will be in place in the next few months and that construction can begin soon afterward. Colorado-based mineral economist David Hammond told VOA he thinks the company's timeline for the construction's completion of two to two and a half years is realistic and, like Ecclestone, he believes the United States should be willing to shoulder the added cost of establishing domestic sources for critical minerals such as gallium and germanium. "Over the last 30 years, China has managed to outstrip the U.S. in critical minerals supply chain and related technical expertise," he said. "We're only recently beginning to rebuild what we need." Editor's note: An earlier version of this story misidentified the location of the zinc refinery. It is in Clarksville, Tennessee. As Iranian seizures threaten oil tankers plying the Gulf, the United States is raising its military presence, a move long demanded by Gulf Arab states who accused Washington of retreating from the region. Three thousand additional U.S. personnel on troop-landing warships have passed through the Red Sea, and U.S.-led maritime forces are warning ships against approaching Iranian waters. The moves follow a spate of capture and attempted capture of ships in and around the Strait of Hormuz, the U-shaped gateway to the Gulf of Oman and Arabian Sea that carries a fifth of world oil output. "There is a heightened threat, a heightened risk to regional mariners in terms of seizures" by Iran in the strait, said Commander Tim Hawkins, spokesman for the US Navy's Fifth Fleet. "Right now, our focus is on increasing our presence in and near the Strait of Hormuz to ensure security and stability in a very critical waterway," Hawkins told AFP at the US Naval base in Bahrain. The attacks on tankers came at a time of troubled relations between Washington and its wealthy Gulf allies, who have long relied on U.S. protection for their oil assets and chafed at a perceived military drawdown. The U.S. military says Iran has either seized or attempted to take nearly 20 internationally flagged ships in the region in the past two years. Most recently, Washington said its forces blocked two attempts by Iran to seize commercial tankers in international waters off Oman on July 5. In April and early May, Iran seized two tankers within a week in regional waters. 'Robust forces where needed' On Saturday, a U.S.-led naval coalition issued an advisory for commercial ships to "transit as far away" from Iran's waters as possible in what Hawkins called a "prudent step" in light of recent seizures. Less than a week earlier, 3,000 U.S. Marines and sailors arrived in the Red Sea on board the USS Bataan and USS Carter Hall warships, which can ferry troops ashore by aircraft and landing craft. Washington had promised fighter jets and said it deployed A-10 Warthog warplanes. The buildup, according to Hawkins, affords Washington "more robust forces where needed." They are in addition to the more than 30,000 U.S. troops already in the Middle East. Although Washington has previously sent troop reinforcements to the Gulf, including in 2019 as a response to Iran tensions, the U.S. is now considering unprecedented measures. It is preparing to put Marines and Navy personnel aboard commercial tankers transiting the Gulf as an added layer of defense, a U.S. official told AFP in early August. Hawkins said that although no formal announcement has been made about manning tankers, "we have sailors, we have Marines that are trained here in the region to carry out whatever mission they are tasked with." But at the same time, the U.S. military buildup coincides with the first deal between President Joe Biden's administration and Iran's clerical leadership. The delicate agreement would see Iran free five American prisoners in exchange for the unblocking of Iranian funds frozen in South Korea under U.S. sanctions. It followed exhaustive diplomacy between the Biden administration and longtime U.S. adversaries Iran. Experts and diplomats said the deal could bring further, quiet efforts to address concerns, but tensions persist. The spokesman for Iran's Islamic Revolutionary Guard Corps, Ramazan Sharif, last week said his country "can reciprocate any vicious act by the U.S., such as seizing ships," according to state news agency IRNA. 'Shift in posture' Washington's Gulf allies, reliant on the shipping lane to deliver their oil to global markets, have long demanded stronger U.S. security commitments, especially after an uptick in seizures by Iran since 2019. With Biden's increased emphasis on Asia adding to their frustrations, Saudi Arabia and the United Arab Emirates have boosted engagement with American rivals China and Iran. Dina Arakji, an associate analyst at Control Risks consultancy, called the increased U.S. presence a "shift in posture." "The move by the U.S. likely aims to reassure Gulf Arab states that Washington remains committed to the region's security," Arakji said. Ken Bredemeier In 2024, former U.S. President Donald Trump could be spending more days in courtrooms instead of flying across the country campaigning for president. With the fourth criminal indictment in four months filed against him in the southern state of Georgia on Monday, Trump, 77, could be facing weekslong trials in the first half of the year. He has boasted, perhaps jesting, that with four indictments, he is assured of capturing the White House again. His Republican political support, according to national polling, has held steady. But he has complained bitterly that the charges against him are designed to undermine his campaign. In all, he is facing 91 charges, all of them felonies, across the four cases. He would be required to sit through days of testimony accusing him of wrongdoing that could, if he is convicted, imprison him for years. Trump has denied wrongdoing in all the cases. The first months of 2024 are also the same time frame when Republican voters will be heading to the polls to pick their nominee for the November election, where Trump could again face Democratic President Joe Biden in a rematch of their 2020 contest. National polls of Republican voters show Trump with a commanding lead over an array of other Republican presidential aspirants. The first Republican political contest, party caucuses in the Midwestern state of Iowa, is set for January 15, with numerous state party primary elections scheduled for late winter and into the spring months. The party voting culminates with the Republican National Convention in July in the Midwestern city of Milwaukee, Wisconsin, where the party's presidential nominee will be formally picked. Two of Trump's trials have already been scheduled for 2024. In late March, a New York prosecutor is trying Trump on charges that he falsified business records at his Trump Organization real estate conglomerate to hide a $130,000 hush money payment to a porn film star ahead of his successful 2016 presidential campaign to keep her from talking about a one-night tryst she claims to have had with him a decade earlier. Trump has denied the affair occurred. In late May, Trump is scheduled to stand trial in Florida against a 40-count indictment brought by Justice Department special counsel Jack Smith, which accuses Trump of hoarding highly sensitive national security documents at his oceanside Mar-a-Lago estate after he left the White House in January 2021. Trump is also accused of conspiring with his personal valet and the property manager at Mar-a-Lago to keep from turning over the classified materials to federal investigators. Smith also accused Trump in Washington in a four-count indictment of illegally trying to overturn his election loss. He has asked for the trial to start Jan. 2, 2024. Government prosecutors say it might take four to six weeks to present their case against the former president. Trump's lawyers are expected to ask for a trial date well past January, and have until Thursday to specify their desired date. U.S. District Judge Tanya Chutkan said she will set a date for the trial at an August 28 hearing. Meanwhile in the Georgia case, Fulton County District Attorney Fani Willis said she thinks Trump's trial there ought to start within six months. Fulton County Superior Court Judge Scott McAfee was assigned to oversee the case and will set the trial date. Timothy Obiezu ABUJA, NIGERIA Officials of the West African bloc ECOWAS say they are stupefied by the charge of high treason that Nigers military rulers have leveled against Nigers ousted president, Mohamed Bazoum. If convicted of the charge, Bazoum could face the death penalty. In a statement Monday, the reginal bloc called the attempt to bring charges of high treason against President Mohammed Bazoum provocative and said it contradicted the coup leaders' recent willingness to pursue a peaceful resolution. While calling for his immediate release and reinstatement, ECOWAS emphasized that Bazoum remained the country's legitimate leader. Nigers military junta spokesman Col. Maj. Amadou Abdramane announced the charge against Bazoum in a televised address Sunday. Abdramane said Bazoum had undermined Niger's internal and external security, and that he had been communicating with high-ranking regional politicians who are, in Abdramanes words, trying to truncate the peaceful resolution of the crisis in Niger in a bid to support a military invasion. The plan by coup leaders to try Bazoum for treason came hours after they agreed to hold talks with ECOWAS. An ECOWAS spokesperson did not respond to calls for comment but political analyst Rotimi Olawale says Niger's junta is using Bazoum to strengthen its hand in negotiations with the regional bloc. "The military junta is using every tactic in the book to claim legitimacy to power in Niger, but also as bargaining chip in the conversation and discussion with the regional body ECOWAS with the wider international community," said Olawale. "Treason is something you'll see many countries pull off as accusation one way or the other but I'm sure it's part of the negotiation strategy of the military to find a soft landing for themselves " Soldiers of the presidential guard overthrew Bazoum on July 26 and have since held the president and his family hostage. If found guilty of high treason, Bazoum could face the death penalty. On Monday the U.S. State Department criticized the plan to try Bazoum, warning it will worsen tensions in the region. Chris Kwaja is a senior researcher at the Center for Peace and Security Studies. He says the junta has no legal standing to pull off a trial because the military government is illegitimate. "For the same government that is a product of unconstitutional means to use the law in prosecuting Bazoum, you cannot give what you don't have," said Kwaja. "What we see the regime doing is an exercise of naked power, it is unconstitutional, they cannot exercise authority when they were not given that authority by the people." ECOWAS has taken a tough stance on the coup in Niger including border closures and asset freezes in a bid to restore constitutional order. Olawale says there's a possibility the junta, which has suspended the country's constitution, may retain the function of the judiciary for Bazoum's trial. "...If they go to court and they prosecute him under treasonable felony, to hold him under the Niger constitution but I don't think it will get to that. I feel that pressure is mounting on the Niger regime, they have not received external support," said Olawale. Last week ECOWAS authorized activation of a regional standby force to reverse the coup if talks with the military government fail. But experts say the force could take weeks to assemble. Rachel Leviss. Photo: Charles Sykes/Bravo Raquel Leviss is finally sharing her side of the Scandoval affair and she did it with one of the people who knows reality TV best. Speaking for the first time since the Vanderpump Rules reunion, Leviss did an an interview with former Real Housewife and current reality-TV unionizer Bethenny Frankel for both of her podcasts. And she started with a quick reintroduction: Its Rachel now, after a post-affair therapy exercise about writing her name helped her come back to my roots. (Leviss went to a mental-health treatment facility after the VPR reunion, both to get away from the vitriol online and because she knew that I had more work to do.) But Leviss isnt just reintroducing herself shes here to talk about her seven-month affair with castmate Tom Sandoval, which occurred while he was publicly dating another castmate, Ariana Madix. In a conversation full of therapyspeak and monologues on reality TV from Frankel, Leviss said she was drawn to Sandoval out of love addiction, which she described as where you confuse intensity for intimacy. It explains why I couldnt stop seeing this person, but it also doesnt excuse the fact that it happened, but now I know better, she told Frankel, who never watched VPR before Scandoval. Later, Leviss added that she believed she was in love with Sandoval during the affair, but since realized they just had a connection over their shared experience of the show. I now look back on it and see that I was not in love with him, she said. I also dont believe that he truly was in love with me either. Leviss was apologetic throughout the conversation, opening by talking about the hurts that Ive brought to a lot of people. But later, she admitted she doesnt expect Madix who she clarified she never spent time with outside the show to forgive her. I think once trust is broken with somebody, from personal experience, its really hard to come back from that, Leviss said. I am remorseful, I do recognize that Ive caused her a lot of pain and there was a lot of secrecy, deception. However, she did go on to criticize Madixs anger toward her at the reunion. My nature is very kind and forgiving and understanding of other people, so the concept of me being the ultimate reality-TV villain just blows my mind, Leviss said. The way that she spoke to me at the reunion I feel like it was uncalled for. Leviss also spoke about her actual friend on the cast, Scheana Shay, who let Leviss live with her after Levisss breakup with James Kennedy. Leviss countered the narrative that she was the sister I never had, explaining that she did pay rent while living with Shay, as well as watch her mercury-pumped cat and work on her podcast. Its just unfortunate that shes trying to create this narrative that Ive taken advantage of her, when I feel like I did contribute, Leviss said. She doubled down on her allegation that Shay physically assaulted her, claiming that she was pushed against a wall and still has a scar from being socked in the eye. The conversation was the first of a two-part episode that also included a lot of reflection on the behind-the-scenes of VPR and being on reality TV. For example, Leviss claimed that she confronted Sandoval on camera about recording an intimate moment on FaceTime without her consent, and he admitted to it. But then after that scene wrapped, he said, Why did you say that? Why did you say that on camera? You made me look bad, she recalled. And I was like, Well, because thats what happened. She alleged that Sandoval then boycotted filming in order to get the editing rights to that footage and have it removed. According to Leviss, Sandoval also told her during negotiations for season 11 that he was offered a producer credit for the upcoming season. I think he was being rewarded for the Scandoval of it all, she said. To me, thats just kinda gross, because it makes me skeptical. Like, was this really just something that was fabricated for this end result? She further suggested that Kristen Doute was offered a spin-off in order to keep her from speaking about her past relationship with Levisss ex, James Kennedy. (Bravo responded by stating that Doute was cast because shes in the friend group featured on the show.) Leviss has yet to say whether shes returning to VPR season 11, but has already laid out many reasons not to in the interview, including by stating that the past season of the reality show felt like she was selling my soul. And thats not even to mention this zinger from Frankel: Its my understanding that you get paid, in a year, less than my interns get paid. Maybe Leviss has a future at BStrong? This post has been updated. Photo: Shane Brown/FX Most series billed as comedies dont open an episode with a character cleaning blood off a knife in a convenience store restroom, or a montage of unsettling images: a child running through the woods at night, an old man eating at a kitchen table, a zoom toward a closed door. But most comedies arent Reservation Dogs, Sterlin Harjos acclaimed series about reservation life in the 21st century. As the FX show has unfolded across three seasons, its grown comfortable taking big and occasionally wild swings, confident its viewers will sit with an episodes obscured meaning until the series clarifies it on its own timetable, in its own unique way. As supervised and sometimes personally directed by Harjo, Reservation Dogs style runs the gamut from loose, improvisatory, hangin-out indie to stylized sequences that take the characters into dreams, hallucinations, and a skeptical, almost cockeyed view of Americas official self-image. There are critiques and reclamations of American film genres that previously treated Native Americans as bit players, villains, or symbols, and it all fits together somehow, perhaps because the show spends less time struggling to justify its various departures than in devising and executing them. Harjo assembled a killer lineup of Native directors for his most plum assignments, including Danis Goulet, an independent filmmaker who absorbs, mixes, and applies disparate film genres in a way that fits into the gestalt of Reservation Dogs while also making her episodes stand apart. Goulets most recent, Deer Lady, is a multilayered, time-shifting, flashback-filled tale mostly presented through the visual grammar of a 1970s horror film, somewhere between grindhouse and arthouse. We eventually learn the blood-scrubbing Deer Lady (Kaniehtiio Horn) was kidnapped as a child and imprisoned at a boarding school, where she escaped via the surrounding, fog-choked forests and later sought revenge for her ordeal. More than any of her other episodes, Goulet says Deer Lady illustrates what I appreciate most about Rez Dogs: Its willing to really take risks. Deer Lady is very intense stuff and a bit of a stylistic break from the rest of the series. Episode three is a real departure from the tone of the series. Its talking about a collective historical trauma. Sterlin wrote that episode, and its really incredible, the way he brings us into this history through a much-loved character that we had seen before, the Deer Lady, who is this magnetic and mysterious person. A lot of the episode has the feeling of a horror movie and a Holocaust movie. The topic of boarding schools is not widely known in the public consciousness of America, but it is something that is so important to talk about because this is about the foundation of the country. When you think about warfare, its possible people know about the Indian wars, but it is so, so insidious to go after children as an act of warfare as well, to take them away from their families. And then for those places to be places of abuse I mean, there were experiments done on children in these schools. Many of them died in the schools. When I spoke to our consultant who wrote an incredible book about boarding schools, she said to me, Whatever you show onscreen will not be as bad as it was in real life. Its really chilling. Anyone whos Indigenous is very familiar with this history. This is not new to any of us. But reading it as it was presented in the script, I felt like, This is a horror show. It made sense to put it in that sort of container. But I was also hoping that a genre bent would help people come into it a little more easily, because it is a very tough topic to digest. What can you tell me about the way the episode alternates its present-day scenes, which are very much in the established 90s indie-film style, and the more formally rigorous stuff in the flashbacks? Right from the get-go, this episode has a different tone. It stands alone. There is some humor and lightness in the mischievousness of the Deer Lady and the playful conversations shes having in the diner with Bear. But there isnt a lot of the usual release you get from the humor and jokes of people around the community. Bears really off by himself in that episode, and so tonally, it lives in its own space. But when youre talking about a topic that has this much gravity, that is this dark, it feels like in order to do it justice, it makes sense to have a departure. All the historical parts of the story have to have a separation so that its a different universe, a different feeling. I love that Sterlin framed all this as the Deer Ladys origin story, because she is a fantastical character. There are supernatural elements to her. That gives us a freedom that a story like this might not otherwise have. Once we went into the boarding school, we imagined this 70s horror-film aesthetic: superlong zoom lenses and a sense of foreboding and dread. We looked at the reboot of Suspiria as a touchpoint because it was set in a dance school, but also because it had that shadowy, foreboding feeling, an eeriness to everything. Photo: Shane Brown/FX Is there a style bible for the show? Are there certain things you can or cannot do? No. Theres an established visual language, but Sterlin gives everyone an enormous amount of freedom. Theres a moment in the episode where the boy in the school says, They cant stop us from smiling. How would you relate that back to the series as a whole? This series is about the balance of light and dark. When you look back in cinema history, weve been misrepresented onscreen for over 100 years. For a long time, Native filmmakers have been advocating for the chance to get our turn to tell our story, to show the world what we could be, and to show what life is really like. Reservation Dogs is groundbreaking because it doesnt show Indigenous people in the ways weve seen them in the past, as stereotypes or dead bodies or silly, diminished characters. It has complex characters. But its not about victimhood. It is about love and community and family, and the way you not just survive but also thrive in the face of enormous challenges. I love that the line you quoted was written into the script, because I think our humor, along with the love that exists in our communities, is the core the show always returns to. That the series has that real knucklehead humor that can then pivot and basically become a little horror movie is unusual, to say the least. Sterlin has dared to do a lot with this show. I think the third episode will be a surprise to certain people. There are some tough things. Even the depiction of a childs hair being cut in the opening scenes people may not understand the gravity of what it is to cut hair. In Indigenous cultures, hair has profound meaning for some. You only cut your hair if youre in mourning. That scene in particular was very difficult for the cast and crew. I think they only could have tackled that scene and that episode having been together as a part of this community for that many years before. What Sterlins doing is not just questioning and pushing back against the history of misrepresentation, but hes also asking questions about process, because Hollywood didnt just misrepresent us, they also exploited our communities in the process of filmmaking. What we try to do as Indigenous creatives is not continue that legacy of exploitation, but honor the community and give people agency. What sorts of conversations did you have about staging that moment, as far as, Do we actually cut somebodys hair, or do we create a wig? That question took weeks to answer, because its an act that you have to do with so much humility. We asked so many questions. We tested wigs. We had the casting director, Loren Waters, go in with the background performers, and she was amazing for being so willing to have those tough conversations with the community. To not further exploit our communities in the name of putting things onscreen means that our people have to have agency in the process, no matter what their role is. I also called my friend and other filmmakers including Tasha Hubbard and her son, who has long hair to have a very in-depth conversation about what it would mean to depict this onscreen. Where did you eventually settle on the issue? We were so lucky to have found a young man named Adam who was planning to cut his hair anyway. He and his family decided that he would be willing to do it for the show. I cant tell you how emotional it was for all of us to be part of something like that where someone is daring to go there, knowing that this might be a triggering process for them. Normally the show is so funny when youre on set. Youre killing yourself laughing, people are sharing memes. But that day was really tough. And when we shot that scene you could hear a pin drop in the room. Everybody knew the gravity of what that was. It was incredibly emotional, and I just cant say enough about the courage of everybody to go through that together, and for Sterlin as the leader of the show to be really guiding that process and walking around outside, talking to all the background performers, their families, to the work Loren was doing, to the elder who stood up in the dining room and spoke about his own experiences in the boarding school. This is what the show does. It is carving a new path forward, not just about how were portrayed onscreen, but also how we actually endeavor to make things about our collective trauma and all of our humanity. The escape sequence in the woods, with a nun in pursuit what can you tell me about the filming of it? What were you going for, and how did you go about it? We shot that sequence early in the process. It was really drawing on horror-movie aesthetics. We filled the forest full of smoke tubes in order to create that graphic element of thick, thick atmosphere. Thats also a moment where she escapes and the nun is looking for her and suddenly theres a magical character who appears. We wanted that moment to feel fantastical in spite of her fear of being chased in the woods, she suddenly stumbles upon something that would be a wonder for anyone to behold. And then, of course, we have the nun being found dead later. One of the crew shared two images afterwards. One was an image of the nun on the tree, and the second was an image of a painting of somebody splayed over a piece of wood with two people surrounding them. It was an old, historical painting, but you could see a similarity. The moment where Deer Lady stabs the man at the table is intense. Its obscene but also liberating somehow. I just cant say enough about what Kaniehtiio Horn brought to this episode, the thousands of shades she can find in any given moment. In the diner, theres this dynamic where shes the cat and Bears the mouse and shes playing with him the whole time. Its a delight to watch because she has that playfulness, but this also takes her character to a very different place, such a vulnerable place. When she shows up on the doorstep, we suddenly see a different side of her, and she almost is back to being a child in a way. When she knocks on the door, she is not that powerful, supernatural badass that she goes around as in the world. We always knew we would be shooting this wide horror-type zoom. I almost thought I would do it as a one-shot take, in the zoom. But we also shot this classic horror movie creep up to the back of the man at the table, where we never really get a close look at his face. Even though she is the deadly killer, we were reversing that, to depict the idea that she was actually the one who was terrified in that moment that there was a monster in the room and she was walking in to face it. Why did you play part of it in tight closeups of your lead actress instead of sticking to the notion of doing it all in one wider shot? There was so much happening in her eyes. It was disturbing, it was everything. When we saw her do the close-ups, we just couldnt believe what was there in her performance. And then comes that moment after, where she goes out onto the steps after its all done. She walks away and goes from the shattered person to a place where were starting to see shades of a liberation that comes with knowing that, as brutal as this all is, maybe there is some sort of justice here, and that she is now free to be in the world, in a different way. The change in her face, and what she was able to do I got the shivers on set. Photo: Shane Brown/FX In your season-two episode, Mabel, which chronicles the death of Eloras grandmother, you have a lot of almost painting-like shots mixed in with the handheld stuff. Theres an overhead shot of a pot on a stove and at the beginning, the bed scene where everybody is gathered around. Whats the thinking behind these shots? For me, that episode was all about feeling that the community had come together. But it was so important that the comings and goings felt organic, and also that we were planted very staunchly with Elora and tried to stay in her point of view. It was shot incredibly subjectively, except when it came to the wide shots, and especially in the room where Mabel is dying. I almost envisioned the tableaus of old paintings of someone surrounding someone dying where the person in the middle is lit up, and then youve got people arranged around them. Mark Schwartzbard, the cinematographer, and I talked about some of those shots in the room itself, and trying to make it have that kind of feeling. Also, some of my past films have drawn on social-realist aesthetics, and so I was bringing that hyper-subjectivity to this episode as well. At times we plant the camera on Eloras shoulder and just go with her, especially in key moments. Even in larger collective moments in that episode, where we would normally position the wide shot, the camera stays within a three-foot vicinity of Elora herself, so it always feels like were very tight with what shes experiencing. I wondered about that. It seemed like you made sure that if shes just a sliver in the frame, and not really the main focus for the viewer, you at least see part of her head from the back. I remember a conversation I had with the camera operators on the first day where Mark said Okay, Danis, explain the subjectivity thing to these guys who are just getting started. And I said Imagine that Elora is the sun and that everything rotates around her. Is that why theres so much sunlight and so many colors on the yellow-gold spectrum in the episode? Yeah. I felt like this house had this feeling of warmth in spite of Eloras difficulty. There are many things that tip off that it wasnt an easy relationship with her grandmother, but theres something about that house that presents it as this warm space the community comes into. It tells you that, in spite of the conflicts shes having with her friends, when a moment like that happens, they will show up for her. In our traditional spaces, whether theyre lodges or teepees, theres an atmosphere that feels very warm because theyre often made of a kind of translucent hide and they cast a certain glow. Theres a stereotype of Native men that depicts them as stoic, cryptic, and flat. Reservation Dogs goes 180 degrees in the opposite direction; one of the funniest things Ive seen on TV is that conversation in Run, the second episode of season two, between Gary Farmer and Wes Studi. Their characters are offering a prayer by the water, and they use it as an opportunity to re-fight past grudges. Its like an Abbott and Costello routine! [Laughs.] Oh my God, I love that scene so much. One of the challenges in our communities is that because of the trauma and the difficulties and hardships that our communities have been through, people feel a lot of pressure to depict something that is wholly positive. But that pressure is a shame because it restricts a kind of artistic freedom that is afforded to everybody else. And I love that Sterlin is willing to put complex, flawed characters in there and also to sort of poke the sacred cow, if you will. A prayer is supposed to be something that is so serious, and to bring humor or petty grudges into moments like that is so human. How does the performance of Gary Farmer as Uncle Brownie fit into the sense of humor? Gary Farmer is a legend in our communities. Hes been around as an actor for many decades, so hes seen it all. He was around in the days where they were still casting non-Native people to play Native roles. I cant imagine the stories of the sets that hes been on. His performance subverts the earnest idea that your uncles are gonna be the ones that give you the after-school-special chat. Like, he is not that uncle, you know? Another example of how the series throws a curveball every other week: In episode one of this season, Dallas Goldtooth gets 90 solid seconds at the beginning to hold forth and have the screen to himself. Dallas Goldtooth is a tremendous joy to work with. We can barely get through the takes, were laughing so hard. He often goes off-script and comes up with all kinds of crazy lines to say. You almost want to take up your whole day doing all of the Spirit material because its so much fun to shoot. But that opening, yeah: The character brilliantly subverts a trope of the mystical, spiritual Indian that weve seen throughout cinema history. Dallas turned it into this funny, sometimes ridiculous character. In some ways when we come into season three, its almost like hes the host of the show. This interview has been edited and condensed for clarity. Related The Afterparty Ulysses Season 2 Episode 7 Editors Rating 4 stars * * * * Previous Next Previous Episode Next Episode Photo: Apple TV+ John Chos presence in this show is a gift to us all; lets just get that on the record right now. His mind-movie episode is a weird, sweeping, tragic romance or a tragic tale dramatically told, as Edgar said when he heard it a few weeks before the wedding weekend and Cho not only handles it all but brings an emotional depth as well as a fully committed silliness/humor to it that well, it just works. Where to even begin? At the beginning, I suppose, just as Ulysses does, even though Aniq tries to tell him they really only need his version of the wedding weekend events. But has Ulysses ever made a long story short? Probably not, is my guess. However, the deep background is necessary in this case in order to understand his presence at the wedding as well as what he was doing with the glass behind the bar at the after-party. Ulyssess story is one told in words, yes, but also through dance. To honor his love for the art form, Im going to take us through the various dance scenes as a way of recapping the episode. Lets have some fun! Lets dance! The Robot: The first dance we see is Ulysses demonstrating the robot to a bunch of U.S. soldiers in the back of a covered truck in Kuwait. Turns out Ulysses was part of the USO and the Rootin Tootin Salute to America tour during the Gulf War. Raise your hand if this information shocked you! Because it did shock me, and Ive found this season of The Afterparty pretty predictable in many aspects so far, but not this one. Anyway, right after the robot dance, an explosion blasts the van apart and one of Ulyssess dance team members has his foot blown off. Its not great! Sleep Dancing: Even though its kinda making light of veteran PTSD and thats not cool, the short scene of a depressed and tortured Ulysses doing dance moves in his sleep after coming back to San Francisco was John Cho is just giving it his all here. Training with Vivian: At Fengs suggestion Feng, who we learn is Ulyssess half-brother but treats him with the warmth of a full brother after Ulysses returns from Kuwait and moves in with Feng and Vivian Ulysses and Vivian pair up to enter the world of ballroom dancing. In Ulyssess words, Vivian was an exacting teacher who brought him back to life through dance and moral support. Competition Montage: Ulysses and Vivian take the United States competitive ballroom-dancing scene by storm, and Ulysses begins to heal from his emotional wounds. The Around the World Lift: Vivian and Ulysses practice this complicated dance move on the beach in Tampa before the Southeast Regionals, and in the heat of the moment, share a smooch that leads to a full-blown affair. After this moment, they continue dancing vertically and horizontally, as Ulysses says. Angry Rain Dance: The tension and guilt of leading double lives sharing longing looks across the dinner table, reaching for the toothpick dispenser at the same moment, witnessing a clueless Feng gift Vivian an Oscars-worthy necklace is too much for the lovers to bear. Vivian ends the affair and their dance partnership, and Ulysses does an angry rain dance straight out of a Twyla Tharp production. I get why Aniq and Danner share an eye roll at this, especially when Ulysses says, It was like another landmine went off, but this time my friends foot was my heart, I mean, yikes. But also? It was fun to watch. Plus, the music scoring his dance is a jazzy instrumental version of Careless Whisper by George Michael, the lyrics of which include, Im never gonna dance again / Guilty feet have got no rhythm I shouldve known better than to cheat a friend / And waste the chance that Id been given / So Im never gonna dance again / The way I danced with you. TOO PERFECT. The Macarena: For some time, Ulysses finds solace in his role as Funcle, which he once again defines as Fun Uncle, even though Danner and Aniq are both up to speed on this front. The macarena scene featuring him, Zoe, Grace, and Vivian was probably my favorite dance of the episode. When Vivian and Ulysses share a romantic moment, though, the fun is over. He decides to leave because its too painful to be around her but not with her, and for good measure, this is when Feng discovers their betrayal and kicks Ulysses out forever. More Around the World Dancing: This is different from the aforementioned dance lift, but during Ulyssess travels around the world, he sees both dance and Vivian everywhere he goes (as another instrumental version of a popular 80s song plays this time, Africa by Toto). He finally finds peace in Patagonia, only to have Edgar track him down and insist Ulysses attend the wedding for Grace. Edgar plays his trump card to get Ulysses there, which surely occurred to eagle-eyed viewers, too: the suggestion that Ulysses could be Graces biological father. The Wedding Dance: Remember when Edgar ran off during his and Graces first dance (to confront Sebastian at the safe) and Ulysses stepped in to dance with her? That scene has so much more meaning now that we know he could be her father-uncle (still Funcle, with a different definition). Vivian insists at the rehearsal dinner that he is not, but Ulysses decides to do his own paternity test after seeing what a natural dance Grace is. Does it occur to him that she got this ability from her mother, also a professional dancer? No, it does not. So he swipes a glass of hers and swabs it for DNA what Sebastian saw him doing at the after-party. He plans to send it out for testing on Monday but doesnt want to disrupt the family, especially since hes kinda-sorta made peace with Feng. At the after-party, the half-brothers drink to burying the past, and Feng mentions that the bing in his hand is for Edgar only after hed earlier said hed handle Edgar and not let Graces new husband ruin his family. Ulysses tale seems to clear things up re: Vivian and Edgar and their talk of secrets (as mentioned by Sebastian last week), as well as point to Feng as a new suspect. Zoe, too, is starting to feel a bit off when it comes to her parents and their innocence in this whole murder thing mostly because they refuse to talk to Danner and Aniq, and Zoe thinks thats a little weird. Hannah and Travis do, too. We might get some clarity on that front, though, as well as some more evidence real evidence about the weekends goings-on, thanks to a poolside chat between Zoe and Hannah that includes this hilarious exchange: Zoe: Admit that you killed your brother so we can all go home. Hannah: But I wouldnt go home; I would go to jail. Zoe: Which would be your new home! They remember that Feng had a social-media intern videoing the whole weekend. Zoe and Travis find him (Chyler) on Instagram and plan to get him to give them the footage, as they tell a pleased Danner and queasy Aniq. All the secrets are comin out, Aniq says to close the episode. He sounds less than thrilled because he now knows a major secret about Zoes family that she does not know, and hes uncomfortable keeping it from her even though its not his secret to tell but I, for one, want more cards on the table. Plus, Chyler and Fengs video footage is bound to be excellent. Clues Clues Clues Suspect Watch: As per usual, the end of the episode casts someone new in a suspicious light. By the next episode, that person has told their story and (seemingly) exonerated themselves. This time, its Feng. But lets think outside the box/episode pattern: Maybe Chyler did it?! I know that the photos Ulysses has on him are (a) a convenient prop to prove to Danner and Aniq that hes not lying about his affair with Vivian and (b) mean to show that hes still carrying a flame for her, but its weird. Its weird that he would be carrying those around still. Theres something so innocent and charming about Fengs excited Youre going to look like youre at the Oscars! when he gives Vivian the necklace. It really makes you feel for all parties involved in this affair. In Ulyssess version of the story, Edgar ends the wedding like so: It is now 10 p.m., so its that special hour where guests become trespassers. Please leave. Still good. Wanted in Rome is a monthly magazine in English for expatriates in Rome established in 1985. The magazine covers Rome news stories that may be of interest to English and Italian speaking residents, and tourists as well. The publication also offers classifieds, photos, information on events, museums, churches, galleries, exhibits, fashion, food, and local travel. Discount coffee for customers who bring own cup, spoon and sugar. In a summer of negative headlines in Italy about diners being ripped off, a bar in the northern Liguria region is making the news for its low price for coffee. La Bottega del Caffe in the village of Millesimo is offering an espresso for just 0.70 cents - far lower than the bar's usual price of 1.20. The catch? Customers must bring their own cup, spoon and sugar from home. The owners of the bar, in the Savona province, say the initiative began as a light-hearted "provocation" in response to a flurry of news stories of customers being hit with surcharges of 2 to cut a sandwich in half or 2 after asking for a plate. The owners of La Bottega del Caffe in Millesimo. Photo IVG Savona. Elio Venturino, the bar's owner, launched the idea: "Why don't we tell customers to bring their own cup and put the espresso at 70 cents?" His daughter Valentina immediately printed the posters. Customers began to arrive, with their own cups, and the story was quickly picked up by the national media. Stressing that it is "no joke", Venturino told La Stampa newspaper that the initiative highlights the difficulties faced by the hospitality sector in grappling with rising costs of raw materials, energy and wages. Sensational discovery made headlines around the world. The famed Riace Bronzes, two ancient Greek warrior statues, were found by chance in the Ionian Sea off the coast of Italy's southern Calabria region on this day 51 years ago. The bronzes - dating to 460-430 BC and also known as the Riace Warriors - were retrieved from the seabed near Porto Forticchio di Riace Marina on 16 August 1972. Stefano Mariotti, an amateur scuba diver from Rome, is credited with finding the bronze statues, subsequently taken from the water by carabinieri officers in images that went around the world. The origin of the 'Bronzi di Riace' remains shrouded in mystery. It is assumed that they were being carried on a ship that sank, perhaps in a storm, however no evidence of a wreck has been found. Believed to represent heroic warriors, the statues are almost two metres high and are likely to have been part of a sculptural group. The bronzes, which underwent extensive restoration in Florence between 1975 and 1980, today are the star attraction at the Archaeological Museum of Reggio Calabria. Share Comment on this story Comment Chinese regulators have sought for years to get to grips with the $2.9 trillion trust industry, a corner of the countrys shadow banking sector that offers bigger returns than regular bank deposits but can be fraught with risk. Their fears were underlined in August, when trust companies linked to financial giant Zhongzhi Enterprise Group Co. missed dozens of payments on several high-yield investment products. The revelation comes at a sensitive time, with investors alert for signs that a weakening economy and troubles in the property industry are spreading deeper into the financial sector. 1. What are these trust companies? They are loosely regulated firms that pool household savings to offer loans and invest in real estate, stocks, bonds and commodities. No other Chinese financial companies operate across all of these asset classes. The sector was once seen as a safe place for wealthy Chinese to park their money for hefty returns. But trust firms have defaulted on billions of dollars of investment products in recent years and the industry has shrunk by about 20% from its peak in 2017, when regulators began clamping down on the nations shadow-banking excesses. Advertisement 2. What is Zhongzhi? Zhongzhi is a shadow banking giant, with interests in trust companies, wealth management and private equity. The Beijing-based firm was founded in 1995 by Xie Zhikun, who built the firm into a sprawling empire. Xie died of a heart attack in 2021, just as Covid-19 and pandemic lockdowns slowed Chinas economy and increased volatility in its capital markets. Today it manages about 1 trillion yuan ($138 billion) in assets. One of its most important investments is a 33% stake in Zhongrong Trust, which has 270 products totaling 39.5 billion yuan coming due this year, according to Use Trust data. The average yield on those products amounted to 6.88%, compared with the benchmark 1.5% one-year deposit rate paid by banks. 3. Whats gone wrong at Zhongzhi and Zhongrong? Three firms said on Aug. 11 that they had failed to receive payments on products issued by companies linked to Zhongzhi, including Zhongrong. Wang Qiang, board secretary of Zhongrong, later told investors that the firm had missed payments on a batch of products on Aug. 8, adding to delays on at least 10 others since late July, according to people familiar with the matter. At least 30 products are now overdue and Zhongrong also halted redemptions on some short-term instruments, one of the people said. In one unverified letter circulated on social media, a wealth manager at Zhongzhi apologized to his clients, saying the groups wealth arms have decided to delay payments on all products since mid-July. The incident involves more than 150,000 investors with outstanding investments totaling 230 billion yuan, according to the letter. Advertisement 4. Whats the significance of all this? Share this article Share The troubles at Zhongzhi feed perceptions that poorly regulated parts of Chinas banking industry may be ill-equipped to cope with a weakening economy and the problems in real estate. The risk is that an upset in one area of the finance sector quickly cascades and cause a broader crisis of confidence. Many trust products are backed by property projects run by struggling developers such as China Evergrande Group, and some have defaulted as a result of the real estate crisis. Real estate accounted for 11% of Zhongrongs 629 billion yuan of trust assets under management, according to its annual report. Last year, it was among firms that bought stakes in at least 10 property projects, betting that unfinished homes will eventually yield cash to pay off some of the $230 billion in property-backed funds they have issued to investors. The hoped-for real estate market rebound has so far failed to materialize. 5. What is the government doing about it? Advertisement Chinas banking regulator, the National Financial Regulatory Administration, set up a task force to gauge the outstanding debt and risks at Zhongrong, according to people familiar with the matter. The regulator required Zhongrong to report its plans for future payments and available assets that can be disposed of to deal with the liquidity crunch, the people said. 6. Why does it matter to China? President Xi Jinpings government is under pressure to shore up confidence in the economy. Investors have been alarmed by the countrys slow pace of recovery from Covid-related restrictions and persistent weakness in its giant real estate sector. Loans extended by Chinese banks fell to the lowest level since 2009 in July, in a sign of waning demand from businesses and consumers. More stories like this are available on bloomberg.com 2023 Bloomberg L.P. She said she had wanted to deepen Germany and Australias collaborations in support of Ukraine, dealing with China and reducing their trade dependencies during her visit. Embarrassing, embarrassing, wrote German journalist Patrick Deikmann, who was travelling with the minister. Baerbock was flying on an A340 more than 20 years old. Credit: Executive Transport Wing (German Air Force) Wikicommons He said the plane had unsuccessfully attempted to take off from Abu Dhabi three times before the trip was canned. The Wall Street Journals Bojan Pancevski said the trip was designed to showcase Berlins major policy shift in terms of containing China and engagement in the Indo-Pacific. It was planned for nearly a year, he said. It was designed to demonstrate a new resolve. All of that foiled by a faulty plane. Berlin-based Thorsten Benner from the Global Public Policy Institute was also in the travelling party. All those who experienced the German FM during the trip got a clear message: this was a trip that was very important for her and close to her heart, he said. Loading To say thank you to Australia and New Zealand for their support for Ukraine, and to signal Germanys commitment to peace and stability in the Indo-Pacific, and to cooperate with Australia and also Pacific Island states on key issues such as dealing with China, critical resources, climate and the energy transition. Baerbock tried everything to make the trip happen ... but the stars werent aligned in the end. However embarrassing the disaster with the government plane is for Germany, it will not change Baerbocks personal and her governments deepening cooperation with the Indo-Pacific region, and Australia in particular. Baerbock was flying on an A340 that is more than 20 years old, taken over from Deutsche Lufthansa more than a decade ago and refurbished for VIP use. It was the same aircraft that caused embarrassment for Germany in 2018 when former chancellor Angela Merkel was forced back to Cologne, and delayed from arriving on time for the G20 in Buenos Aires. In 2019, former defence minister and current EU Commission President Ursula von der Leyen ordered a trio of A350s following a spate of incidents like the one involving Merkel that left German government figures stranded and embarrassed. Baerbock said it was logistically impossible to continue her trip. Credit: AP The Germans have two A350s in service. It was not clear why Baerbock was assigned an older plane for the long-haul trip. The countrys Air Force, the Luftwaffe, said it would be immediately retiring the two A340s. The A350s provide the Air Force with robust and modern aircraft for long-haul operations, it said. Loading We will take the two A340s out of service as soon as possible, ie in the coming weeks ahead of schedule. The two Airbus A340s were originally scheduled to be retired in September 2023 and at the end of 2024. Department of Foreign Affairs and Trade spokesperson said: Germany is an important strategic partner and close friend of Australia, and while we share Minister Baerbocks disappointment that she will not be able to visit this week, we look forward to welcoming her to Australia in the future. One Scotland-based German academic warned against reading too deeply into Baerbocks travel difficulties. Professor for Contemporary European History at the University of Stirling Holger Nehring said Germanys reputation would ultimately be determined by its policy action, rather than bad luck. Some might be tempted to read symbolic importance into the extremely bad luck Foreign Minister Baerbock experienced with her flights to Australia, Nehring told this masthead. But I am not sure this matters much for Germanys reputation: The important issue here will be how Germanys China strategy develops in practice and a cancelled visit doesnt change that. Allentown, PA (18103) Today Partly sunny, very warm, and more humid; spotty late shower or t-storm possible, especially north, however most remain dry.. Tonight Partly to mostly cloudy. There might be a stray early shower or t-storm but most should stay dry. It will turn less humid overnight. BEIRUT (AP) An offshore drilling rig arrived at its destination in the Mediterranean Sea off Lebanons coast and will start operations in the coming weeks to search for gas, cabinet ministers said Wednesday. The rig is expected to begin drilling this month in Lebanese waters near the border with Israel after the two countries reached a deal last year on their maritime border. Lebanon and Israel have formally been at war since Israels creation in 1948. Cash-strapped Lebanon hopes that future gas discoveries will help the small Mideast nation pull itself out of the worst economic and financial crisis in its modern history. Caretaker Minister of Transport Ali Hamie wrote on X, the platform formerly known as Twitter, that the rig arrived Wednesday morning at the location where it is scheduled to begin work. The rig faces the southern port city of Tyre. We hope that Lebanon will become an oil state, Lebanons Energy Minister Walid Fayyad told reporters in Beirut, adding that the results of the drilling are expected in two or three months. TotalEnergies said in a statement that the rig, Transocean Barents, is now at around 120 kilometers (75 miles) off the coast of Beirut, and the first helicopter that will transport teams to and from the rig is at Beirut's Rafik Hariri International Airport. The arrival of the equipment marks an important step in the preparation of the drilling of the exploration well" this month, TotalEnergies said. In 2017, Lebanon approved licenses for an international consortium including Frances TotalEnergies, Italys ENI and Russias Novatek to move forward with offshore oil and gas development for two of 10 blocks in the Mediterranean. The borders of one of the two blocks were disputed by neighboring Israel until the maritime border deal was reached last year. In January, Lebanon, ENI, TotalEnergies and state-owned oil and gas company Qatar Energy signed an agreement in which the Qatari firm replaced Novatek. Under the deal, Qatar Energy will take Novatek's 20% stake in addition to 5% each from ENI and TotalEnergies, leaving the Arab company with a total stake of 30%. Total and ENI will each have 35% stakes. Under the U.S.-mediated deal between Lebanon and Israel that was signed in October, the disputed waters would be divided along a line straddling the Qana natural gas field in the Mediterranean. Gas production would be based on the Lebanese side, but Israel would be compensated for gas extracted from its side of the line under a separately signed deal between TotalEnergies and Israel. AUSTIN, Texas (AP) A federal judge in Texas who put access to the abortion pill misefpristone in limbo earlier this year presided Tuesday over another potentially groundbreaking case: a state lawsuit seeking to force Planned Parenthood pay back millions of dollars it received through Medicaid. U.S. District Judge Matthew Kacsmaryk did not immediately rule following a hearing in the Texas Panhandle city of Amarillo, where Planned Parenthood has no clinics. In a brief call with reporters after the hearing, Planned Parenthood representatives said they urged the judge to reject the state's attempt to recoup years of Medicaid reimbursements and order steep fines that the group says could exceed more than $1 billion. The Texas attorney generals office, which filed the lawsuit in 2022, did not respond to messages left Monday, or an additional one seeking comment on Tuesday evening. The lawsuit is believed to be the first of its kind in the U.S. brought against Planned Parenthood, which over the past decade has repeatedly gone to court in America's biggest red state as lawmakers there have withdrawn funding and imposed restrictions on clinic operations. But in that time, the state had not previously taken the organization to court over money. This really is a meritless case that the judge should not let proceed, Susan Manning, general counsel for Planned Parenthood Federation of America, told reporters following the hearing Tuesday. She said Kacsmaryk gave no indication on when he might rule. The case now before Kacsmaryk does not surround abortion, which has been mostly banned in Texas since the U.S. Supreme Court overturned Roe v. Wade last year. But Planned Parenthood argues the attempt to recoup at least $17 million in Medicaid payments for health services, including cancer screenings, is a new Republican-led effort to weaken the organization after years of legislation and litigation that has shrunk the state's footprint in Texas. At issue is money Planned Parenthood received for health services before Texas removed the organization from the state's Medicaid program in 2021. Texas had begun trying to oust Planned Parenthood four years earlier and is seeking repayment for services billed during that time. Texas brought the lawsuit under the federal False Claims Act, which allows fines for every alleged improper payment. Planned Parenthood says that could result in a judgement in excess of $1 billion. The lawsuit was announced last year by Republican Texas Attorney General Ken Paxton, who is now temporarily suspended from office pending the outcome of his impeachment trial next month over accusations of bribery and abuse of office. Last year, Paxton said it was unthinkable that Planned Parenthood would continue to take advantage of funding knowing they were not entitled to keep it. Jacob Elberg, a former federal prosecutor who specialized in health care fraud, described Texas' argument as weak. He called the False Claims Act the government's most powerful tool against health fraud. Cases involving the law in recent years have included a health records company in Florida and a Montana health clinic that submitted false asbestos claims. Elberg said it is hard to understand how Planned Parenthood, the nation's largest abortion provider, was knowingly filing false claims at a time when it was in court fighting to stay in the program and Texas was still paying the reimbursements. This just isnt what the False Claims Act is supposed to be about, said Elberg, faculty director at Seton Hall Law School's Center for Health & Pharmaceutical Law. Planned Parenthood has roughly three dozen health clinics in Texas. One has closed since the Supreme Court ruling last year that allowed Texas to ban abortion. ___ This story corrects the wording about Texas law to reflect that most abortions are banned, but not all. EARL TOWNSHIP, Pa. - Camp Cadet is currently underway out at Camp Manatawny in Earl Township. It's a law enforcement-based camp for boys and girls that helps teach life skills and showcases different areas of police work. "You build very good friendships that could last forever," said Jr. Counselor at Camp Cadet, Danny Trate. Last year, Trate had a totally different experience. "Last year, I had no authority here. Last year, I was the one getting screamed at. Last year, I had to learn everything, I had to learn the call of honor," said Trate. This year he is helping other cadets succeed. "I love seeing kids just improve their attitude and just improve as a person overall," Trate said. "I think it's just an opportunity for these kids to push themselves out of their comfort zone because it's not easy," said Trooper David Beohm, who heads up Camp Cadet for Berks, Lebanon and Schuylkill counties. Beohm said this year, the number of attendees is down quite a bit. He hopes that isn't a trend, because the camp is advantageous to young people in that it exposes them to things they wouldn't normally experience, and it helps build character and discipline. "We offer almost anything that you can think police-related," explained Beohm. "Whether it's the crime scene investigator, drug recognition expert, motorcycle police, our patrol unit, the FBI, Secret Service, they all come here." Beohm will be retiring and handing the reigns to another. He says he hopes the camp can continue to see success in the future because it really can impact young people. "I almost think we could change kids' lives, some of these kids here," said Beohm. The American Red Cross says right now it has more than 270 volunteers in Maui. Susan West, of Kutztown, is one of them. West said she feels like a detective right now. "Basically, track down missing help, track down missing persons," she said of the work she's doing. West is part of the American Red Cross reunification team. She arrived in Honolulu on Friday for training and made it to Maui on Sunday. "We go and make calls to the shelters, we check the hospitals, and then we're getting ready to go out in the field and actually visit the shelters and look for people," she said. West says the calls are coming in by the thousands. "Most of them, they're coming from locals, and they're also coming from people who are on the mainland and they have relatives who live here," she said. In most cases, people are being reconnected, she said, especially now that cell service is picking back up. Then, there are the calls where she fears for the worst. "There's other more difficult cases where people call us, and they simply cannot find their loved ones, and this is in the burn zone, where everything was destroyed," West said. She said volunteers have no information to share in those cases, and those calls are excruciating. There a number of things that are keeping her spirits up, though. "I can say that Hawaiians are beautiful people, and they're taking everything in stride," she said. Then, there's the reason she's been a Red Cross volunteer for years. "I feel like what I'm doing is meaningful," West said. She will be in Maui for just over two weeks, and then a new team comes in. The Red Cross will be providing financial support and mental health support for survivors. If you would like to learn more on how you can help, visit the American Red Cross website. READING, Pa. Penn State Health announced Wednesday it has signed an agreement to sell its downtown Reading properties. The Penn State Health St. Joseph downtown properties, located at 640 Walnut Street and 145 N. 6th Street, will be sold to Shuman Development Group. Following the sale, which is expected to close in October, Penn State Health will become a tenant in those buildings. Officials say none of the practices or services offered at the St. Joseph Downtown Campus will be affected by the sale. As we celebrate the 150th anniversary of St. Joseph, we remain committed to providing the residents of downtown Reading with excellent, compassionate, culturally responsive and equitable care, said Joseph Frank, hospital president for Penn State Health East Region. Selling our downtown properties lets us get out of commercial property management so we can focus fully on meeting the diverse health care needs of our patients. Penn State Health providers and practices currently at the 6th Street location include Penn State Health Family and Community Medicine. A laboratory and imaging services and a fruit and vegetable prescription program (Veggie Rx) are also offered there. The Walnut Street location houses Penn State Healths diabetes management program and physical therapy. Haven Behavioral Hospital of Eastern Pennsylvania is housed on the third floor of the North 6th Street property. The hospital will sign a long-term lease to remain at that location. Penn State Health and Haven Behavioral Hospital will continue to provide the critically important health care services our community needs and deserves," said Alan Shuman, president of Shuman Development Group. "And my team will infuse upgrades and other elements to the buildings, contributing to the revitalization of the downtown area. READING, Pa - For small business owners, there are always improvements that can be made to bring in more customers. "I gotta do the front, said Russos Pizza owner Carlos Salguero. Clean everything in the front. I gotta do the floors and whatever has to be done. We have to make it nice for the customers. Especially now with the college here." Russo's Pizza is a longtime Penn Street staple and wants to make sure it's ready for the future. "Oh yeah, we definitely need the help. The business is slow right now, especially in the summertime. but I hope everything goes well, Salguero said. Salguero says he's putting together the info he needs to apply for the small business grant money through the American Rescue Plan that Reading Mayor Eddie Moran officially announced at a news conference Wednesday. It's very nice. It's gonna make the city nice and help us to remodel, Salguero said. The program opened August 1st, offering up to $50,000 for businesses on Penn Street, or those that plan to relocate, and funds for other businesses across the city. "To date we have received over 20 applications and that's been in just 16 days, Mayor Moran said. Overall, it's a significant amount of money to spread across the city. We have a plan to distribute two million dollars in American Rescue funding to support small businesses here in Reading, Pennsylvania, said Moran. BETHLEHEM, Pa. Bethlehem City Council's meeting Tuesday resulted in approving a $10,000 budget transfer to hire temporary staff while the city submits its UNESCO World Heritage Site application. Although approved, the action was highly debated regarding the necessity and which city department would handle such an application. According to city documents, the administration requires additional support as the World Heritage Site application reaches its final phases. The funds are intended to provide short-term help to the administration. The temporary position would entail 15 hours per week for 17 weeks to "complete the existing responsibilities of the Mayor's office" through February 2024. The mayor's executive assistant Stephanie Smith Augello is "dedicating much of her time to the initiative," the documents state, and needs assistance. Councilmember Grace Crampsie Smith questioned the appropriateness of the mayor's office in managing the initiative, pointing to other departments that may be able to share the load. "Im just trying to look at the best way to be efficient in the administration," said Crampsie Smith. She said she would not vote to block the measure because she supports working towards obtaining World Heritage Site status. "At the same timeI have a concern," she said. "I think that the administration has to look at what the responsibilities and roles are within the department so that expenditures aren't being earmarked for things they don't need to be earmarked for." Drawing from her experience working in human resources for her "day job," Councilmember Hillary Kwiatek followed Crampsie Smith's comments. "This is something that organizations do when they find they have a surge in need," Kwiatek said. "It makes a lot of sense from an economic standpoint." "[It] seems to be micromanaging it to have a big long conversation and to impugn the work ethic or the management of certain people in the administration in the meantime," she added. The monies would come from savings on a position within the the city's Community and Economic Development department. Pennsylvania Avenue problems Several residents voiced concerns over traffic and safety issues on Pennsylvania Avenue. Residents said that drivers treat the roadway as if it were designated for two lanes of traffic on each side and often pass illegally. "I'm not on Twitter, but I do have followers whenever I drive on Pennsylvania Avenue," quipped city resident Bill Shire. Bruce Stevenson and his wife, Patience Stevenson, also of Pennsylvania Avenue, said they have had three cars totaled while parked on the street. Maritza Figueroa said her mother and cousin have also lost their cars to damage obtained while parked along the avenue. "I love the community, I love where I livebut it's become a liability," Figueroa said. Jose Valencia, a Pennsylvania Avenue resident of two years, said in that short time he has seen four legally parked cars totaled and more than six accidents. "It's scary when you see teenagers riding their bicycles down that road," he said. Valencia also supported painting lines indicating parking and lanes of travel. Mercantile tax revenue In other budgetary news, council voted to accept $450,000 in additional revenue gained through the city's mercantile tax collection, thanks to Tri-State Financial Group. Officials said a portion of the revenue will go towards the financial group for the audits responsible for finding this additional revenue and more, as the audits are not complete. The remaining revenue $70,000 will go towards recruiting and training to help fill gaps within the administration. Police video, data Council also voted to approve a memorandum of understanding that would authorize an intergovernmental agreement between the Bethlehem Police Department and the Lehigh County District Attorney's Office, allowing the police to participate in Lehigh County's Fusus project. Fusus is a digital platform that allows video and data asset sharing among participating law enforcement agencies. The city joins 17 other organizations. According to Deputy Chief Scott Meixell, joining the network improves the "speed at which you can access the information," and can be very helpful in missing persons or dementia cases. Part of the program can entail civilian participation, but work still needs to be done in this regard, Meixell said. Environmental center day cares Lastly, council voted to approve on its second reading a zoning ordinance allowing for day care at a local nature education center. According to city documents, the ordinance adds provisions for environmental education centers, allowing accessory uses such as a day care center on the same lot as an existing lawful environmental education center. At its first reading and consequent passing, the vote was met with applause from the audience. N. WHITEHALL TWP., Pa. - A green, red, and yellow restored 1955 Plymouth locomotive now sits along the Ironton Rail Trail, next to the North Whitehall Township municipal building. The Dragon Cement machine traveled more than 2,000 miles to be five miles from where it started. "Long, and long two years and, finally finished his project. It's so gratifying. He came out a lot better than I expected," said Ironton Rail Trail Commissioner Ray Deutsch, who spearheaded fundraising for its restoration. Through fundraising they've raised $10,000 for the move. At one time, 12 cement plants lined the trail's 9.2 miles, including Northampton's Dragon Cement, the original home of the 20-ton engine. In October 2021, Grupo Cementos de Chihuahua, the Texas company that bought it after Dragon closed in the early 1980s, donated the then-blue and rusted machine to the rail trail. This summer, Ironton Auto Body worked to restore its luster. "The hardest part is fixing all the holes in it. And this was all done outside, as the building was too hot," owner Butch Kumernitsky said. Delayed several weeks by weather, the locomotive's final mile involved lifting electrical wires, digging out, and relying on man-made wooden tracks. This, after the flatbed got stuck in the soggy mulch just feet from its final station. However, giant pulleys guided the train home. Ironton Auto Body's Stan Stanek conducted the operation. "We have moved a lot of stuff but never a train. It was fun. It's a group effort. It's for the township. It's for the community. It's going to be here for a long time," he said. A long haul, well worth the journey. Ray Deutsch says Ironton Auto Body is doing the work at a big discount. He adds the locomotive's original display location in October of 2021 on Portland Street wasn't a good fit, as vandals damaged the engine. He says they plan to refurbish the cabin and add plexiglass to the windows. A man who made a career out of building pools will now be spending up to a decade in prison. He admitted Wednesday to defrauding his customers out of $1.5 million. Roger Kornfeind took advantage of many of his customers in 2020, when many pool-builders couldn't get materials to build due to supply chain issues. He told his customers he could get them their pool though, and they were eager to pay him for the work. Now, years later, most of them don't expect to see a pool or any of their money ever again. After more than two years of waiting for her pool, Darcie Wolf instead got to see the contractor she hired for $20,000 sentenced to five to 10 years of prison time. "We got absolutely nothing. Just promises and lies," said Wolf. Wolf is one of the 88 families in Berks County and the Lehigh Valley that paid Kornfeind for a pool through his company Hydro Dynamic Pools, but never got it. "We didn't figure out that things were really going south until obviously we didn't get the pool delivered in July as promised, and we kept following up every two weeks. That's all we were told, two weeks, two weeks," said Wolf. In court, the judge asked Kornfeind to explain where the $1.5 million went. He responded, saying "My accountant never mentioned to me that I was so deep in the hole... I'm the oldest pool guy in the Lehigh Valley, I should have known... I broke their dreams, I broke their trust, and I'm so deeply sorry." Wolf said she has a better explanation. "He bragged about vacations to Europe every year when we sat with him, so on the outside, he looked like a guy who was doing really well. Hindsight," said Wolf. Deputy District Attorney Robert Duminiak said Kornfeind will likely be paying that money back for the rest of his life. "This restitution order will hang on him and it will be, at least prevent him personally from going out and trying to purchase anything or the like. Everyone will know that this order is there, and he will have to chip away at this indefinitely," said Duminiak. Duminiak also hopes the case is a warning to any other business owners thinking of pulling a similar scam. "If you take somebody's money and you don't deliver and you don't work with them to get the ultimate result, then you will be prosecuted in this county and other counties around the Commonwealth," said Duminiak. Wolf said she and many of the other victims don't ever expect to see their money again. "I'll take it with a grain of salt that he has any accountability," said Wolf. "I mean restitution's a joke so, he has nothing." The judge said if Kornfeind doesn't want to serve the full 10 years, he has to show that he's making some effort to pay back victims like Wolf while he's in prison. WILSON, Pa. - Skyline Development's plan for more than 400 apartments at the old Dixie Cup in Wilson Borough has one big thing going for it: it is not a warehouse. Northampton County Executive Lamont G. McClure said Wednesday he will consider the plan, which could be eligible for a break known as a TIF: Tax Incremental Financing. That Pennsylvania program promotes development at blighted sites. The old cup factory on South 24th Street in Wilson Borough, mostly vacant for decades and with thousands of broken windows, is a visual definition of blight. In return for any break, McClure wants to see a benefit for lower-income residents. "We need to do everything we can to get Dixie Cup redeveloped," McClure said. "If it takes a TIF to do that, I am willing to consider it, if we generate enough money for our affordable housing program." Skyline presented its plan to the Wilson Area School District on Monday. A previous plan to convert Dixie Cup into a "last mile" logistics center failed after McClure and Northampton County Council opposed giving the developer a tax break. "No more tax breaks for warehouses," McClure has said many times. "We have enough warehouses." The plan for residences off 25th Street is substantial, but McClure pointed out a big difference between it and the warehouse proposal: "This traffic would not add to our diesel-truck traffic." Last week, McClure and Lehigh County Executive Phillips Armstrong promoted a plan to measure air quality in the region. The data gathered could be used to shape policy on industry and transportation. McClure and others say diesel fumes from trucks are part of the problem. Easton Mayor Sal Panto said Wednesday that if the plan proceeds, the developer should by taking down the old paper mill first, instead of starting by building 1- and 2-bedroom apartments on the acreage of open space. Panto said that would be exploiting "low-hanging fruit" around the industrial building instead of making the removal of blight the priority. Panto, who was attending a Lehigh Valley Economic Development Corp. meeting in Bethlehem, said the current plan will not put too much stress on public schools. "The Wilson Area School District is fairly small, and these size apartments will not add a lot of children," Panto said. L. SAUCON TWP., Pa. - The legal battle over the possible expansion of the Bethlehem Landfill continues. The Lower Saucon Township Council is considering a plan to rezone about 275 acres of forested land and allow a controversial proposed expansion of the landfill. A civil lawsuit filed by several Lower Saucon residents argues that rezoning the landfill would violate the Donated and Dedicated Property Act. And the Hellertown Borough Council voted unanimously Tuesday to authorize legal action against the township if its council votes to approve the rezoning. Accused killer and Poconos native Bryan Kohberger is scheduled to appear in a courtroom in Idaho later this week. Friday's pre-trial hearing will deal with several motions, including a defense motion to vacate his indictment. A judge ruled Tuesday that the victim's families, and Kohberger's family, can watch Friday's hearing remotely if they can't make it in person. Kohberger faces four counts of first-degree murder, accused of killing four University of Idaho students last November. Police arrested him at his parents' home in Monroe County in December. POCONO TWP., Pa. - Pennsylvania State Police helped Unites States Marshals apprehend a suspect in a York County triple shooting. The arrest was made in Monroe County Tuesday morning. Kenell Chisholm, 34, of York, was wanted by the York City Police Department for attempted homicide, according to a news release from state police. Authorities found and apprehended Chisholm without incident on the 400 block of Scotrun Avenue in Pocono Township, state police said. State police said troopers were able to seize a firearm, ammunition/accessories, and narcotics during the subsequent investigation. POTTSVILLE, Pa. - The Pottsville Police Department continue their search for missing 27-year-old Zachary Vidal. Vidal is believed to be homeless and has both extensive mental health and drug and alcohol issues. He has not been in contact with family since November of 2022. Vidal's last known address was in Pottsville, Schuylkill County. He is know to frequently walk near the Pottsville Free Public Library and the Wheel on Market and Centre Streets. He is 5'10" with brown short to medium length hair and weighs about 150-170 pounds. Police say Vidal could possibly be in the Schuylkill Haven area as well as Sharp Mountain. Anyone with information on Vidal's whereabouts is asked to contact Pottsville Police at (570) 622-1234 extension 1333 or anonymously contact the Pennsylvania Crime Stoppers Toll Free at 1-800-4PA-TIPS (8477) or online at https://www.p3tips.com/tipform.aspx?ID=107 FRANKLIN TWP., N.J. - A man is accused of sexually assaulting a child in New Jersey. Michael Eaton is charged with aggravated sexual assault, sexual assault, and endangering the welfare of a child, according to a news release from the Warren County Prosecutor's Office. The prosecutor's office said Eaton assaulted the child in Franklin Township two times between 2019 and 2021, while the child was between five and six years old. Eaton is at the Zanesville County Jail in Ohio pending extradition to New Jersey, the prosecutor's office said. The prosecutor's office says the aggravated sexual assault charge carries a maximum of life imprisonment, with a minimum of 25 years in New Jersey State Prison. The other two charges each carry a maximum of 10 years in New Jersey State Prison, with a minimum of five years. KYIV, Ukraine (AP) Russia resumed its targeting of grain infrastructure in Ukraines southern Odesa region, local officials said Wednesday, using drones in overnight strikes on storage facilities and ports along the Danube River that Kyiv has increasingly used for grain transport to Europe after Moscow broke off a key wartime export deal through the Black Sea. At the same time, a loaded container ship stuck at the port of Odesa since Russias full-scale invasion more than 17 months ago set sail and was heading through the Black Sea to the Bosporus along a temporary corridor established by Ukraine for merchant shipping. Ukraines economy, crunched by the war, is heavily dependent on farming. Its agricultural exports, like those of Russia, are also crucial for world supplies of wheat, barley, sunflower oil and other food that developing nations rely on. After the Kremlin tore up a month ago an agreement brokered last summer by the U.N. and Turkey to ensure safe Ukraine grain exports through the Black Sea, Kyiv has sought to reroute transport through the Danube and road and rail links into Europe. But transport costs that way are much higher, some European countries have balked at the consequences for local grain prices, and the Danube ports cant handle the same volume as seaports. Odesa Gov. Oleh Kiper said the primary targets of Russias overnight drone bombardment were port terminals and grain silos, including at the ports in the Danube delta. Air defenses managed to intercept 13 drones, according to Kiper. It was the latest attack amid weeks of aerial strikes as Russia has targeted the Danube delta ports, which are only about 15 kilometers (10 miles) from the Romanian border. The Danube is Europes second-longest river and a key transport route. Meanwhile, the container ship departing Odesa was the first vessel to set sail since July 16, according to Oleksandr Kubrakov, Ukraines deputy prime minister. It had been stuck in Odesa since February 2022. The Hong Kong-flagged Joseph Schulte was traveling down a temporary corridor that Ukraine asked the International Maritime Organization to ratify. The United States has warned that the Russian military is preparing for possible attacks on civilian shipping vessels in the Black Sea. Sea mines also make the voyage risky, and ship insurance costs are likely to be high for operators. Ukraine told the IMO it would would provide guarantees of compensation for damage. Last Sunday, a Russian warship fired warning shots at a Palau-flagged cargo ship in the south Black Sea. According to Russias Defense Ministry, the Sukru Okan was heading northwards to the Ukrainian Danube River port of Izmail. Ship-tracking data analyzed by The Associated Press confirmed that the Joseph Schulte was steaming south. The Joseph Schulte is carrying more than 30,000 tons of cargo, with 2,114 containers, including food products, according to Kubrakov. He said the corridor will be primarily used to evacuate ships stuck in the Ukrainian ports of Chornomorsk, Odesa and Pivdennyi since the outbreak of war. On the wars front line, Ukrainian officials claimed another milestone in Kyivs grinding counteroffensive, with Deputy Defense Minister Hanna Maliar saying troops have retaken a village in the eastern Donetsk region. The village of Urozhaine is near Staromaiorske, a hamlet that Ukraine also claimed to have recaptured recently. The claims could not be independently verified. Ukraine appears to be trying to drive a wedge between Russian forces in the south, but it is up against strong defensive lines and is advancing without air support. Also Wednesday, the Russian military said it shot down three drones over the Kaluga region southwest of Moscow and blamed the attack on Ukraine. No damage or casualties were reported. Jon Gambrell in Dubai, United Arab Emirates contributed. Follow APs coverage of the war in Ukraine at https://apnews.com/hub/russia-ukraine Antero Midstream Co. (NYSE:AM Get Free Report) was the recipient of a large increase in short interest during the month of July. As of July 31st, there was short interest totalling 10,680,000 shares, an increase of 5.3% from the July 15th total of 10,140,000 shares. Based on an average daily trading volume, of 2,250,000 shares, the short-interest ratio is currently 4.7 days. Approximately 3.3% of the companys shares are short sold. Insiders Place Their Bets In other Antero Midstream news, Director Brooks J. Klimley sold 5,000 shares of the firms stock in a transaction dated Wednesday, August 9th. The stock was sold at an average price of $12.01, for a total value of $60,050.00. Following the sale, the director now directly owns 71,047 shares of the companys stock, valued at approximately $853,274.47. The sale was disclosed in a filing with the SEC, which can be accessed through this link. In other Antero Midstream news, Director Brooks J. Klimley sold 5,000 shares of the companys stock in a transaction dated Wednesday, August 9th. The stock was sold at an average price of $12.01, for a total transaction of $60,050.00. Following the completion of the sale, the director now directly owns 71,047 shares in the company, valued at $853,274.47. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is accessible through this hyperlink. Also, insider Sheri Pearce sold 16,600 shares of the companys stock in a transaction dated Wednesday, August 9th. The stock was sold at an average price of $12.05, for a total value of $200,030.00. Following the sale, the insider now owns 138,667 shares of the companys stock, valued at $1,670,937.35. The disclosure for this sale can be found here. In the last ninety days, insiders have sold 41,200 shares of company stock valued at $460,980. Insiders own 0.52% of the companys stock. Get Antero Midstream alerts: Hedge Funds Weigh In On Antero Midstream Several large investors have recently added to or reduced their stakes in AM. BlackRock Inc. raised its stake in Antero Midstream by 1.2% during the second quarter. BlackRock Inc. now owns 38,226,899 shares of the pipeline companys stock worth $443,432,000 after acquiring an additional 460,065 shares during the period. Vanguard Group Inc. raised its stake in Antero Midstream by 1.5% during the third quarter. Vanguard Group Inc. now owns 32,273,854 shares of the pipeline companys stock worth $296,274,000 after acquiring an additional 481,124 shares during the period. Dimensional Fund Advisors LP grew its stake in Antero Midstream by 0.7% during the 2nd quarter. Dimensional Fund Advisors LP now owns 10,590,071 shares of the pipeline companys stock worth $122,839,000 after buying an additional 77,160 shares during the last quarter. State Street Corp grew its stake in Antero Midstream by 1.3% during the 1st quarter. State Street Corp now owns 9,788,932 shares of the pipeline companys stock worth $102,686,000 after buying an additional 123,885 shares during the last quarter. Finally, Clearbridge Investments LLC grew its stake in Antero Midstream by 6.3% during the 2nd quarter. Clearbridge Investments LLC now owns 7,205,393 shares of the pipeline companys stock worth $83,583,000 after buying an additional 428,900 shares during the last quarter. 51.00% of the stock is currently owned by institutional investors. Antero Midstream Stock Down 1.3 % NYSE:AM traded down $0.15 during mid-day trading on Wednesday, reaching $11.68. The stock had a trading volume of 1,569,640 shares, compared to its average volume of 2,537,739. The company has a market capitalization of $5.60 billion, a price-to-earnings ratio of 16.90 and a beta of 2.36. Antero Midstream has a 1-year low of $8.80 and a 1-year high of $12.14. The stocks 50 day simple moving average is $11.51 and its two-hundred day simple moving average is $10.84. The company has a debt-to-equity ratio of 1.54, a current ratio of 0.97 and a quick ratio of 0.97. Antero Midstream (NYSE:AM Get Free Report) last posted its quarterly earnings results on Thursday, July 27th. The pipeline company reported $0.22 EPS for the quarter, topping analysts consensus estimates of $0.20 by $0.02. Antero Midstream had a return on equity of 18.13% and a net margin of 34.36%. The business had revenue of $258.29 million during the quarter, compared to the consensus estimate of $267.03 million. During the same period last year, the firm earned $0.20 earnings per share. The companys revenue for the quarter was up 12.8% compared to the same quarter last year. Equities analysts anticipate that Antero Midstream will post 0.8 EPS for the current year. Antero Midstream Announces Dividend The company also recently disclosed a quarterly dividend, which was paid on Wednesday, August 9th. Investors of record on Wednesday, July 26th were paid a dividend of $0.225 per share. This represents a $0.90 annualized dividend and a yield of 7.71%. The ex-dividend date of this dividend was Tuesday, July 25th. Antero Midstreams dividend payout ratio (DPR) is presently 128.57%. Analyst Ratings Changes A number of brokerages have commented on AM. UBS Group started coverage on Antero Midstream in a research note on Wednesday, May 10th. They set a buy rating and a $14.00 price objective on the stock. StockNews.com lowered shares of Antero Midstream from a buy rating to a hold rating in a research note on Tuesday, July 4th. Morgan Stanley lifted their target price on Antero Midstream from $12.00 to $13.00 and gave the stock an underweight rating in a research report on Thursday, July 20th. Capital One Financial restated an equal weight rating on shares of Antero Midstream in a research note on Thursday, April 20th. Finally, JPMorgan Chase & Co. cut their target price on Antero Midstream from $12.00 to $11.00 and set an underweight rating on the stock in a research report on Friday, July 21st. Three investment analysts have rated the stock with a sell rating, two have given a hold rating and one has issued a buy rating to the company. According to MarketBeat.com, the stock currently has an average rating of Hold and an average price target of $12.25. Check Out Our Latest Stock Analysis on Antero Midstream About Antero Midstream (Get Free Report) Antero Midstream Corporation owns, operates, and develops midstream energy infrastructure in the Appalachian Basin. It operates through Gathering and Processing, and Water Handling segments. The Gathering and Processing segment includes a network of gathering pipelines and compressor stations that collects and processes production from Antero Resources' wells in West Virginia and Ohio. Featured Articles Receive News & Ratings for Antero Midstream Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Antero Midstream and related companies with MarketBeat.com's FREE daily email newsletter. Awakn Life Sciences Corp. (OTCMKTS:AWKNF Get Free Report) was the recipient of a large increase in short interest during the month of July. As of July 31st, there was short interest totalling 27,200 shares, an increase of 8.4% from the July 15th total of 25,100 shares. Based on an average trading volume of 17,700 shares, the days-to-cover ratio is presently 1.5 days. Awakn Life Sciences Stock Performance Shares of OTCMKTS AWKNF traded down $0.01 during trading on Wednesday, reaching $0.18. 50,824 shares of the company traded hands, compared to its average volume of 23,340. The firm has a 50 day simple moving average of $0.18 and a 200-day simple moving average of $0.23. Awakn Life Sciences has a 52-week low of $0.09 and a 52-week high of $0.60. Get Awakn Life Sciences alerts: Awakn Life Sciences Company Profile (Get Free Report) Further Reading Awakn Life Sciences Corp., a biotechnology company, engages in the researching, developing, operations, and delivering of psychedelic therapeutics to treat addiction and other mental health conditions in the United Kingdom and Europe. The company has a collaboration agreement with the University of Exeter for exploring the use of ketamine-assisted therapy to treat Severe AUD. Receive News & Ratings for Awakn Life Sciences Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Awakn Life Sciences and related companies with MarketBeat.com's FREE daily email newsletter. Bessemer Group Inc. raised its position in shares of Chipotle Mexican Grill, Inc. (NYSE:CMG Free Report) by 1.0% in the 1st quarter, according to the company in its most recent disclosure with the SEC. The fund owned 114,117 shares of the restaurant operators stock after buying an additional 1,137 shares during the quarter. Bessemer Group Inc. owned about 0.41% of Chipotle Mexican Grill worth $194,944,000 at the end of the most recent reporting period. Several other hedge funds have also modified their holdings of CMG. Versant Capital Management Inc purchased a new position in shares of Chipotle Mexican Grill in the 1st quarter valued at approximately $26,000. Elequin Securities LLC purchased a new position in shares of Chipotle Mexican Grill in the 4th quarter valued at approximately $28,000. Heritage Wealth Management LLC raised its position in shares of Chipotle Mexican Grill by 100.0% in the 4th quarter. Heritage Wealth Management LLC now owns 20 shares of the restaurant operators stock valued at $28,000 after purchasing an additional 10 shares during the last quarter. Addison Advisors LLC raised its position in shares of Chipotle Mexican Grill by 350.0% in the 1st quarter. Addison Advisors LLC now owns 18 shares of the restaurant operators stock valued at $31,000 after purchasing an additional 14 shares during the last quarter. Finally, Benjamin Edwards Inc. raised its position in shares of Chipotle Mexican Grill by 90.0% in the 1st quarter. Benjamin Edwards Inc. now owns 19 shares of the restaurant operators stock valued at $32,000 after purchasing an additional 9 shares during the last quarter. 91.91% of the stock is owned by hedge funds and other institutional investors. Get Chipotle Mexican Grill alerts: Chipotle Mexican Grill Stock Performance Shares of CMG stock traded up $9.85 on Wednesday, hitting $1,858.01. 108,080 shares of the stock traded hands, compared to its average volume of 293,513. The firms 50-day moving average price is $2,025.41 and its two-hundred day moving average price is $1,863.52. The stock has a market capitalization of $51.26 billion, a PE ratio of 46.16, a PEG ratio of 1.59 and a beta of 1.31. Chipotle Mexican Grill, Inc. has a twelve month low of $1,344.05 and a twelve month high of $2,175.01. Analysts Set New Price Targets Chipotle Mexican Grill ( NYSE:CMG Get Free Report ) last announced its quarterly earnings results on Wednesday, July 26th. The restaurant operator reported $12.65 earnings per share for the quarter, beating the consensus estimate of $12.25 by $0.40. Chipotle Mexican Grill had a net margin of 12.00% and a return on equity of 45.85%. The firm had revenue of $2.51 billion for the quarter, compared to analysts expectations of $2.53 billion. During the same quarter in the previous year, the company posted $9.30 EPS. The companys revenue was up 13.6% compared to the same quarter last year. On average, equities research analysts anticipate that Chipotle Mexican Grill, Inc. will post 43.06 EPS for the current fiscal year. CMG has been the topic of several research reports. UBS Group increased their price target on shares of Chipotle Mexican Grill from $2,050.00 to $2,250.00 and gave the stock a buy rating in a report on Wednesday, April 26th. Bank of America increased their price target on shares of Chipotle Mexican Grill from $2,200.00 to $2,570.00 and gave the stock a buy rating in a report on Friday, July 21st. Guggenheim increased their price target on shares of Chipotle Mexican Grill from $1,550.00 to $1,950.00 and gave the stock a neutral rating in a report on Friday, July 14th. BMO Capital Markets dropped their price objective on shares of Chipotle Mexican Grill from $1,950.00 to $1,925.00 in a research note on Thursday, July 27th. Finally, Evercore ISI raised their price objective on shares of Chipotle Mexican Grill from $2,000.00 to $2,100.00 in a research note on Wednesday, April 26th. Eight equities research analysts have rated the stock with a hold rating and twenty have given a buy rating to the company. According to data from MarketBeat, the stock presently has an average rating of Moderate Buy and a consensus price target of $2,139.72. Get Our Latest Report on CMG Insider Buying and Selling at Chipotle Mexican Grill In other Chipotle Mexican Grill news, CEO Brian R. Niccol sold 1,086 shares of the companys stock in a transaction dated Monday, July 3rd. The shares were sold at an average price of $2,138.00, for a total value of $2,321,868.00. Following the transaction, the chief executive officer now owns 23,347 shares of the companys stock, valued at $49,915,886. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is available at this link. In other Chipotle Mexican Grill news, CEO Brian R. Niccol sold 1,086 shares of the businesss stock in a transaction dated Monday, July 3rd. The shares were sold at an average price of $2,138.00, for a total transaction of $2,321,868.00. Following the sale, the chief executive officer now directly owns 23,347 shares in the company, valued at $49,915,886. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which can be accessed through the SEC website. Also, Director Robin S. Hickenlooper sold 105 shares of the businesss stock in a transaction dated Friday, May 26th. The stock was sold at an average price of $2,073.08, for a total transaction of $217,673.40. Following the sale, the director now owns 853 shares in the company, valued at $1,768,337.24. The disclosure for this sale can be found here. Insiders have sold 3,332 shares of company stock valued at $6,830,885 over the last 90 days. 0.96% of the stock is owned by insiders. Chipotle Mexican Grill Profile (Free Report) Chipotle Mexican Grill, Inc engages in the development and operation of classically-cooked, real food with wholesome ingredients without artificial colors, flavors or preservatives. It offers a focused menu of burritos, tacos, burrito bowls, and salads prepared using classic cooking methods. The company was founded by Steve Ells in 1993 and is headquartered in Newport Beach, CA. Read More Receive News & Ratings for Chipotle Mexican Grill Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Chipotle Mexican Grill and related companies with MarketBeat.com's FREE daily email newsletter. Birinyi Associates Inc. cut its position in Chipotle Mexican Grill, Inc. (NYSE:CMG Free Report) by 33.8% in the 1st quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission. The fund owned 1,860 shares of the restaurant operators stock after selling 950 shares during the quarter. Chipotle Mexican Grill accounts for about 1.3% of Birinyi Associates Inc.s holdings, making the stock its 15th biggest holding. Birinyi Associates Inc.s holdings in Chipotle Mexican Grill were worth $3,177,000 at the end of the most recent reporting period. Several other large investors have also recently bought and sold shares of CMG. Panagora Asset Management Inc. increased its stake in shares of Chipotle Mexican Grill by 79.5% in the first quarter. Panagora Asset Management Inc. now owns 948 shares of the restaurant operators stock valued at $1,500,000 after buying an additional 420 shares during the period. Cibc World Market Inc. grew its stake in Chipotle Mexican Grill by 15.5% in the first quarter. Cibc World Market Inc. now owns 171 shares of the restaurant operators stock valued at $271,000 after acquiring an additional 23 shares during the period. Canada Pension Plan Investment Board grew its stake in Chipotle Mexican Grill by 7.3% in the first quarter. Canada Pension Plan Investment Board now owns 3,032 shares of the restaurant operators stock valued at $4,796,000 after acquiring an additional 205 shares during the period. Cetera Investment Advisers lifted its holdings in shares of Chipotle Mexican Grill by 6.1% in the first quarter. Cetera Investment Advisers now owns 777 shares of the restaurant operators stock valued at $1,229,000 after purchasing an additional 45 shares in the last quarter. Finally, Sequoia Financial Advisors LLC lifted its holdings in shares of Chipotle Mexican Grill by 38.9% in the first quarter. Sequoia Financial Advisors LLC now owns 218 shares of the restaurant operators stock valued at $345,000 after purchasing an additional 61 shares in the last quarter. 91.91% of the stock is currently owned by institutional investors. Get Chipotle Mexican Grill alerts: Chipotle Mexican Grill Trading Up 0.6 % Shares of NYSE CMG traded up $11.91 during mid-day trading on Wednesday, hitting $1,860.07. The company had a trading volume of 72,175 shares, compared to its average volume of 293,293. The company has a market capitalization of $51.32 billion, a price-to-earnings ratio of 46.16, a P/E/G ratio of 1.59 and a beta of 1.31. Chipotle Mexican Grill, Inc. has a 1 year low of $1,344.05 and a 1 year high of $2,175.01. The stocks 50-day moving average price is $2,025.41 and its 200-day moving average price is $1,863.52. Insider Buying and Selling Chipotle Mexican Grill ( NYSE:CMG Get Free Report ) last announced its quarterly earnings results on Wednesday, July 26th. The restaurant operator reported $12.65 earnings per share for the quarter, beating the consensus estimate of $12.25 by $0.40. The company had revenue of $2.51 billion during the quarter, compared to analysts expectations of $2.53 billion. Chipotle Mexican Grill had a return on equity of 45.85% and a net margin of 12.00%. The firms quarterly revenue was up 13.6% compared to the same quarter last year. During the same period in the previous year, the business earned $9.30 earnings per share. As a group, equities research analysts forecast that Chipotle Mexican Grill, Inc. will post 43.06 earnings per share for the current year. In related news, CEO Brian R. Niccol sold 1,086 shares of the businesss stock in a transaction dated Monday, July 3rd. The stock was sold at an average price of $2,138.00, for a total transaction of $2,321,868.00. Following the completion of the transaction, the chief executive officer now directly owns 23,347 shares in the company, valued at $49,915,886. The transaction was disclosed in a document filed with the SEC, which is available through this link. In related news, CEO Brian R. Niccol sold 1,063 shares of the businesss stock in a transaction dated Tuesday, August 1st. The stock was sold at an average price of $1,949.84, for a total transaction of $2,072,679.92. Following the completion of the transaction, the chief executive officer now directly owns 23,347 shares in the company, valued at $45,522,914.48. The transaction was disclosed in a document filed with the SEC, which is available through this link. Also, CEO Brian R. Niccol sold 1,086 shares of the businesss stock in a transaction dated Monday, July 3rd. The stock was sold at an average price of $2,138.00, for a total value of $2,321,868.00. Following the transaction, the chief executive officer now owns 23,347 shares of the companys stock, valued at $49,915,886. The disclosure for this sale can be found here. Insiders sold a total of 3,332 shares of company stock worth $6,830,885 over the last ninety days. 0.96% of the stock is owned by corporate insiders. Analysts Set New Price Targets CMG has been the topic of a number of recent research reports. BTIG Research raised their target price on Chipotle Mexican Grill from $1,825.00 to $2,175.00 and gave the company a buy rating in a report on Wednesday, April 26th. Raymond James raised their target price on Chipotle Mexican Grill from $2,100.00 to $2,300.00 in a report on Tuesday, June 20th. Morgan Stanley dropped their target price on Chipotle Mexican Grill from $2,025.00 to $2,010.00 in a report on Thursday, July 27th. Credit Suisse Group raised their target price on Chipotle Mexican Grill from $2,050.00 to $2,200.00 and gave the company an outperform rating in a report on Wednesday, April 26th. Finally, 888 reiterated a maintains rating on shares of Chipotle Mexican Grill in a report on Wednesday, April 26th. Eight research analysts have rated the stock with a hold rating and twenty have issued a buy rating to the companys stock. According to data from MarketBeat.com, Chipotle Mexican Grill presently has a consensus rating of Moderate Buy and a consensus price target of $2,139.72. Check Out Our Latest Stock Analysis on CMG Chipotle Mexican Grill Company Profile (Free Report) Chipotle Mexican Grill, Inc engages in the development and operation of classically-cooked, real food with wholesome ingredients without artificial colors, flavors or preservatives. It offers a focused menu of burritos, tacos, burrito bowls, and salads prepared using classic cooking methods. The company was founded by Steve Ells in 1993 and is headquartered in Newport Beach, CA. Recommended Stories Want to see what other hedge funds are holding CMG? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Chipotle Mexican Grill, Inc. (NYSE:CMG Free Report). Receive News & Ratings for Chipotle Mexican Grill Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Chipotle Mexican Grill and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com lowered shares of Cheniere Energy (NYSEAMERICAN:LNG Free Report) from a strong-buy rating to a buy rating in a report published on Saturday morning. LNG has been the subject of several other research reports. Mizuho lowered their price objective on Cheniere Energy from $200.00 to $187.00 in a research report on Thursday, June 22nd. Wells Fargo & Company decreased their target price on Cheniere Energy from $230.00 to $222.00 in a research report on Tuesday, August 8th. TheStreet upgraded Cheniere Energy from a c+ rating to a b rating in a research note on Wednesday, May 3rd. Finally, Raymond James cut their price objective on Cheniere Energy from $205.00 to $200.00 and set a strong-buy rating for the company in a research note on Friday, April 21st. Eleven analysts have rated the stock with a buy rating and one has assigned a strong buy rating to the companys stock. According to MarketBeat, the stock has an average rating of Buy and a consensus price target of $200.33. Get Cheniere Energy alerts: Read Our Latest Stock Report on LNG Cheniere Energy Trading Down 1.4 % Shares of LNG opened at $162.36 on Friday. The firms 50 day simple moving average is $152.38 and its 200 day simple moving average is $152.26. The company has a market capitalization of $39.07 billion, a P/E ratio of 4.78, a P/E/G ratio of 0.35 and a beta of 1.02. The company has a debt-to-equity ratio of 3.60, a current ratio of 1.33 and a quick ratio of 1.25. Cheniere Energy has a 1-year low of $135.00 and a 1-year high of $182.35. Cheniere Energy (NYSEAMERICAN:LNG Get Free Report) last announced its earnings results on Thursday, August 3rd. The energy company reported $5.61 earnings per share for the quarter, beating analysts consensus estimates of $2.81 by $2.80. Cheniere Energy had a net margin of 28.47% and a return on equity of 481.80%. The business had revenue of $4.10 billion for the quarter, compared to the consensus estimate of $4.25 billion. During the same period last year, the firm posted $2.90 earnings per share. Cheniere Energys quarterly revenue was down 48.8% on a year-over-year basis. Sell-side analysts forecast that Cheniere Energy will post 16.04 earnings per share for the current fiscal year. Cheniere Energy Dividend Announcement The business also recently disclosed a quarterly dividend, which will be paid on Wednesday, August 16th. Investors of record on Wednesday, August 9th will be given a dividend of $0.395 per share. The ex-dividend date is Tuesday, August 8th. This represents a $1.58 dividend on an annualized basis and a yield of 0.97%. Cheniere Energys payout ratio is 4.65%. Institutional Investors Weigh In On Cheniere Energy Institutional investors and hedge funds have recently added to or reduced their stakes in the business. Bornite Capital Management LP acquired a new stake in shares of Cheniere Energy in the first quarter valued at $13,865,000. MetLife Investment Management LLC acquired a new stake in shares of Cheniere Energy in the first quarter valued at $2,102,000. Yousif Capital Management LLC grew its stake in shares of Cheniere Energy by 7.3% in the first quarter. Yousif Capital Management LLC now owns 5,069 shares of the energy companys stock valued at $703,000 after buying an additional 345 shares in the last quarter. Raymond James Trust N.A. grew its stake in shares of Cheniere Energy by 95.0% in the first quarter. Raymond James Trust N.A. now owns 4,244 shares of the energy companys stock valued at $589,000 after buying an additional 2,068 shares in the last quarter. Finally, Cibc World Market Inc. grew its stake in Cheniere Energy by 22.8% during the 1st quarter. Cibc World Market Inc. now owns 4,287 shares of the energy companys stock worth $594,000 after purchasing an additional 797 shares in the last quarter. Hedge funds and other institutional investors own 83.36% of the companys stock. About Cheniere Energy (Get Free Report) Cheniere Energy, Inc, an energy infrastructure company, primarily engages in the liquefied natural gas (LNG) related businesses in the United States. It owns and operates the Sabine Pass LNG terminal in Cameron Parish, Louisiana; and the Corpus Christi LNG terminal near Corpus Christi, Texas. The company also owns Creole Trail pipeline, a 94-mile pipeline interconnecting the Sabine Pass LNG terminal with various interstate pipelines; and operates Corpus Christi pipeline, a 21.5-mile natural gas supply pipeline that interconnects the Corpus Christi LNG terminal with various interstate and intrastate natural gas pipelines. Featured Stories Receive News & Ratings for Cheniere Energy Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Cheniere Energy and related companies with MarketBeat.com's FREE daily email newsletter. CTT Correios De Portugal, S.A. (OTCMKTS:CTTPY Get Free Report)s share price reached a new 52-week high during trading on Monday . The company traded as high as $7.28 and last traded at $7.28, with a volume of 0 shares traded. The stock had previously closed at $7.28. CTT Correios De Portugal Stock Performance The firms fifty day moving average is $7.28 and its 200-day moving average is $7.19. About CTT Correios De Portugal (Get Free Report) CTT Correios De Portugal, SA, together with its subsidiaries, provides postal and financial services worldwide. It operates through Mail, Express & Parcels, Financial Services & Retail, and Bank segments. The company offers courier and urgent mail transport services; postal financial services; and banking services. See Also Receive News & Ratings for CTT - Correios De Portugal Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for CTT - Correios De Portugal and related companies with MarketBeat.com's FREE daily email newsletter. Deutsche Wohnen SE (OTCMKTS:DWHHF Get Free Report) saw a significant decline in short interest during the month of July. As of July 31st, there was short interest totalling 45,000 shares, a decline of 16.8% from the July 15th total of 54,100 shares. Based on an average trading volume of 0 shares, the days-to-cover ratio is currently days. Deutsche Wohnen Price Performance Shares of OTCMKTS:DWHHF remained flat at $21.11 during trading on Tuesday. The stock has a 50-day moving average of $21.11 and a 200 day moving average of $21.11. Deutsche Wohnen has a one year low of $18.42 and a one year high of $40.04. Get Deutsche Wohnen alerts: Deutsche Wohnen Company Profile (Get Free Report) Further Reading Deutsche Wohnen SE develops and manages residential properties in Germany. The company operates through Rental, Value-add, Recurring Sales, Development, and Care segment. The Rental segment offers value-enhancing management to residential real estate portfolio. The Value- add segment engages in the core business of letting. Receive News & Ratings for Deutsche Wohnen Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Deutsche Wohnen and related companies with MarketBeat.com's FREE daily email newsletter. Entrepreneur Universe Bright Group (OTCMKTS:EUBG Get Free Report)s stock price reached a new 52-week high during mid-day trading on Wednesday . The stock traded as high as $0.35 and last traded at $0.35, with a volume of 3746 shares. The stock had previously closed at $0.30. Entrepreneur Universe Bright Group Price Performance The companys 50-day moving average is $0.24 and its 200-day moving average is $0.22. About Entrepreneur Universe Bright Group (Get Free Report) Entrepreneur Universe Bright Group, through its subsidiaries, provides digital marketing consultation services in the Hong Kong and People's Republic of China. It offers consulting, sourcing, and marketing services. The company was formerly known as REE International, Inc and changed its name to Entrepreneur Universe Bright Group in April 2020. See Also Receive News & Ratings for Entrepreneur Universe Bright Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Entrepreneur Universe Bright Group and related companies with MarketBeat.com's FREE daily email newsletter. Exos TFP Holdings LLC raised its position in Live Oak Crestview Climate Acquisition Corp. (NYSE:LOCC Free Report) by 217.9% in the first quarter, according to its most recent disclosure with the SEC. The firm owned 396,878 shares of the companys stock after acquiring an additional 272,044 shares during the period. Live Oak Crestview Climate Acquisition makes up about 1.0% of Exos TFP Holdings LLCs holdings, making the stock its 20th largest position. Exos TFP Holdings LLC owned 1.54% of Live Oak Crestview Climate Acquisition worth $4,008,000 at the end of the most recent reporting period. Several other hedge funds and other institutional investors have also recently modified their holdings of LOCC. Elequin Securities LLC acquired a new stake in Live Oak Crestview Climate Acquisition in the 4th quarter valued at $491,000. Commonwealth of Pennsylvania Public School Empls Retrmt SYS lifted its stake in shares of Live Oak Crestview Climate Acquisition by 100.0% in the first quarter. Commonwealth of Pennsylvania Public School Empls Retrmt SYS now owns 100,000 shares of the companys stock valued at $1,010,000 after buying an additional 50,000 shares in the last quarter. Finally, Bank of Montreal Can acquired a new position in shares of Live Oak Crestview Climate Acquisition during the first quarter valued at about $2,901,000. Institutional investors own 70.84% of the companys stock. Get Live Oak Crestview Climate Acquisition alerts: Live Oak Crestview Climate Acquisition Price Performance Shares of NYSE:LOCC remained flat at $10.31 during midday trading on Wednesday. 422,562 shares of the stock traded hands, compared to its average volume of 60,056. Live Oak Crestview Climate Acquisition Corp. has a one year low of $9.63 and a one year high of $10.63. The businesss 50 day moving average price is $10.27 and its 200 day moving average price is $10.17. About Live Oak Crestview Climate Acquisition Live Oak Crestview Climate Acquisition Corp. intends to effect a merger, capital stock exchange, asset acquisition, stock purchase, reorganization, or similar business combination with one or more businesses. Live Oak Crestview Climate Acquisition Corp. was incorporated in 2021 and is based in Memphis, Tennessee. See Also Want to see what other hedge funds are holding LOCC? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Live Oak Crestview Climate Acquisition Corp. (NYSE:LOCC Free Report). Receive News & Ratings for Live Oak Crestview Climate Acquisition Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Live Oak Crestview Climate Acquisition and related companies with MarketBeat.com's FREE daily email newsletter. Great Lakes Advisors LLC grew its holdings in shares of Exxon Mobil Co. (NYSE:XOM Free Report) by 1,289.3% during the first quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission (SEC). The firm owned 1,969,165 shares of the oil and gas companys stock after purchasing an additional 1,827,430 shares during the period. Exxon Mobil comprises about 2.0% of Great Lakes Advisors LLCs portfolio, making the stock its biggest position. Great Lakes Advisors LLCs holdings in Exxon Mobil were worth $215,939,000 at the end of the most recent quarter. A number of other institutional investors and hedge funds also recently bought and sold shares of XOM. Jag Capital Management LLC grew its position in shares of Exxon Mobil by 18.8% during the first quarter. Jag Capital Management LLC now owns 6,048 shares of the oil and gas companys stock worth $663,000 after buying an additional 959 shares in the last quarter. Sepio Capital LP boosted its holdings in Exxon Mobil by 3.4% in the first quarter. Sepio Capital LP now owns 31,957 shares of the oil and gas companys stock valued at $3,504,000 after purchasing an additional 1,037 shares in the last quarter. Wescott Financial Advisory Group LLC boosted its holdings in Exxon Mobil by 63.6% in the first quarter. Wescott Financial Advisory Group LLC now owns 8,430 shares of the oil and gas companys stock valued at $924,000 after purchasing an additional 3,276 shares in the last quarter. Connor Clark & Lunn Investment Management Ltd. boosted its holdings in Exxon Mobil by 162.6% in the first quarter. Connor Clark & Lunn Investment Management Ltd. now owns 443,159 shares of the oil and gas companys stock valued at $48,597,000 after purchasing an additional 274,408 shares in the last quarter. Finally, 25 LLC acquired a new stake in shares of Exxon Mobil in the first quarter valued at approximately $154,000. 58.59% of the stock is currently owned by hedge funds and other institutional investors. Get Exxon Mobil alerts: Exxon Mobil Trading Down 0.0 % Shares of XOM stock opened at $108.12 on Wednesday. The firm has a market cap of $432.82 billion, a P/E ratio of 8.65, a PEG ratio of 0.59 and a beta of 1.09. Exxon Mobil Co. has a 1-year low of $83.89 and a 1-year high of $119.92. The company has a debt-to-equity ratio of 0.18, a current ratio of 1.48 and a quick ratio of 1.09. The firms 50-day simple moving average is $105.74 and its 200-day simple moving average is $108.71. Exxon Mobil Announces Dividend Exxon Mobil ( NYSE:XOM Get Free Report ) last announced its quarterly earnings data on Friday, July 28th. The oil and gas company reported $1.94 earnings per share for the quarter, missing the consensus estimate of $2.00 by ($0.06). The company had revenue of $82.91 billion for the quarter, compared to analysts expectations of $81.80 billion. Exxon Mobil had a return on equity of 25.82% and a net margin of 13.72%. The companys revenue was down 28.3% on a year-over-year basis. During the same quarter in the previous year, the company earned $4.14 earnings per share. As a group, equities analysts forecast that Exxon Mobil Co. will post 8.91 EPS for the current year. The firm also recently declared a quarterly dividend, which will be paid on Monday, September 11th. Investors of record on Wednesday, August 16th will be issued a $0.91 dividend. The ex-dividend date of this dividend is Tuesday, August 15th. This represents a $3.64 annualized dividend and a yield of 3.37%. Exxon Mobils payout ratio is 29.12%. Insider Activity at Exxon Mobil In other Exxon Mobil news, Director Jeffrey W. Ubben purchased 458,000 shares of the businesss stock in a transaction that occurred on Monday, July 31st. The shares were purchased at an average price of $106.93 per share, for a total transaction of $48,973,940.00. Following the completion of the acquisition, the director now owns 1,635,000 shares of the companys stock, valued at approximately $174,830,550. The purchase was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through this hyperlink. 0.04% of the stock is currently owned by insiders. Analyst Ratings Changes Several equities research analysts have recently weighed in on the company. Royal Bank of Canada reissued a sector perform rating and issued a $125.00 price objective on shares of Exxon Mobil in a report on Wednesday, July 19th. Morgan Stanley cut their price target on shares of Exxon Mobil from $122.00 to $121.00 and set an overweight rating for the company in a report on Tuesday, July 18th. HSBC raised their price objective on shares of Exxon Mobil from $110.00 to $116.00 in a research note on Wednesday, August 9th. The Goldman Sachs Group lowered shares of Exxon Mobil from a buy rating to a neutral rating and set a $125.00 target price on the stock. in a research report on Monday, May 1st. Finally, Piper Sandler reduced their price target on shares of Exxon Mobil from $145.00 to $127.00 in a research report on Tuesday, June 13th. One analyst has rated the stock with a sell rating, ten have given a hold rating and eleven have issued a buy rating to the stock. According to data from MarketBeat.com, the stock currently has an average rating of Hold and an average target price of $124.36. Get Our Latest Analysis on XOM Exxon Mobil Company Profile (Free Report) Exxon Mobil Corporation engages in the exploration and production of crude oil and natural gas in the United States and internationally. It operates through Upstream, Energy Products, Chemical Products, and Specialty Products segments. The Upstream segment explores for and produces crude oil and natural gas. Featured Stories Want to see what other hedge funds are holding XOM? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Exxon Mobil Co. (NYSE:XOM Free Report). Receive News & Ratings for Exxon Mobil Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Exxon Mobil and related companies with MarketBeat.com's FREE daily email newsletter. Fusion Capital LLC lessened its holdings in shares of Eli Lilly and Company (NYSE:LLY Free Report) by 5.1% during the first quarter, according to the company in its most recent Form 13F filing with the Securities and Exchange Commission. The fund owned 23,563 shares of the companys stock after selling 1,263 shares during the period. Eli Lilly and Company accounts for about 4.6% of Fusion Capital LLCs investment portfolio, making the stock its 4th biggest holding. Fusion Capital LLCs holdings in Eli Lilly and Company were worth $8,091,000 at the end of the most recent quarter. A number of other hedge funds also recently made changes to their positions in LLY. Banco Bilbao Vizcaya Argentaria S.A. grew its position in Eli Lilly and Company by 4.8% in the first quarter. Banco Bilbao Vizcaya Argentaria S.A. now owns 82,966 shares of the companys stock worth $28,449,000 after buying an additional 3,768 shares during the last quarter. Ausdal Financial Partners Inc. raised its stake in Eli Lilly and Company by 28.1% during the 4th quarter. Ausdal Financial Partners Inc. now owns 3,093 shares of the companys stock valued at $1,132,000 after purchasing an additional 679 shares during the period. Cannell & Co. raised its stake in Eli Lilly and Company by 1.5% during the 4th quarter. Cannell & Co. now owns 2,000 shares of the companys stock valued at $732,000 after purchasing an additional 30 shares during the period. Patten & Patten Inc. TN raised its stake in Eli Lilly and Company by 44.2% during the 1st quarter. Patten & Patten Inc. TN now owns 17,177 shares of the companys stock valued at $5,899,000 after purchasing an additional 5,266 shares during the period. Finally, Clal Insurance Enterprises Holdings Ltd acquired a new stake in Eli Lilly and Company during the 1st quarter valued at $859,000. Institutional investors own 87.25% of the companys stock. Get Eli Lilly and Company alerts: Wall Street Analyst Weigh In Several analysts have issued reports on LLY shares. Truist Financial boosted their target price on shares of Eli Lilly and Company from $525.00 to $600.00 and gave the company a buy rating in a research note on Wednesday, August 9th. Citigroup upped their price target on shares of Eli Lilly and Company from $360.00 to $525.00 and gave the stock a buy rating in a research note on Wednesday, July 26th. UBS Group upped their price target on shares of Eli Lilly and Company from $447.00 to $498.00 and gave the stock a buy rating in a research note on Wednesday, May 24th. JPMorgan Chase & Co. upped their price target on shares of Eli Lilly and Company from $510.00 to $600.00 and gave the stock an overweight rating in a research note on Wednesday, August 9th. Finally, Bank of America upped their price target on shares of Eli Lilly and Company from $500.00 to $600.00 in a research note on Wednesday, August 9th. One research analyst has rated the stock with a sell rating, one has given a hold rating and nineteen have assigned a buy rating to the stock. Based on data from MarketBeat, Eli Lilly and Company presently has an average rating of Moderate Buy and an average price target of $517.00. Eli Lilly and Company Price Performance NYSE:LLY traded up $3.60 during mid-day trading on Wednesday, hitting $550.22. The companys stock had a trading volume of 850,256 shares, compared to its average volume of 3,064,177. Eli Lilly and Company has a fifty-two week low of $296.32 and a fifty-two week high of $552.87. The company has a current ratio of 1.13, a quick ratio of 0.87 and a debt-to-equity ratio of 1.63. The company has a market capitalization of $522.32 billion, a price-to-earnings ratio of 76.03, a P/E/G ratio of 2.21 and a beta of 0.35. The stock has a fifty day moving average of $462.53 and a 200-day moving average of $402.05. Eli Lilly and Company (NYSE:LLY Get Free Report) last posted its quarterly earnings data on Tuesday, August 8th. The company reported $2.11 earnings per share for the quarter, topping the consensus estimate of $1.98 by $0.13. The company had revenue of $8.31 billion during the quarter, compared to analyst estimates of $7.58 billion. Eli Lilly and Company had a net margin of 22.01% and a return on equity of 65.00%. The companys revenue was up 28.1% on a year-over-year basis. During the same quarter last year, the business posted $1.25 earnings per share. As a group, equities analysts forecast that Eli Lilly and Company will post 9.85 EPS for the current fiscal year. Eli Lilly and Company Dividend Announcement The business also recently announced a quarterly dividend, which will be paid on Friday, September 8th. Stockholders of record on Tuesday, August 15th will be given a dividend of $1.13 per share. The ex-dividend date is Monday, August 14th. This represents a $4.52 dividend on an annualized basis and a dividend yield of 0.82%. Eli Lilly and Companys payout ratio is 62.87%. Insider Transactions at Eli Lilly and Company In related news, EVP Patrik Jonsson sold 6,000 shares of the firms stock in a transaction that occurred on Thursday, June 1st. The shares were sold at an average price of $429.46, for a total value of $2,576,760.00. Following the completion of the sale, the executive vice president now owns 36,941 shares of the companys stock, valued at $15,864,681.86. The sale was disclosed in a document filed with the SEC, which is accessible through the SEC website. In other Eli Lilly and Company news, EVP Patrik Jonsson sold 6,000 shares of the stock in a transaction on Thursday, June 1st. The stock was sold at an average price of $429.46, for a total value of $2,576,760.00. Following the transaction, the executive vice president now directly owns 36,941 shares in the company, valued at approximately $15,864,681.86. The sale was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. Also, major shareholder Lilly Endowment Inc sold 194,354 shares of the businesss stock in a transaction on Friday, May 19th. The stock was sold at an average price of $442.62, for a total value of $86,024,967.48. Following the sale, the insider now owns 101,473,810 shares of the companys stock, valued at approximately $44,914,337,782.20. The disclosure for this sale can be found here. Over the last three months, insiders have sold 919,195 shares of company stock worth $446,382,387. Corporate insiders own 0.13% of the companys stock. About Eli Lilly and Company (Free Report) Eli Lilly and Company discovers, develops, and markets human pharmaceuticals worldwide. It offers Basaglar, Humalog, Humalog Mix 75/25, Humalog U-100, Humalog U-200, Humalog Mix 50/50, insulin lispro, insulin lispro protamine, insulin lispro mix 75/25, Humulin, Humulin 70/30, Humulin N, Humulin R, and Humulin U-500 for diabetes; and Jardiance, Trajenta, and Trulicity for type 2 diabetes. Featured Articles Want to see what other hedge funds are holding LLY? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for Eli Lilly and Company (NYSE:LLY Free Report). Receive News & Ratings for Eli Lilly and Company Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Eli Lilly and Company and related companies with MarketBeat.com's FREE daily email newsletter. Gerdau S.A. (NYSE:GGB Get Free Report) was the target of a significant increase in short interest during the month of July. As of July 31st, there was short interest totalling 5,630,000 shares, an increase of 12.6% from the July 15th total of 5,000,000 shares. Based on an average daily trading volume, of 6,690,000 shares, the short-interest ratio is currently 0.8 days. Approximately 0.5% of the companys shares are short sold. Institutional Investors Weigh In On Gerdau Institutional investors have recently bought and sold shares of the business. Asset Management One Co. Ltd. grew its stake in Gerdau by 30.6% during the first quarter. Asset Management One Co. Ltd. now owns 769,812 shares of the basic materials companys stock worth $3,795,000 after buying an additional 180,357 shares during the period. Virtu Financial LLC purchased a new stake in Gerdau during the first quarter worth approximately $350,000. Van ECK Associates Corp grew its stake in Gerdau by 53.3% during the first quarter. Van ECK Associates Corp now owns 1,237,411 shares of the basic materials companys stock worth $6,100,000 after buying an additional 430,046 shares during the period. Metis Global Partners LLC grew its stake in Gerdau by 4.7% during the first quarter. Metis Global Partners LLC now owns 115,771 shares of the basic materials companys stock worth $571,000 after buying an additional 5,202 shares during the period. Finally, AE Wealth Management LLC grew its stake in Gerdau by 5.9% during the first quarter. AE Wealth Management LLC now owns 162,313 shares of the basic materials companys stock worth $800,000 after buying an additional 9,043 shares during the period. 2.84% of the stock is currently owned by hedge funds and other institutional investors. Get Gerdau alerts: Gerdau Price Performance Gerdau stock opened at $5.13 on Wednesday. Gerdau has a 1 year low of $4.09 and a 1 year high of $6.34. The firm has a 50 day moving average price of $5.47 and a 200 day moving average price of $5.20. The company has a market cap of $9.01 billion, a price-to-earnings ratio of 4.61 and a beta of 1.61. The company has a debt-to-equity ratio of 0.22, a quick ratio of 1.29 and a current ratio of 2.94. Gerdau Increases Dividend Wall Street Analysts Forecast Growth The firm also recently disclosed a quarterly dividend, which will be paid on Wednesday, September 6th. Shareholders of record on Tuesday, August 22nd will be paid a dividend of $0.0878 per share. This is an increase from Gerdaus previous quarterly dividend of $0.00. This represents a $0.35 annualized dividend and a yield of 6.85%. The ex-dividend date of this dividend is Monday, August 21st. Gerdaus payout ratio is currently 50.28%. Separately, Scotiabank downgraded shares of Gerdau from an outperform rating to a sector perform rating in a report on Friday, July 21st. View Our Latest Stock Report on Gerdau About Gerdau (Get Free Report) Gerdau SA, together with its subsidiaries, operates as a steel producer company. It operates through Brazil Business, North America Business, South America Business, and Special Steel Business divisions. The company provides semi-finished products, including billets, blooms, and slabs; common long rolled products, such as rebars, wire rods, merchant bars, light shapes, and profiles to the construction and manufacturing industries; drawn products comprising barbed and barbless fence wires, galvanized wires, fences, concrete reinforcing wire mesh, nails, and clamps for manufacturing, construction, and agricultural industries; and special steel products used in auto parts, light and heavy vehicles, and agricultural machinery, as well as in the oil and gas, wind energy, machinery and equipment, mining and rail, and other markets. Recommended Stories Receive News & Ratings for Gerdau Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Gerdau and related companies with MarketBeat.com's FREE daily email newsletter. Hawaiian Electric Industries, Inc. (NYSE:HE Get Free Report) was the target of a large decline in short interest in the month of July. As of July 31st, there was short interest totalling 1,430,000 shares, a decline of 11.7% from the July 15th total of 1,620,000 shares. Based on an average daily volume of 411,700 shares, the days-to-cover ratio is presently 3.5 days. Analyst Upgrades and Downgrades A number of research analysts recently issued reports on HE shares. StockNews.com started coverage on Hawaiian Electric Industries in a research report on Thursday, May 18th. They set a hold rating for the company. Guggenheim reduced their price objective on Hawaiian Electric Industries from $32.00 to $18.00 in a research report on Monday. Finally, Wells Fargo & Company reduced their price objective on Hawaiian Electric Industries from $35.00 to $25.00 and set an underweight rating for the company in a research report on Monday. Get Hawaiian Electric Industries alerts: Get Our Latest Stock Report on Hawaiian Electric Industries Hawaiian Electric Industries Stock Performance Hawaiian Electric Industries Announces Dividend HE stock traded down $6.68 during trading on Tuesday, reaching $14.78. 30,126,470 shares of the companys stock were exchanged, compared to its average volume of 775,237. The company has a quick ratio of 0.08, a current ratio of 0.08 and a debt-to-equity ratio of 1.14. Hawaiian Electric Industries has a 1-year low of $14.49 and a 1-year high of $44.01. The companys fifty day moving average price is $36.49 and its 200-day moving average price is $38.06. The firm has a market cap of $1.62 billion, a PE ratio of 7.07, a P/E/G ratio of 4.69 and a beta of 0.41. The firm also recently declared a quarterly dividend, which will be paid on Friday, September 8th. Shareholders of record on Friday, August 18th will be given a dividend of $0.36 per share. The ex-dividend date of this dividend is Thursday, August 17th. This represents a $1.44 dividend on an annualized basis and a yield of 9.74%. Hawaiian Electric Industriess dividend payout ratio (DPR) is presently 68.90%. Institutional Inflows and Outflows A number of institutional investors have recently added to or reduced their stakes in HE. Thrivent Financial for Lutherans raised its stake in shares of Hawaiian Electric Industries by 0.9% in the 3rd quarter. Thrivent Financial for Lutherans now owns 28,973 shares of the utilities providers stock worth $1,004,000 after buying an additional 264 shares in the last quarter. Allworth Financial LP raised its stake in shares of Hawaiian Electric Industries by 6.8% in the 1st quarter. Allworth Financial LP now owns 4,361 shares of the utilities providers stock worth $167,000 after buying an additional 277 shares in the last quarter. Treasurer of the State of North Carolina raised its stake in shares of Hawaiian Electric Industries by 0.7% in the 1st quarter. Treasurer of the State of North Carolina now owns 47,557 shares of the utilities providers stock worth $1,826,000 after buying an additional 340 shares in the last quarter. Chicago Partners Investment Group LLC raised its stake in shares of Hawaiian Electric Industries by 5.2% in the 2nd quarter. Chicago Partners Investment Group LLC now owns 6,960 shares of the utilities providers stock worth $267,000 after buying an additional 346 shares in the last quarter. Finally, ProShare Advisors LLC raised its stake in shares of Hawaiian Electric Industries by 5.4% in the 4th quarter. ProShare Advisors LLC now owns 7,884 shares of the utilities providers stock worth $330,000 after buying an additional 403 shares in the last quarter. Institutional investors and hedge funds own 53.15% of the companys stock. Hawaiian Electric Industries Company Profile (Get Free Report) Hawaiian Electric Industries, Inc, together with its subsidiaries, engages in the electric utility, banking, and non-regulated renewable/sustainable infrastructure investment businesses in the state of Hawaii. It operates through three segments: Electric Utility, Bank, and Other. The Electric Utility segment engages in the production, purchase, transmission, distribution, and sale of electricity in the islands of Oahu, Hawaii, Maui, Lanai, and Molokai. Recommended Stories Receive News & Ratings for Hawaiian Electric Industries Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Hawaiian Electric Industries and related companies with MarketBeat.com's FREE daily email newsletter. Human Investing LLC lessened its stake in shares of Altria Group, Inc. (NYSE:MO Free Report) by 20.6% in the first quarter, according to the company in its most recent 13F filing with the Securities & Exchange Commission. The institutional investor owned 12,705 shares of the companys stock after selling 3,299 shares during the period. Human Investing LLCs holdings in Altria Group were worth $567,000 at the end of the most recent reporting period. A number of other institutional investors and hedge funds also recently added to or reduced their stakes in MO. Nelson Van Denburg & Campbell Wealth Management Group LLC boosted its stake in shares of Altria Group by 124.3% in the 1st quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC now owns 10,287 shares of the companys stock worth $459,000 after buying an additional 5,700 shares during the last quarter. Kentucky Retirement Systems Insurance Trust Fund purchased a new position in shares of Altria Group in the 1st quarter worth $2,835,000. Jag Capital Management LLC purchased a new position in shares of Altria Group in the 1st quarter worth $221,000. Connor Clark & Lunn Investment Management Ltd. purchased a new position in shares of Altria Group in the 1st quarter worth $919,000. Finally, 25 LLC purchased a new position in shares of Altria Group in the 1st quarter worth $77,000. Institutional investors own 58.38% of the companys stock. Get Altria Group alerts: Altria Group Stock Performance Shares of Altria Group stock traded up $0.05 during trading hours on Wednesday, hitting $43.12. The companys stock had a trading volume of 2,137,641 shares, compared to its average volume of 7,706,518. The business has a 50 day moving average price of $44.87 and a two-hundred day moving average price of $45.56. Altria Group, Inc. has a 12-month low of $40.35 and a 12-month high of $51.57. The company has a market cap of $76.52 billion, a PE ratio of 11.31, a PEG ratio of 2.32 and a beta of 0.59. Altria Group Announces Dividend Altria Group ( NYSE:MO Get Free Report ) last announced its quarterly earnings data on Tuesday, August 1st. The company reported $1.31 EPS for the quarter, meeting the consensus estimate of $1.31. Altria Group had a negative return on equity of 225.61% and a net margin of 27.40%. The business had revenue of $5.44 billion for the quarter, compared to the consensus estimate of $5.43 billion. During the same period in the previous year, the business earned $1.26 earnings per share. The businesss revenue was up 1.2% on a year-over-year basis. As a group, research analysts anticipate that Altria Group, Inc. will post 4.99 earnings per share for the current year. The company also recently announced a quarterly dividend, which was paid on Monday, July 10th. Investors of record on Thursday, June 15th were given a $0.94 dividend. The ex-dividend date of this dividend was Wednesday, June 14th. This represents a $3.76 dividend on an annualized basis and a dividend yield of 8.72%. Altria Groups dividend payout ratio is currently 98.69%. Wall Street Analysts Forecast Growth Separately, StockNews.com upgraded Altria Group from a hold rating to a buy rating in a research note on Tuesday, July 4th. One analyst has rated the stock with a sell rating, two have assigned a hold rating and two have given a buy rating to the companys stock. According to data from MarketBeat, the company currently has a consensus rating of Hold and an average target price of $46.00. Check Out Our Latest Analysis on MO About Altria Group (Free Report) Altria Group, Inc, through its subsidiaries, manufactures and sells smokeable and oral tobacco products in the United States. The company provides cigarettes primarily under the Marlboro brand; cigars and pipe tobacco principally under the Black & Mild brand; moist smokeless tobacco products and snus products under the Copenhagen, Skoal, Red Seal, and Husky brands; and on! oral nicotine pouches. Read More Receive News & Ratings for Altria Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Altria Group and related companies with MarketBeat.com's FREE daily email newsletter. Insperity, Inc. (NYSE:NSP Get Free Report) CEO Paul J. Sarvadi sold 18,950 shares of the businesss stock in a transaction dated Monday, August 14th. The stock was sold at an average price of $101.33, for a total value of $1,920,203.50. Following the transaction, the chief executive officer now directly owns 519,036 shares of the companys stock, valued at approximately $52,593,917.88. The sale was disclosed in a legal filing with the Securities & Exchange Commission, which is available at this link. Insperity Trading Up 1.2 % Shares of Insperity stock traded up $1.24 on Wednesday, hitting $102.73. The stock had a trading volume of 284,195 shares, compared to its average volume of 253,665. The stock has a 50 day moving average of $114.49 and a 200 day moving average of $117.02. Insperity, Inc. has a twelve month low of $93.88 and a twelve month high of $131.09. The company has a debt-to-equity ratio of 2.83, a quick ratio of 1.20 and a current ratio of 1.20. The firm has a market capitalization of $3.92 billion, a P/E ratio of 21.64, a PEG ratio of 1.81 and a beta of 1.23. Get Insperity alerts: Insperity Increases Dividend The company also recently announced a quarterly dividend, which was paid on Thursday, June 22nd. Investors of record on Thursday, June 8th were issued a $0.57 dividend. This is a boost from Insperitys previous quarterly dividend of $0.52. This represents a $2.28 dividend on an annualized basis and a dividend yield of 2.22%. The ex-dividend date of this dividend was Wednesday, June 7th. Insperitys dividend payout ratio (DPR) is currently 48.00%. Analyst Upgrades and Downgrades Several research analysts have commented on the company. 22nd Century Group reissued a maintains rating on shares of Insperity in a research note on Thursday, April 27th. 51job reissued a reiterates rating on shares of Insperity in a research note on Thursday, June 15th. Robert W. Baird lowered their target price on Insperity from $131.00 to $120.00 in a research note on Tuesday, May 30th. StockNews.com downgraded Insperity from a buy rating to a hold rating in a research note on Thursday, August 10th. Finally, Truist Financial lowered their price objective on Insperity from $128.00 to $110.00 and set a hold rating for the company in a research note on Wednesday, August 2nd. Get Our Latest Report on NSP Institutional Investors Weigh In On Insperity A number of institutional investors and hedge funds have recently bought and sold shares of the stock. Nuveen Asset Management LLC raised its position in Insperity by 0.4% in the 2nd quarter. Nuveen Asset Management LLC now owns 178,790 shares of the business services providers stock valued at $21,269,000 after buying an additional 720 shares during the last quarter. Sunbelt Securities Inc. purchased a new stake in Insperity in the 2nd quarter valued at $133,000. Wells Fargo & Company MN raised its position in Insperity by 48.7% in the 2nd quarter. Wells Fargo & Company MN now owns 265,549 shares of the business services providers stock valued at $31,590,000 after buying an additional 87,011 shares during the last quarter. Public Employees Retirement System of Ohio raised its position in Insperity by 400.3% in the 2nd quarter. Public Employees Retirement System of Ohio now owns 1,606 shares of the business services providers stock valued at $191,000 after buying an additional 1,285 shares during the last quarter. Finally, Natixis Advisors L.P. raised its position in Insperity by 134.5% in the 2nd quarter. Natixis Advisors L.P. now owns 54,833 shares of the business services providers stock valued at $6,523,000 after buying an additional 31,447 shares during the last quarter. 89.29% of the stock is owned by hedge funds and other institutional investors. Insperity Company Profile (Get Free Report) Insperity, Inc engages in the provision of human resources (HR) and business solutions to improve business performance for small and medium-sized businesses. It offers its HR services through its Workforce Optimization and Workforce Synchronization solutions that include a range of human resources functions, such as payroll and employment administration, employee benefits, workers' compensation, government compliance, performance management, and training and development services. Featured Articles Receive News & Ratings for Insperity Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Insperity and related companies with MarketBeat.com's FREE daily email newsletter. iSAM Funds UK Ltd acquired a new position in shares of The Bank of New York Mellon Co. (NYSE:BK Free Report) during the first quarter, according to the company in its most recent Form 13F filing with the Securities & Exchange Commission. The firm acquired 26,480 shares of the banks stock, valued at approximately $1,203,000. Bank of New York Mellon makes up approximately 1.5% of iSAM Funds UK Ltds investment portfolio, making the stock its 10th biggest position. A number of other institutional investors have also modified their holdings of the business. Brown Brothers Harriman & Co. grew its position in Bank of New York Mellon by 97.1% in the 1st quarter. Brown Brothers Harriman & Co. now owns 609 shares of the banks stock valued at $30,000 after buying an additional 300 shares during the last quarter. Exos TFP Holdings LLC purchased a new position in Bank of New York Mellon in the 4th quarter valued at $32,000. Glass Jacobson Investment Advisors llc purchased a new position in Bank of New York Mellon in the 4th quarter valued at $36,000. Sandy Spring Bank purchased a new position in Bank of New York Mellon in the 4th quarter valued at $36,000. Finally, Mendota Financial Group LLC purchased a new position in Bank of New York Mellon in the 4th quarter valued at $45,000. 81.19% of the stock is owned by institutional investors and hedge funds. Get Bank of New York Mellon alerts: Bank of New York Mellon Price Performance BK stock traded down $0.12 during trading on Wednesday, hitting $44.28. The stock had a trading volume of 699,341 shares, compared to its average volume of 5,344,240. The stock has a market cap of $34.48 billion, a price-to-earnings ratio of 12.91, a price-to-earnings-growth ratio of 1.16 and a beta of 1.09. The Bank of New York Mellon Co. has a 1-year low of $36.22 and a 1-year high of $52.26. The companys 50 day moving average price is $44.67 and its two-hundred day moving average price is $45.16. The company has a debt-to-equity ratio of 0.94, a quick ratio of 0.72 and a current ratio of 0.72. Bank of New York Mellon Increases Dividend Bank of New York Mellon ( NYSE:BK Get Free Report ) last released its quarterly earnings results on Tuesday, July 18th. The bank reported $1.38 earnings per share for the quarter, topping analysts consensus estimates of $1.22 by $0.16. The firm had revenue of $4.45 billion during the quarter, compared to analysts expectations of $4.37 billion. Bank of New York Mellon had a return on equity of 11.88% and a net margin of 10.95%. Bank of New York Mellons quarterly revenue was up 4.7% compared to the same quarter last year. During the same quarter in the prior year, the business earned $1.15 EPS. On average, sell-side analysts forecast that The Bank of New York Mellon Co. will post 4.87 EPS for the current fiscal year. The firm also recently announced a quarterly dividend, which was paid on Thursday, August 10th. Stockholders of record on Friday, July 28th were paid a dividend of $0.42 per share. This is a boost from Bank of New York Mellons previous quarterly dividend of $0.37. This represents a $1.68 annualized dividend and a dividend yield of 3.79%. The ex-dividend date of this dividend was Thursday, July 27th. Bank of New York Mellons dividend payout ratio is 48.98%. Analyst Ratings Changes A number of equities analysts recently weighed in on BK shares. Morgan Stanley lifted their price objective on shares of Bank of New York Mellon from $46.00 to $47.00 and gave the company an underweight rating in a research report on Wednesday, April 19th. Deutsche Bank Aktiengesellschaft boosted their target price on shares of Bank of New York Mellon from $43.00 to $44.00 in a research report on Wednesday, July 19th. Wells Fargo & Company decreased their target price on shares of Bank of New York Mellon from $52.00 to $51.00 and set an equal weight rating on the stock in a research report on Wednesday, April 19th. Citigroup decreased their target price on shares of Bank of New York Mellon from $58.00 to $55.00 in a research report on Wednesday, July 19th. Finally, Evercore ISI decreased their target price on shares of Bank of New York Mellon from $49.00 to $46.00 in a research report on Friday, May 12th. One equities research analyst has rated the stock with a sell rating, five have issued a hold rating and five have issued a buy rating to the companys stock. According to MarketBeat, the company currently has an average rating of Hold and a consensus price target of $52.90. Get Our Latest Stock Report on Bank of New York Mellon Insider Activity In related news, EVP J Kevin Mccarthy sold 35,000 shares of the companys stock in a transaction that occurred on Wednesday, July 19th. The stock was sold at an average price of $46.33, for a total transaction of $1,621,550.00. Following the sale, the executive vice president now directly owns 98,102 shares of the companys stock, valued at approximately $4,545,065.66. The transaction was disclosed in a filing with the SEC, which is accessible through this hyperlink. In related news, EVP J Kevin Mccarthy sold 35,000 shares of the companys stock in a transaction that occurred on Wednesday, July 19th. The stock was sold at an average price of $46.33, for a total transaction of $1,621,550.00. Following the sale, the executive vice president now directly owns 98,102 shares of the companys stock, valued at approximately $4,545,065.66. The transaction was disclosed in a filing with the SEC, which is accessible through this hyperlink. Also, insider Kurtis R. Kurimsky sold 14,045 shares of the companys stock in a transaction that occurred on Friday, August 4th. The shares were sold at an average price of $45.64, for a total value of $641,013.80. Following the sale, the insider now directly owns 23,816 shares in the company, valued at $1,086,962.24. The disclosure for this sale can be found here. Company insiders own 0.10% of the companys stock. About Bank of New York Mellon (Free Report) The Bank of New York Mellon Corp. is a bank holding company, which engages in the provision of financial services. It operates through the following segments: Securities Services, Market and Wealth Services, Investment and Wealth Management, and Other segment. The Securities Services segment includes Asset Servicing business, which provides global custody, fund accounting, integrated middle-office solutions, transfer agency and data and analytics solutions. Featured Stories Want to see what other hedge funds are holding BK? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Bank of New York Mellon Co. (NYSE:BK Free Report). Receive News & Ratings for Bank of New York Mellon Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Bank of New York Mellon and related companies with MarketBeat.com's FREE daily email newsletter. iShares S&P/TSX Canadian Dividend Aristocrats Index ETF (TSE:CDZ Get Free Report)s share price were down 1.4% during trading on Tuesday . The company traded as low as C$29.54 and last traded at C$29.55. Approximately 11,995 shares were traded during mid-day trading, a decline of 31% from the average daily volume of 17,434 shares. The stock had previously closed at C$29.97. iShares S&P/TSX Canadian Dividend Aristocrats Index ETF Stock Down 1.4 % The stock has a 50 day simple moving average of C$29.99 and a 200 day simple moving average of C$30.41. Featured Stories Receive News & Ratings for iShares S&P/TSX Canadian Dividend Aristocrats Index ETF Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for iShares S&P/TSX Canadian Dividend Aristocrats Index ETF and related companies with MarketBeat.com's FREE daily email newsletter. Kentucky Retirement Systems Insurance Trust Fund bought a new stake in shares of Citigroup Inc. (NYSE:C Free Report) in the first quarter, according to the company in its most recent Form 13F filing with the SEC. The firm bought 68,918 shares of the companys stock, valued at approximately $3,232,000. Several other institutional investors and hedge funds have also modified their holdings of the business. Great Lakes Advisors LLC lifted its stake in shares of Citigroup by 5.8% in the 1st quarter. Great Lakes Advisors LLC now owns 1,399,577 shares of the companys stock valued at $65,626,000 after purchasing an additional 77,007 shares during the last quarter. Keybank National Association OH lifted its stake in shares of Citigroup by 22.7% in the 1st quarter. Keybank National Association OH now owns 13,399 shares of the companys stock valued at $628,000 after purchasing an additional 2,483 shares during the last quarter. Oldfield Partners LLP raised its holdings in Citigroup by 24.3% in the 1st quarter. Oldfield Partners LLP now owns 2,525,774 shares of the companys stock worth $118,434,000 after acquiring an additional 493,800 shares during the period. Tredje AP fonden raised its holdings in Citigroup by 12.7% in the 1st quarter. Tredje AP fonden now owns 139,405 shares of the companys stock worth $6,537,000 after acquiring an additional 15,659 shares during the period. Finally, Connor Clark & Lunn Investment Management Ltd. raised its holdings in Citigroup by 8.2% in the 1st quarter. Connor Clark & Lunn Investment Management Ltd. now owns 1,555,226 shares of the companys stock worth $72,925,000 after acquiring an additional 117,454 shares during the period. Institutional investors and hedge funds own 69.39% of the companys stock. Get Citigroup alerts: Wall Street Analysts Forecast Growth Several research analysts recently commented on C shares. BMO Capital Markets dropped their target price on shares of Citigroup from $64.00 to $62.00 in a report on Monday, July 17th. Morgan Stanley reiterated an underweight rating and issued a $45.00 target price on shares of Citigroup in a report on Tuesday, July 18th. Bank of America boosted their price objective on shares of Citigroup from $58.00 to $60.00 in a report on Monday, June 5th. Odeon Capital Group upgraded shares of Citigroup from a hold rating to a buy rating and set a $50.51 price objective on the stock in a report on Tuesday, July 18th. Finally, Evercore ISI dropped their price objective on shares of Citigroup from $53.00 to $50.00 in a report on Friday, May 12th. One analyst has rated the stock with a sell rating, nine have assigned a hold rating and six have issued a buy rating to the company. According to MarketBeat, the company presently has an average rating of Hold and a consensus target price of $53.73. Citigroup Stock Performance Shares of C traded down $0.06 during trading hours on Wednesday, hitting $43.15. The company had a trading volume of 2,379,258 shares, compared to its average volume of 17,504,836. The firm has a market cap of $84.00 billion, a price-to-earnings ratio of 6.85, a PEG ratio of 1.72 and a beta of 1.55. The business has a fifty day moving average of $46.71 and a 200-day moving average of $47.38. Citigroup Inc. has a 12 month low of $40.01 and a 12 month high of $54.02. The company has a quick ratio of 0.95, a current ratio of 0.95 and a debt-to-equity ratio of 1.45. Citigroup (NYSE:C Get Free Report) last released its quarterly earnings results on Friday, July 14th. The company reported $1.33 EPS for the quarter, topping analysts consensus estimates of $1.31 by $0.02. Citigroup had a net margin of 10.24% and a return on equity of 6.80%. The business had revenue of $19.44 billion for the quarter, compared to analyst estimates of $19.34 billion. During the same period last year, the firm earned $2.30 earnings per share. Citigroups revenue for the quarter was down 1.0% compared to the same quarter last year. Equities analysts anticipate that Citigroup Inc. will post 5.78 EPS for the current fiscal year. Citigroup Increases Dividend The firm also recently declared a quarterly dividend, which will be paid on Friday, August 25th. Investors of record on Monday, August 7th will be given a dividend of $0.53 per share. This represents a $2.12 dividend on an annualized basis and a yield of 4.91%. This is a positive change from Citigroups previous quarterly dividend of $0.51. The ex-dividend date of this dividend is Friday, August 4th. Citigroups dividend payout ratio (DPR) is currently 33.60%. About Citigroup (Free Report) Citigroup Inc, a diversified financial services holding company, provides various financial products and services to consumers, corporations, governments, and institutions in North America, Latin America, Asia, Europe, the Middle East, and Africa. It operates through three segments: Institutional Clients Group (ICG), Personal Banking and Wealth Management (PBWM), and Legacy Franchises. Further Reading Receive News & Ratings for Citigroup Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Citigroup and related companies with MarketBeat.com's FREE daily email newsletter. Magna International Inc. (NYSE:MGA Get Free Report) (TSE:MG) saw strong trading volume on Wednesday . 3,079,683 shares were traded during trading, an increase of 162% from the previous sessions volume of 1,173,465 shares.The stock last traded at $56.30 and had previously closed at $56.76. Analyst Ratings Changes Several research firms have recently commented on MGA. Bank of America upgraded Magna International from a neutral rating to a buy rating and lifted their price target for the stock from $65.00 to $85.00 in a report on Thursday, July 6th. Raymond James raised their price target on shares of Magna International from $56.00 to $60.00 and gave the stock a market perform rating in a report on Tuesday, August 8th. Barclays increased their price objective on Magna International from $60.00 to $63.00 and gave the stock an equal weight rating in a research report on Monday, August 7th. JPMorgan Chase & Co. lifted their target price on Magna International from $63.00 to $67.00 and gave the company an overweight rating in a research report on Thursday, July 20th. Finally, CIBC raised their price objective on Magna International from $72.00 to $73.00 and gave the stock an outperform rating in a research report on Tuesday, August 8th. Six investment analysts have rated the stock with a hold rating, eight have given a buy rating and one has assigned a strong buy rating to the companys stock. Based on data from MarketBeat, the stock presently has a consensus rating of Moderate Buy and an average target price of $67.14. Get Magna International alerts: Read Our Latest Analysis on MGA Magna International Price Performance The stocks 50 day moving average is $58.34 and its two-hundred day moving average is $55.64. The company has a debt-to-equity ratio of 0.36, a current ratio of 1.12 and a quick ratio of 0.77. The stock has a market capitalization of $16.12 billion, a PE ratio of 17.41, a price-to-earnings-growth ratio of 0.55 and a beta of 1.62. Magna International (NYSE:MGA Get Free Report) (TSE:MG) last released its quarterly earnings data on Friday, August 4th. The company reported $1.50 earnings per share (EPS) for the quarter, topping the consensus estimate of $1.23 by $0.27. The business had revenue of $10.98 billion during the quarter, compared to analysts expectations of $10.45 billion. Magna International had a net margin of 2.30% and a return on equity of 11.63%. The companys revenue for the quarter was up 17.3% on a year-over-year basis. During the same period in the previous year, the company earned $0.83 EPS. As a group, sell-side analysts forecast that Magna International Inc. will post 5.3 earnings per share for the current fiscal year. Magna International Announces Dividend The firm also recently announced a quarterly dividend, which will be paid on Friday, September 1st. Stockholders of record on Friday, August 18th will be given a dividend of $0.46 per share. This represents a $1.84 annualized dividend and a dividend yield of 3.27%. The ex-dividend date of this dividend is Thursday, August 17th. Magna Internationals dividend payout ratio (DPR) is presently 56.79%. Hedge Funds Weigh In On Magna International Hedge funds have recently added to or reduced their stakes in the stock. State of Tennessee Treasury Department lifted its position in shares of Magna International by 0.5% during the 2nd quarter. State of Tennessee Treasury Department now owns 153,457 shares of the companys stock worth $8,673,000 after buying an additional 797 shares during the last quarter. Azimuth Capital Investment Management LLC boosted its stake in Magna International by 29.6% in the second quarter. Azimuth Capital Investment Management LLC now owns 134,322 shares of the companys stock valued at $7,581,000 after acquiring an additional 30,667 shares in the last quarter. Geode Capital Management LLC grew its holdings in shares of Magna International by 5.7% during the second quarter. Geode Capital Management LLC now owns 956,958 shares of the companys stock worth $54,277,000 after purchasing an additional 51,286 shares during the last quarter. Russell Investments Group Ltd. increased its position in shares of Magna International by 13.6% during the second quarter. Russell Investments Group Ltd. now owns 648,874 shares of the companys stock worth $36,621,000 after purchasing an additional 77,520 shares in the last quarter. Finally, BNP Paribas Arbitrage SNC lifted its holdings in shares of Magna International by 449.0% in the 2nd quarter. BNP Paribas Arbitrage SNC now owns 31,690 shares of the companys stock valued at $1,789,000 after purchasing an additional 25,918 shares during the last quarter. 60.13% of the stock is currently owned by hedge funds and other institutional investors. Magna International Company Profile (Get Free Report) Magna International Inc designs, engineers, and manufactures components, assemblies, systems, subsystems, and modules for original equipment manufacturers of vehicles and light trucks worldwide. It operates through four segments: Body Exteriors & Structures, Power & Vision, Seating Systems, and Complete Vehicles. Recommended Stories Receive News & Ratings for Magna International Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Magna International and related companies with MarketBeat.com's FREE daily email newsletter. Mcintyre Freedman & Flynn Investment Advisers Inc. reduced its position in Altria Group, Inc. (NYSE:MO Free Report) by 3.2% during the first quarter, according to the company in its most recent 13F filing with the Securities & Exchange Commission. The institutional investor owned 59,886 shares of the companys stock after selling 1,996 shares during the period. Altria Group makes up 2.3% of Mcintyre Freedman & Flynn Investment Advisers Inc.s investment portfolio, making the stock its 16th largest holding. Mcintyre Freedman & Flynn Investment Advisers Inc.s holdings in Altria Group were worth $2,672,000 at the end of the most recent quarter. A number of other large investors also recently modified their holdings of the company. Loomis Sayles & Co. L P purchased a new stake in Altria Group in the 1st quarter valued at $25,000. Ruedi Wealth Management Inc. purchased a new stake in Altria Group in the 4th quarter valued at $30,000. Ellevest Inc. raised its position in Altria Group by 346.7% in the 1st quarter. Ellevest Inc. now owns 670 shares of the companys stock valued at $30,000 after buying an additional 520 shares during the last quarter. NewSquare Capital LLC raised its position in Altria Group by 153.8% in the 1st quarter. NewSquare Capital LLC now owns 731 shares of the companys stock valued at $33,000 after buying an additional 443 shares during the last quarter. Finally, Dark Forest Capital Management LP purchased a new stake in Altria Group in the 4th quarter valued at $34,000. 58.38% of the stock is currently owned by institutional investors. Get Altria Group alerts: Altria Group Price Performance Shares of Altria Group stock traded up $0.04 during trading hours on Wednesday, hitting $43.11. 1,903,076 shares of the companys stock traded hands, compared to its average volume of 7,704,146. The stock has a market capitalization of $76.50 billion, a price-to-earnings ratio of 11.31, a PEG ratio of 2.32 and a beta of 0.59. Altria Group, Inc. has a 12-month low of $40.35 and a 12-month high of $51.57. The company has a fifty day simple moving average of $44.87 and a 200-day simple moving average of $45.56. Altria Group Dividend Announcement Altria Group ( NYSE:MO Get Free Report ) last posted its quarterly earnings results on Tuesday, August 1st. The company reported $1.31 earnings per share for the quarter, hitting the consensus estimate of $1.31. The company had revenue of $5.44 billion during the quarter, compared to the consensus estimate of $5.43 billion. Altria Group had a negative return on equity of 225.61% and a net margin of 27.40%. The firms quarterly revenue was up 1.2% compared to the same quarter last year. During the same quarter in the prior year, the company earned $1.26 EPS. On average, analysts forecast that Altria Group, Inc. will post 4.99 EPS for the current fiscal year. The business also recently announced a quarterly dividend, which was paid on Monday, July 10th. Shareholders of record on Thursday, June 15th were paid a $0.94 dividend. The ex-dividend date was Wednesday, June 14th. This represents a $3.76 annualized dividend and a yield of 8.72%. Altria Groups dividend payout ratio is currently 98.69%. Analyst Ratings Changes Separately, StockNews.com raised Altria Group from a hold rating to a buy rating in a research report on Tuesday, July 4th. One research analyst has rated the stock with a sell rating, two have assigned a hold rating and two have assigned a buy rating to the company. Based on data from MarketBeat.com, the company has an average rating of Hold and an average target price of $46.00. View Our Latest Analysis on MO Altria Group Company Profile (Free Report) Altria Group, Inc, through its subsidiaries, manufactures and sells smokeable and oral tobacco products in the United States. The company provides cigarettes primarily under the Marlboro brand; cigars and pipe tobacco principally under the Black & Mild brand; moist smokeless tobacco products and snus products under the Copenhagen, Skoal, Red Seal, and Husky brands; and on! oral nicotine pouches. See Also Receive News & Ratings for Altria Group Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Altria Group and related companies with MarketBeat.com's FREE daily email newsletter. Taylor Wimpey (OTCMKTS:TWODF Get Free Report) had its price objective upped by research analysts at Morgan Stanley from GBX 107 ($1.36) to GBX 115 ($1.46) in a research report issued to clients and investors on Wednesday, FlyOnTheWall reports. A number of other analysts also recently weighed in on the company. JPMorgan Chase & Co. increased their price target on Taylor Wimpey from GBX 120 ($1.52) to GBX 130 ($1.65) in a report on Tuesday, April 18th. Deutsche Bank Aktiengesellschaft boosted their price objective on Taylor Wimpey from GBX 128 ($1.62) to GBX 130 ($1.65) in a report on Friday, May 26th. UBS Group lowered Taylor Wimpey from a buy rating to a neutral rating in a report on Monday, July 24th. Finally, HSBC downgraded Taylor Wimpey from a buy rating to a hold rating in a research report on Friday, June 23rd. One research analyst has rated the stock with a sell rating, seven have given a hold rating and one has given a buy rating to the companys stock. According to MarketBeat, the company currently has a consensus rating of Hold and a consensus price target of $131.00. Get Taylor Wimpey alerts: Get Our Latest Stock Analysis on Taylor Wimpey Taylor Wimpey Price Performance Taylor Wimpey Company Profile Taylor Wimpey stock remained flat at $1.56 during trading hours on Wednesday. The companys fifty day simple moving average is $1.57 and its two-hundred day simple moving average is $1.50. Taylor Wimpey has a 12-month low of $0.95 and a 12-month high of $1.61. (Get Free Report) Taylor Wimpey plc operates a residential developer in the United Kingdom and Spain. It builds and delivers various homes and communities. Taylor Wimpey plc was incorporated in 1935 and is based in High Wycombe, the United Kingdom. Featured Articles Receive News & Ratings for Taylor Wimpey Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Taylor Wimpey and related companies with MarketBeat.com's FREE daily email newsletter. Nevada Sunrise Metals Co. (CVE:NEV Get Free Report) shot up 5% on Tuesday . The company traded as high as C$0.12 and last traded at C$0.11. 56,676 shares were traded during trading, a decline of 34% from the average session volume of 86,108 shares. The stock had previously closed at C$0.10. Nevada Sunrise Metals Trading Up 5.0 % The company has a market cap of C$10.48 million, a price-to-earnings ratio of -3.50 and a beta of 0.82. The firm has a 50-day moving average price of C$0.10 and a 200 day moving average price of C$0.15. About Nevada Sunrise Metals (Get Free Report) Nevada Sunrise Metals Corporation, an exploration stage company, engages in the acquisition, exploration, and evaluation of mineral properties in Nevada, the United States. The company explores for gold, copper, cobalt, nickel, and lithium deposits. It holds interests in the Kinsley Mountain property located in White Pine County; the Gemini and Jackson Wash situated in Esmeralda County; the Coronado VMS property in Pershing County; and the Lovelock mine property and the Treasure Box property located in Churchill County. Read More Receive News & Ratings for Nevada Sunrise Metals Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Nevada Sunrise Metals and related companies with MarketBeat.com's FREE daily email newsletter. Pictet Asset Management SA trimmed its holdings in shares of Equifax Inc. (NYSE:EFX Free Report) by 1.0% during the first quarter, according to its most recent 13F filing with the SEC. The institutional investor owned 760,911 shares of the credit services providers stock after selling 7,477 shares during the period. Pictet Asset Management SA owned approximately 0.62% of Equifax worth $154,343,000 as of its most recent filing with the SEC. Other large investors also recently modified their holdings of the company. Penserra Capital Management LLC grew its stake in shares of Equifax by 8.5% during the 4th quarter. Penserra Capital Management LLC now owns 637 shares of the credit services providers stock worth $123,000 after acquiring an additional 50 shares during the period. Steward Partners Investment Advisory LLC boosted its stake in Equifax by 4.4% in the 4th quarter. Steward Partners Investment Advisory LLC now owns 1,188 shares of the credit services providers stock worth $231,000 after purchasing an additional 50 shares during the period. United Capital Financial Advisers LLC boosted its stake in Equifax by 4.0% in the 1st quarter. United Capital Financial Advisers LLC now owns 1,411 shares of the credit services providers stock worth $334,000 after purchasing an additional 54 shares during the period. Pegasus Partners Ltd. boosted its stake in Equifax by 1.0% in the 4th quarter. Pegasus Partners Ltd. now owns 5,349 shares of the credit services providers stock worth $1,040,000 after purchasing an additional 55 shares during the period. Finally, Oregon Public Employees Retirement Fund boosted its stake in Equifax by 0.6% in the 1st quarter. Oregon Public Employees Retirement Fund now owns 9,447 shares of the credit services providers stock worth $1,916,000 after purchasing an additional 56 shares during the period. 97.43% of the stock is currently owned by institutional investors. Get Equifax alerts: Equifax Stock Up 0.6 % Shares of NYSE EFX traded up $1.19 during mid-day trading on Wednesday, hitting $194.62. 19,484 shares of the stock traded hands, compared to its average volume of 827,550. The company has a debt-to-equity ratio of 1.31, a quick ratio of 1.20 and a current ratio of 1.20. Equifax Inc. has a 52 week low of $145.98 and a 52 week high of $240.35. The company has a market cap of $23.88 billion, a price-to-earnings ratio of 45.51, a PEG ratio of 1.98 and a beta of 1.45. The stock has a fifty day moving average price of $219.30 and a 200-day moving average price of $210.02. Insider Transactions at Equifax Equifax ( NYSE:EFX Get Free Report ) last released its quarterly earnings results on Thursday, July 20th. The credit services provider reported $1.71 earnings per share for the quarter, beating the consensus estimate of $1.67 by $0.04. Equifax had a return on equity of 19.67% and a net margin of 10.36%. The company had revenue of $1.32 billion for the quarter, compared to analyst estimates of $1.33 billion. During the same period in the previous year, the company posted $2.09 earnings per share. The firms revenue was up .1% on a year-over-year basis. Research analysts anticipate that Equifax Inc. will post 6.96 EPS for the current year. In other news, CEO Mark W. Begor sold 7,000 shares of the businesss stock in a transaction on Wednesday, August 2nd. The stock was sold at an average price of $201.38, for a total value of $1,409,660.00. Following the completion of the transaction, the chief executive officer now owns 69,902 shares in the company, valued at $14,076,864.76. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which can be accessed through the SEC website. In other news, CEO Mark W. Begor sold 7,000 shares of the firms stock in a transaction dated Wednesday, August 2nd. The stock was sold at an average price of $201.38, for a total value of $1,409,660.00. Following the transaction, the chief executive officer now owns 69,902 shares of the companys stock, valued at $14,076,864.76. The transaction was disclosed in a filing with the Securities & Exchange Commission, which can be accessed through this link. Also, SVP James M. Griggs sold 250 shares of the firms stock in a transaction dated Friday, May 26th. The stock was sold at an average price of $209.55, for a total value of $52,387.50. Following the completion of the transaction, the senior vice president now directly owns 4,959 shares in the company, valued at approximately $1,039,158.45. The disclosure for this sale can be found here. Insiders sold 38,680 shares of company stock worth $7,972,615 over the last quarter. 1.75% of the stock is currently owned by insiders. Wall Street Analyst Weigh In EFX has been the subject of a number of research analyst reports. Robert W. Baird dropped their price target on Equifax from $260.00 to $239.00 and set an outperform rating for the company in a research report on Friday, July 21st. Deutsche Bank Aktiengesellschaft dropped their price target on Equifax from $214.00 to $212.00 and set a hold rating for the company in a research report on Friday, April 21st. Morgan Stanley raised their price objective on Equifax from $190.00 to $204.00 and gave the company an equal weight rating in a report on Friday, July 21st. StockNews.com raised Equifax from a sell rating to a hold rating in a report on Monday, May 15th. Finally, JPMorgan Chase & Co. raised their price objective on Equifax from $238.00 to $260.00 and gave the company an overweight rating in a report on Friday, July 21st. Two equities research analysts have rated the stock with a sell rating, seven have assigned a hold rating and seven have assigned a buy rating to the company. Based on data from MarketBeat, Equifax currently has an average rating of Hold and an average target price of $220.63. View Our Latest Stock Analysis on EFX Equifax Company Profile (Free Report) Equifax Inc operates as a data, analytics, and technology company. The company operates through three segments: Workforce Solutions, U.S. Information Solutions (USIS), and International. The Workforce Solutions segment offers services that enables customers to verify income, employment, educational history, criminal justice data, healthcare professional licensure, and sanctions of people in the United States; and employer customers with services that assist them in complying with and automating payroll-related and human resource management processes throughout the entire cycle of the employment relationship. Featured Stories Receive News & Ratings for Equifax Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Equifax and related companies with MarketBeat.com's FREE daily email newsletter. Equity Residential (NYSE:EQR Free Report) Analysts at Zacks Research raised their Q3 2023 EPS estimates for shares of Equity Residential in a research report issued to clients and investors on Thursday, August 10th. Zacks Research analyst M. Chattopadhyay now forecasts that the real estate investment trust will post earnings of $0.96 per share for the quarter, up from their prior estimate of $0.95. The consensus estimate for Equity Residentials current full-year earnings is $3.80 per share. Zacks Research also issued estimates for Equity Residentials Q4 2023 earnings at $1.00 EPS, FY2023 earnings at $3.78 EPS, Q1 2024 earnings at $0.90 EPS, Q2 2024 earnings at $0.96 EPS, Q3 2024 earnings at $0.97 EPS, Q4 2024 earnings at $0.97 EPS, FY2024 earnings at $3.80 EPS, Q1 2025 earnings at $1.00 EPS and Q2 2025 earnings at $1.03 EPS. Get Equity Residential alerts: A number of other research analysts have also weighed in on EQR. StockNews.com assumed coverage on shares of Equity Residential in a research note on Thursday, May 18th. They issued a hold rating for the company. Morgan Stanley increased their price objective on Equity Residential from $64.00 to $68.00 and gave the stock an equal weight rating in a report on Wednesday, July 19th. BMO Capital Markets upgraded shares of Equity Residential from an underperform rating to a market perform rating and raised their price objective for the company from $60.00 to $64.00 in a research report on Monday, June 5th. 3M reaffirmed an upgrade rating on shares of Equity Residential in a research note on Friday, June 9th. Finally, Barclays dropped their price objective on Equity Residential from $71.00 to $69.00 in a research note on Wednesday, May 31st. One investment analyst has rated the stock with a sell rating, twelve have given a hold rating and five have issued a buy rating to the companys stock. According to data from MarketBeat, the stock has an average rating of Hold and an average target price of $69.31. Equity Residential Trading Down 1.3 % Shares of EQR opened at $64.01 on Monday. Equity Residential has a fifty-two week low of $54.60 and a fifty-two week high of $80.89. The business has a 50-day moving average of $66.21 and a 200 day moving average of $63.20. The firm has a market cap of $24.26 billion, a P/E ratio of 28.96, a price-to-earnings-growth ratio of 3.28 and a beta of 0.83. The company has a debt-to-equity ratio of 0.67, a quick ratio of 0.19 and a current ratio of 0.19. Institutional Inflows and Outflows Large investors have recently added to or reduced their stakes in the business. American Century Companies Inc. lifted its stake in Equity Residential by 234.9% in the 1st quarter. American Century Companies Inc. now owns 12,882 shares of the real estate investment trusts stock worth $1,158,000 after purchasing an additional 9,035 shares in the last quarter. Private Advisor Group LLC grew its holdings in Equity Residential by 45.5% during the 1st quarter. Private Advisor Group LLC now owns 4,761 shares of the real estate investment trusts stock valued at $428,000 after buying an additional 1,489 shares in the last quarter. Panagora Asset Management Inc. increased its stake in Equity Residential by 85.2% in the 1st quarter. Panagora Asset Management Inc. now owns 11,356 shares of the real estate investment trusts stock worth $1,021,000 after acquiring an additional 5,224 shares during the last quarter. Prudential PLC purchased a new stake in shares of Equity Residential during the 1st quarter worth approximately $687,000. Finally, Baird Financial Group Inc. boosted its position in shares of Equity Residential by 8.5% during the 1st quarter. Baird Financial Group Inc. now owns 9,295 shares of the real estate investment trusts stock valued at $836,000 after acquiring an additional 730 shares during the last quarter. 82.52% of the stock is owned by hedge funds and other institutional investors. Insider Transactions at Equity Residential In other Equity Residential news, COO Michael L. Manelis sold 2,500 shares of the companys stock in a transaction dated Friday, August 11th. The stock was sold at an average price of $66.04, for a total value of $165,100.00. Following the completion of the sale, the chief operating officer now owns 22,844 shares in the company, valued at $1,508,617.76. The sale was disclosed in a document filed with the SEC, which is accessible through this link. Company insiders own 1.69% of the companys stock. Equity Residential Dividend Announcement The company also recently declared a quarterly dividend, which was paid on Friday, July 14th. Stockholders of record on Monday, June 26th were issued a dividend of $0.6625 per share. This represents a $2.65 dividend on an annualized basis and a dividend yield of 4.14%. The ex-dividend date of this dividend was Friday, June 23rd. Equity Residentials payout ratio is 119.91%. About Equity Residential (Get Free Report) Equity Residential is committed to creating communities where people thrive. The Company, a member of the S&P 500, is focused on the acquisition, development and management of residential properties located in and around dynamic cities that attract affluent long-term renters. Equity Residential owns or has investments in 304 properties consisting of 80,212 apartment units, with an established presence in Boston, New York, Washington, DC, Seattle, San Francisco and Southern California, and an expanding presence in Denver, Atlanta, Dallas/Ft. See Also Receive News & Ratings for Equity Residential Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Equity Residential and related companies with MarketBeat.com's FREE daily email newsletter. Consolidated Edison, Inc. (NYSE:ED Get Free Report) saw a large growth in short interest in July. As of July 31st, there was short interest totalling 6,440,000 shares, a growth of 9.7% from the July 15th total of 5,870,000 shares. Based on an average daily trading volume, of 1,920,000 shares, the days-to-cover ratio is currently 3.4 days. Consolidated Edison Stock Up 0.6 % NYSE:ED traded up $0.56 during mid-day trading on Wednesday, reaching $88.24. The stock had a trading volume of 141,574 shares, compared to its average volume of 1,832,128. The company has a debt-to-equity ratio of 0.99, a current ratio of 1.02 and a quick ratio of 0.95. The stock has a market cap of $30.44 billion, a P/E ratio of 12.62, a PEG ratio of 9.21 and a beta of 0.36. Consolidated Edison has a fifty-two week low of $78.10 and a fifty-two week high of $102.21. The businesss 50 day moving average is $92.58 and its 200 day moving average is $94.09. Get Consolidated Edison alerts: Consolidated Edison (NYSE:ED Get Free Report) last released its quarterly earnings data on Thursday, August 3rd. The utilities provider reported $0.61 earnings per share for the quarter, beating analysts consensus estimates of $0.58 by $0.03. Consolidated Edison had a return on equity of 8.27% and a net margin of 15.84%. The company had revenue of $2.94 billion for the quarter, compared to the consensus estimate of $3.31 billion. During the same period in the previous year, the business earned $0.64 earnings per share. As a group, sell-side analysts predict that Consolidated Edison will post 4.86 earnings per share for the current year. Consolidated Edison Announces Dividend Analyst Upgrades and Downgrades The firm also recently disclosed a quarterly dividend, which will be paid on Friday, September 15th. Shareholders of record on Wednesday, August 16th will be paid a $0.81 dividend. This represents a $3.24 dividend on an annualized basis and a yield of 3.67%. The ex-dividend date of this dividend is Tuesday, August 15th. Consolidated Edisons dividend payout ratio is currently 46.62%. A number of analysts have commented on the stock. Bank of America dropped their price target on shares of Consolidated Edison from $103.00 to $96.00 in a research report on Wednesday. KeyCorp boosted their target price on shares of Consolidated Edison from $70.00 to $77.00 in a report on Wednesday, July 19th. 3M reiterated a maintains rating on shares of Consolidated Edison in a report on Friday, May 5th. Mizuho boosted their target price on shares of Consolidated Edison from $92.00 to $95.00 and gave the stock a neutral rating in a report on Friday, July 21st. Finally, JPMorgan Chase & Co. decreased their price target on Consolidated Edison from $89.00 to $80.00 in a research report on Wednesday, June 7th. Five equities research analysts have rated the stock with a sell rating, five have issued a hold rating and two have given a buy rating to the stock. According to MarketBeat, the stock has a consensus rating of Hold and a consensus target price of $90.77. Check Out Our Latest Stock Analysis on Consolidated Edison Hedge Funds Weigh In On Consolidated Edison Large investors have recently made changes to their positions in the company. Sunbelt Securities Inc. raised its holdings in Consolidated Edison by 7.9% in the second quarter. Sunbelt Securities Inc. now owns 4,353 shares of the utilities providers stock worth $394,000 after purchasing an additional 320 shares during the period. West Family Investments Inc. acquired a new stake in Consolidated Edison in the 2nd quarter valued at approximately $843,000. Equitable Holdings Inc. raised its position in Consolidated Edison by 52.9% in the 2nd quarter. Equitable Holdings Inc. now owns 8,094 shares of the utilities providers stock valued at $732,000 after buying an additional 2,801 shares during the last quarter. Imprint Wealth LLC raised its position in Consolidated Edison by 9,343.4% in the 2nd quarter. Imprint Wealth LLC now owns 357,622 shares of the utilities providers stock valued at $35,762,000 after buying an additional 353,835 shares during the last quarter. Finally, State of Tennessee Treasury Department increased its stake in Consolidated Edison by 84.5% in the 2nd quarter. State of Tennessee Treasury Department now owns 101,194 shares of the utilities providers stock valued at $9,148,000 after buying an additional 46,360 shares during the last quarter. Institutional investors and hedge funds own 67.30% of the companys stock. Consolidated Edison Company Profile (Get Free Report) Consolidated Edison, Inc, through its subsidiaries, engages in the regulated electric, gas, and steam delivery businesses in the United States. It offers electric services to approximately 3.6 million customers in New York City and Westchester County; gas to approximately 1.1 million customers in Manhattan, the Bronx, parts of Queens, and Westchester County; and steam to approximately 1,530 customers in parts of Manhattan. Featured Stories Receive News & Ratings for Consolidated Edison Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Consolidated Edison and related companies with MarketBeat.com's FREE daily email newsletter. Sienna Senior Living (TSE:SIA Get Free Report) had its price objective lifted by stock analysts at Royal Bank of Canada from C$13.00 to C$14.00 in a research report issued to clients and investors on Wednesday, BayStreet.CA reports. The firm currently has a sector perform rating on the stock. Royal Bank of Canadas price target suggests a potential upside of 16.57% from the stocks previous close. Several other analysts also recently weighed in on SIA. National Bankshares lifted their target price on shares of Sienna Senior Living from C$12.50 to C$13.50 in a report on Monday. CIBC lowered their target price on shares of Sienna Senior Living from C$14.00 to C$13.00 in a report on Monday. Laurentian lifted their target price on shares of Sienna Senior Living from C$13.00 to C$14.00 in a report on Tuesday. Finally, TD Securities lowered their target price on shares of Sienna Senior Living from C$15.00 to C$14.00 in a report on Wednesday, July 5th. Get Sienna Senior Living alerts: Read Our Latest Stock Report on Sienna Senior Living Sienna Senior Living Stock Performance Sienna Senior Living Company Profile Sienna Senior Living stock traded up C$0.16 during midday trading on Wednesday, hitting C$12.01. 122,961 shares of the companys stock were exchanged, compared to its average volume of 216,912. The stock has a market cap of C$876.01 million, a price-to-earnings ratio of -48.04 and a beta of 1.10. The firms 50 day simple moving average is C$11.49 and its 200 day simple moving average is C$11.36. The company has a debt-to-equity ratio of 244.18, a quick ratio of 0.23 and a current ratio of 0.23. Sienna Senior Living has a 12-month low of C$10.32 and a 12-month high of C$13.98. (Get Free Report) Sienna Senior Living Inc provides senior living and long-term care (LTC) services in Canada. It operates through Retirement and LTC segments. The company offers a range of seniors' living options, including independent supportive and assisted living, memory care, and long-term care services. The company was formerly known as Leisureworld Senior Care Corporation and changed its name to Sienna Senior Living Inc in May 2015. Featured Stories Receive News & Ratings for Sienna Senior Living Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Sienna Senior Living and related companies with MarketBeat.com's FREE daily email newsletter. StockNews.com began coverage on shares of WidePoint (NYSEAMERICAN:WYY Free Report) in a report released on Sunday. The firm issued a sell rating on the technology companys stock. WidePoint Stock Performance Shares of WidePoint stock opened at $1.73 on Friday. WidePoint has a one year low of $1.70 and a one year high of $2.94. The company has a market cap of $15.07 million, a price-to-earnings ratio of -0.99 and a beta of 1.04. The businesss 50 day moving average price is $1.87 and its 200 day moving average price is $1.92. Get WidePoint alerts: WidePoint (NYSEAMERICAN:WYY Get Free Report) last posted its earnings results on Monday, May 15th. The technology company reported ($0.11) EPS for the quarter. WidePoint had a negative net margin of 16.03% and a positive return on equity of 2.98%. The business had revenue of $25.27 million during the quarter. Institutional Inflows and Outflows WidePoint Company Profile Institutional investors and hedge funds have recently bought and sold shares of the stock. Susquehanna International Group LLP purchased a new stake in shares of WidePoint in the 1st quarter valued at about $25,000. UBS Group AG acquired a new stake in shares of WidePoint in the 1st quarter worth approximately $29,000. Citadel Advisors LLC grew its holdings in shares of WidePoint by 121.1% in the 3rd quarter. Citadel Advisors LLC now owns 13,577 shares of the technology companys stock worth $29,000 after acquiring an additional 7,437 shares during the period. EA Series Trust acquired a new stake in shares of WidePoint in the 2nd quarter worth approximately $35,000. Finally, Renaissance Technologies LLC acquired a new stake in shares of WidePoint in the 2nd quarter worth approximately $37,000. 11.85% of the stock is owned by institutional investors and hedge funds. (Get Free Report) WidePoint Corporation provides technology management as a service (TMaaS) to the government and business enterprises in North America and Europe. The company offers TMaaS solutions through a secure federal government certified proprietary portal and secure enterprise portal that provides ability to manage, analyze, and protect communications assets, as well as deploy identity management solutions that provide secured virtual and physical access to restricted environments. See Also Receive News & Ratings for WidePoint Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for WidePoint and related companies with MarketBeat.com's FREE daily email newsletter. Tocqueville Asset Management L.P. decreased its stake in shares of The Charles Schwab Co. (NYSE:SCHW Free Report) by 19.9% in the 1st quarter, according to its most recent Form 13F filing with the SEC. The fund owned 777,577 shares of the financial services providers stock after selling 193,402 shares during the period. Charles Schwab accounts for 0.8% of Tocqueville Asset Management L.P.s portfolio, making the stock its 29th largest position. Tocqueville Asset Management L.P.s holdings in Charles Schwab were worth $40,729,000 as of its most recent filing with the SEC. Other institutional investors and hedge funds have also recently bought and sold shares of the company. Cavalier Investments LLC increased its position in shares of Charles Schwab by 5.8% in the first quarter. Cavalier Investments LLC now owns 7,510 shares of the financial services providers stock worth $393,000 after acquiring an additional 414 shares in the last quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC increased its position in shares of Charles Schwab by 11.6% in the first quarter. Nelson Van Denburg & Campbell Wealth Management Group LLC now owns 20,328 shares of the financial services providers stock worth $1,065,000 after acquiring an additional 2,111 shares in the last quarter. Fusion Capital LLC acquired a new stake in shares of Charles Schwab in the first quarter worth $266,000. WealthPLAN Partners LLC acquired a new stake in shares of Charles Schwab in the first quarter worth $851,000. Finally, Kentucky Retirement Systems Insurance Trust Fund acquired a new stake in shares of Charles Schwab in the first quarter worth $2,843,000. Institutional investors and hedge funds own 82.77% of the companys stock. Get Charles Schwab alerts: Analyst Upgrades and Downgrades Several equities research analysts have recently issued reports on the stock. Piper Sandler increased their price objective on shares of Charles Schwab from $77.00 to $86.00 and gave the stock an overweight rating in a research report on Monday, July 24th. Argus upped their target price on shares of Charles Schwab from $70.00 to $81.00 in a research note on Wednesday, July 19th. Barclays upped their target price on shares of Charles Schwab from $62.00 to $70.00 in a research note on Wednesday, July 19th. UBS Group upped their target price on shares of Charles Schwab from $67.00 to $80.00 and gave the company a buy rating in a research note on Monday, July 24th. Finally, Citigroup upped their target price on shares of Charles Schwab from $65.00 to $75.00 in a research note on Wednesday, July 19th. Three equities research analysts have rated the stock with a sell rating, two have issued a hold rating and thirteen have given a buy rating to the companys stock. According to MarketBeat.com, the company presently has an average rating of Moderate Buy and a consensus price target of $72.09. Charles Schwab Stock Performance Shares of Charles Schwab stock traded down $0.36 during trading hours on Wednesday, reaching $60.61. The companys stock had a trading volume of 2,552,570 shares, compared to its average volume of 17,798,063. The companys 50 day simple moving average is $59.89 and its 200 day simple moving average is $60.37. The company has a debt-to-equity ratio of 0.80, a quick ratio of 0.39 and a current ratio of 0.39. The Charles Schwab Co. has a one year low of $45.00 and a one year high of $86.63. The stock has a market cap of $107.29 billion, a P/E ratio of 17.78, a price-to-earnings-growth ratio of 3.55 and a beta of 0.91. Charles Schwab (NYSE:SCHW Get Free Report) last posted its quarterly earnings results on Tuesday, July 18th. The financial services provider reported $0.75 earnings per share (EPS) for the quarter, topping analysts consensus estimates of $0.71 by $0.04. Charles Schwab had a net margin of 33.15% and a return on equity of 27.54%. The company had revenue of $4.66 billion for the quarter, compared to the consensus estimate of $4.61 billion. During the same period last year, the firm posted $0.97 EPS. The companys revenue for the quarter was down 8.6% on a year-over-year basis. As a group, analysts expect that The Charles Schwab Co. will post 3.28 EPS for the current fiscal year. Charles Schwab Dividend Announcement The company also recently disclosed a quarterly dividend, which will be paid on Friday, August 25th. Investors of record on Friday, August 11th will be issued a $0.25 dividend. The ex-dividend date is Thursday, August 10th. This represents a $1.00 dividend on an annualized basis and a dividend yield of 1.65%. Charles Schwabs dividend payout ratio (DPR) is presently 29.15%. Insider Buying and Selling In related news, Chairman Charles R. Schwab sold 77,640 shares of the stock in a transaction dated Monday, May 22nd. The shares were sold at an average price of $51.76, for a total value of $4,018,646.40. Following the sale, the chairman now owns 59,771,278 shares of the companys stock, valued at approximately $3,093,761,349.28. The transaction was disclosed in a filing with the SEC, which can be accessed through this hyperlink. In related news, Chairman Charles R. Schwab sold 77,640 shares of the stock in a transaction dated Monday, May 22nd. The shares were sold at an average price of $51.76, for a total value of $4,018,646.40. Following the sale, the chairman now owns 59,771,278 shares of the companys stock, valued at approximately $3,093,761,349.28. The transaction was disclosed in a filing with the SEC, which can be accessed through this hyperlink. Also, Chairman Charles R. Schwab sold 75,760 shares of the firms stock in a transaction dated Wednesday, July 26th. The shares were sold at an average price of $66.60, for a total transaction of $5,045,616.00. Following the transaction, the chairman now directly owns 59,672,778 shares in the company, valued at approximately $3,974,207,014.80. The disclosure for this sale can be found here. Insiders have sold a total of 225,447 shares of company stock valued at $13,866,915 in the last quarter. Company insiders own 6.60% of the companys stock. Charles Schwab Profile (Free Report) The Charles Schwab Corporation, together with its subsidiaries, operates as a savings and loan holding company that provides wealth management, securities brokerage, banking, asset management, custody, and financial advisory services. The company operates in two segments, Investor Services and Advisor Services. See Also Want to see what other hedge funds are holding SCHW? Visit HoldingsChannel.com to get the latest 13F filings and insider trades for The Charles Schwab Co. (NYSE:SCHW Free Report). Receive News & Ratings for Charles Schwab Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Charles Schwab and related companies with MarketBeat.com's FREE daily email newsletter. Youdao, Inc. (NYSE:DAO Get Free Report) was the target of a significant decline in short interest during the month of July. As of July 31st, there was short interest totalling 557,500 shares, a decline of 14.2% from the July 15th total of 649,700 shares. Based on an average daily trading volume, of 198,800 shares, the days-to-cover ratio is presently 2.8 days. Currently, 1.8% of the companys stock are short sold. Youdao Trading Down 5.5 % NYSE:DAO traded down $0.21 during trading hours on Wednesday, reaching $3.64. 110,693 shares of the company traded hands, compared to its average volume of 228,912. The businesss 50-day moving average price is $4.73 and its two-hundred day moving average price is $6.11. The stock has a market capitalization of $454.24 million, a P/E ratio of -3.76 and a beta of -0.19. Youdao has a 1 year low of $3.03 and a 1 year high of $10.00. Get Youdao alerts: Youdao (NYSE:DAO Get Free Report) last announced its quarterly earnings data on Thursday, May 25th. The company reported ($0.24) earnings per share (EPS) for the quarter. The business had revenue of $169.39 million during the quarter. Institutional Inflows and Outflows Youdao Company Profile A number of large investors have recently added to or reduced their stakes in DAO. Envestnet Asset Management Inc. purchased a new position in Youdao during the first quarter valued at $108,000. BlackRock Inc. raised its stake in shares of Youdao by 11.2% in the first quarter. BlackRock Inc. now owns 707,704 shares of the companys stock worth $5,023,000 after purchasing an additional 71,475 shares during the last quarter. Engineers Gate Manager LP purchased a new position in shares of Youdao in the first quarter worth $124,000. State Street Corp raised its stake in shares of Youdao by 3.1% in the first quarter. State Street Corp now owns 219,217 shares of the companys stock worth $1,556,000 after purchasing an additional 6,552 shares during the last quarter. Finally, Jane Street Group LLC purchased a new position in shares of Youdao in the first quarter worth $87,000. Hedge funds and other institutional investors own 16.56% of the companys stock. (Get Free Report) Youdao, Inc, an internet technology company, provides online services in the field of content, community, communication, and commerce in China. It operates through three segments: Learning Services, Smart Devices, and Online Marketing Services. The company provides various learning content, applications, and solutions, which cover topics and target people from various age groups for their learning needs through its websites and mobile applications. Further Reading Receive News & Ratings for Youdao Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Youdao and related companies with MarketBeat.com's FREE daily email newsletter. Carlisle Companies Incorporated (NYSE:CSL Free Report) Investment analysts at Zacks Research upped their Q2 2024 earnings per share estimates for shares of Carlisle Companies in a research note issued to investors on Wednesday, August 9th. Zacks Research analyst S. Roy now anticipates that the conglomerate will earn $5.82 per share for the quarter, up from their previous estimate of $5.73. The consensus estimate for Carlisle Companies current full-year earnings is $17.61 per share. Zacks Research also issued estimates for Carlisle Companies Q4 2024 earnings at $5.02 EPS, Q1 2025 earnings at $4.04 EPS and Q2 2025 earnings at $6.16 EPS. Get Carlisle Companies alerts: A number of other equities analysts have also recently issued reports on CSL. BMO Capital Markets cut their target price on shares of Carlisle Companies from $335.00 to $310.00 in a report on Monday, May 1st. Robert W. Baird raised their price objective on shares of Carlisle Companies from $310.00 to $320.00 in a research note on Thursday, July 27th. Loop Capital lowered their price objective on shares of Carlisle Companies from $300.00 to $285.00 in a research note on Friday, April 28th. Finally, StockNews.com began coverage on shares of Carlisle Companies in a research note on Thursday, May 18th. They set a hold rating on the stock. One analyst has rated the stock with a hold rating and five have assigned a buy rating to the stock. According to data from MarketBeat, the company currently has an average rating of Moderate Buy and a consensus target price of $313.33. Carlisle Companies Stock Down 1.7 % Shares of Carlisle Companies stock opened at $281.87 on Monday. The business has a 50-day moving average of $260.91 and a 200-day moving average of $240.46. The company has a debt-to-equity ratio of 0.75, a current ratio of 2.58 and a quick ratio of 2.00. The company has a market capitalization of $14.07 billion, a PE ratio of 20.26, a P/E/G ratio of 0.96 and a beta of 0.95. Carlisle Companies has a 1-year low of $203.65 and a 1-year high of $318.71. Carlisle Companies (NYSE:CSL Get Free Report) last announced its quarterly earnings results on Wednesday, July 26th. The conglomerate reported $5.18 earnings per share (EPS) for the quarter, beating the consensus estimate of $4.73 by $0.45. The firm had revenue of $1.53 billion during the quarter, compared to the consensus estimate of $1.58 billion. Carlisle Companies had a return on equity of 29.71% and a net margin of 12.18%. The firms quarterly revenue was down 14.0% compared to the same quarter last year. During the same period in the prior year, the firm earned $6.15 EPS. Carlisle Companies Increases Dividend The firm also recently declared a quarterly dividend, which will be paid on Friday, September 1st. Shareholders of record on Thursday, August 17th will be given a $0.85 dividend. This is a positive change from Carlisle Companiess previous quarterly dividend of $0.75. The ex-dividend date of this dividend is Wednesday, August 16th. This represents a $3.40 annualized dividend and a yield of 1.21%. Carlisle Companiess payout ratio is 21.57%. Insider Buying and Selling In related news, insider Lori A. Snyder sold 300 shares of the firms stock in a transaction that occurred on Friday, July 28th. The shares were sold at an average price of $277.37, for a total value of $83,211.00. Following the completion of the transaction, the insider now owns 548 shares of the companys stock, valued at approximately $151,998.76. The transaction was disclosed in a document filed with the Securities & Exchange Commission, which is available at the SEC website. Company insiders own 1.30% of the companys stock. Institutional Investors Weigh In On Carlisle Companies Several institutional investors and hedge funds have recently modified their holdings of the business. Huntington National Bank lifted its stake in shares of Carlisle Companies by 7.0% in the 2nd quarter. Huntington National Bank now owns 535 shares of the conglomerates stock valued at $137,000 after purchasing an additional 35 shares during the last quarter. Tokio Marine Asset Management Co. Ltd. raised its holdings in Carlisle Companies by 3.4% in the 2nd quarter. Tokio Marine Asset Management Co. Ltd. now owns 1,159 shares of the conglomerates stock worth $297,000 after acquiring an additional 38 shares during the period. Archer Investment Corp raised its holdings in Carlisle Companies by 20.0% in the 1st quarter. Archer Investment Corp now owns 300 shares of the conglomerates stock worth $77,000 after acquiring an additional 50 shares during the period. DAVENPORT & Co LLC raised its holdings in Carlisle Companies by 0.9% in the 2nd quarter. DAVENPORT & Co LLC now owns 5,704 shares of the conglomerates stock worth $1,463,000 after acquiring an additional 50 shares during the period. Finally, Toronto Dominion Bank raised its holdings in Carlisle Companies by 0.3% in the 1st quarter. Toronto Dominion Bank now owns 18,102 shares of the conglomerates stock worth $4,091,000 after acquiring an additional 52 shares during the period. Institutional investors and hedge funds own 87.45% of the companys stock. Carlisle Companies Company Profile (Get Free Report) Carlisle Companies Incorporated operates as a manufacturer of engineered products in the United States, Europe, Asia, Mexico, the United Kingdom, and internationally. It operates through four segments: Carlisle Construction Materials, Carlisle Weatherproofing Technologies, Carlisle Interconnect Technologies, and Carlisle Fluid Technologies. Featured Stories Receive News & Ratings for Carlisle Companies Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for Carlisle Companies and related companies with MarketBeat.com's FREE daily email newsletter. KBR, Inc. (NYSE:KBR Free Report) Zacks Research dropped their Q3 2023 earnings per share (EPS) estimates for KBR in a research report issued to clients and investors on Thursday, August 10th. Zacks Research analyst S. Mukherjee now expects that the construction company will post earnings per share of $0.70 for the quarter, down from their previous estimate of $0.74. The consensus estimate for KBRs current full-year earnings is $2.90 per share. Zacks Research also issued estimates for KBRs Q4 2023 earnings at $0.72 EPS, Q1 2024 earnings at $0.74 EPS, Q2 2024 earnings at $0.92 EPS, Q3 2024 earnings at $0.98 EPS, Q4 2024 earnings at $0.98 EPS, FY2024 earnings at $3.62 EPS, Q1 2025 earnings at $1.07 EPS, Q2 2025 earnings at $1.16 EPS and FY2025 earnings at $4.75 EPS. Get KBR alerts: Several other equities research analysts also recently issued reports on the company. DA Davidson raised their price target on KBR from $70.00 to $75.00 and gave the company a buy rating in a research report on Monday, July 31st. TheStreet downgraded KBR from a b+ rating to a c rating in a research report on Thursday, July 27th. Citigroup raised their price target on KBR from $68.00 to $75.00 and gave the company a buy rating in a research report on Monday, July 24th. Stifel Nicolaus raised their price target on KBR from $72.00 to $75.00 and gave the company a buy rating in a research report on Tuesday, July 18th. Finally, StockNews.com raised KBR from a hold rating to a buy rating in a research report on Wednesday, August 2nd. Eight equities research analysts have rated the stock with a buy rating, According to data from MarketBeat.com, the company currently has an average rating of Buy and an average target price of $69.43. KBR Trading Down 1.8 % Shares of NYSE:KBR opened at $60.46 on Monday. The company has a market cap of $8.16 billion, a P/E ratio of -65.01, a P/E/G ratio of 1.52 and a beta of 1.11. The stocks 50-day moving average is $63.47 and its 200-day moving average is $58.38. KBR has a 52-week low of $41.96 and a 52-week high of $65.87. The company has a debt-to-equity ratio of 1.00, a quick ratio of 1.05 and a current ratio of 1.05. KBR (NYSE:KBR Get Free Report) last announced its quarterly earnings data on Thursday, July 27th. The construction company reported $0.74 earnings per share (EPS) for the quarter, topping the consensus estimate of $0.70 by $0.04. The business had revenue of $1.75 billion during the quarter, compared to analyst estimates of $1.75 billion. KBR had a positive return on equity of 24.94% and a negative net margin of 1.46%. KBRs quarterly revenue was up 8.5% compared to the same quarter last year. During the same period last year, the company earned $0.76 earnings per share. Insider Buying and Selling In other KBR news, insider William Byron Jr. Bright sold 20,000 shares of the firms stock in a transaction dated Friday, August 11th. The shares were sold at an average price of $60.68, for a total transaction of $1,213,600.00. Following the completion of the transaction, the insider now owns 57,986 shares in the company, valued at $3,518,590.48. The sale was disclosed in a filing with the SEC, which can be accessed through the SEC website. In other news, EVP Jennifer Myles sold 480 shares of KBR stock in a transaction that occurred on Tuesday, June 20th. The shares were sold at an average price of $65.32, for a total value of $31,353.60. Following the completion of the transaction, the executive vice president now owns 14,520 shares in the company, valued at $948,446.40. The transaction was disclosed in a filing with the Securities & Exchange Commission, which is accessible through this hyperlink. Also, insider William Byron Jr. Bright sold 20,000 shares of KBR stock in a transaction that occurred on Friday, August 11th. The shares were sold at an average price of $60.68, for a total transaction of $1,213,600.00. Following the completion of the transaction, the insider now owns 57,986 shares of the companys stock, valued at approximately $3,518,590.48. The disclosure for this sale can be found here. Insiders have sold a total of 143,656 shares of company stock valued at $8,778,690 over the last three months. 1.03% of the stock is owned by corporate insiders. Institutional Inflows and Outflows A number of institutional investors have recently modified their holdings of the company. XTX Topco Ltd bought a new position in shares of KBR in the second quarter valued at approximately $257,000. Y Intercept Hong Kong Ltd raised its stake in shares of KBR by 119.7% in the second quarter. Y Intercept Hong Kong Ltd now owns 18,682 shares of the construction companys stock valued at $1,215,000 after acquiring an additional 10,178 shares during the last quarter. Lazard Asset Management LLC bought a new position in shares of KBR in the second quarter valued at approximately $121,000. Point72 Asset Management L.P. raised its stake in shares of KBR by 22.1% in the second quarter. Point72 Asset Management L.P. now owns 157,489 shares of the construction companys stock valued at $10,246,000 after acquiring an additional 28,456 shares during the last quarter. Finally, Point72 Middle East FZE bought a new position in shares of KBR in the second quarter valued at approximately $4,110,000. KBR Company Profile (Get Free Report) KBR, Inc provides scientific, technology, and engineering solutions to governments and commercial customers worldwide. The company operates through Government Solutions and Sustainable Technology Solutions segments. The Government Solutions segment offers life-cycle support solutions to defense, intelligence, space, aviation, and other programs and missions for military and other government agencies in the United States, the United Kingdom, and Australia. Further Reading Receive News & Ratings for KBR Daily - Enter your email address below to receive a concise daily summary of the latest news and analysts' ratings for KBR and related companies with MarketBeat.com's FREE daily email newsletter. For those who live in Shangcheng District, Hangzhou, in east China's Zhejiang Province, Hangzhou Sunshine Arts School is a place with "treasures." The school designs and organizes many interesting courses and activities, for free, in neighboring communities. Covering traditional Chinese culture and information related to various subjects, the courses and activities have become popular among the children, as they can learn information, and make friends, during the events. Wang Mengting, a piano teacher and founder of the school, has worked in education for more than a decade. She has a unique understanding of both family education and the parent-child relationship. "Today, children are the core of most families. Families with well-educated children are often harmonious. If there is a problem in family education, children will have problems. We hope, by taking part in our activities and communicating with neighbors, parents will update their family education values, and have better parent-child relationships," Wang says. In 2020, supported by Hangzhou Civil Affairs Bureau and Hangzhou Women's Federation, Wang's school organized the Hand in Hand, Building Beautiful Communities Project. By holding various colorful activities, involving culture, sports, science and education, within the communities, the organizer hoped the project would nurture children's healthy growth, benefit their families and communities, and exert a positive influence on society. So far, 185 parent-child activities have been organized in 32 communities in the districts of Shangcheng, Gongshu, Binjiang and Qiantang. Some 5,600 families have participated in those activities. Attracting Children While organizing the project, Wang and her team sent out 130 questionnaires, to learn about residents' ideas about community-based activities. The answers received indicated residents, especially children, in some communities, had a low interest in taking part in public activities. The team had to think of ways to improve the activities to satisfy children's needs. The teachers often brainstormed, and they carefully designed the themes of activities. "Who knows what type of flower is called China Rose?" "Can you give me a word that is both a fruit and a color?" One teacher put such questions to the children during a recent English class, organized as part of the project. The 19th Asian Games will be held in Hangzhou in September 2023. The English class is designed to help create a friendly atmosphere for foreign athletes and visitors who will come to Hangzhou. Children learned English through word games and role playing, and they completed quizzes on good manners. China's tea culture reached its peak during the Song Dynasty (960-1279). To inherit and promote fine traditional Chinese culture among children, Wang's team organized tea-themed activities in several communities. During the activities, the children read Song poems, gave a fashion show of hanfu, or Han clothing, and learned about the lifestyle of the Song people. With the teachers' help, the children also experienced Song-tea-drinking procedures. The team has organized activities to coincide with special days and festivals. For example, to celebrate the Year of Rabbit (2023), an activity was held in February, during which the children created clay works on the theme of New Year blessings. Also in February, an activity was held so children could learn the traditional craft of making cloisonne. The team has done its best to organize activities in every community near Hangzhou Sunshine Arts School. It has helped the communities establish children's activity groups, and it has shared, with social workers, its experiences in organizing classes and activities. The Hand in Hand, Building Beautiful Communities Project has been enriching children's lives, and it has been spurring children and their parents to participate in the development of their communities. The project highlights the goal of helping children develop healthy hobbies and a positive attitude toward life. For Public Interest "Fostering talents and serving public interests" is the mission of Hangzhou Sunshine Arts School. The school has 118 faculty members, among whom 99 percent are women. As a nonprofit organization focusing on arts-related education, the school has been advancing the spirit volunteerism, especially dedication, friendship, mutual help and progress through charitable activities. In September 2019, Wang volunteered to assist with poverty-alleviation efforts in Enshi, a city in central China's Hubei Province. She was named honorary head of Maoshan Village, in Enshi. When she visited a primary school, deep in the mountain near the village, she learned many of the students liked taking arts-related classes, such as dancing and singing, but the school's poorly constructed arts classroom, and the simple teaching facilities, failed to meet students' needs. Wang decided to donate money to build a professional arts classroom for the school. After she returned to Hangzhou, she raised the funds needed to purchase materials and equipment including stereos, mirrors and exercise mats needed for the arts classroom. The weather turned cold while the classroom was being constructed. Wang hoped the students would have a new, warm classroom before winter. Eventually, a spacious, and professional, classroom was finished, and Wang presented it, as a New Year's gift, to the school's 60-plus students. Since then, Wang has taught arts classes, online, to the students. She has also provided assistance to four primary schools in Enshi. Through care-based services, Wang and her team have always done their best to promote the healthy growth of both rural and urban children. (Women of China English Monthly June 2023 issue) China makes serious demarches to Japanese politician's irresponsible remarks on Taiwan question: spokesperson Xinhua) 09:06, August 16, 2023 BEIJING, Aug. 15 (Xinhua) -- China has made serious demarches to Japan over its certain politician's visit to Taiwan and his irresponsible remarks, a Chinese Foreign Ministry spokesperson said on Tuesday. Spokesperson Wang Wenbin made the remarks at a press briefing when asked to comment that according to a Japanese lawmaker who accompanied Taro Aso, Vice President of the Liberal Democratic Party and former Prime Minister of Japan, on his visit to Taiwan, Aso had discussed his remark in Taiwan about "a readiness to fight" and "deterrence" with the Japanese government beforehand, and the remark is in line with Japan's official view. Taiwan is part of China, Wang said, pointing out that the Taiwan question is purely China's internal affair that brooks no foreign interference. Wang pointed out that the Cairo Declaration issued by China, the U.S. and the UK in 1943 made it clear that Taiwan and all the other territories Japan stole from China shall be restored to China. Article 8 of the Potsdam Proclamation in 1945 reaffirms this. The Instrument of Surrender signed by Japan in 1945 says explicitly that Japan accepts the Potsdam Proclamation. The China-Japan Joint Statement signed in 1972 when the two sides normalized diplomatic relations states that the Japanese government fully understands and respects the position of the Chinese government that Taiwan is an inalienable part of China's territory and declares the commitment to Article 8 of the Potsdam Proclamation. Wang said in 1978, the two countries concluded the Treaty of Peace and Friendship between China and Japan, which affirms the principles set out in the joint statement in legal form. The third and fourth political documents concluded in 1998 and 2008 respectively both reiterate Japan's commitment to the position on the Taiwan question stipulated in the joint statement. Japan has more than once made serious commitments to China on the Taiwan question, including not supporting "two Chinas," "one China, one Taiwan" or "Taiwan independence," and only maintaining people-to-people and region-to-region exchanges with Taiwan, Wang added. "Those are the historical context of the issue, and the principles and position that Japan must abide by," said Wang. "I wish to stress that the Taiwan question bears on China's core interests, the political foundation of China-Japan relations, and basic trust and principles between the two countries," Wang said, urging Japan to adhere to the one-China principle and the spirit of the four political documents between China and Japan, and take concrete action to honor its political commitment and related statements. "China is ready to take all measures necessary to firmly safeguard its sovereignty and territorial integrity," Wang said. (Web editor: Zhang Kaiwei, Wu Chaolan) Weather Alert ...EXCESSIVE HEAT WARNING REMAINS IN EFFECT UNTIL 10 PM CDT THURSDAY... * WHAT...Dangerously hot conditions with heat index values of 105 to 115 expected. * WHERE...Southern Illinois and southeast Missouri along with the westernmost portions of southwest Indiana and western Kentucky. * WHEN...From noon today to 10 PM CDT Thursday. * IMPACTS...Extreme heat and humidity will significantly increase the potential for heat related illnesses, particularly for those working or participating in outdoor activities. * ADDITIONAL DETAILS...This will likely be the worst heat wave we have experienced this summer in terms of duration. The multiple day aspect of this excessive heat will add to the impact. PRECAUTIONARY/PREPAREDNESS ACTIONS... Drink plenty of fluids, stay in an air-conditioned room, stay out of the sun, and check up on relatives and neighbors. Young children and pets should never be left unattended in vehicles under any circumstances. Take extra precautions if you work or spend time outside. When possible reschedule strenuous activities to early morning or evening. Know the signs and symptoms of heat exhaustion and heat stroke. Wear lightweight and loose fitting clothing when possible. To reduce risk during outdoor work, the Occupational Safety and Health Administration recommends scheduling frequent rest breaks in shaded or air conditioned environments. Anyone overcome by heat should be moved to a cool and shaded location. Heat stroke is an emergency! Call 9 1 1. && Weather Alert ...EXCESSIVE HEAT WARNING IN EFFECT FROM NOON TODAY TO 10 PM CDT THURSDAY... * WHAT...Dangerously hot conditions with heat index values of 105 to 115 expected. * WHERE...Portions of southern Illinois, southwest Indiana and western Kentucky. Generally locations along and northwest of a line from Fulton to Paducah Kentucky to Shawneetown Illinois to Princeton Indiana. * WHEN...From noon today to 10 PM CDT Thursday. * IMPACTS...Extreme heat and humidity will significantly increase the potential for heat related illnesses, particularly for those working or participating in outdoor activities. * ADDITIONAL DETAILS...This will likely be the worst heat wave we have experienced this summer in terms of duration. The multiple day aspect of this excessive heat will add to the impact. PRECAUTIONARY/PREPAREDNESS ACTIONS... Drink plenty of fluids, stay in an air-conditioned room, stay out of the sun, and check up on relatives and neighbors. Young children and pets should never be left unattended in vehicles under any circumstances. Take extra precautions if you work or spend time outside. When possible reschedule strenuous activities to early morning or evening. Know the signs and symptoms of heat exhaustion and heat stroke. Wear lightweight and loose fitting clothing when possible. To reduce risk during outdoor work, the Occupational Safety and Health Administration recommends scheduling frequent rest breaks in shaded or air conditioned environments. Anyone overcome by heat should be moved to a cool and shaded location. Heat stroke is an emergency! Call 9 1 1. && The Socialist Equality Party (SEP) and the International Youth and Students for Social Equality (IYSSE) in Sri Lanka are holding a public anti-war meeting entitled The Ukraine War and How to Stop It at the Political Science Department in the University of Peradeniya, on August 22 at 4.00 P.M. The event is sponsored by the Political Science Association in the universitys Political Science Department. The meeting will discuss how to build up a movement of the working class to put an end to the US-NATO war against Russia and prevent a descent into a devastating nuclear third world war. The horrors of the escalating Ukraine war are already evident in media reports of nearly 200,000 Ukrainian deaths, while the Russian death toll is also in the tens of thousands. Yet the US and NATO are intensifying the war, pouring more weapons and money into Ukraine. The Biden administration is sending cluster bombs to Ukraine and has approved $US113 billion for the war, including $70 billion directly on weapons. At the same time, the US is escalating its provocative confrontation with China, providing $345 million in weapons to Taiwan. India has been transformed into a frontline state in the US war drive against China. The state visit to Washington in June by fascistic Indian prime minister Narendra Modis concluded with agreements on arms sales and joint military production. US ally Australia is currently hosting wide-ranging naval war games alongside the chief military powers of the Indo-Pacific regionUS, Japan and India. The Malabar exercises come on top of a flurry of US-led military activities throughout the region directed against China. Preparations for a catastrophic third world war are underway with many countries wasting billions of dollars on boosting air, sea and ground forces at the expense of working people. However, the same objective crisis of capitalism fuelling war is generating workers struggles throughout the world. The ICFI and its sections around the world are engaged in building a unified international anti-war movement of the working class, the only class that can stop the war. We urge workers and youth to attend our meeting and participate in this important discussion on the program and perspective on which such an anti-war movement must be based. Venue: Lecture Hall No. 86, Political Science Department, University of Peradeniya. Date and time: August 22 at 4.00 P.M. To discuss joining the autoworkers rank-and-file committee network, fill out the form at the end of this article. Sign up for text message updates on the Big Three contract fight by texting AUTO to (866) 847-1086. The rank-and-file committee at the sprawling complex of General Motors Assembly in Flint, Michigana local chapter of the International Workers Alliance of Rank-and-File Committeesissued the following statement after tornado warnings and simultaneous flash flooding forced workers to halt the assembly line and take shelter last Friday night. It will come as no surprise to autoworkers elsewhere that officials from United Auto Workers Local 598 seemed to have disappeared during the emergencyanother compelling reason to build the network of rank-and-file committees whose aim is to abolish the union apparatus and return power and decision-making to the shop floor. A call to action Sisters and brothers: The life-threatening emergency that took place just after 8 p.m., Friday, August 11 underscores the urgent need to build up the GM Flint Rank-and-File Committee and prepare to take back control over protecting our own safety and security on the job. Storm drains backed up and geysers of water flooded the plant in many areas while sirens blared tornado warnings because of a violent storm nearby. The entire factory should have been shut down and secured long before the situation reached that dangerous point. It goes to show that nothing has changed since the Fain administration was installed at Solidarity House. Collusion between the union bureaucracy and management places General Motors profit margin at the top of their top priorities, and our safety and security at a distant bottom of the list. The National Weather Service had issued a flash flood warning for parts of Genesee County, including Flint, Burton, and Swartz Creek, earlier in the day. Moreover, it has been common knowledge for generations that our plant occupies both a flood plain and a location that is prone to tornadoes. The town of Perry in nearby Shiawassee County did suffer major damage when a tornado touched down that same evening. For many of us on the line, the sirens were the first indication that a super cell thunderstorm was approaching. When it hit, one shift was at lunch, and some people felt their cars rock back and forth. Soon management came running and screaming for us to take shelter in the tunnel. A worker from trim reported that management delayed restarting the line for 10 minutes after lunch, as if that would help us recover from the chaos that consumed our lunch break. When the line did start, they wanted us back in the tunnel again because of another funnel cloud, she said. Widespread confusion seemed to compound hazards that were opening up on every side. We couldnt take shelter because by that time the plant was flooding all over the place: final line, chassis, one motor line and the truck docks. Water was pouring through the roof and erupting in geysers from drains that were overflowing. Fork truck drivers refused to pass through the puddles because they could slip and lose control. Production was halted not because of any concern for our safety, but rather because the axles were not getting delivered to the line. Like at the beginning of the pandemic, it was the concern for our mutual safety of our brothers and sistersrank-and-file workers taking action to stop production in the face of life-threatening dangerthat protected their safety and ours. The wildcat strikes in early 2020, at the first signs of the pandemic, saved thousands of lives. By contrast, the union bureaucracy worked with the companies and the government to force us back to work in May 2020 and keep us there pumping out record profits ever since. Thats when the pandemic took its terrible toll. After the storm, we sat idle for most of the shift while supervisors scrambled around with brooms in a futile attempt to sweep water off the floor and get the line running again. Its never our safety but the companys money that makes them run. General Motors only cares about their trucks and that the profits we have created keep flowing to their investors. A fellow worker described it like this: Any time there is heavy rain, there will be large puddles throughout the building. Facilities attempt to keep up with absorbent mats like those in fuel spill kits, he said. They use caution signs, but standing water is often left unattended for hours. Sometimes they will use trash cans when they dont have proper signage. Our committee demands a full investigation, under the supervision of trusted workers, into the roof leaks and the failure of storm-water drainage systems and the immediate implementation of the necessary repairs. Moreover, the warning system for tornadoes and violent thunderstorms must be reviewed and upgraded to provide timely warnings so that all employees will have plenty of time to find safe shelter. Severe weather events in recent years have enacted a growing toll on workers in recent years, making clear the storm on Friday could have turned out much worse. In December 2021, more than a dozen workers were killed in Illinois and Kentucky specifically because they were forced to stay at work instead of leaving when a massive series of tornadoes ripped through the states. Six people were killed at the Amazon warehouse in Evansville, Illinois, and another eight killed at a candle factory in Mayfield, Kentucky. In total, 89 people across six states died from the storm system. Jeff Bezos, the billionaire oligarch, founder and former CEO of Amazon, has never been held accountable for those deaths or the many hundreds more who perished because they were forced to work at Amazon fulfillment centers throughout the world during the pandemic. There are many other health and safety risks workers face. Flint residents are tragically familiar with the deadly Legionnaires disease. Now there are two cases at the Stellantis truck plant in Warren, Michigan. At least six workers died of COVID-19 at the same plant in 2020 alone. It has been shown time and again that no onenot the UAW bureaucracy, nor management, nor OSHA or other state agencieswill protect our health and safety, except for workers ourselves. Real safety on the job can only be established if we organize rank-and-file committees to take control into the hands of the most trusted workers on the shop floor. These events occur at a critical juncture in the struggle for better working conditions, secure jobs far higher wages and other improvements. Less than five weeks remain before the expiration of the Big Three auto contracts on September 14. We can no longer tolerate a situation of business as usual. Workers have the power to enforce safe conditions on every job and to place human life above private profit, but we must be organized to wield it. We urge workers to join this fight, get involved with our rank-and-file committee at GM Flint, or sign up to discuss joining or forming a committee wherever you work. A Sydney food delivery worker was killed on Saturday evening, after his motorcycle was struck by a ute in Campbelltown, in the citys southwest outskirts. The man, aged in his 20s, was pronounced dead at the site of the crash. He was the second Uber Eats rider to die in the New South Wales (NSW) capital in just three weeks. On July 22, Akshay Deepak Doultani was hit by an SUV in Epping, in Sydneys north. He was treated by paramedics, but died from his injuries at Royal North Shore Hospital. Doultani, 22, was an international student from India, undertaking a masters degree in finance at Macquarie University, after receiving a scholarship to enable him to study in Australia. Since 2017, at least 13 food delivery riders have been killed while working in Australia, but the number may be higher. Under reporting of accidents is common in the sector, in part due to the isolated character of the work and the large proportion of riders who are young migrants, often without strong community connections in Australia. Uber Eats worker [Photo by Yuya Tamai / CC BY 2.0 When Malaysian DoorDash rider Chow Khai Shien was fatally struck by an allegedly stolen car in Melbourne on September 24, 2020, the company was silent for five days. A police media release issued on September 28 described him as a yet to be identified man, although a Sydney Chinese-language news outlet had named him the previous day. In April 2020, 30-year-old Turkish student Burak Dogan was killed when his bicycle was hit by a truck in inner-west Sydney. But, although he was logged in to the Uber Eats app at the time of his death, the companys Australian General Manager Matt Denman did not include him among the three work fatalities he told a parliamentary committee hearing had occurred in the year to April 2021. The company subsequently told the Australian Broadcasting Corporation Denman had only been referring to road fatalities involving delivery people who were making a delivery with Uber Eats. Uber refused to pay compensation to Dogans family, stating that the companys insurance only covers workers while they are actively making a delivery or for 15 minutes after their previous delivery was completed or cancelled. Dogan had cancelled his last delivery 25 minutes before he was killed. Under Australian law, employees are entitled to workers compensation if they are injured or killed at work, but because gig economy workers are engaged as independent contractors, not employees, they are denied this basic workplace right, along with legal minimum wages, paid sick leave, annual leave, superannuation and other entitlements. This also means that, in almost all cases, food delivery workers have to provide their own vehicle and pay all their own expenses, including fuel and wear and tear. After a tragic run of four deaths in the state over two months in late 2020 (in addition to Chow in Victoria), SafeWork NSW established a taskforce to investigate the food delivery industry. Flowing from the inquiry, the government regulator introduced an action plan in April 2021, but it was limited to minor measures deemed acceptable by the delivery companies. According to an FAQ that was published with the plan, early proposals were modified to be less prescriptive and more suggestive based on advice from platforms. The changes demanded by business included, removing reference to the algorithm, removing controls related to the design of mapping technology, removing the specific requirement to lock people off the app after 12 hours. The changes proposed were of an extremely limited character, frequently based on whatever steps each company had already decided to implement. For Uber Eats, the sole recommendation under safe design of work was for the company to incorporate a bike safety checklist and a helmet detection feature into its app. However, all five riders who died and the 50 injured in crashes examined in preparing the report were wearing helmets at the time. While time and emotional pressures, fatigue and road and environmental conditions were identified as contributing factors to delivery rider accidents, they were almost entirely unaddressed in the action plan. One company, Easi, agreed to include a fatigue management reminder in its app, while Hungry Panda pledged to warn customers of known delays resulting from traffic conditions. Recommendations pertaining to other factors, equipment design and use of unauthorised electric bikes, rider visibility and skills and knowledge, were either patronising, requiring workers to complete an annual course on the requirement to not ride when seriously fatigued or under the influence of alcohol and other drugs, or perfunctory, such as the provision (free or at a discount) of high-visibility clothing and mobile phone holders. The action plan said nothing whatsoever about the dire and unpredictable pay common across the sectorthe single most important contributor to riders working fatigued, rushed, stressed and distracted, and to their use of poorly maintained bicycles, motorbikes and scooters. A survey of around 1,000 gig economy transport workers published by the McKell Institute in April found that 45 percent earn less than the national minimum wage. Among the food delivery workers surveyed, 57 percent were paid less than the minimum wage. Contrary to the frequent claims by businesses that workers enjoy the flexibility of gig work and predominantly use it to supplement other earnings, 81 percent of those surveyed said they were dependent on it to pay bills and survive. In an attempt to do so, 41 percent of workers were working more than 40 hours per week, with 74 percent juggling multiple apps to try to secure enough work and guard against total loss of income if their account is suddenly deactivated. While the Transport Workers Union (TWU) initially promoted the NSW taskforce and was an active participant, the union withdrew late in the process in an attempt to distance itself from the meagre action plan. However, the tepid measures recommended by SafeWork, and the corporatist manner in which the taskforce was conducted, are entirely in line with what the TWU is now putting forward. Conscious of mounting concern among workers throughout the transport industry, the most dangerous sector in the country, over safety as well as the intimately related issues of pay and working conditions, the TWU is trying to divert these sentiments into futile appeals to the parliamentary politics and support for companies that supposedly do the right thing. To this end, the TWU has promoted charters it has signed with DoorDash, Uber and Menulog. These documents commit the companies to nothing beyond good faith discussions and going hand-in-hand with the union bureaucracy to lobby the federal government or any future body, to establish agreed standards. The primary orientation of the TWU is not to ensuring work in the food delivery sector is safe, secure and well-paid. Instead the union bureaucracy is seeking to protect its own privileged position as the gig economy conditions become more common across the transport industry, and to fortifying the business model and profits of those companies that are willing to offer the union a seat at the table. The latter is also the aim of legislation proposed by the federal Labor government, falsely promoted by the TWU as lifesaving reform. In fact, this reform is about legitimising the sham contracting practices that the gig economy relies upon so that, as Workplace Relations Minister Tony Burke told the Saturday Paper, everybody who uses the technology will still be able to use it. Burke made clear that the measures are about codifying a lower tier of entitlements for gig workers, who might not be employees, but they deserve some minimum standards. Instead of the current cliff, between the rights of regular employees and those of independent contractors, Burke is seeking to establish a ramp. At best, the aim of this reform is to increase the wages and conditions of gig workers to just below those of the lowest-paid casual employees, who themselves face an increasingly intolerable situation. It will do nothing to provide a decent standard of living for, or improve the workplace safety of, food delivery workers. The latest tragic deaths are a stark reminder that gig workers cannot afford to place their lives in the hands of the unions or the Labor government. The rampant growth of insecure work, epitomised by the gig economy, is itself the result of the sweeping onslaught against full-time jobs initiated by Labor governments in the late 1980s and early 1990s with the full collaboration of the trade unions. Instead, food delivery workers need to establish independent rank-and-file committees and link up with workers throughout the transport sector and more broadly, in order to take forward a fight for secure, safe, well-paid jobs. An audio recording of former President Donald Trump talking to Georgia Secretary of State Brad Raffensperger is played as the House select committee investigating the Jan. 6 attack on the U.S. Capitol holds a hearing on Capitol Hill in Washington, Thursday, Oct. 13, 2022. [AP Photo/Jonathan Ernst/Pool Photo] The indictment of ex-President Donald Trump in Georgia, the fourth in five months, is the most serious so far. It outlines, in nearly 100 pages of detail, how Trump and dozens of co-conspirators, some indicted, some not yet, engaged in a conspiracy to overturn his defeat in the 2020 presidential election. Though limited to a single state, the indictment gives a much fuller picture than the federal indictment brought two weeks ago of the efforts by Trump and his aides to steal Georgias electoral votes, won by Democrat Joe Biden by a margin of 11,779 votes. It charges, among others, White House Chief of Staff Mark Meadows, Trumps top campaign lawyers Rudy Giuliani, Sidney Powell, Jenna Ellis and Kenneth Chesebro, outside consultants like John Eastman and high-ranking officials of the Georgia Republican Party. The charging document lists 41 criminal counts against the 19 defendants, including the blanket conspiracy charge brought against all of them, under the Georgia law targeting Racketeer Influenced and Corrupt Organizations (RICO). Some 161 overt acts are cited in furtherance of this conspiracy. These actions ranged from submitting legal tracts claimingentirely falselythat Vice President Mike Pence had the authority to reject electoral votes while chairing the ceremonial congressional certification of the electionto thuggish efforts to intimidate Atlanta election worker Ruby Freeman by visiting her home at night. Fulton County District Attorney Fani Willis set August 25 as a deadline for Trump and his co-defendants to appear for arraignment and booking and expressed the desire to bring the case to trial within six months, a daunting task with so many defendants and charges. Whatever the immediate outcome, the stage is being set for a presidential election year of unprecedented political tension and crisis. There are certain points that must be reiterated in response to the Georgia indictment. First, it is further confirmation that Trump and his co-conspirators engaged in a conspiracy to overturn the election, culminating in the attempted fascistic coup of January 6, 2021. The arrest and prosecution of all those involved is entirely appropriate and necessary. Second, just as in the federal indictment brought by Justice Department special counsel Jack Smith, the Georgia indictment raises the question: Why did it take more than two years to bring indictments against Trump and his co-conspirators? Nearly all the overt acts cited were reported in the corporate press early in 2021. Many were public, like Trumps incessant tweets giving instructions in furtherance of the campaign to overturn the election. Third, also like the federal indictment, the Georgia case limits itself mainly to the efforts by Trump to discredit the 2020 election and substitute fake electors for the Biden electors actually chosen by the voters. It is silent on the events of January 6, 2021 themselves. But in several places it touches on these events, referring to the advice of Trump aides like Jenna Ellis and Kenneth Chesebro that the then-president should adopt a strategy for disrupting and delaying the joint session of Congress on January 6, 2021. The various prosecutors and the Biden administration have tiptoed around the events of January 6 to avoid any exposure of the wider conspiracy involving most of the Republican Party leadership and significant sections of the military-intelligence apparatus, which sought to ensure the success of the Trump coup. The purpose of this cover-up is to preserve these key institutions of the ruling class and insure bipartisan support for the war policy of the Biden administration against Russia in Ukraine. But the indictment raises broader issues. The entire political system in the United States, the center of finance capital and the cockpit of imperialist war planning, is in a state of intractable crisis and factional warfare. How the ruling class will even carry out the 2024 presidential election is unclear. Trump, the leading candidate for the Republicans, faces as many as four criminal trials and five civil trials, assuming no additional cases are brought against him. Meanwhile, Hunter Biden, the son of the president, faces at least one trial for his evasion of taxes amid a continuing investigation into his corrupt dealings in both China and Ukraine, where he raked in millions trading on his fathers role as vice president in the Obama administration. Joe Biden could well be impeached by the Republican-controlled House of Representatives for his alleged role in his sons influence peddling, particularly if there is evidence that he profited personally from it. To think that the crisis originates from the personality of Trump is to blind oneself to reality. Trump is only the most grotesque expression of a political system that is rotten through and through, with pus seeping out of every pore. Congresswhere a large majority of House Republicans voted not to certify Bidens electionis comprised of millionaires and ignoramuses. Both Democrats and Republicans in the House have rejected even token restrictions on their own financial dealings, such as a ban on stock trading. The Supreme Court is itself awash in corruption, functioning now as a permanent conspiracy against the democratic rights of the American population. Should a close election end up being decided by the Supreme Court, as in 2000, the decisive vote could well be cast by Clarence Thomas, exposed in recent months as the recipient of lavish vacations, travel and other subsidies from a group of fascist billionaires, all of them seeking to influence the decisions of the high court. Politics does not exist in a void but reflects social processes. The US economy is a massive Ponzi scheme, with giant banks, corporations and hedge funds having used trillions of dollars in government bailout funds to carry out financial operations even more convoluted and opaque than those which sparked the Wall Street crash of 2008-2009. Cryptocurrency billionaire Samuel Bankman-Fried has been arrested and charged with massive fraud, but his is only the most glaring case among hundreds. Social infrastructure is in an advanced state of decay and disintegration, as exemplified most recently in the horrific destruction and devastation caused by the fire in Hawaii. Biden, speaking for a financial oligarchy obsessed with its own self-enrichment and militarist plunder, could hardly manage to speak a coherent word about it. Trump brought into the political system the methods of gangsterism, financial skullduggery and media manipulation which he learned in the course of his business career in Manhattan construction and real estate, Atlantic City casinos, and reality television. He personifies what Karl Marx wrote, describing the political decay of the French financial aristocracy before the 1848 revolution, as the rebirth of the lumpenproletariat on the heights of bourgeois society. But the political system as a whole takes on more and more the character of the ancient Roman Empire, a diseased apparatus sitting atop a dying social order. It is through the development of the class struggle, politically armed with a socialist perspective and leadership, that this state apparatus can be swept away, together with the capitalist system it defends. During the riots that affected France for six days following the police murder of Nahel M, veteran pseudo-left politician and leader of Unsubmissive France (LFI) Jean Luc Melenchon played a cynical political role in defending the capitalist state against a wider revolt by workers and youth. Jean-Luc Melenchon comments on preliminary results of the first round of the presidential election in Paris, France, Sunday, April 10, 2022. (AP Photo/Michel Spingler) Contrary to his presentation as an extreme-left politician by the bourgeois press in France, Melenchon is an established capitalist politician. While he occasionally criticises particular policies of the Macron government, he is fully behind all of the reactionary policies of French imperialism, including social attacks, the remilitarization of French society, French intervention in the Sahel and the maintenance of Frances huge police state. Refusing to lift a finger to mobilize his millions of voters against police violence and the unpopular Macron government, Melenchon instead promotes illusions in the possibility of reforming fascistic elements within the police such as the CRS, BRAV and RAID units, and presents himself to the French bourgeoisie as the best candidate to restore order. Just as during the mass struggles against the pension reform, the Macron government relied on a violent crackdown by its police state forces to suppress youth riots in all of Frances major cities. In the week after Nahels murder, 45,000 heavily armoured police were deployed every night throughout France. Police turned a blind eye as far-right vigilantes clashed with protesters and, according to some reports, delivered captured youth to the police for arrest. The repression was brutal. Police killed a young man in Marseille with a grenade and shot another with a bean-bag bullet, putting him in a coma from which he has not recovered. They arrested more than 3,400 individuals. Five people were blinded in the clashes. Those arrested were rushed through arbitrary conviction and sentencing, with 95 percent found guilty and two-thirds put in prison. More people were arrested in this week of clashes than in the entire struggle of the Yellow Vests in 2018. At the height of the tensions, Macrons government raged against left-wing opposition, accusing Melenchon of pouring fuel on the fire for merely stating that the police were out of control. Macron and Interior Minister Gerald Darmanin met with and pledged support to police chiefs, even as the police unions fascistically derided the working class as savage hordes. Macron blamed youth anger on poor parenting and video games, and threatened to censor social media. When the police in Marseille went on strike to protest the arrest of one of their colleagues, who savagely beat an unarmed man during the riots, Macron refused to condemn the police unions call for police exemption from civilian law. Under these conditions, Melenchon seeks to keep up his left-wing appearances by denouncing police violence. While doing so, however, he does everything he can to keep opposition to Macron and his police state within the framework of French bourgeois politics, even though the bourgeois politicians are opposed by the majority of the French population. In an interview with Mediapart last month, Melenchon warned,We are in danger because the government no longer controls the police. It is afraid of them. It is subject to them. However, rather than calling for a mobilization of the majority of the population, including his own 8 million voters, who oppose Macrons rule and the far-right turn of the state apparatus, Melenchon appeals to the man widely derided as the president of the rich to call off his legions. in a post on his blog in late July, Melenchon stated: All the parties of the so-called republican arc are speechless before the record of irresponsible encouragement of the highest police organizations. We can now legitimately ask what order the forces that behave in this way against the people and institutions [represent]. He then appealed to the authorities and their allies in the so-called republican arc to pull themselves together and break with their complacency before the fascist and violent police organizations. He continued: They must restore republican obedience and discipline in the police. These parties must clearly condemn what is happening and take a position in defence of the Republic. Melenchons call to the Macron government and its republican allies to pull themselves together rests on the absurd premise that the massively unpopular president has an interest in challenging the police apparatus he has built up with money, personnel and legal protections to more effectively and ruthlessly defend his unpopular rule. Just as he did during the struggle against the pension reform, Melenchon works to subordinate opposition to Macron to the institutions of the bourgeois state. His priority, in his own words, is defence of the republic. This is a criminal and bankrupt perspective, which paves the way for fascism by disarming the working class politically. The insitutions in which Melenchon promotes illusionsthe Assembly, the Senate, the presidency, the judiciaryhave promoted the far right for years and have funded the creation of a fascistic police force across multiple governments. Under conditions in which every other major French political partyincluding his own political allies in the United Left coalitionis either covering up or endorsing the fascistic declarations of the police, Melenchon appeals to the majority of workers and youth who are concerned about the rise of fascism. However, in doing so he seeks to block them from taking the political step required to fight against the far right: a break with all political parties that support the capitalist state. Against this, Melenchon insists on the necessity of maintaining the current French police force, explaining to Mediapart: There is a need for a police force in every society. Thats been obvious for as long as cities have existed So we need to overhaul the police force, starting with training, getting supervision back under control, reinstating [ex-interior minister] Pierre Joxes code of ethics. This is not just a total repudiation of the Marxist view of the state, but a direct signal to the members of the French bourgeoisie that should it need him to protect them from the working class, Melenchon will be a safe pair of hands who will leave the instruments of class rule untouched. Based on his association with opposition to Macron and social reaction, in the 2022 election Melenchon won 8 million votes, mostly concentrated in the working-class quarters of Frances major cities. The refiners strike in 2022 and the wildcat strikes that followed Macrons use of an obscure law to impose his pension cuts without a vote in the National Assembly showed that even limited strikes in critical industries can bring the French economy to a halt. Earlier this year, 62 percent of the population supported a general strike to defeat Macron. Any genuinely socialist politician in such circumstances would demand the immediate disbandment of this fascistic apparatus and the overthrow of the unpopular Macron government. If Melenchon called for a general strike of his supporters, the working class could bring down the Macron government and force the disbandment of the police state. Why then does Melenchon refuse to do this? The leader of LFI defends the social interests of a privileged layer of the upper-middle class, concentrated in the union bureaucracies and academia, which are more afraid of the threat a working class revolution poses to their comfortable lives than the capitalist states promotion of fascism. As a defender of these interests, Melenchon will not support the popular call for the dissolution of the fascistic apparatus built up by the ruling class, and instead seeks to disarm workers opposition to it. The historical bankruptcy of Melenchons position is most cruelly expressed in the only action he advocatespleading with Macron and Darmanin to reel in the very fascistic police units they have created and promoted. Melenchon understands the trajectory being pushed by Macron and the ruling class in France, but proposes no way to fight against it. In his Mediapart interview, the leader of LFI draws a parallel to the 1930s: The republican front was reversed, transformed into an anti-popular front. I use this expression because it recalls the situation of 1936, when all the others united against the Popular Front, under the slogan Better Adolf Hitler than the Popular Front. He concludes: When you have fascists on the street, its time to wake up, isnt it? We must be able to put up non-violent resistance. But to be effective, it must be ten, a hundred times more massive than that of the violent ones. This argument can only be persuasive to those without any memory of the events of 2023 in France. Millions marched peacefully for months against Macron, wildcat strikes broke out across major industries, and everywhere the police bludgeoned the working class to force strikers and protesters off the streets and back to work. In response to this, Melenchon defends the ruling classs right to its armed police force to defend its profits, but demands that struggling workers and youth take a pacifist pledge! Melenchons historical parallel to the experiences of the 1930s unintentionally exposes the total impotence of his own bourgeois politics in fighting the rise of fascism in the twenty-first century. In May 1936, the Popular Front government came to power in France. The Communist Party and the reformist socialist party (French Section of the Workers International--SFIO), which at that time were mass workers organizations, joined the bourgeois Radical Party in a coalition government led by Leon Blum. A week later, a general strike erupted as the working class went on the offensive. However, this government, far from vindicating parliamentary reformism in France, defused the general strike by subordinating the working class to supposedly progressive and democratic sections of the bourgeoisie, paving the way for the victory of fascism. As Leon Trotsky explained at this time, the only way to fight the rise of fascism was working class revolution. Against this revolutionary perspective, the Popular Front government prevented the working class from pursuing its own policy against the ruling class and disarmed it politically, leading to the capitulation of the entire French bourgeoisie to Hitler in 1940. Even as Melenchon warns about the similarities with 1930s France, he is committed to the very politics that doomed the Popular Front and the French working class. Nearly a century has passed, but the lessons of the past are lost on anti-Marxist pseudo-left politicians like Melenchon. Now, as then, parliamentary democracy is being eclipsed by the consolidation of fascism on one side and a massive movement of the working class on the other. In the preface to Whither France? Trotsky explained that the fascist riots in 1934 and the general strike of 1936 signaled the two historic roads open to the French working class at that time: These two milestones show the way in advance to two possible roads: the Italian or the Russian. Parliamentary democracy, in whose name the Blum government now functions, will be crushed into powder between these two great milestones. Whatever the specific stages to come, the transitional combinations and groupings, the partial attacks and retreats, the tactical episodes, there henceforth remains the choice only between Fascism and the proletarian revolution. That is the meaning of this book. It is indeed time to wake up! But this requires an understanding that it is not just Macron and a few police chiefs that are incompatible with democracy, it is capitalism. There is no middle road to fighting against fascism. As the ruling class turns to fascism and millions of workers enter in strikes against government reaction, Melenchons call for the French bourgeoisie to voluntarily return to democracy is a historical fiction. In the third decade of the twenty-first century, the world is once again on the brink of world war and the working class internationally is in open revolt against declining living standards and political reaction. The sharpest expression of this movement has been the strikes and demonstrations of millions of workers in France against Macrons pension cuts and their suppression by fascistic elements within the police apparatus. For all the important differences with the 1930s, the most essential historical facts are the same: The working class must fight for an independent revolutionary policy or it will be crushed by fascist reaction. In such conditions, a decisive break must be made with all parties and politicians of the capitalist state, especially those such as Melenchon who divert workers from this crucial political perspective and muddy the perspective of socialism with their pro-capitalist politics. As more facts about the death and destruction and what caused the Maui wildfires emerged, amid growing public outrage over the US governments criminally inadequate response, President Joe Biden boasted on Tuesday of pathetic one-time payments of $700 per household for those who have lost everything in the disaster. President Joe Biden speaks at Ingeteam Inc. Tuesday, August 15, 2023, in Milwaukee. [AP Photo/Morry Gash] Authorities reported on Tuesday that one-third of the burn area in the town of Lahaina had been searched for victims, and the number of confirmed dead had risen to 101. Hawaii Governor Josh Green told CNN on Monday evening that the number of confirmed deaths could double over the next 10 days. Maui County reported that just four of the sets of remains found have been identified so far. The identities of these individuals will be released after families have been notified. Among those who died were four members of the same family, who were engulfed while trying to escape the flames, according to a statement released to CNN affiliate Hawaii News Now. On behalf of our family, we bid aloha to our beloved parents, Faaso and Malui Fonua Tone, as well as our dear sister Salote Takafua and her son, Tony Takafua, the statement read. Another victim, Franklin Frankie Trejos, 68, who had lived in Lahaina for 30 years, had tried to save his property, along with his friend and roommate, Perez Grant. Grant escaped the blaze while suffering burns, only to discover the remains of his friend several blocks away. The painstaking process of identifying the dead was highlighted when county investigators reported that they had obtained the DNA profiles of 13 more people, and a total of 41 DNA samples had been obtained from the family members of those who are unaccounted for. Meanwhile, there are still more than 1,000 people missing, and thousands more have been left homeless. Three climate change-fueled wildfires that began on August 8 were whipped into a firestorm by winds from passing Hurricane Dora, destroying the town of Lahaina. So far, an estimated 4.45 square miles has been burned. Two of the three fires are still burning, with firefighters working by ground and air to contain the blazes and looking for hot spots and flare-ups. Fire officials said the Lahaina fire, the largest of the three at 3.39 square miles, had been 85 percent contained by Tuesday. The Upcountry/Kula fire, which has burned just over a square mile and destroyed 19 homes, was 65 percent contained. On Tuesday, President Biden, who refused to comment on the devastation in Maui on Monday, expressed the indifference of the White House to the disaster while speaking to the media at a previously scheduled event in Milwaukee, Wisconsin. Fumbling his way through an overview of the thoroughly inadequate response of federal authorities to the crisis on the Hawaiian island, Biden said FEMA authorized one-time payments of $700 per household to those who have been displaced so they can do the immediate things, like obtain the medications they need. He then announced that he and his wife would eventually travel to Maui to survey the damage, but gave no firm date for a trip. On a Twitter/X post earlier in the day, Biden claimed the administration was laser-focused on providing aid to survivors of the Maui wildfire, including the one-time payment, during an unimaginably difficult time. Bidens post was roundly denounced on social media, with many people calling it insulting and outrageous, and others contrasting it to the commitment of resources by the US government to the proxy war against Russia in Ukraine. One tweet pointed out that $700 per 3,500 households whose homes were destroyed is approximately $2.5 million, while one M1 Abrams tank costs $13 million. The US government has sent 31 of these tanks to Ukraine. Media reports on Tuesday said evidence exists showing that the islands electric utility was the immediate source of some of the fires. The Washington Post reported that at 10:47 p.m. on Monday, August 7, a security camera at the Maui Bird Conservation Center captured a bright flash in the woods, illuminating the trees swaying in the wind. According to Jennifer Pribble, a senior research coordinator at the center, that was the moment when a tree fell on a power line. Pribble posted on Instagram, The power goes out, our generator kicks in, the camera comes back online, and then the forest is on fire. This is likely one of the sources of the fire in Mauis Upcountry. In Lahaina, the Post report says, About 38 miles away from the bird sanctuary on Aug. 8, in Lahaina, a young woman named Lai woke up suddenly around 3 a.m. Something bright had flashed outside her second-story window, coming from the power poles and Hawaiian Electric substation right up the hill from her familys home next to Lahainaluna Road. Then it was dark again. Before falling back asleep, she couldnt believe how strong the wind sounded, said Lai, whose parents asked that her full name not be used. The New York Times interviewed Lahaina resident Shane Treu on Tuesday, who said, The wind is still blowing super strong and I hear a pop. I look and the line is just arcing, laying on the ground and sparking. Treu said the power line was like a fuse. As it lay in the grass, he continued, it blackened the ground and began to ignite the grass in yards nearby. The Times report went on: It was precisely the location where the brush fire that would eventually engulf much of Lahaina was initially reported, at 6:37 a.m., a Times analysis of video and satellite imagery shows. Smita Malhotra, chief medical director of the Los Angeles Unified School District (LAUSD), published a tweet Sunday encouraging parents of the districts 565,000 students to send their children to school even if they were experiencing symptoms of COVID-19 or other illnesses. It is not practical, Malhotra wrote, for working parents to keep children home from school for every runny nose, nor is it in the best interest of children to miss school after pandemic school closures. Parents and students line up to pick up school materials outside the Aurora Elementary School in Los Angeles [AP Photo/Damian Dovarganes] In a statement that brazenly and criminally ignored the history of the COVID-19 pandemic and the principles of public health more generally, the chief medical director wrote, My message to parents is this: schools are some of the safest places for children to be. These sentiments were echoed by the entire leadership of the district as well. Were back at a pointbased on high levels of vaccination, therapeutics available and childrens higher resiliency than mostwhere a child is mildly sickno fever, just maybe the snifflesit is OK for them to go to school, according to LAUSD superintendent Alberto Carvalho. In fact, such statements not only downplay the danger of COVID-19, but are actively encouraging students and their families to contract the disease. As students at LAUSD and across the country begin the new academic year, COVID-19 numbers continue to rise in what is now a new, dangerous wave of the pandemic. US hospital admissions have risen 43 percent since late June, while wastewater testing indicates approximately 4.4 million new COVID cases in the US each week. With Long COVID, according to the most conservative estimates, affecting 10 percent of those infected, more than 400,000 will contract the condition each week as well. According to a June study published in the Journal of the American Medical Association, researchers working out of Boston Childrens Hospital found that 70.4 percent of infections in nearly 850,000 households sampled originated in childhood transmission. The study took samples from a period starting in September 2020 until October 2022. Rates of pediatric transmission fluctuated during the course of the study, with the lowest transmission during the summer months, in accordance with the reality that schools are a primary source of COVID-19 transmission. According to the studys authors, Once US schools reopened in fall 2020, children contributed more to inferred within household transmission when they were in school, and less during summer and winter breaks. Not only does in-person learning increase the risk of COVID-19 infections in households and surrounding communities, it also increases risk among the schoolchildren themselves. Leaving aside the heightened risk of infected children passing along the disease to family members and their communities, children themselves are at a high risk of hospitalization and debilitation from the highly communicable virus, despite claims of LAUSD officials to the contrary. In New York City alone, pediatric hospitalizations for COVID-19 are the highest they have been since August 2020. Recent research also continues to reveal dangerous impacts of COVID-19 infection, including increased risk of heart disease, dementia and system organ damage. This is apart from the increased risk of Long COVID, which is, for all intents and purposes, a disabling event, preventing workers from performing their jobs and students from learning and successfully completing their schoolwork. Due to the dangers of infection, millions of students across the US have been chronically absent at record rates, with LAUSD absenteeism even higher than the national average. Chronic absence is defined as missing at least 10 percent of a given school year, or approximately one month of learning time. According to studies conducted by Stanford University together with the Associated Press, more than a quarter of all students nationwide were considered chronically absent for the 2021-2022 school year, the most recent year for which such data was available. Chronic absenteeism was considerably higher in LAUSD itself, which recorded a 40.3 percent rate during the 2021-2022 academic year. Superintendent Carvalho has claimed that district data shows a 10 percent drop in chronic absenteeism during the 2022-2023 school year. If true, this still represents a significant increase over the pre-pandemic level of 20 percent. In addition to fears over the pandemic, higher absentee rates are often due to cuts to school programs. Students with chronic health conditions or in need of counseling often find themselves unable to cope in schools with fewer nurses and counselors to help them. Such abysmal staffing ratios and the atrocious conditions in Los Angeles schools overall are the direct result of the betrayals of the United Teachers of Los Angeles (UTLA) and SEIU Local 99, which nominally represent teachers and school support staff respectively. In the most recent contract reached between the UTLA and the district last April, none of the promised increases in nursing and counseling staff was achieved. The contract only allows for a grievance procedure once per year if adequate nurses are not in place, and the district is allowed to continually hold such grievance procedures in abeyance. The counseling ratio in the contract is set at 500 students per counselor, twice the ratio of 250 students per counselor recommended by the American School Counselor Association. In fact, the counseling ratio provisions turned out to be completely unenforceable, as Superintendent Carvalho announced significant cuts to mental health services for the 2023-2024 academic year, a move which encountered no opposition from the UTLA. It was in fact the UTLA, in collaboration with the American Federation of Teachers, the National Education Association and the Biden administration, which was instrumental in reopening schools for in-person learning while the pandemic was still infecting hundreds of thousands throughout the city and county of Los Angeles. During rushed voting procedures in 2021, the UTLA told worried teachers that they had two options: either vote to return to in-person learning in COVID-infected classrooms under the districts plan, or return to in-person learning under the unions plan. No option was provided to continue remote learning and save lives until the disease was either eliminated or significantly curtailed. Efforts by rank and file teachers and students, supported by the Socialist Equality Party and the World Socialist Web Site, to prevent the dangerous return to classrooms in the midst of the pandemic, encountered vicious opposition, not only from the trade union apparatus but from middle class pseudo-left groups, particularly the Democratic Socialists of America (DSA). In early 2022, Jacobin magazine, the main publication associated with the DSA, published an article calling on city of Chicago to open the schools immediately after city teachers overwhelmingly rejected a return to classrooms in a January 4 vote. As early as September 2020, Jacobin published a favorable interview with Katherine Yih and Martin Kulldorf, far right advocates of the anti-scientific conception of herd immunity. The article made clear that going forward, Jacobin and the DSA, a faction of the Democratic Party, would focus their efforts on subordinating the welfare and very lives of students, teachers and working class communities to the interests of private profit that they defend. South Korea and the U.S. are conducting combined military drills that consist of field maneuvers, command post exercises and civil defense drills from Monday until Sept. 1. The exercise, dubbed "Ulchi Freedom Shield," includes training to dispose of weapons of mass destruction. Preliminary drills were already conducted last week. The first phase is training to drive back an attack from North Korea while defending the capital region. The second phase includes a simulated counterattack pushing forward across the military demarcation line. Earlier this year, a funeral home on Montreals South Shore announced a macabre turnkey package for those undergoing medical aid in dying (MAID). For $700, someone suffering from a terminal illness or condemned to a life of torment due to acute pain can meet up with their loved ones at the funeral home to say their farewells, then undergo the procedure. The package also includes preparation of the deceaseds body for their funeral. While medically assisted deaths have expanded dramatically across Canada since they were legalized in initially very limited circumstances in 2016, the funeral home denied that it was being opportunistic, only offering a cheap alternative. The National Post, the mouthpiece of the most avowedly right-wing sections of the Canadian ruling class, published an article in May promoting the positions of two University of Toronto bioethicists who argue that denying medical aid in dying to poor people who, due to their want of money, cant access the healthcare and support they desperately need is wrong and unjust. To force people who are already in unjust social circumstances to have to wait until those social circumstances improve, or for the possibility of public charity that sometimes but unreliably occurs when particularly distressing cases become public, is unacceptable, wrote Ph.D. candidate Kayla Wiebe, and Amy Mullin, a University of Toronto a professor and bioethicist, in the Journal of Medical Ethics. A harm reduction approach acknowledges that the recommended solution is necessarily an imperfect one: a lesser evil between two or more less-than-ideal options. MAID was introduced in response to a real and heart-wrenching problemthe plight of people forced to live in agony as they await a slow torturous death from a terminal illness. But under contemporary capitalism such reforms are immediately subject to the compulsions of the profit motive and a ruling class sinking ever deeper into reaction and outright barbarism. A generously-funded, high-quality public health system, including home care, retirement homes for the elderly, palliative care for the terminally ill, adequate provision of pain medicationin short, everything that would make it easier to live through old age or illnessis seen as a drain on profits and therefore denied to millions of people. A decades-long assault on wages, pensions and public services to enrich a tiny minority at the top has been accompanied by an ideological assault on the very concept of the preservation of human life. This reactionary campaign, as the article published in the National Post shows, is increasingly reminiscent of the eugenics of the Nazi regime and the darkest chapters in human history. All those from whom no surplus value can be extracted are deemed worthless. The resources and care that must be mobilized for them are presented as a burden that costs society dearly. In 2017, researchers at the University of Calgary published a study suggesting that Canada could save $139 million a year in end-of-life care by legalizing medical aid in dying, in particular by saving on palliative care. The authors of the study concluded that medical aid in dying should not be a financial burden on the healthcare system, and could become a source of substantial savings. The Canadian government, for its part, has meticulously counted the savings achieved with MAID. In 2020, the Parliamentary Budget Officer published a report showing, in cold accounting language, the net reduction in health spending from the old, more restrictive MAID regime for the year 2021: $86.9 million. With the considerable expansion of access to MAID contained in Bill C-7, the same report estimates additional annual net expenditure savings of $62 million. The number of MAID deaths in Canada has risen dramatically. From just over 1,000 in 2016, when assisted dying in Canada was officially legalized, it rose to a total of 31,644 by the end of 2021. More than 10,000 people died by MAID in 2021 alone, and it now accounts for 3.3 percent of all deaths in Canada. The idea endlessly repeated by the capitalist media and politicians that the use of MAID simply represents a choice made by individuals and their families is a monumental fraud. Such choices, including whether or not to continue living, are made within a definite social, historical and ideological context. Is it really a coincidence that, on the one hand, social programs have been bled dry for decades under the pretext that there's no money and social conditions are increasingly difficult for the poorest 90 percent of society, and that, on the other, more and more Canadians are making the choice of medical aid in dying? The COVID-19 pandemic has exposed the indifference of the world's ruling classes to human life. Preoccupied solely with maintaining their profits, they removed all health barriers to resume economic activities as quickly as possible, causing the premature death of millions of human beings and exposing tens of millions more to the increased risk of serious illnesses associated with Long COVID. Similarly, the US ruling class, supported by Canada and the other imperialist powers, is wasting enormous human and financial resources in its war against Russiaa war that has nothing to do with defending Ukrainian democracy, but rather with Washingtons attempt to secure control over a region of the world rich in energy and mineral resources, that it considers vital to its geopolitical interests. The American ruling class and its Canadian ally could not be more indifferent to the lives of millions of Russians and Ukrainians, hundreds of thousands of whom have died since the conflict began. Washington and Ottawa are striving to escalate the conflict by sending ever more lethal weapons to Ukraine, bringing the world closer to a nuclear conflagration every day. As the social and ideological assault on the working class continues, the criteria for eligibility for MAID are constantly being widened by capitalist politicians from all parties. In Canada, medical aid in dying was previously reserved for people whose natural death was rapidly foreseeable. In 2021, this assistance was extended to people who are not at imminent risk of dying, but whose illness, disability or disease is causing them lasting suffering that is difficult to bear. Last month, the Quebec government amended its legislation on the subject. Within two years, the law will allow Quebecers suffering from Alzheimer's to request assisted-dying care before symptoms become too disabling. It will also make people affected by a severe physical impairment resulting in significant and persistent incapacity eligible. In 2024, Canada wants to make MAID possible for people suffering exclusively from mental illness. This sustained ideological campaign has had some impact on the population. According to polls, around half of Canadians would agree to allow adults to apply for MAID because of an inability to receive medical treatment or a disability. Just over a quarter would be in favor of extending medical assistance to die to include homelessness or poverty. Strong opposition, however, has come from organizations defending the rights of disabled persons. Our biggest fear has always been that having a disability would become an acceptable reason for state-provided suicide, says Krista Carr, vice-president of Inclusion Canada. There's the case of Roger Foley, a 47-year-old Ontarian with a neuro-degenerative disease, hospitalized and unable to move or care for himself. He recounted how he was denied home care and pressured to request medical assistance in dying. In court, he is demanding the right to medical aid to live. After decades in which the working class has been paralyzed by a pro-capitalist union bureaucracy that sabotages its struggles for better public services and working conditions, the campaign by the capitalist media and politicians for MAID is creating a political opening for the religious right. Those elements reject the right to abortion in the name of the sanctity of life, while at the same time being among the staunchest supporters of the dismantling of social programs and imperialist war that causes mass casualties. To combat unjust social circumstances, workers must confront the harsh reality that society as a whole is subordinated to the interests of a small capitalist clique. The right to a rich and full lifewhich medical advances can prolong while offering the necessary assistance in the case of a terminal illnesscan only be achieved in the struggle for socialism, that is, the reorganization of socio-economic life to satisfy human needs, not the profits of a small minority. An article published Monday in the WSWS on the US Postal Service, including ongoing talks for the city letter carriers contract and managements radical restructuring program called Delivering for America, has gotten a widespread readership among postal workers. Dozens of workers have submitted comments to the World Socialist Web Site detailing their work experiences and their thoughts on the state of the USPS in general. We are reproducing a selection of these below. If you work at USPS, join the conversation! Tell us your thoughts by filling out the form below. All submissions will be kept anonymous. A rural carrier from Arizona: First, to clarify something the article said: the president doesnt appoint the Postmaster General, nor can he remove him. Only the governing board can do this. The Postmaster General, Louis DeJoy, calls his plan Delivering For America. In reality, he is destroying the Postal Service. Ive worked as a rural carrier for more than two decades and Ive never seen such a mass exodus of highly capable, long term carriers. Some were able to retire as they had the age and time in. Others just decided it wasnt worth it. Im trying to stay for my last few years, but its difficult. What other company just decided they think youre making too much money and just takes it out of your pay?! We cant keep new hires. They find out how hard the work is and that they sometimes have to do two routes a day sometimes 9 days straight. A certain craft store starts their new hires at the same pay, benefits and have Sundays offand the work is indoors! No one wants to work at a company whose CEO steals from them, who makes $305k a year PLUS bonuses. A city carrier from St. Petersburg, Florida: I am a letter carrier. At my office there is no respect. The supervisor does not communicate well enough for carriers to understand what we are supposed to do. They tell us when its hot to take air conditioning and hydration stops, but we are getting in trouble for sitting even for a little while. I try to never call off, but working two to three routes a day, it takes a toll on me. Ive suffered from heat exhaustion several times. Ive tried speaking with a supervisor to try to move to different departments, but no one seem like they are giving me a truthful answer. So I am deciding to move on from the Post Office. Another rural carrier from Arizona: They have cut rural carrier s pay and asked us to provide the information to them when we dispute our pay. But there are legal documents prepared by the USPS attorneys stating they dont have the information. How are we to provide information that you havent been able to release to us employees or even to the Postal Regulatory Committee? This is illegal and we are being told there are more cuts to come. A retiree from South Carolina: I was a career employee and mailman for 33-plus years. Its astounding the number of supervisors that NEVER touched or were even a part of the delivery side of USPS, and yet they talk about how hard they work to justify their 6 figure incomes. When I was working, they had a system that paid supervisors a supplement for cutting costs. We had a station manager turn off the hot water to save money. The USPS has wasted millions, if not billions of dollars on this type of incentive. Corporate greed: its everywhere. From central Michigan: I am still amazed that no one brings up the fact that DeJoy is hell-bent on getting a few large contractor trucks off the road, while making carriers drive many little postal trucks that get worse mileage, or pay them a huge price per mile to drive their own vehicles to and from these new centers. I call BS. They tried this before and it didnt work back then, it wont work now either. DeJoy wont tell the details, because he knows he can be proven wrong before its too late. He is already very wrong about his cost/profit plan for this year, because he doesnt really know what he is doing. Didnt see it coming, and wont in the future either. He is too used to telling people to blindly do things in the public sector and then bailing when it doesnt work. From Connecticut: Shouldnt USPS be, at least, on par with the private sector? Take a look at UPSs new contract Drivers max out in 5 years vs. 13.5 for the USPS. It used to be honorable to work for the USPS. Now its embarrassing. Employees shouldnt have to look for other jobs, to either replace or supplement the USPS, to make a living. From southern Louisiana: We need a new union! I work hard like anyone else, yet I make so much lower than other carriers! Theyve taken away our overtime and ability to make good money. The expectations, especially in the heat, are difficult to meet. Every single day is depressing, as management treats us like cattle. This is not a place to make a career like it used to be. And let me not forget: the union does not take care of us! Complaints are ignored and union reps nearly always side with management! Everything the union does is kept in secrecy. From western Michigan: The USPS took on Amazon for free, what, 10 years ago? Meaning, it was thrown onto our routes with no compensation! That is what screwed USPS. This all started well before DeJoy. The unions have failed us! Management gets bonuses for screwing us! They bring in the most incompetent people to run the offices. The highest-paid routes all were cut according to the scanners. So we are still doing the same work with less pay. Customers come in daily to scream at us, but fail to see where we are working 2 or more hours a day for free. Pure madness! An active carrier from South Carolina: I left a delivery service to join the USPS, because I heard nothing but good things growing up. However, Ive been working for USPS for a few years now and my pay has just surpassed my starting wage of my previous employer. Bathrooms dont work properly. We have 4 toilets for over a hundred men in our office. Yuck. The cost of living has risen and is continuing to rise. I have heard no talk about giving us proper raises. If anything, whenever raises are brought up, its as if no one sees the real issue. UPS just got a raise, well deserved, however we deliver their packages, FedEx packages, Temu packages, Amazon packages, our own USPS packages, over 1,000 stops daily, along with personal documentation at each stop. We have no air conditioning and still yet no raise. They cut hours [and] our uniforms cost about $120 per full uniform and we only get awarded about $500 per year. Thats not even enough for a full week of uniforms. It was truly sad to see what I signed up for. Though some stuff, such as an updated scanner, has improved, Im not sure anything else has. Headquarters wants us to work like dogs for minimal pay and thats the best way to lose good employees for mediocre ones. People say so why stick around? I truly enjoy my job and my coworkers. Its just the ones in charge that need to do whats right for the USPS. Contact the Michigan Educators Rank-and-File Safety Committee at educators@wsws.org, or fill out the form at the end of this article. On Monday, the Michigan Educators Rank-and-File Safety Committee (MERFSC) and the International Youth and Students for Social Equality (IYSSE) clubs at the University of Michigan and Wayne State University held a joint meeting to unite U-M graduate workers, Detroit public school teachers, students and broader sections of workers to defend striking U-M graduate student instructors (GSIs) and Detroit teachers fighting for decent contracts. University of Michigan graduate students on strike, March 29, 2023. The leadership of the Graduate Employees Organization (GEO) and its parent union, the American Federation of Teachers (AFT), is moving to impose a sellout contract on the grad student workers dictated by the university administration. The 1,300 striking graduate student instructors have waged a courageous strike since the end of March to end poverty wages by winning a major salary increase, as well as improved childcare and healthcare benefits and protection from COVID-19 infection. The university has rejected the workers demands and issued an ultimatum that they accept the administrations contract proposal and return to work by the beginning of the fall semester or be fired en masse. Mondays meeting marked a significant step forward in the struggle to defeat the conspiracy of the union leadership and the Democratic Party to impose sellout contracts, pledging to establish rank-and-file control and unite the struggles of U-M grad student instructors and Detroit teachers. The GEO leadership, which is politically dominated by the Democratic Socialists of America (DSA), a pseudo-left faction of the Democratic Party, has plotted behind the backs of the strikers with the AFT and ex-UAW President Bob King to impose a contract betrayal and force the strikers back to work. The meeting was attended by U-M graduate students and undergraduate students, Wayne State University students, Michigan Medicine healthcare workers, Detroit public school teachers, autoworkers and community supporters of the graduate student workers strike. A resolution, adopted unanimously, declared: This meeting of the Michigan Educators Rank-and-File Safety Committee (MERFSC) and the International Youth and Students for Social Equality at University of Michigan and Wayne State University calls for the unity of University of Michigan workers and Detroit educators as part of the growing movement of educators, autoworkers, dockworkers, film actors and writers, UPS drivers and others against wage cuts, speedup, social inequality and war. We resolve to build a network of rank-and-file committees at all schools and campuses, as part of the International Workers Alliance of Rank-and-File Committees (IWA-RFC), to wrest control of our struggle from the pro-corporate union bureaucracy and put rank-and-file educators in charge. The urgency of Mondays meeting was underscored by U-Ms rejection on Sunday of a GEO counteroffer to the universitys contract proposal submitted August 2. The GEO counteroffer failed to address any of the core demands of the graduate students and accepted the universitys wage offer of 20 percent over three years, which would mean a cut in real wages. It was the product of secret talks carried out between the GEO, the Michigan Federation of Teachers bureaucracy and Democratic Party operative Bob King. The university summarily rejected proposals in the counteroffer for marginal improvements, such as equal pay raises for GSIs at all three Michigan campuses (Ann Arbor, Flint and Dearborn), basic measures to limit the threat of COVID-19 infections in classrooms, and an extension of summer pay to all GSIs. The university provocatively withdrew its earlier offer of a $1,000 signing bonus. At an online membership meeting on Tuesday, the GEO took a poll between two options. One was to accept the universitys latest offer; the other was to continue negotiating for a better deal. Despite the refusal of the GEO and the AFT to mobilize the powerful support that exists among students, campus faculty and staff, as well as workers beyond the university, the GSI attendees at the Tuesday union meeting overwhelmingly voted to reject the first option and continue the strike for their demands. These developments vindicate the call by the Socialist Equality Party and IYSSE for the building of a rank-and-file committee to take the conduct of the strike out of the hands of the GEO and link the GSIs with the Detroit teachers, autoworkers and other workers fighting for decent wages and working conditions. We say the GSIs should demand that the firing of any striker be met with an immediate campus-wide strike by university and Michigan Medicine staff, together with the students, with a call for mass solidarity action by Detroit teachers, whose contract expires this month, and autoworkers, whose contracts with GM, Ford and Stellantis expire on September 14. Zac Corrigan of the MEFRSC chaired the joint meeting with the IYSSE Monday night. He opened by drawing attention to the global class struggle and the breakdown of the capitalist system. Corrigan emphasized the strikes deep connection to the ongoing labor struggles around the world, including tens of thousands of striking actors and writers in the US, Canadian dockworkers, US and Canadian autoworkers, and academic workers strikes earlier this year across the US that were betrayed by the AFT and UAW. Luke Galvin of the IYSSE at U-M gave a report that outlined the ruthlessness of the university administration, as well as the duplicity of the GEO leadership in maneuvering behind the backs of the rank and file to prepare its sellout counteroffer. He also drew attention to the political forces driving the strike. The brutality is not just that of the university administration, but the corporate elite and the two parties of big business that run the U of M. Khara Sikhan, a teacher from Detroit and member of the MERFSC, gave a report that outlined the massive budget cuts being prepared in the Detroit Public School system in the coming year, including over $300 million in 2023-24 alone, and the planned elimination of over 100 positions. Highlighting the role of the Democratic Party, she drew particular attention to the social crisis facing educators and workers in general, who are being made to pay for bank bailouts and the ever-rising spending for the US-NATO proxy war with Russia in Ukraine. Sikhans report concluded with a list of demands advanced by the MERFSC, including a 50 percent pay raise for all Detroit educators and school workers, increased staffing, expanded funding for family programs, and the modernization of all schools, including measures to reduce potential exposure to COVID-19. In the ensuing discussion, several important contributions were made. Members of the IYSSE chapters at both the University of Michigan and Wayne State University spoke in support of the reports and in defense of the campus strikers. Mazin of the IYSSE at U-M said: Ive learned just as much from the GSIs as I have from my professors. They play a critical role in our education. I think its a valuable thing to form these rank-and-file strike committees because they can help fight against the kind of cloud of misinformation around the strike that their own unions put forth. But also, the committees directly intervene in the strike itself. Dylan, also a member of the IYSSE at U-M, added, The AFT-GEO organization on the University of Michigan campus does not really represent the educators. I support this call for rank-and-file committees so they can get their demands. As weve seen numerous times with the AFT, demands are compromised, and there has been no progress for educators, not just in Michigan but around the country. He emphasized the centrality of the class issues in the struggle. This struggle at U-M and in Detroit is comparable to whats happening with the actors and writers in Hollywood and with whats happening with autoworkers everywhere. Thats the perspective of the IYSSEthese struggles should be linked up, among the youth and working class internationally. That is what we prioritizethe workerfirst and foremost. Jacob, a member of the IYSSE at Wayne State University in Detroit, spoke of his recent experiences helping campaign to put Detroit Public School teachers in touch with autoworkers about their common struggles. He said, The difference between what the IYSSE and the DSA does is that we want to link up struggles, while they try and keep them all separate. Elsewhere in the discussion, a Michigan Medicine healthcare worker expressed his support for the strike and his growing anger that his own AFT localone of five such AFT-affiliated locals on the campus and in the hospitalhas never even proposed any kind of solidarity action with the grad students during the strike. Derek, a retired educator, expressed his support for the striking graduate students but was concerned over how the demands advanced at the meeting could be realistically achieved. He asked: Has this organization calculated what the total cost of meeting these demands to the university would be? And where they would perhaps get the money? Several attendees spoke to this question, highlighting that the university has assets of close to $20 billion, according to its own financial reports, while a 60 percent increase in wages for all GSIs, the initial demand of the GEO would cost approximately $88 million, less than 4 percent of the universitys assets. Others pointed to the unlimited trillions made available by both political parties for war and bank bailouts, while they imposed regressive student loans and poverty wages on educators. It was also pointed out that U-M President Santa Ono makes nearly $1 million a year, equivalent to the current salaries of roughly 40 GSIs. One of the attendees, speaking of the universitys priorities, pointed to the universitys intimate links to the US military and national security apparatus, as exemplified by its recent sponsorship of leading anti-Russia warmonger Lt. Alexander Vindmans visit to the campus. Sixteen cities and provinces in northeastern China have experienced record rainfall and flooding since July 29 as a result of Typhoon Doksuri. The huge downpour has already caused 62 deaths with another 34 reported missing. It has wreaked havoc on the lives of hundreds of millions, many of whom had no power or water for days, were stranded on roof tops or had their homes and properties ruined. A man washes his clothes in a stream near debris left over after flood waters devastated the village of Nanxinfang on the outskirts of Beijing, Friday, Aug. 4, 2023. [AP Photo/Ng Han Guan] As the flooding continues, the still unfolding catastrophe is yet another warning about the initial but already disastrous consequences of climate change. From July 29 to August 2, unprecedented levels of rainfall deluged Beijing, the adjacent municipal city of Tianjin and Hebei Province that surrounds both cities. Beijing experienced the heaviest rainfall in 140 years. The precipitation over 83 hours exceeded 60 percent of that for a year under normal conditions; the maximum hourly precipitation in Tianjin reached 54.8 mm (2.16 inches); and Hebei experienced 1,003mm (39.5 inches) of rain in just three days. Typhoon Doksuri then moved further north to the northeastern provinces of Heilongjiang and Jilin. Shulan, one of the hardest hit cities in Jilin Province, recorded 489 mm (19.3 inches) of precipitation. The rising water levels of more than 25 rivers in Heilongjiang Province have triggered alarming overflows. Social media videos showed cars pushed around and bumping into each other in the torrential water. In many places, the muddy water almost reached the top of trucks and trees. When waters receded, there were scenes of devastation: the debris of crushed cars, fallen branches, bricks and mud everywhere on the streets. Many paved roads were unusable as portions had been washed away. One resident in Zhuozhou, one of the hardest hit cities in Hebei, explained: Only my grandfather and I were at home. Everyone else was stranded too, so no one could come and help me. We were without power, water or phone service for three days and three nights. My neighborhood felt like an isolated island in the sea, surrounded by nothing but water. There were inflated boats on the street. The water level was about to immerse the traffic lights. Only empty shelves were left in every store. A man walks by damaged vehicles left over with the floods debris clogged on a street in the aftermath of flood waters from an overflowing river in the Mentougou district on the outskirts of Beijing on Monday, August 7, 2023. [AP Photo/Andy Wong] The scope of damage is enormous. According to a Beijing government press conference on August 9, 1.29 million people were affected by the flooding, 59,000 houses collapsed, 147,000 more houses were severely damaged, and 225,000 mu (37,000 acres) of agricultural products were destroyed. The worst affected areas of Beijing are the more rural Mentougou, Fangshan and Changping districts in the western and mountainous side of the city. Due to landslides, three trains inbound for Beijing with 2,831 passengers and staff were stranded in the mountains for more than four days. In the city, Beijings government hotline received more than 33,000 calls during the storm from July 29 to August 1. In most affected cities, tens of thousands, in some cases hundreds of thousands, of people had to be evacuated. Contact was lost with dozens of villages for days, as landslides either destroyed or blocked the few paved access roads. Re-establishing contact and rescuing stranded residents has been extremely difficult. In Changping, a team of 15 firefighters were only able to reach 583 stranded villagers after trekking through mountains on foot and crossing rivers by rope. In other cases, helicopters had to drop critical supplies to trapped residents. One resident from Hebei posted on social media: All our houses were built beneath the mountain. Many small villages were completely washed away by the flood and the mud-and-stone flow. One of our doctors had to walk to [another village] to find service to send out a signal asking for help. Zhuozhou, a city in Hebei with more than 600,000 people, was one of the worst affected. Downstream from three overflowing rivers, most streets and towns in Zhuozhou were submerged with water levels reaching two to three metres. In some cases, the second floor of apartment buildings flooded. Most of Zhuozhou has been without any power or water. In some areas, water has still not been restored. As with every other so-called natural disaster, it is workers and peasants who are impacted hardest. Almost without any exceptions, the worst affected have been rural villages, where houses were destroyed, crops inundated, and stock washed away. One person from Fangshan district in Beijing, stranded for more than six days, returned home to collect a few essentials to find the house destroyed: At first I thought I was living in a nightmare. I wanted to cry but could not make out a sound. In the debris of the collapsed house, we flipped and moved stones and stones in the mud. We were trying to find valuables like cameras and phones, running the risk of a second collapse of the house Upon hearing the deaths and casualties from the village next door, I could only feel grief and thought that I survived only because of sheer luck. The damage to property is vast. Insurance companies across the 16 affected cities and provinces have so far received 189,100 claims worth 6.241 billion RMB ($862.4 million). Most involve damaged cars, corporate properties and agricultural products. More than 40,000 houses collapsed in Hebei Province, while another 155,500 were severely damaged. Crops of grains, oil seed, vegetables, fruit and medical herbs suffered the heaviest damage. The city of Wuchang in Heilongjiang Province, a major supplier of grain, has about 2.5 million mu (412,000 acres) of rice, but more than 1 million were inundated. In Hebei, 319,700 acres were affected. Reconstruction work will take years, not months. The city government of Beijing has estimated it will take a whole year to repair and restore infrastructure, reconstruct the collapsed houses and carry out necessary relevant public health measures. In the case of Hebei, the provincial government declared that two years would be needed to restore damaged buildings and facilities. A man looks over a swollen river flooding crops at a village in Langfang in Hebei province, China, Wednesday, August 2, 2023. [AP Photo/Andy Wong] In the course of the flooding, it has been working people who have demonstrated immense courage and selflessness in helping others. Across many affected neighborhoods, volunteers have run community kitchens and temporary clinics. Volunteer squads were organized to rescue stranded residents. Tens of thousands of ordinary working people have made donations and some set up a free food station near a base for rescue teams. Social media reported that drivers in Heilongjiang had parked their heavy trucks along the road to form a barrier against the flood waters. Two volunteers from Blue Sky Rescue, a non-government rescue team, Wang Hongchun, 41, and Liu Jianmin, 47, were drowned in flood waters as they attempted to rescue a young mother and her three-year-old child stranded after their home was inundated. Train K396 to Beijing was stranded at a station during the storm near the rural town of Luopoling for more than four days. The food on board ran out within hours and the tiny town organized dozens of small grocery and convenience stores to provide food for the 900 passengers. With the road to the train blocked by landslides, train staff trekked miles in torrential rain, as high voltage wires dangled overhead, to bring back food. The list could go on. By contrast, the response of the government has been limited to date. The state-owned media has been full of praise for the states emergency efforts. By August 11, the Ministry of Finance and the Ministry of Emergency Management had allocated just 1.46 billion RMB ($200 million) from the government disaster fund to provide relief, restore infrastructure and production and begin reconstruction. On August 6, Xinhua News Agency published a glowing special editorial declaring, General Secretary Xi Jinping immediately made important instructions on flood prevention work, urging relevant ministries and departments to make every effort to carry out rescue and disaster relief work. The editorial was written at Xis direction. Such platitudes are issued after every natural disaster. However, critical questions are raised about what had been done to prevent this catastrophe from happening. The Beijing city government claimed to have issued a red warning about expected heavy rainfall, urging residents not to go outside unless necessary and not to go to work unless necessary. But without any binding force, many people, especially in the private sector, were required to show up to work. Limited measures were taken to evacuate people and shut down construction sites. According to Beijing officials, 42,000 were relocated in advance and 3,554 construction projects stopped. However, many complaints on social media indicate that residents in rural areas were not notified in advance. As for Hebei Province, the official press conference made no mention about warnings or measures to mitigate the impact prior to the floods, which is a damning indictment by itself. Even though flooding in northern China is not as common as in the southeast, serious floods have repeatedly occurred. These include a massive flooding in the eastern coastal province of Shandong in 2018; in Henan Province in July 2021 causing 300 deaths; and in Hebeis city of Xingtai in 2016 leaving more than 100 dead. For many Beijing residents, the memory of the record storm in July 2012 remains vivid. Fangshan District was the hardest hit when the rain triggered landslides. Of the 79 killed in the disaster, 38 were from Fangshan. Nevertheless, more than a decade later, the measures put into place are still very inadequate. In the working-class neighborhoods, one can still see broken or naked wires dangling as workers wade through knee deep water during the storm season every summer, running the risk of electric shocks. The severity of the latest record rainfall and flooding is yet another demonstration of the destructiveness of climate change and global warming. Scientists have warned for decades that more intense rainfalls will be triggered as greater levels of moisture accumulate in the heated atmosphere. It is not a coincidence that the current flooding disaster was preceded by the worst heat wave on record across China with many cities experiencing temperatures over 40C (104F). Extreme weather is becoming more frequent and more severe. What northern China has experienced is part of a global issue, as the Earths ecosystem is destroyed by the irrational profit-driven capitalist system. Just in the past month, floods have devastated Slovenia, Austria, South Korea, and the states of Vermont and New York in the US. At the same time, massive mountain fires are raging across Canada; extreme heat waves hit Europe, northern Africa and North America. The latest disaster is the Maui wildfires in Hawaii. Climate change is a global disaster that can only be resolved through a scientifically grounded, internationally coordinated plan. However, the global climate change fora have manifestly failed to take adequate measures as capitalist governments, including that of China, pursue their own narrow national economic and political interests. Within China, the Chinese Communist Party has set long-term goals that clearly fail to stop and reverse the emission of greenhouse gases that are the major factor in climate change. The peak carbon dioxide emission by 2030 campaign, heavily promoted as its commitment to a green economy, only slows greenhouse gas emission but does not reduce it until at least into the next decade. The latest heat waves and disastrous flooding in China is further evidence that, as numbers of climate scientists have warned, climate change is reaching, or may have already reached, an irreversible tipping point that foreshadows even greater climate catastrophes. The U.S. Space Force will set up a regional command at U.S. Forces Korea headquarters this year. A USFK spokesman told the Chosun Ilbo on Sunday that the first regional command was launched under the U.S. Indo-Pacific Command in Hawaii on Nov. 22, and more regional commands will be established here and at U.S. Central Command this year. The announcement comes after North Korea escalated missile provocations and launched an intercontinental ballistic missile capable of hitting the U.S. mainland. According to the South Korean Defense Ministry, the space command here will have about 20 staff. "This suggests that the U.S. military regards the security situation on the Korean Peninsula and in Northeast Asia including the nuclear missile threat from the North as serious," a military source said. "The regional space command will have a small staff initially but will expand over time." It will be tasked with detecting and track flying objects like North Korean missiles that pose a threat to the U.S. and its allies. In recent strategy documents, the U.S. military disclosed plans to launch regional space commands in all parts of the world. He derided the Georgia indictment, saying Tuesday on his Truth Social account that he would release an "irrefutable report" next Monday showing that the election outcome in Georgia was rigged against him and claiming that his evidence would lead to "complete EXONERATION" of himself and his 18 co-defendants. Judges have dismissed dozens of Trump's election fraud claim lawsuits, including in Georgia. Trump is the first U.S. president, in office or after his term ended, ever formally accused of criminal offenses in the country's 247-year history. But now, even as national polls show him with a commanding lead for the 2024 Republican presidential nomination, he has been indicted four times in the last four months and faces several trials in the coming months. Fulton County District Attorney Fani Willis alleged in the indictment that the 19 defendants and 30 unnamed co-conspirators "constituted a criminal organization" and took 161 overt acts to upend the election result. The indictment alleged that Trump and the other defendants "refused to accept that Trump had lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump." The 13 charges unsealed against Trump late Monday include racketeering, violating his oath of office, conspiracies to commit forgery and file false documents, and other offenses. A grand jury in the southern U.S. state of Georgia has indicted former President Donald Trump and 18 others in connection with efforts to overturn his narrow 2020 election loss in the pivotal political battleground state. Georgia Governor: 2020 Election Not Stolen Georgia Governor Brian Kemp said on the X social media site, "The 2020 election in Georgia was not stolen. For nearly three years now, anyone with evidence of fraud has failed to come forward -- under oath -- and prove anything in a court of law. Our elections in Georgia are secure, accessible, and fair and will continue to be as long as I am governor." The racketeering charge in the new indictment means that Georgia prosecutors must prove that the former president broke two or more of the state's laws as part of a scheme to overturn the election results. Among those charged along with Trump were former White House chief of staff Mark Meadows; Trump lawyer Rudy Giuliani, a former federal prosecutor and New York mayor; and former Justice Department official Jeffrey Clark, whom Trump considered naming attorney general in the waning days of his administration in early 2021. Willis told reporters at a news conference late Monday that the defendants were part of a criminal enterprise in Fulton County and elsewhere to "accomplish the illegal goal of allowing Donald J. Trump to seize the presidential term of office" that began on January 20, 2021. The indictment details numerous allegations as part of that alleged effort, including making repeated claims of voter fraud to Georgia officials, attempting to persuade Georgia lawmakers to go against election results and appoint a slate of electoral college voters favorable to Trump, and stealing voting data. "All elections in our nation are administered by the states, which are given the responsibility of ensuring a fair process and an accurate counting of the votes," Willis said. "The states' role in this process is essential to the functioning of our democracy." U.S. presidents are not chosen by the national popular vote, although Democrat Joe Biden won seven million more votes than Trump in 2020. Rather, the national presidential outcome is decided in 50 state-by-state elections, with the largest states holding the most votes in the Electoral College that determines the presidential winner. Biden in 2020 was the first Democrat to win in Georgia since 1992. Trump contested the outcome in several states he narrowly lost to Biden, but even if he had flipped the outcome in Georgia, its 16 electoral votes that went to Biden would not have been enough to overturn the national result. Willis said the timing of the trial in the case is up to the discretion of the assigned judge, but that her office would propose the trial take place in the next six months, a fast timetable that may not be possible with 19 defendants. She also said that while the grand jury issued arrest warrants for those charged, her office was allowing them to voluntarily surrender themselves by noon on August 25. The former president's campaign did not wait for the charges to be unsealed before issuing a statement accusing Willis of being a "rabid partisan" and timing the investigation of Trump's actions "to try and maximally interfere with the 2024 presidential race and damage the dominant Trump campaign." Willis told reporters her decisions are based on the facts and that the law is "completely non-partisan." "We look at the facts, we look at the law, and we bring charges," Willis said. Trump said on his Truth Social site Monday that he "did not tamper with the election," and in a post early Tuesday he called Willis "out of control and very corrupt." "Why didn't they Indict 2.5 years ago? Because they wanted to do it right in the middle of my political campaign," Trump said. "Witch Hunt!" The indictment follows a 2-year investigation that stemmed broadly from Trump's taped phone call in early 2021 to Georgia election officials soliciting them to "find" him 11,780 votes, one more than Biden's margin of victory in the pivotal battleground state. To this day, Trump falsely contends that election irregularities cost him another term in the White House. Trump has also been indicted in two federal cases and one in New York state. Justice Department special counsel Jack Smith accused Trump in a four-count indictment in Washington of scheming with six unnamed co-conspirators to illegally upend his national reelection loss. Smith also accused Trump in Florida of illegally hoarding highly classified national security documents as he left the White House in early 2021 and conspiring to keep from turning them over to federal investigators. A New York state prosecutor indicted Trump on charges of altering business records at his Trump Organization real estate conglomerate to hide a hush money payment to a porn film star ahead of his successful 2016 run for the presidency to keep her from talking about her claim of a one-night tryst with Trump a decade earlier. Trump has denied wrongdoing in all the cases. Hyundai's luxury brand Genesis is expected to surpass the 1 million mark in cumulative global sales about eight years after its launch. The automaker said it sold a total of 983,716 Genesis cars worldwide through last month, since the brand was launched in November 2015 -- 682,226 cars were sold domestically and 301,490 overseas. Given that the brand sold an average of 20,000 cars per month this year, it is highly likely that the 1 million milestone will be exceeded this month. Actress Song Hye-kyo donated books to the University of Hawaii to mark Liberation Day on Tuesday. Her donation is the latest act in a decade-long collaborative effort with academic activist Seo Kyoung-duk of Sungshin Women's University to promote Korea's historic independence movement around the world. The approximately 10,000 guidebooks Song and Seo donated this time explain the historic significance of a number of sites in Hawaii with links to Korean freedom fighters against Japanese colonial rule. They are available in Korean and English and are free for anyone to read. The donation also marks the 120th anniversary of Korean immigrants' arrival in Hawaii to work as laborers in sugar cane fields there in 1903. Song and Seo have donated guidebooks and other booklets to more than 30 Korean historic sites overseas so far. The sad, tragic saga of the fatal Rust shooting continues, with a new forensic report showing that the gun that killed cinematographer Halyna Hutchin could only fire if the trigger was pulled. This could lead to star Alec Baldwin, who held the gun when it discharged, to be recharged with manslaughter. Baldwin was first charged with manslaughter back in 2021 for his handling of the Colt .45 that killed Hutchin and injured director Joel Souza on the set of Rust outside of Santa Fe, New Mexico. The charge was later dropped when Baldwins defense made mention that the gun had been modified for the production, calling into question whether it could have been fired without the trigger being pulled. The special prosecutors assigned to the case, Kari Morrissey and Jason Lewis, went forward and commissioned a new forensic examination of the gun. The report was submitted to them earlier this month and released in a public court filing on Tuesday, as first reported by the Los Angeles Times. Previously, the prosecutors had said that if the gun was found to be working properly then Baldwin would be charged again, although as of now he has not been charged and they havent declared whether he would be. This fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger, the forensics report read. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver. Hannah Gutierrez Reed, the films armorer, is facing involuntary manslaughter and evidence tampering charges and is expected to go to trial in December. TheWrap has reached out to the Santa Fe sheriffs office and Baldwins lawyer for comment. The post Alec Baldwin Could Again Face Charges After Rust Forensics Show He Pulled Trigger appeared first on TheWrap. Anna Delvey isnt proud of some of the decisions she made in her life. In a conversation with Variety, the former prisoner expressed remorse for pretending to be an affluent heiress in her mission to create an elite club in New York. Delvey whose con artist story inspired the Netflix docuseries Inventing Anna created by Shonda Rhimes swindled big-name Wall Street sharks out of thousands of dollars, and now she wished she had not. I regret a lot of decisions Ive made in the past, she confessed. I have not made great choices. My mistakes are very public, and I will have to live with it forever. The 32-year-old is currently on house arrest in a 470-square-foot East Village apartment, and she has been since her release 10 months ago. As she faces possible deportation from the US to Germany, shes been reflecting on her past, noting It gets thrown back into my face every day pretty much. Me moving on does not mean that Im saying everything I did was so right, she said. I learned from my mistakes. I paid restitution in full. I paid my legal fees. I never had any public defender. I never took money from the government. Nobodys paying my rent. Nobodys paying for anything. So, people, what else is there? Though she has a podcast titled The Anna Delvey Show and a reality series in the works, she has yet to watch Rhimess interpretation of her. Was that the real me? she asked. That wasnt a docuseries; it was fiction. Before each episode it says, Its all true, except for whatever is made up. Its not up to me to sit here and dissect Shonda Rhimes vision or interpretation. It is what it is. Shes a very sweet person, Delvey adds about Rhimes. She was just doing her job. I dont really take it personally. These days, Delveys been forced to deal with the constant hate spewed about her from anonymous people online to residents in her building. I kind of learn to live with it. I feel like a lot of people just misunderstand what my intentions were, she admitted. The Moscow-born fraudster changed her name from Sorokina to Delvey and moved from Russia to Germany with her father when she was 15 years old. She interned at Purple magazine in Paris before transferring to their New York offices where she became upset with luxury fashion and the citys exclusive social class. Delvey was arrested in 2017. She was found guilty of second-degree grand larceny and theft of services two years later for creating fake bank statements to get a $22m. loan and defrauding a total of $275,000. In 2021, the convict was detained by US Immigration and Customs Enforcement for overstaying her visa, as reported by Variety. If you purchase an independently reviewed product or service through a link on our website, The Hollywood Reporter may receive an affiliate commission. Welcome to The Hollywood Reporters inaugural Travel Week! Hollywood stars and insiders log millions of miles for filming, festivals and wherever else the red carpet takes them, so why not look to these frequent flyers for travel inspiration? With summer destinations still beckoning (and plenty of time to plan for fall trips), this week were highlighting insider-approved essentials, style and travel tips, deals and more. Allons-y! More from The Hollywood Reporter Sure, a carry-on can get you through a long weekend, but sometimes, you need a more spacious suitcase for your vacation. Maybe youve been inspired to travel to Vietnam after watching A Tourists Guide to Love or youre headed to Belfast to see some movie magic for yourself. No matter where youre traveling in 2023, youll need a sturdy suitcase for your adventures. Weve rounded up a dozen of the best check-in suitcases, according to some enthusiastic product reviewers. Of course, weve included pieces from celeb-loved brands so you can be sure youre traveling in style this season. Related: The Best Carry-On Bags for Every Type of Trip From Shay Mitchells Beis pieces to Gen Z fave Calpak to affordable Amazon finds, these checked bags have all the space youll need for your next trip. And if you need recommendations for how to catch some sleep on your flight there, weve got some ideas for that, too. Ahead, here are the best check-in suitcases to buy in 2023. Samsonite Omni PC Large Expandable Spinner BEST CHECK-IN SUITCASE OVERALL Dimensions 30.5 x 21.5 x 13.5 inches Weight 11.68 pounds Capacity 145 liters Exterior material Polycarbonate Expandable Yes, up to one inch USB port/charger holder Yes (charger not included) Other features TSA lock Warranty 10-year global warranty Theres a reason that Samsonite is one of the first brands you think of when you think of suitcases. Theyve been putting out high-quality luggage since the 1970s, and this Omni PC hardside expandable suitcase is no exception. It has more than 18,000 five-star ratings on Amazon, and reviewers praise it for its easy maneuverability, spacious interior and lightweight design. MORE BUYING OPTIONS: Amazon | Samsonite Samsonite Omni PC Large Expandable Spinner Samsonite Omni PC Hardside Expandable Luggage Price: $249.99 $157.49 Buy On Amazon SwissGear Sion Softside Expandable Roller Luggage BEST CHECK-IN SOFT-SIDE SUITCASE UNDER $150 Dimensions 30 x 18.5 x 12.5 inches Weight 11.1 pounds Capacity 80 liters Exterior material Polyester USB port/charger holder Yes (charger not included) Expandable Yes, up to one inch Warranty 10-year global warranty This SwissGear soft-sided suitcase is one of the best-selling luggage pieces on Amazon. It has multiple pockets to organize smaller items and keep them close at hand, plus plenty of internal pockets and straps to make the most of the 29 inches youve got to work with. Reviewers also appreciate that its lightweight. SwissGear Sion Softside Expandable Roller Luggage SwissGear Sion Softside Expandable Roller Luggage Price: $139.99 Buy On Amazon Rockland Melbourne Hardside Expandable Luggage BEST CHECK-IN SUITCASE UNDER $100 Dimensions 28 x 17 x 12 inches Weight 10 pounds Exterior material ABS Expandable Yes, up to one inch USB port/charger holder No Other features TSA lock Warranty 5-year warranty Prices for this Rockland Melbourne hardside expandable suitcase fluctuate (and vary depending on the color you want) but its possible you could snag one for less than $100 far less than youll pay for most suitcases of this size. The 28-inch bag gets positive reviews for being lightweight and easy to maneuver. Rockland Melbourne Hardside Expandable Spinner Wheel Luggage Rockland Melbourne Hardside Expandable Spinner Price: $160.00 $96.36 Buy On Amazon Away The Trunk BEST TRUNK CHECK-IN SUITCASE Dimensions 31.3 x 17 x 13.7 inches Weight 12.1 pounds Capacity 107 liters Exterior material Polycarbonate Expandable No USB port/charger holder No Other features TSA lock Warranty 100-day trial, limited warranty If youre going to check a bag, you might as well get a spacious one that fits the rest of your luggage. Aways newest Trunk is the largest size offered by the brand, and its available in the companys newest Seafoam color (alongside Olive and black) if you want to make sure you can spot your bag from across the baggage claim terminal. Featuring a 30/70 split to ensure you can pack efficiently, the 107-liter suitcase also features 360-degree spinner wheels, a TSA-approved lock and an attached laundry bag. It also fits Aways Carry-On and Bigger Carry-On luggage so you can save storage space, or if you plan to bring home more souvenirs. (Harry Styles, Florence Pugh, Emily Blunt, Meghan Markle and Margot Robbie are among the long, long list of stars whove been spotted carrying Away.) Away Trunk in Seafoam Away The Trunk Price: $475 Buy Now TravelPro Maxlite 5 25 Medium Check-In Expandable Spinner BEST SOFT-SIDE CHECK-IN SUITCASE Dimensions 27 x 18.5. x 11.5 inches Weight 6.8 pounds Capacity 91 liters Exterior material Polyester with DuraGuard water-resistant coating Expandable Yes, up to two inches USB port/charger holder No Other features TSA lock Warranty 100-day trial, limited lifetime warranty TravelPros 25-inch suitcase is made with a water-resistant exterior and a telescoping handle that can lock at two different points, making it comfortable for users of different heights. It expands another two inches, and it also has a unique tapered shape when expanded to prevent tipping. The wheels also move smoothly, according to several reviewers who say its easy to get this luggage around the airport. Travelpro also tests their bags by filling them with 70-pound loads and passing each handle through thousands of lift tests, so you can rest easy knowing that this bag really is durable. Travelpro Maxlite 5 Medium Check-In Expandable Spinner Travelpro Maxlite 5 Medium Check-In Expandable Spinner Price: $220 $187 Buy Now Quince 24 Check-In Hard Shell Suitcase BEST VALUE Dimensions 26 x 19 x11 inches Weight 8.8 Capacity 76 liters Exterior material Polycarbonate shell Expandable No USB port/charger holder Yes (charger not included) Other features TSA lock Warranty 10-year global warranty Quinces affordable luggage frequently sells out, making now an opportune time to grab the brands check-in hard shell suitcase while its in stock. It features quiet Hinomoto 360-degree wheels, a removable laundry bag, an interior compartment with a compression panel and a smooth telescopic handle, all of which have earned this wallet-friendly piece a nearly five-star rating from over 120 buyers. Quince 24-in. Check-In Hard Shell Suitcase Quince 24-in. Check-In Hard Shell Suitcase Price: $180 Buy Now Beis The 29 Large Check-In Roller BEST FEATURES Dimensions 31.3 x 19.6 x 12.6 inches Weight 11.84 pounds Capacity 112 liters Exterior material Polycarbonate Expandable Yes, up to two inches USB port/charger holder No Other features TSA lock Warranty Limited lifetime warranty Shay Mitchells travel brand Beis offers a wide range of luggage, including this chic suitcase thats also available in other eye-catching colors. As the name suggests, its 29 inches, and it comes in a wide range of colors. It also features a useful weight limit indicator so youll never be caught with a bag over the airlines guidelines meaning you wont get stuck transferring extra weight from one bag to another. It also comes with an included TSA-approved combination lock and plenty of pockets and straps to keep you organized. Beis The 29 Large Check-In Roller Price: $328 Buy Now Calpak Hue Large Luggage BEST VALUE Dimensions 30 x 19 x 11.8 inches Weight 12.2 pounds Capacity 110 liters Exterior material Polycarbonate Expandable Yes, up to two inches USB port/charger holder Yes (charger not included) Other features TSA lock Warranty 2-year limited warranty This minimalist piece from Calpak comes in four colors and is expandable up to two inches. It also features dual spinner wheels to make it easy to go from baggage claim to your destination smoothly. This suitcase also features a TSA-approved combination lock and is made with a durable 100 percent polycarbonate shell. Calpak Hue Large Luggage Calpak Hue Large Luggage Price: $275 Buy Now Monos 26 Check-In Suitcase BEST COMPACT CHECK-IN SUITCASE Dimensions 26.5 x 18.5 x 10.5 inches Weight 9.59 pounds Capacity 70 liters Exterior material Polycarbonate Expandable No USB port/charger holder Yes (charger not included) Other features TSA lock Warranty 10-year global warranty For something a little smaller, consider this medium check-in suitcase from Monos. It comes in 12 different colors and patterns (including four limited-edition ones) and is outfitted with an unbreakable polycarbonate shell, a gliding telescopic handle and plenty of pockets and straps to make the most of the space inside. If you prefer something with more space, Monos also has a large version of the same suitcase. Monos 26" Check-In Suitcase Monos Check-In Medium Price: $325 Buy Now Rimowa Original Check-In L Suitcase BEST INVESTMENT Dimensions 31.2 x 20.1 x 10.7 inches Weight 13.7 pounds Capacity 82 liters Exterior material Aluminum Expandable No USB port/charger holder No Other features TSA lock Warranty Limited lifetime warranty If youre tired of suitcases developing cracks or broken wheels, consider investing in the Original Check-In L suitcase (now in a new Arctic Blue hue) from Rimowa, which counts Rihanna and Patti Smith among its ambassadors. This sleek aluminum luggage is designed to withstand almost anything, and it even comes with a lifetime guarantee, though exclusions apply. In addition to being sleek and tough, this bag also has a unique multi-wheel system and smooth telescopic handle to ensure seamless travel through the airport, hotel and beyond. (The brand also offers a decidedly more affordable polycarbonate option.) Rimowa Original Check-In L Suitcase Rimowa Original Check-in L Suitcase Price: $1,800 Buy Now Roam Check-In Luggage BEST CUSTOMIZABLE LUGGAGE Dimensions 26 x 17.5 x 10.5 inches Weight 9.3 pounds Capacity 60 liters Exterior material Polycarbonate Expandable No USB port/charger holder Yes (charger not included) Other features TSA lock Warranty 100-day trial and limited lifetime warranty If your suitcase must match your style, then this check-in option from Roam is among the best customizable suitcases youll find. The shell is made from polycarbonate and the interior features lining made from recycled fabrics. You can specify the color of the front, back, trim, zipper and lining, and you can even add a monogrammed patch in your favorite color for free. An expandable version and larger sizes are also available. Customers get 100 days to try it out, and Roam also offers a limited lifetime warranty. Roam Check-In Luggage Roam Check-In Luggage Price: $595 Buy Now Away The Medium: Aluminum Edition BEST ALUMINUM CHECK-IN SUITCASE Dimensions 26 x 18.5 x 11 inches Weight 13.3 pounds Capacity 64.6 liters Exterior material Aluminum Expandable No USB port/charger holder No Other features TSA lock Warranty 100-day trial, limited warranty This chic aluminum suitcase from Away is sturdy and secure, thanks to the two combination locks that close it. The zipperless bag also features slow-release handles and leather details, as well as upgraded wheels. The aluminum material is somewhat prone to dents and scratches, but hey, it adds character. Away The Medium: Aluminum Edition Away The Medium: Aluminum Edition Price: $565 Buy Now Tumi Alpha Short Trip Expandable 4-Wheeled Packing Case BEST LUXURY CHECK-IN SUITCASE Dimensions 26 x 19 x 13 inches Weight 15.4 pounds Capacity 83 liters Exterior material Ballistic nylon Expandable Yes, up to two inches USB port/charger holder No Other features TSA lock Warranty 5-year limited warranty Tumis Alpha Short Trip expandable check-in luggage gives you two extra inches of packing space in addition to plenty of interior and exterior pockets for organizing your tech, clothing and more. The Hollywood-loved brands high-performance ballistic nylon material keeps your belongings protected, and the expandable main compartment makes it easy to add more to your suitcase. (Cindy Crawford, Hailey Bieber an Rosie Huntingon-Whitely have been seen toting Tumi at airports, and Alexander Skarsgard has starred in their campaigns.) Tumi Alpha Short Trip Expandable 4-Wheeled Packing Case Tumi Alpha Short Trip Expandable 4-Wheeled Packing Case Price: $1,295 Buy Now Best of The Hollywood Reporter Click here to read the full article. Meet an actress whose name you didnt know you didnt know. For more than three decades, Rhonda LaChanze Sapp has been everywhere in the arts world, from Broadway (22 plays including her Tony-winning role in The Color Purple) to movies (Cicely Tysons daughter in The Help and a muse in Disney's "Hercules") to TV (Law and Order Special Victims Unit, The Blacklist.) On Sunday, Aug. 20, she will perform for the first time on Cape Cod, with longtime friend Seth Rudetsky, for Broadway@Town Hall at Provincetown Town Hall concerts from 8:30 to 9:45 p.m. Tickets, $55-$108 with fees, at ptownarthouse.com/. But first, back to her resume: Longtime friends and Aug. 20 co-stars at Provincetown Town Hall, Seth Rudetsky and Rhonda "LaChanze" Sapp share a moment in Mykonos, Greece. Kids and kids at heart will know LaChanze for voicing Terpsichore, one of The Muses, in the 1997 Disney classic Hercules. She reprised the role for the 1998 animated series. Sapp, who works under her name LaChanze, recently added producing with Broadway shows Kimberly Akimbo and Top Dog/Underdog, for which she took home two Tony awards on the same night. She is also a driving force behind Black Theater United, a nonprofit advocacy group with some of Broadways most well-known names (Audra MacDonald, Billy Porter) determined to change the look of Broadway. We have a new deal document, an agreement, of what we can do to create more diverse audiences, LaChanze said in a telephone interview Sunday from Nashville, Tennessee. Rhonda "LaChanze" Sapp arrives for the 2023 Tony Awards, where she added two producing awards for "Kimberly Akimbo" and "Top Dog/Underdog" to the 2003 Tony she earned for her performance as Celie in "The Color Purple." Forgive my voice, I just sang, she said, apologizing unnecessarily for the touch of huskiness in her mezzo-soprano. Theater audiences are typically elite people, New Yorkers who live nearby, she said. There are a lot of people who dont even know they belong (at Broadway shows.) The same people who go to the movies, we want them to go to the theater to reap the benefits of the power of live theater. Rudetsky will join LaChanze on piano during her upcoming Town Hall performance. She'll sing her hits, she said, including "Im Here" from "The Color Purple" (she won a 2003 Tony playing the role Whoopi Goldberg played in the 1985 movie); "Waiting for Life to Begin" from "Once On This Island," "Be A Lion" from "The Wiz" and "Father Time" from "Kimberly Akimbo. Rhonda "LaChanze" Sapp performing at "Broadway in Alaska." LaChanze, a longtime performer on Broadway, in films and TV, recently added producing to her credits and took home two more Tony awards. She loves Afro/Cuban salsa dancing, gardening and the three cats who share her New York home. LaChanze said this is the first time in 31 years she hasnt been acting in a Broadway show. I still will be acting but I am just too busy this season, she said. The performer, who learned the producing side of the business from her mentor, David Stone, working remotely during COVID-19, is helping to produce three new shows, including Jajas African Hair Braiding. LaChanze, Creole for one who is charmed, is often described as charming, gracious and stylish. The deepest satisfaction to me is to hear people laugh and enjoy a performance, she said. Whether Im producing in it or starring in it, theater is my first love so this is where I will always be. Thanks to our subscribers, who help make this coverage possible. If you are not a subscriber, please consider supporting quality local journalism with a Cape Cod Times subscription. Here are our subscription plans. This article originally appeared on Cape Cod Times: Disney muse, 'Color Purple,' actress LaChanze performs on Cape Cod The Dragon Ball Super: Super Hero 4K release date has been set for the most recent Dragon Ball Super movie. Buy Dragon Ball Complete Box Set: Vols. 1-16 What is the Dragon Ball Super: Super Hero 4K release date? The 4K release is set for Tuesday, November 21. The new releases special features include a 2022 Special Video, trailers, and promo videos, while the 4K also comes with a metallic art card. You can pre-order it right now on the Crunchyroll Store. The Red Ribbon Army was once destroyed by Son Goku. Individuals who carry on its spirit have created the ultimate Androids, Gamma 1 and Gamma 2, reads the official synopsis for the film. These two Androids call themselves Super Heroes. They start attacking Piccolo and Gohan What is the New Red Ribbon Armys objective? In the face of approaching danger, it is time to awaken, Super Hero! Dragon Ball Super: Super Hero is the Dragon Ball series 21st feature film. Tetsuro Kodama directed the film, while Dragon Ball creator Akira Toriyama wrote the screenplay. Toei Animation handled the production and distribution. The movie premiered in Japan on June 11, 2022. Crunchyroll distributed the film outside of Japan, which premiered worldwide in August and September 2022. The post Dragon Ball Super: Super Hero 4K Release Date Set appeared first on ComingSoon.net - Movie Trailers, TV & Streaming News, and More. Georgia Gov. Brian Kemp had harsh words for former President Donald Trump as he continues to claim election fraud: "The future of our country is at stake" Megan Varner/Getty; Jeff Swensen/Getty Georgia Republican Brian Kemp has insisted Donald Trump's 2020 presidential election was not stolen. Georgia Republican Gov. Brian Kemp has blasted former President Donald Trump for continuing to fan the flames of election fraud. Even as Trump and 18 of his allies face an indictment Monday stemming from their alleged efforts to overturn his 2020 U.S. presidential election loss in Fulton County, Georgia, the latest comments from Kemp hint that Georgia Republicans may not be sympathetic to Trump moving forward. "The 2020 election in Georgia was not stolen," Governor Kemp, 59, posted to his 160,000 followers on X, formerly known as Twitter. Earlier this week, Georgia's grand jury filed charges against Trump as part of a fourth criminal investigation, shortly after prosecutors presented evidence regarding the former president's alleged efforts to overturn the state's election results that helped cement Joe Biden as the 46th president of the United States. Related: Donald Trump Indicted for Attempting to Overturn 2020 Election Results in Georgia "For nearly three years now, anyone with evidence of fraud has failed to come forward - under oath - and prove anything in a court of law. Our elections in Georgia are secure, accessible, and fair and will continue to be as long as I am governor," Governor Kemp continued in his social media post. Trump faces 13 felony counts: racketeering (violation of the Georgia RICO Act); three counts of solicitation of violation of oath by a public officer; conspiracy to commit impersonating a public officer; two counts of conspiracy to commit forgery in the first degree; two counts of conspiracy to commit false statements and writings; conspiracy to commit filing false documents; filing false documents; and two counts of false statements and writings. The Fulton County charges bring Trump to a total of 91 criminal counts he's been indicted on this year, several of which come with recommended prison time. If convicted of violating the Georgia RICO Act classified as a "serious felony" he would face a mandatory minimum sentence of five years. "The future of our country is at stake in 2024 and that must be our focus," Kemp concluded his post, which was prompted by a message Trump posted to his social media platform Truth Social, in which he hinted at a Large, Complex, Detailed, but Irrefutable report coming at a press conference in Bedminster, New Jersey, next week. "A Large, Complex, Detailed but Irrefutable REPORT on the Presidential Election Fraud which took place in Georgia is almost complete & will be presented by me at a major News Conference at 11:00 A.M. on Monday of next week in Bedminster, New Jersey," Trump posted online. "Based on the results of this CONCLUSIVE Report, all charges should be dropped against me & others - There will be a complete EXONERATION! They never went after those that Rigged the Election. They only went after those that fought to find the RIGGERS!" Kemp has served as the 83rd governor of the Peach State since 2019. During that time, he butted heads with then-President Trump as he opposed many COVID-19 pandemic restrictions, including stay-at-home orders. In April 2020, Kemp incurred the wrath of Trump when he lifted many COVID-19 restrictions in the state to allow businesses to operate, despite opposing federal guidelines at the time. Kemp announced that gyms, fitness centers, bowling alleys, body art studios, barbershops, cosmetologists, hair designers, nail care artists, aestheticians, and massage therapists would be allowed to start taking customers under "minimum basic operations" during the ongoing pandemic. Related: Georgia Governor Sues Atlanta Over City's Mask Rule as Mayor Vows: 'We Will See Them in Court' "I said [to Kemp], 'You're not in the guidelines ... but I want people to be safe and I want the people in Georgia to be safe,' " Trump told ABC News at the time. "I don't want this thing to flare up because you decided to do something that is not in the guidelines," Trump continued, adding that he "went to Deborah [Birx] and Dr. Fauci and other people and they weren't thrilled about it." Trump recalled of Kemp, "I told him very distinctly Mike [Pence] was there I said, 'Do what you think is best.' But if you ask me, am I happy about it? I'm not happy about it and I'm not happy about Brian Kemp." For more People news, make sure to sign up for our newsletter! Read the original article on People. SANTA FE, N.M. Prosecutors have received a second expert analysis of the revolver fired in the fatal shooting of a cinematographer by Alec Baldwin on the set of a Western film in New Mexico, as they weigh whether to refile charges against the actor. Baldwin has said the gun fired accidentally after he followed instructions to point it toward cinematographer Halyna Hutchins, who was behind the camera in rehearsal. Baldwin said he pulled back the hammer but not the trigger and the gun fired, fatally wounding Hutchins on Oct. 21, 2021, at a movie ranch on the outskirts of Santa Fe. Special prosecutors dismissed an involuntary manslaughter charge against Baldwin in April, saying they were informed the gun might have been modified before the shooting and malfunctioned. They commissioned a new analysis of the gun, along with other weapons and ammunition from the set of the movie, Rust, which moved filming from New Mexico to Montana. The new gun analysis from experts in ballistics and forensic testing based in Arizona and New Mexico relied on replacement parts to reassemble the gun fired by Baldwin after parts of the pistol were broken during earlier testing by the FBI. The new report examines the gun and markings it left on a spent cartridge to conclude that the trigger had to have been pulled or depressed. Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver, states the analysis led by Lucien Haag of Forensic Science Services in Arizona. Alec Baldwin's charges were dropped in the An attorney for Hannah Gutierrez-Reed, the weapons supervisor on the movie set, disclosed the report in a court filing Tuesday. Gutierrez-Reed has pleaded not guilty to involuntary manslaughter and evidence tampering in the case. Her trial is scheduled to begin in December. Defense attorneys for Baldwin did not immediately reply to an email Tuesday seeking comment on the gun analysis. A publicist declined to comment. Special prosecutor Kari Morrissey said in an email Tuesday that a formal announcement on whether to refile any charges against Baldwin is forthcoming but didnt say how soon. In an early June court filing, prosecutors gave themselves 60 days to renew a case against Baldwin, contingent on a determination that the gun did not malfunction. A possible malfunction of the gun significantly effects causation with regard to Baldwin, they wrote. Authorities have not specified exactly how live ammunition found its way on set and into the .45-caliber revolver made by an Italian company that specializes in 19th century reproductions. The company Rust Movie Productions has paid a $100,000 fine to state workplace safety regulators following a scathing narrative of safety failures in violation of standard industry protocols, including testimony that production managers took limited or no action to address two misfires on set before the fatal shooting. An August FBI report on the agencys analysis of the gun found that, as is common with firearms of that design, it could go off without pulling the trigger if force was applied to an uncocked hammer such as by dropping the weapon. The only way the testers could get it to fire was by striking the gun with a mallet while the hammer was down and resting on the cartridge, or by pulling the trigger while it was fully cocked. The gun eventually broke during the testing. Cinematographer Halyna Hutchins died on Oct. 21, 2021, in New Mexico on the set of In Tuesdays court filing, Gutierrez-Reeds attorneys asked for new safeguards at trial to ensure the movie armorer cant be convicted if negligence by any other person was the only significant cause of death or changed the course of events in unforeseeable ways. Morrissey criticized the defenses request for special jury instructions as premature and a bid for media attention. Defense attorneys said they plan to present evidence that Gutierrez-Reed asked assistant director and safety coordinator David Halls to call her back into rehearsal if Baldwin was going to use the gun. She said that didnt happen before Hutchins was shot. In March, Halls pleaded no contest to a conviction for unsafe handling of a firearm and received a suspended sentence of six months of probation. He agreed to cooperate in the investigation of the shooting that also wounded director Joel Souza. 'Rust' movie weapons supervisor pleads not guilty to manslaughter Jason Bowles, an attorney for Gutierrez-Reed, said the new analysis of the gun that was fired at Hutchins supports the idea that there was no modification to the gun prior to the fatal shooting and that it fired as designed when broken parts were replaced. The new firearms report contains images of the broken, disassembled gun as delivered in July, along with images taken from a video of Baldwin in rehearsal prior to the fatal shooting, with his finger apparently resting on the trigger of the pistol. From an examination of the fired cartridge case and the operationally restored evidence revolver, this fatal incident was the consequence of the hammer being manually retracted to its fully rearward and cocked position followed, at some point, by the pull or rearward depression of the trigger, the report from Haag states. The only conceivable alternative to the foregoing would be a situation in which the trigger was already pulled or held rearward while retracting the hammer to its full cock position. Previous: Alec Baldwin manslaughter charges dropped in fatal 'Rust' shooting This article originally appeared on USA TODAY: Alec Baldwin's 'Rust': New gun analysis determines he pulled trigger The U.S. and South Korea said on Monday that they will stage the major Ulchi Freedom Shield joint military exercise from Aug. 21 to 31. The drills will take place in the Pacific and focus on dealing with the evolving nuclear and missile threat from North Korea as well as the rapidly-changing global security landscape exacerbated by Russia's invasion of Ukraine. Along with 10 UN member nations, including Australia, Canada, France and the U.K., the drills will involve the U.S. Space Command for the first time. North Korea has demanded that the drills are called off and claimed they are a precursor to an invasion. The Joint Chiefs of Staff told reporters in Seoul, "The upcoming exercise will be more realistic than ever and step up by a notch the response capabilities of the allies." They will be divided into two phases running from Aug. 21-25 and 28-31. More from Spin: By 1984, hip-hop was in full force, but still in its infancy as far as narratives in cinema. Evolutionary movements in music have always moved more rapidly on the streets, where cultural gatekeeping erodes much faster than in either the film or music industries. Hip-hops origin story DJ Kool Hercs 1973 Bronx dance party channels a naturally visual mythos. In 1973, the world was still enthralled with disco, while Marvin Gaye, Pink Floyd, the Rolling Stones, Elton John, and Bruce Springsteen were all releasing landmark albums. William Friedkins The Exorcist transformed the notion of horror, while key figures in the New American Cinema movement like George Lucas and Sidney Lumet released iconic films. Bruce Lee and Jim Kelly would influence a generation thanks to Enter the Dragon, and the Blaxploitation cinema movement birthed by Melvin Van Peebles gave us Richard Roundtree, Pam Grier, Jim Brown, Tamara Dobson, and Ron ONeal, whose iconography influenced future generations. The birth of hip-hop arrived in the midst of tremendous political turmoil, as the U.S. left Vietnam and the Watergate hearings began, and Roe v. Wade came before the Supreme Court, legalizing abortion. The nebulous realities of hip-hop dont allow for an easily definable genesis in cinema. What then, constitutes a hip-hop film? And how is it possible to discern the best or most notable, especially when taking into consideration products born for and by the culture, and those clearly produced by curious white counterparts? Heres hip-hop in film, over the last 30 years. 1983: Style Wars It would be years before an inextricable symbiosis between hip-hop and cinema was born, and in early depictions the music played a supporting character. However, 1983 would be a watershed moment with the arrival of Run-DMC, while Ice-T popularized gangsta rap. The first film to delve into the culture would be Tony Silvers 1983 documentary Style Wars, which dealt primarily with breakdancing and graffiti, but provided a template for weaving rap into the soundtrack (including The Message from Grandmaster Flash and Beat Bop by Rammellzee and K-Rob). Charlie Ahearn directed the first actual narrative film about hip-hop, Wild Style, released in late 1983, featuring graffiti artist Lee Quinones and a whole slew of hip-hop trailblazers playing versions of themselves, including Fab 5 Freddy, Lady Pink, and the Rock Steady Crew, among others. Ahearns plot is a bit iffy but roughly circulates around a curious white journalist (who is introduced with a soundtrack cue of Blondies Rapture) taking an interest in the graffiti artists. A year later, director Stan Lathan would release Beat Street starring Rae Dawn Chong, about rival dance crews, the highlight of which is a high-energy dance-off that feels like a template for Gaspar Noes dance gonzo freakout Climax (2018). The Mid-80s: Breakin and Rappin Whereas Beat Street feels more designed by the culture it depicted, 1984 was also the year of another generational-defining cult classic: Breakin from white director Joel Silberg, featuring legendary dance moments from Adolfo Shabba Doo Quinones and Michael Boogaloo Shrimp Chambers, who form a breakdance trio with an unlikely white woman played by Lucinda Dickey. The films success saw the release of an even more over-the-top sequel the same year, Breakin 2: Electric Boogaloo. Ice-T plays himself in both films, giving live performances. The success of these films would lead to something a bit more risible from Silberg: 1985s Rappin, starring Mario Van Peebles, dubbed over by Ice-T and Master Gee of the Sugarhill Gang (whose younger brother starred in the film). These movies had dancers and graffiti taking precedence over the music. It took accomplished director Michael Schultz (Cooley High, The Last Dragon) to craft the first successful hip-hop musical, 1985s Krush Groove, starring Blair Underwood and based loosely on the life of Russell Simmons at the onset of his Def Jam days. The narrative follows the creation of a hip-hop label, the energy and wit providing the spirit Silberg would copy in Rappin (including a cutesy, disastrously cheesy rap about eating). This first cycle of hip-hop titles exemplified the commonly-known four core tenets of hip-hop: DJing, rapping, graffiti painting, and breakdancing. In these early movies, the cultural divide remains troublingly clear, with white Americans balking at a visibility demanded by a culture they had no intention of making room for. As evidenced in Style Wars, New York mayor Ed Koch, along with a handful of celebrities, including Irene Cara, sought to dissuade the citys youth from defacing public property with graffiti, a campaign of shame referred to as the War on Graffiti, which saw Koch trying to implement the use of wild wolves in subway car yards. Police brutality, instead, ensued, leading to the death of graffiti artist Michael Stewart upon being arrested for tagging (the impetus for Radio Raheem in Spike Lees Do the Right Thing, as well as Jean-Michel Basquiats now-famous painting Defacement). The violence would spur the rise of Public Enemy. Rumbling on the sidelines are the first women of hip-hop, such as Salt-N-Pepa and MC Lyte, confronting the glass ceiling of the still male-dominated industry and some less-than-welcoming masculine posturing. Fifteen-year-old Roxanne Shante was a trailblazer, though her talents were also demeaned by some of her male counterparts, rather than celebrated. Late 80s to Early 90s As the 80s came to a close, then, the relationship between hip-hop and film became increasingly defined by soundtrack, which stretched into the burst of Black auteurs and actors who would flourish through the 90s. Spike Lees Do the Right Thing (1989), John Singletons Boyz n the Hood (1991), Ernest Dickersons Juice (1992), and the Hughes Brothers Menace II Society (1993) are all seminal soundtracks where music and cinema are harmonious. Lee employed Public Enemys Fight the Power, while Singleton utilized star Ice Cube and a whole list of notables, including 2 Live Crew, Tevin Campbell, Quincy Jones, Yolanda Whitaker, and Tony! Toni Tone! Notably, both titles premiered at the Cannes Film Festival. In this same era, new jack swing (also known as swingbeat), credited to Teddy Riley and combining elements of R&B and hip-hop, gave rise to another crop of musical artists whose work would proliferate soundtracks of the 1990s, including Full Force, and producers Jimmy Jam and Terry Lewis famously fostered the ascension of Janet Jackson. And it would be remiss to forget Mario Van Peebles spectacular debut, New Jack City (1991) amongst these soundtracks, which included eclectically Ice-T, Essence, Keith Sweat, and Johnny Gill. Two of Tupacs most significant early acting roles, in Juice (1992) and Above the Rim (1994), also featured exceptional soundtracks. Dickerson curated Cypress Hill, Naughty by Nature and Big Daddy Kane to bolster his iconic debut movie, while white director Jeff Pollack (who directed Booty Call in 1997) featured the Lady of Rage, Thug Life and Al B. Sure! And the House Party franchise starring Kid n Play kicked off in 1990, their characters musical aspirations expanding by the 1991 sequel, where Iman plays a greedy record exec trying to take advantage of them. F. Gary Gray directed an iconic juggernaut in 1995 with Friday, the soundtrack featuring the films star and scribe, Ice Cube, plus Cypress Hill. The success of this paved the way for a number of hip-hop adjacent comedies within the boom of Black independent cinema going on throughout the decade (although by the early 2000s, there was a growing and ridiculous resentment toward rap stars taking roles from actors). One of the most neglected offerings from this period is Rusty Cundieffs mockumentary, Fear of a Black Hat (perhaps overshadowed by Tamra Davis similar CB4 from the same year, featuring Chris Rock). Cundieff, who also directed Sprung and Tales from the Hood, stars as the member of a fictional hip-hip group, N.W.H., the subjects of a documentary being made by a journalist, Nina, played by Kasi Lemmons (who would go on to direct Eves Bayou in 1997, the first film directed by a Black woman to play in multiplex theaters). Nina is a character well ahead of her time, and Cundieff doesnt shy away from the troubling misogyny normalized in hip-hops early days (even Martha Wash gets an homage of vindication for her voice being credited to the thinner women starring in her videos). On the lighter side of things would also be Paris Barclays 1996 comedy classic Dont Be a Menace to South Central While Drinking Your Juice in the Hood, with a soundtrack featuring Lil Kim, Jodeci, and Ghostface Killah. As hip-hop infiltrated and assimilated, cultural critiques of misogyny and homophobia plagued its acceptability amongst white society. The infamous rivalry between East and West Coast rappers, resulting in the murders of Tupac Shakur (whose filmography prior to his death suggested he would become a transfiguring leading man in Hollywood) and the Notorious B.I.G. would close out a decade of strife and infamy, stymying the rise of hip-hops leading women, many who would also find success in cinema. Their representation in 90s cinema was limited, led by F. Gary Grays Set It Off (1996) as a groundbreaking standalone moment also featuring a distinguished soundtrack, with Queen Latifah making an iconic impression, jumping from Grammy winner (for U.N.I.T.Y. in 1995), to television personality in Living Single, to film star. At the tail end of this Black cinematic renaissance is Hype Williams debut, Belly (1998), featuring Nas, DMX, and Method Man, while Malik Sayeeds cinematography assisted in creating one of the most beautifully shot Neo-noirs of the 1990s. Meanwhile, RZA and the Wu-Tang Clan would bolster the significance of Jim Jarmuschs Ghost Dog: The Way of the Samurai (1999), starring Forest Whitaker. The 2000s found hip-hop in film undergoing a transformation, and profitability dictated its output. Method Man and Redman headlined 2001s How High, which followed in the footsteps of the ridiculous (and woefully dated) I Got the Hook Up (1998) featuring Master P. The 2000s In these early years of the new century, Mekhi Phifer was a connective tissue between all sorts of notable projects, both good and bad, starring in Charles Stone IIIs Paid in Full, a film with one of the best soundtracks from these years (heavily featuring Jay-Z). Phifer would also star opposite Beyonce in Robert Townsends greatest misfire, Carmen: A Hip Hopera (2001), a made-for-television film which included Mos Def as one of the victims in its wreckage. Hip-hops prowess would be victimized in the likes of Bille Woodruffs nonsensical Honey (2003), which made a star out of Jessica Alba, who, not surprisingly, later vocalized her ignorance about the necessity of screenwriters. It was also the year someone thought it was a good idea to green light Malibus Most Wanted, with Jamie Kennedy as a rich white kid who thinks hes Black, and whose father hires two Black actors to kidnap his son and scare him out of his dreams to be a rapper. On the other end of the spectrum of white contributions, Craig Brewer would direct one of the best films about hip-hop ever made with the rags-to-riches odyssey Hustle & Flow (2005), starring Terrence Howard in an Oscar-nominated performance as a Memphis pimp who decides to become a rapper, featuring Ludacris, Anthony Anderson, and a phenomenal Taraji P. Henson. The song Its Hard Out Here for a Pimp took home an Academy Award for Best Original Song. The early 2000s also saw hip-hop enter another cinematic phase with director Curtis Hansons 8 Mile (2002), the fictionalized story of Eminem, starring the real Eminem (Marshall Mathers) set in 1995 Detroit. Critically acclaimed (and winning the Oscar for Best Original Song with Lose Yourself), it would open the door for a handful of others, to varying degrees of success. Irish director Jim Sheridan surely wasnt the greatest fit to head 50 Cents story in Get Rich or Die Tryin (2005), while George Tillman Jr.s Notorious (2009) and Benny Booms All Eyez on Me (2017) were each a bit underwhelming in the depictions of the Notorious B.I.G. and Tupac, respectively. However, Roxanne Shantes story in Michael Larnells Roxanne, Roxanne (2017) is a well-performed, enjoyable portrayal, featuring Chante Adams and Nia Long. However, the most significant hip-hop film of the last decade is F. Gary Grays runaway hit Straight Outta Compton, depicting the rise and fall of N.W.A. Despite its troubled production, a stellar cast led by Ice Cubes son OShea Jackson Jr. (playing his own father), Corey Hawkins, Aldis Hodge, and Jason Mitchell, signaled a change in shifting attitudes regarding mainstream acceptance, though not without convenient blindspots. As usual, the degradation of Black women in hip-hop is avoided in Grays film, which suggests Straight Outta Compton should be shown as a double feature with Janice Cookes Surviving Compton: Dre, Suge, and Michelle (2016), the latter narrating her own story of abuse at the hands of Dr. Dre and Suge Knight, both of whom she had children with. 2016 saw the short-lived Netflix series The Get Down from showrunner Baz Luhrmann, charting the origins of hip-hop through a group of teenagers, who are mentored by Grandmaster Flash (played by Mamoudou Athie). The cancellation of the series suggests Luhrmanns (who directed the first episode) hyper-stylization and a penchant for schmaltz might have had more to do with its demise than interest in the subject matter, which remains ripe for reclamation. The representation of women in hip-hop has, not surprisingly, been relegated to the sidelines until recently. Their proliferation as fictional characters is nearly as sparse as their biopics. But there are a few standouts. One is RZAs 2017 title Love Beats Rhymes, which deserves automatic credit for making lead star Azealia Banks seem sympathetic and appealing, given her public persona. Its the story of a young woman learning to find her creativity, juxtaposing an age-old conflict between institutions which deride rap and praise poetry. Jill Scott gives a deliciously campy turn as a sexpot academic formatted as Banks enemy, smiling like the Cheshire Cat as she introduces Banks derisively as a hip-hop poet. Shortly after, Radha Blank became a breakout in 2020 with her directorial debut, The Forty-Year-Old Version, playing a woman struggling with the same cultural divide between worlds: hip-hop vs. the white bread institution of the New York City theater scene. Television has allowed for more vivacious opportunities to showcase Black women, notably in Charles Stone IIIs well-received CraxySexyCool: The TLC Story (featuring Keke Palmer, Lil Mama, and Drew Sidora), and 2021s American Gangster Presents: Big 50 The Delrhonda Hood Story, with Remy Ma playing the titular head of an infamous Detroit drug empire. While women have rarely been given their due regarding their contributions to hip-hop, one of the major gifts the culture is receiving for its 50th birthday is the new four-part Netflix series Ladies First: A Story of Women in Hip-Hop. A historical recuperation providing a timeline of Black womens empowerment, this is a must-see exercise in their contributions to the movement. The series charts a timeline highlighting early figures from Sha-Rock to Roxanne Shante to MC Lyte and Salt-N-Pepa, and conveys the explosion of women in hip-hop as pioneers. The degradation of several key figures, such as Dee Barnes, who was assaulted by Dr. Dre, and Sister Souljah, demonized by President Bill Clinton, are important pit stops. Likewise, Drew Dixon, robbed of credit for uniting Method Man (with the help of P. Diddy) and Mary J. Blige on the Grammy-winning track Ill Be There for You/Youre All I Need to Get By. Yo-yo, Rah Digga, April Walker, and Queen Latifah discuss their early successes which bridged an evolution including Lauryn Hill, Lil Kim, Foxy Brown, Eve, Trina, and Missy Elliott. When juxtaposed with hip-hop documentaries from a previous era, such as Peter Spirers 1997 Rhyme & Reason, where women are afforded a truncated montage of mentions, its a testament to how much hip-hop has progressed. Queer hip-hop artists such as Chika and Young M.A reflect on their abilities to claim their authentic identities at the beginning of their career, made all the more significant when compared to the experiences of Queen Latifah and Da Brat. As a culture with multifaceted origins, any tabulation of either its best or worst assets is impractical, as hip-hop burst outward from a few originating constellations. As the artform has changed and progressed, its informed and underscored music and cinema to a degree where its various elements are an irrevocable part of our creative DNA, and perhaps is a litmus test determining where weve been and where were going, as time marches on and hip-hop keeps flowing. As we wish a happy 50th to the innumerable luminaries of hip-hop, a grand sentiment from the Queen of hip-hop soul, Mary J. Blige, perhaps says it best in her track Just Fine: No time for moping around. Are you kidding? And no time for negative vibes cause Im winning. Watch Nick and his husband Joseph review (and spoil) films on their YouTube channel, Fish Jelly Film Reviews. Their podcast of the same name is available everywhere. To see our running list of the top 100 greatest rock stars of all time, click here. Though most prominent Republicans are still standing with Trump amid his fourth indictment, Morning Joe panelist Jonathan Lemire isnt totally convinced that support will hold. According to the MSNBC host, many in the party who are publicly supporting him privately worry hell continue to be a net negative come 2024. Lemire noted on Wednesdays episode that, although Trumps base of voters remains hopelessly devoted to him, support from his actual colleagues is a little bit soft at the moment. Even if they think he is being railroaded somewhat, they just think its too much, Lemire said. Theyre fatigued. But more than that, the baggage will prevent him from winning again. Lemire added that not only do Republicans fear Trump losing yet again, but they also worry that hell bring down other candidates with him, as he did in the 2022 midterms, and cost the GOP their next chance to take the majorities again. Thats what I hear from Republicans here in Washington, even those who are publicly for Trump, privately theyre concerned theyre heading for a repeat of 2022 where if Trumps at the top of the ticket, hes going to bring the rest of the party down with him, Lemire said. Its going to hurt them with independent, with swing voters as they try to win back the Senate, as they try to hold on the house, particularly in seats President Biden won last time round. They think that this will be another election where Trump is going to be a net negative for the party. You can watch the full discussion from Morning Joe in the video above. The post Morning Joe Says Republicans Who Publicly Support Trump Actually Think Hes A Net Negative for the Party (Video) appeared first on TheWrap. Japanese dance and vocal group ONE N ONLY dropped its new song EVOL digitally on Wednesday (Aug. 16). The latest track is an upbeat, passionate reggaeton-flavored number fitting for where the ever-evolving band currently stands. Billboard Japan chatted with the six members of the group celebrating its fifth anniversary this year HAYATO, REI, TETTA, NAOYA, EIKU, and KENSHIN about EVOL and also asked them to share their impressions from the groups headlining Brazilian tour from earlier this year. More from Billboard You toured Brazil this spring and performed for your fans there at last. Could you share your thoughts on the trek? HAYATO: We were really happy to be able to go there to do our own shows instead of being part of a bigger event. We came up with a setlist with about the same number of songs as we do in Japan, and spoke to our audience completely in Portuguese. But when we first decided to tour three cities in Brazil, we werent sure just how many SWAG (ONE N ONLY fans) would be there. It felt so moving on our first day of the tour in Sao Paulo when we saw the faces our fans, knowing so many people had been waiting for us to come. REI: Their reactions were amazing too, werent they? HAYATO: Yeah. Everyone reacted so enthusiastically to what we did onstage. Everyone sang and danced and all those reactions were just awesome, so it was a lot of fun to do. What about you, TETTA? TETTA: To tell you the truth, Im not completely used to performing live yet. [Laughs] I guess Ill just have to keep doing it to feel truly comfortable with it. It was such a great experience, though, and I really felt the energy of the people of Brazil. SWAG in Brazil were so energetic and I couldnt outdo them in that regard! Ill do better next time! HAYATO: Yeah, they were so full of energy. NAOYA: I got injured a few days before we left for Brazil and couldnt be a part of our performances. But everyone over there gave me such a warm welcome and I felt what a generous country it is. I actually wasnt sure until shortly before we departed if I could come along or not, so I was so glad to have been able to be a part of it. And the band members all stepped up for me so I really appreciate them. At what point did you feel they stepped up for you? NAOYA: I had a chance to see them performing onstage when I couldnt join in, and felt so proud to see the five of them commanding the stage with such vitality. Our SWAG had such power and passion, too. They let us know they were having a lot of fun, just like we thought they would! EIKU: I thought it was a country overflowing with love. There was no reserved seating in the venues we played in, and everyone ran to grab the seats up front. When I saw that, it really hit home just how much our fans love us. Oh, and whenever one of our crew members crossed the stage before we went on, the crowd made so much noise! HAYATO: Oh, yeah! Our crew was so pleased about that. EIKU: Yeah. They went up there about four times on purpose and seemed to revel in all that attention. [Laughs] Each of the three cities we visited had different vibes and that was fascinating, too. KENSHIN: When you stop and think about it, being able to tour on the other side of the planet is such an amazing thing. We had a special place in our hearts for Brazil, and it was such a happy feeling sharing the same space with our SWAG in that country. You had two Portuguese-language songs in your set. Were your fans excited to hear them? KENSHIN: They loved those the most! The way they expressed their excitement was awesome. But they were also hyped about our Japanese songs as well, so I felt that our songs were getting through to the audience. Id love to visit again. REI: Everyone was so upbeat! Their reactions to every single thing we did were just awesome and it was a lot of fun. The groups new song EVOL dropped this month. Its also a really upbeat number. HAYATO: I wish we could have performed this one during our Latin American tour! Sounds like it would have been a lot of fun! Did you start discussing what kind of music to release next around the end of your 5th anniversary tour? HAYATO: Yes. Weve gone into depth about the concept for each song weve been releasing lately every time we produce a new one. For this we decided to come up with a summery track and incorporate a Latin flavor as one of the groups new distinctive styles. It turned out to be a song with a catchy chorus over Latin and reggaeton beats, which suits us. REI: When I first heard it, it took me by surprise because Id never heard anything like it before. But I knew wed able to express it and that we were the ones who could do it justice because of where we currently are as a group right now. KENSHIN: Im in charge of the opening lines and the rap part of the second verse. The track is built to hype people up and plunge them into the music. The sultry vibe of the second verse also has a summery feel to it, so I hope people enjoy that. Youve all probably matured as youve grown older since you first got started. Which member do you think has changed the most in that regard? REI: I think EIKU is more attractive now. TETTA: I know what you mean! EIKU is the youngest and its like hes upgraded. First of all, hes bigger in physique. Which means his style of dancing has changed and he has a mans face now. HAYATO: Hes gone from cute to cool. REI: EIKU is probably the one whos changed the most both externally and internally. He used to be the quiet type before, but now he steps forward a lot more. TETTA: Oh, I know! Thats probably because he changed his bangs and began parting it in the middle. And then he changed again by dyeing his hair and stuff. By the way, I was the one who said hed look better if he parted it like that. So youre saying he changed thanks to you? TETTA: Yeah! REI: Why do you always try to take credit for everything? [Laughs] TETTA: Im the type who says, I knew them before they were big more than anyone! [Everyone laughs] EIKU, are you aware of these changes theyve pointed out? EIKU: I guess I am. I think the changes in the kind of songs we do now might have something to do with it, but I do think I express myself differently now from around when I began parting my hair down the middle. [Laughs] I also study videos of other people performing in different ways. What will the choreography for the new song be like? NAOYA: We just began learning the moves. MONA, the choreographer who came up with the dance for Na-Yeon from TWICEs POP!, is handling the dance for this one. TETTA: We often had male choreographers handling our performances in the past, so I hope everyone enjoys our new catchy dance moves that are different from before. Wed love to see you dancing on TikTok! NAOYA: Yeah, since the song is so catchy, too. Itd be great if you all have fun with it! This interview by Kana Yoshida first appeared on Billboard Japan Best of Billboard Click here to read the full article. Following my story last month about the best restaurants for fried or baked chicken wings in Sarasota and Bradenton, I shifted my focus to Cubanos ahead of National Cuban Sandwich Day on Aug. 23. Despite the record-breaking heat, I visited numerous Cuban restaurants in recent weeks across Sarasota and Manatee counties. However, this year's list of the best local Cuban sandwiches features only three. For me, the Cuban sandwich ranks among the most quintessential Florida foods, serving as a delicious embodiment of multiple cultures. Here, I present restaurants that craft flawless Cubanos, brimming with flavor. The first establishment assembles their Cuban sandwiches in the Tampa style, featuring ham, roasted pork, salami, Swiss cheese, pickles, and mustard on pressed Cuban bread. The next two restaurants offer an enticing Miami-style Cubano, employing the same ingredients but omitting the salami. Now, press play on the positively delightful sounds of the Buena Vista Social Club, maybe mix yourself a Cuba libre, or perhaps light up a Cohiba cigar, and take a few moments to contemplate savoring these authentic Cuban dining experiences found right here in Sarasota. Tacos, margaritas and more! 10 best Mexican restaurants in Sarasota, Bradenton and Venice Best restaurants in downtown Sarasota? Here are 10 of my favorites Best waterfront restaurants in Sarasota and what to eat, drink there! Ticket Newsletter: Sign up to receive restaurant news and reviews plus info on things to do every Friday Columbia Restaurant Wade Tatangelo getting ready to eat a Cuban sandwich at Columbia Restaurant on St. Armands Circle in Sarasota on July 30, 2023. 411 St. Armands Circle, Sarasota; 941-388-3987; columbiarestaurant.com Recognized as the oldest restaurant in Florida, the original Columbia opened in Tampa's Ybor City in 1905. Its charming location on St. Armands Circle, which offers indoor as well as covered sidewalk seating, has been welcoming guests for more than six decades. My dad opened a second Columbia Restaurant on St. Armands Circle in 1959, Richard Gonzmart, fourth-generation caretaker of Columbia Restaurant Group, told me in 2017. He loved Sarasota and Longboat Key. In 2021, Food & Wine magazine named the Cubano at Columbia the best sandwich in Florida. Biting into a warm, freshly pressed Cuban sandwich at Columbia is like traveling back a century in time, to when immigrants were leaving indelible footprints in Florida communities such as Tampa's Ybor City. "Tampa was like the sandwich, a mixture of cultures and food," Columbia Restaurant once noted on its website. "The Spanish brought the fine ham, the Sicilians the Genoa salami, the Cubans the mojo-marinated roast pork, the Germans and Jews the Swiss cheese, pickle and mustard." Original Cuban Sandwich at Columbia Restaurant on St. Armands Circle in Sarasota photographed July 30, 2023. It must also be noted that the brilliance of the sandwich ($14) begins, like all superior sandwiches, with the bread. At Columbia, that means using freshly baked Cuban bread from Tampa's La Segunda Central Bakery, established in 1915. Yes, it's a smartly balanced sandwich, best experienced at the Columbia in St. Armands Circle or one of their other Florida locations, and one that you want to pair with the restaurant's equally appealing, and perhaps equally famous, Original "1905" Salad ($15). Columbias iconic salad, which is tossed tableside, contains crisp iceberg lettuce with julienne of baked ham, natural Swiss cheese, tomato, olives, grated Romano cheese, Lea & Perrins Worcestershire sauce, and the restaurant's irresistible garlic dressing. I love the salad as much as the sandwich and chose it as one of the selections for my recent "last meal" interview with Axios. Fun fact: Columbias Original Cuban Sandwich is only listed on the lunch menu at the St. Armands Circle restaurant and all of their non-airport locations. However, you can order it at dinner, even though its not listed. Columbia Restaurant also appears on 12 best seafood restaurants in Sarasota and Manatee serving fresh Florida stone crab J.R.s Old Packinghouse Cafe J.R.s Old Packinghouse Cafe is on Packinghouse Road in Sarasota. 987 S. Packinghouse Road, Sarasota; 941-371-9358; packinghousecafe.com Originally opened during Labor Day weekend in 1999, in the same building that owner J.R. Garraus estimates has been around since the '50s, J.R.s Old Packinghouse Cafe is a funky slice of Old Florida found just out of sight from I-75, about six miles east of downtown Sarasota. While now boasting a full-liquor bar and live music six nights a week, OPC remains most renowned for its food and among the culinary creations of South Florida native and trained chef Garraus, none are more famous than his Miami-style Cuban sandwich ($12.95). The Cuban sandwich at J.R.'s Old Packinghouse Cafe photographed Aug. 8, 2023. Sink your teeth into the crusty Cuban bread exterior, and you'll discover a generous serving of gooey Swiss cheese. Accompanied by firm, thinly sliced spear pickles and sweet ham, the true highlight of this experience lies at the bottom: a mound of slow-roasted, expertly seasoned shredded pork. In fact, you'll find yourself contemplating ordering any item from the menu, or any of the daily specials, featuring that succulent pork. Indeed, O.P.C. incorporates the pork into its utterly distinctive and delicious creation called the Blackened Ybor Burger ($16.95), a fusion of a Cuban sandwich and a cheeseburger. One of the best burgers in Sarasota, this masterpiece features Cuban bread cradling a half-pound chuck patty, draped in melted Swiss cheese, J.R.'s exquisite shredded pork, and grilled onions. It arrives with a side of Thousand Island dressing for dipping, ensuring a delightfully messy yet unforgettable experience with this exclusive innovation a culinary gem that could only have emerged from our greater Tampa Bay region. J.R.'s O.P.C. also appears on: Best chicken wing restaurants in Sarasota, Bradenton and across Tampa Bay Mirna's Cuban Cuisine The 12-inch Cuban sandwich at Mirna's Cuban Cuisine in Sarasota, photographed on July 27, 2023. 7980 N. Tamiami Trail, Sarasota; 941-316-9793; mirnascubancuisine.com Located on the North Trail, just across the street from the Sarasota Bradenton International Airport, Mirna's Cuban Cuisine is the cozy little cafe where 64-year-old Mirna Gonzalez has been serving her delectable and inexpensive Cuban cuisine since 2016. There's nothing fancy about Mirna's, but that sure has not stopped it from being a crowd pleaser. The popularity of the place, even when visiting in the early evening on a Thursday in the dead of summer, can cause you to wait longer than usual for your food or the next cold beer. But trust me, it's worth it. The Cuban sandwich costs a mere $9.95, is 12 inches long, and could easily feed a hungry couple. It contains some of the best baked ham I have tasted anywhere. Everything except the bread, which Mirna's gets from La Segunda in Tampa just like the others on this list, is made in-house. This includes the other star ingredient of her Miami-style Cuban sandwich: the roast pork. During my visit in late July, I paired the sandwich with Mirna's soup of the day, which turned out to be my favorite: her superior garbanzo bean soup ($3.95 for a bowl), laced with chunks of the baked ham that I adore. The arroz con pollo at Mirna's Cuban Cuisine in Sarasota, photographed on July 27, 2023. I would also be remiss not to mention Mirna's arroz con pollo, which comes with a side and costs less than $12. It has to be among the best Cuban chicken and yellow rice served this side of Havana. Both the meat and rice were tender, moist, and teeming with flavor. The entree is rendered strikingly beautiful with its green olives, roasted bell peppers, sweet peas, white onions, and green pepper. Honestly, I can't think of a better entree priced under $15 found anywhere in Sarasota County. However, these three genuine Cubanos, from Columbia, J.R. 's and Mirna's, all priced under $15, are every bit as satisfying as an entree, and among the finest sandwiches you'll find anywhere. Who lives here? Most famous celebrities with homes in Sarasota and Bradenton area Wade Tatangelo is Ticket Editor for the Sarasota Herald-Tribune, and Florida Regional Dining and Entertainment Editor for the USA TODAY Network. Follow him on Twitter, Facebook and Instagram. He can be reached by email at wade.tatangelo@heraldtribune.com. Support local journalism by subscribing. This article originally appeared on Sarasota Herald-Tribune: Best Cuban sandwich restaurants in Sarasota, Bradenton The post Scientists Name New Species of Snake After Harrison Ford appeared first on Consequence. Harrison Ford is a famous animal lover, but a new honor bestowed upon him from scientists might have the actor asking Whyd it have to be snakes? all over again. Researchers have named a new species of snake discovered in the Andes Mountains in Peru the Tachymenoides harrisonfordi. According to The Hollywood Reporter, the Tachymenoides harrisonfordi is a yellow-brown snake with a black belly and black spots measuring 16 inches long. Scientists from a collaboration between Peru and the United States found exactly one snake of its kind, a male, in May 2022; it was sunbathing in a swamp in Otishi National Park. Despite his famous Raiders of the Lost Ark line, researchers actually named the snake after Ford to pay tribute to his history of environmental advocacy, including his role as vice-chair of Conservation International. In the past, scientists have named an ant (Pheidole harrisonfordi) and a spider (Calponia harrisonfordi) after the actor. Ford acknowledged the discovery of the snake in a statement. These scientists keep naming critters after me, but its always the ones that terrify children. I dont understand. I spend my free time cross-stitching. I sing lullabies to my basil plants, so they wont fear the night, he said. In all seriousness, Ford continued, this discovery is humbling. Its a reminder that theres still so much to learn about our wild world and that humans are one small part of an impossibly vast biosphere. On this planet, all fates are intertwined, and right now, one million species are teetering on the edge of oblivion. We have an existential mandate to mend our broken relationship with nature and protect the places that sustain life. Ford said goodbye to Indiana Jones earlier this year with the film franchises fifth and final installment, The Dial of Destiny. Revisit our list of his 10 Best Roles here. Scientists Name New Species of Snake After Harrison Ford Carys Anderson Popular Posts Subscribe to Consequences email digest and get the latest breaking news in music, film, and television, tour updates, access to exclusive giveaways, and more straight to your inbox. Texas woman Cecily Aguilar was sentenced to 30 years in prison for her role in the 2020 murder of U.S. soldier Vanessa Guillen. On Aug. 14, Aguilar appeared in federal court, where she was given the maximum possible sentence after she pleaded guilty to one count of accessory to murder after the fact and three counts of false statement or representation in connection to the murder, according to the U.S. Attorney's Office in Western Texas. The sentencing came after hours of testimony from multiple witnesses, experts, and more. Notably, Vanessa's mother, Gloria Guillen, testified to the pain and grief that the family has experienced since their daughter went missing in April 2020. According to NBC News, Gloria said in Spanish, "I hope God forgives [Aguilar] and that she repents." RELATED: Army Soldier Charged with Murdering New Wife, a Combat Medic Found Dead in a Storm Drain Though Aguilar initially pleaded not guilty and sought to have a previous confession tossed from evidence, she ultimately apologized to the family for her part in the murder. But this apology would not make up for their loss, Vanessa's sister Mayra Guillen told reporters outside the courthouse. "Nothing will ever bring back my sister," Mayra said. What happened to Vanessa Guillen? Vanessa went missing from the Texas military base, formerly known as Fort Hood, on April 22, 2020, leaving behind her wallet and keys in an armory room controlled by U.S. Army Specialist Aaron Robinson. At the time, her family expressed concern, citing the fact that Vanessa had recently been sexually harassed on the base, according to previous Oxygen.com reporting. As police began searching for the 20-year-old, they spoke with Robinson, who claimed to have last seen Vanessa heading to a parking lot after working in the armory room. Three soldiers would corroborate his claims, though Maj. Gen. Donna Martin, the Armys provost marshal, would say in September 2020 that their accounts were inaccurate. Authorities later found remains in Bell County, Texas in late June. Using dental records and DNA, investigators confirmed the dismembered body was indeed Vanessa's. Investigators noticed that Robinson had called Aguilar multiple times that night, even though he said that he had been with her the night of the murder. When they questioned Aguilar, she alleged that Robinson had called to her to confess to murdering a woman with a hammer, Oxygen.com previously reported. She said that she then helped him dismember Vanessa and burn the remains before disposing of them near a lake. Though Robinson was under surveillance throughout the course of the investigation, he wasn't officially detained and escaped the base after seeing reports of Vanessa's body being found. As police closed in on him, Robinson fatally shot himself in the head on July 1. The I Am Vanessa Guillen Act The Guillen family said that Robinson was not the military member who had sexually harassed Vanessa and accused the military of not doing enough to protect soldiers. In an effort to prevent further harm to other individuals, they worked with lawmakers to pass the I Am Vanessa Guillen Act in January 2021, which reformed the way the military responds to reports of sexual harassment. Though Gloria Guillen wishes that more was done sooner to protect her daughter, she said, "A new generation of young people will be protected because of my daughter's tragic death," according to NBC News. The Guillen Family Sues the Military The Guillen family has since filed a wrongful death lawsuit against the military and is seeking $35 million in damages. The army refused to accept that there was any sexual harassment involved since the very [beginning], claiming that sexual harassment was not criminal therefore no investigation was going to be done, Guillens sister, Mayra Guillen, wrote in the lawsuit. We refused to that answer and kept claiming for justice alongside our attorney Natalie Khawam." "Two more investigations were done, and finally after pushing for months, the Army finally accepted that Vanessa had been sexually harassed on more than one occasion," she added. "The criminal investigation is still ongoing, we await trial. A trial date has not been set. A single mom claims her life was turned upside down after she was catfished by a scammer claiming to be Stranger Things star Dacre Montgomery. McKayla of Kentucky revealed that she left her husband for "Montgomery" after the two met on an online forum for creatives, adding that she even sent the scammer roughly $10,000. The two "hit it off, but of course I'm suspicious from the get go until he starts doing things that make me believe that he is who he is," she told Catfished, a YouTube series. She said "Montgomery," who plays Billy Hargrove on the Netflix hit, told her that he and his model girlfriend Liv Pollock were on the verge of a split due to Pollock's controlling behavior. McKayla, who was in an unhappy marriage with a "toxic" partner, said she empathized "because my ex-husband was that way." As "Montgomery," the scammer informed her that Pollock controlled his bank accounts, prompting her to fund his life for over a year. The single mom said she became convinced the scammer was Montgomery after they told her to tune into Stranger Things season 4, specifically the "Dear Billy" episode that saw the return of the character, the night before the episodes debuted. "And he showed up in that episode," McKayla recalled. "I was like, well, who else would know that?" The scammer would also send her poems that mirrored the writing style in Montgomery's DKMH: Poems, a 2020 poetry collection. "If you're someone like me, you're afraid of abandonment and you're a real big people pleaser and you're very co-dependent," McKayla said. "These scammers, they just kind of come in and they leech off that." Reps for Montgomery did not immediately return EW's request for comment. Stranger Things 3 Netflix Dacre Montgomery on 'Stranger Things' Split into two volumes, season 4 of the sci-fi series introduces an otherworldly being called Vecna (played by Jamie Campbell Bower) that wreaks havoc on the town of Hawkins. Elsewhere, Eleven (Millie Bobby Brown) works to regain her powers while Joyce (Winona Ryder) and Murray (Brett Gelman) travel to Russia in search of Hopper (David Harbour). A release date for the fifth and final season has yet to be announced. Sign up for Entertainment Weekly's free daily newsletter to get breaking TV news, exclusive first looks, recaps, reviews, interviews with your favorite stars, and more. Related content: An animal rights protestor beside a dog in South Korea. Chung Sung-Jun/Getty Images In April this year, South Korea's first lady Kim Keon pledged to try to stop dog meat from being eaten in South Korea. Her statement was met with backlash from the dog meat industry. Dog meat has been a traditional food source for centuries in South Korea, but it has been falling for years. South Korea's dog meat trade appears to be on its last legs. After being a traditional food source for hundreds of years, its place in South Korean cuisine has become contested over the last few decades. In 2016, the industry was responsible for supplying about 2 million dogs for meat from about 17,000 dog farms. By 2023, the number of dogs for meat had fallen to around 700,000 to 1 million and the number of farms had fallen to about 3,000 to 4,000. For centuries, South Koreans have eaten dog meat. A chef cooks dog meat at a restaurant in South Korea. Chung Sun-Jun/Getty Images It's thought to regulate body temperature so it was often eaten on hot days. One common stew was called "Mong-Mong Tang," which translates to "woof-woof stew." Sources: Time, New York Times, Guardian, Guardian Dog meat isnt only eaten in South Korea. Its consumed in Vietnam, Indonesia, China, North Korea, and across Africa. A person grills dog meat in Cambodia in 2013. Igor Bilic/Getty Images According to an animal rights group, in 2017, approximately 30 million dogs were killed in Asia annually for their meat. Sources: Time, BBC, New York Times, Guardian South Korea's dog meat trade has come under increasing scrutiny over the last decade. Dogs look out from a cage at a dog farm during a rescue event in South Korea. Jung Yeon-Je/AFP/Getty Images This is partly due to its reputation as a wealthy country, as well as the fact it has a proper dog farming industry. Sources: Conversation, Time, BBC Another reason is some of the brutal techniques involved. South Korean animal rights activists display likenesses of dead dogs during a protest against the dog meat trade in front of the National Assembly in Seoul, South Korea. Jung Yeon-je/AFP/Getty Images In 2018, Marc Ching, an activist with the Animal Hope and Wellness Foundation, told USA Today the issue was really about how the dogs were being killed. "In Korea, they usually put a noose around the dog's neck and take them out back, hang them, and beat them," Ching said. "Another method is they just smash their head open." Story continues "Sometimes they do electrocution," he said. "They shock them and burn them or de-fur them. With electrocution many times they are still alive. It is terrible." Sources: Conversation, Guardian, USA Today The other reason is years of vocal protesting from animal rights groups. Activists from animal rights groups hold dead puppies retrieved from a dog meat farm during a protest in Seoul, South Korea. Ed Jones//AFP via Getty Images Protesting has had an impact elsewhere, too. Last month in Indonesia, authorities announced it would ban dogs and cats from being slaughtered at a meat market on the island of Sulawesi after years of opposition by activists and celebrities. Sources: Time, AP South Korea's dog meat industry has been on a downward trend for years. In 2016, it supplied about 2 million dogs for meat from about 17,000 dog farms. Two dogs awaiting slaughter in South Korea. Chung Sung-Jun/Getty Images The farms supplied a variety of dogs including golden retrievers, Labradors, chihuahuas, Huskies, and Rottweilers. Sources: New York Times, Al Jazeera By 2023, the number of dogs supplied to restaurants had fallen to around 700,000 to 1 million and the number of farms had fallen to about 3,000 to 4,000. An activist holds a poster protesting the dog meat trade during a rally in South Korea. Chris Jung/NurPhoto via Getty Images Sources: New York Times, Al Jazeera, New York Times, Al Jazeera In 2018, South Korea faced increased international scrutiny when it hosted the Winter Olympics. A woman poses with her dogs next to Olympic Rings in South Korea. Karl-Josef Hildenbrand/Picture Alliance/Getty Images The government knew it was coming and offered restaurants cash incentives 2 million won, or around $1,850 to stop serving dog meat and remove any mention of it from their signs. But it wasn't taken up by many restaurants, and even the few that did accept the offer lost so much business they went back to selling dog meat dishes. Source: NBC News The dog meat trade took another hit in June 2018 when a South Korean court ruled that killing dogs to eat them was illegal, although actually eating dog meat remained legal. Dogs wait in cages at a dog slaughterhouse in South Korea. Chung Sung-Jun/Getty Images In response, Cho Hwan-ro, a dog farm association representative, said, "Cows, pigs, chickens, and ducks are all raised to be consumed. Why not dogs?" One theory for this resistance is known as "carnism." Social psychologist Melanie Joy told Euro News defined "carnism" as a process of categorizing animals as either companions or farm animals. When an animal is categorized as a farm animal, it's more palatable for it to be killed and eaten, whereas the killing of companion animals is more difficult to accept. Joy said it was a global phenomenon but specifically applied to specific animals in specific countries. "We treat different animals very differently simply because we've been conditioned to do so," she said. Sources: National Geographic, Euro News Korean dog meat farmers have argued that the dogs they breed are different from dogs kept as pets. But this distinction has narrowed in South Korea over the last three decades. A woman walks a dog in the South Korean village of Bukchon Hanok. Andia/Universal Images Group/Getty Images Joo Young Ha, a professor at the Academy of Korean Studies, told NBC News that in the late 1980s, people didn't keep dogs as pets in South Korea. They were primarily a source of meat. He said it was only in the 1990s that South Korea began to follow Western trends like having dogs as pets. By 2019, almost 5 million South Korean homes had a pet. Sources: BBC, CNN, NBC News Suh Eun-kook, a psychology professor at Yonsei University, told CNN that human's have a different relationship with dogs because the animals provide "unconditional satisfaction." A woman holds a dog over her shoulder at the beach in Gangneung, South Korea. Henrik Schmidt/Picture Alliance/Getty Images "People judge people but dogs don't judge people," he told CNN. He added: "This unconditional love from dogs seems to have contributed to a growing popularity of keeping dogs as pets." Sources: BBC, CNN In 2021, the government established a task force to review the dog meat industry and consider a ban after a poll showed 84% of the Korean population no longer ate dog meat. A caged dog looks out during a rescue event following the closure of the farm in Namyangju on the outskirts of Seoul, South Korea. Yung Yeon-Ye/AFP/Getty Images That same poll showed that only 59% of South Koreans actively wanted to ban dog meat. So far, after meeting more than 20 times, no ban has been imposed. Sources: Euro News, Time, BBC In April, South Korea's first lady Kim Keon-Hee declared she "will try to put an end to dog meat consumption before the tenure of this government ends." South Korea's first lady Kim Keon Hee exits her motorcade in Washington. Manuel Balce Ceneta/AP "I think that is my duty," she said. In response, dog farmers rallied and made formal complaints against her. But a South Korean politician named Han Jeoung-ae told Time she applauded Kim's declaration and had put forward a proposed law to end the trade. Her bill would compensate farmers who willingly shut down their farms. Sources: South China Morning Post, Al Jazeera, Time Yoon Chu-wol, a dog meat restaurant owner in Seoul, told Time the days of a booming dog trade were already over. A South Korean dog farmer eats dog meat during an animal protest rally in Seoul, South Korea. Yoon Chu-wol is not pictured. Jung Yeon-je/AFP/Getty Images "I only earn one-third of the money I used to make," Yoon said. "Young people don't come here. Only ailing old people come for lunch." Source: Time It's still unclear if the dog meat industry will be banned. A chef cooks dog meat soup at a restaurant in Seoul, South Korea. Chung Sung-Jun/Getty Images An association of dog farmers has asked for the chance to keep it going until its main clients mostly among the elderly die and the industry naturally disappears, estimating that would happen in about 20 years' time. Source: Time But that request has not been agreed to and a number of animal rights groups have said they oppose a slow wind-down of the industry. South Korean animal rights activists protest against the dog meat trade in front of the National Assembly in Seoul, South Korea. Jung Yeon-Je/AFP/Getty Images Source: Time Read the original article on Insider Great Sand Dunes National Park can rattle your sense of geography in the most delightful of ways. In this section of southern Colorado, snow-capped alpine mountains share the horizon with undulating sand dunes drawing comparisons to both the Sahara Desert and the Swiss Alps. Beyond the initial geographic bewilderment, the mysterious national park has more surprises in store. When air pushes through shifting sand grains, it can create a low hum making it seem as though the dunes are crooning, which was the inspiration for Bing Crosbys hit The Singing Sands of Alamosa. Also, NASA has tested rovers in the parks untamed landscapea fun fact when you consider the region is also home to a UFO watchtower. From sand sledding to stargazing, here are 10 things to do in Great Sand Dunes National Park, also known as Colorados massive sandbox. Go Sandboarding on the Dunes Trade snowy slopes for sandy ones. One of the most popular and unique activities in the park is schussing down the golden dunes on a sitting sled or via a board that you strap your feet into much like a snowboard. Equipment built for snow, though, wont slide much in the sand, so leave the plastic sleds and saucers at home and instead rent specialized equipment from a local retailer. Sandboards and sleds cost about $20 a day. If youve got a need for speed, wax your board for a faster ride. Splish-Splash in Medano Creek SeanXu / Getty Images Think of Medano Creek as Colorados pop-up beach. Each spring, snowmelt creates a wide, shallow stream with gentle waves at the base of the dunes, providing a refreshing reprieve. Late May and early June is typically the best time to sync up your trip with surge flow, which is when the waves flow across the sand. If conditions are right during this window, you can splash around in the creek, go skimboarding and even take a leisurely float in an inflatable tube. Of course, sandcastle building is a must-do, too. The park system provides creek flow updates. Stargaze in the Park The Great Sand Dunes dark skies, high altitude, and dry air create near-perfect conditions for stargazing, and, in 2019, the park was designated as an International Dark Sky Park. Stargazing here is a multi-sensory experience. Not only can you see the stars studding the sky like it's a giant pin cushion, but you can listen for hooting owls and howling coyotes in the distance and smell the pinon pine and juniper perfuming the air. Late summer and fall is when the Milky Way is most visible from the park. Even in summer months, temperatures drop in the evening, so park rangers recommend bringing warm layers. Watch the Sandhill Crane Migration Sparty1711 / Getty Images Birdwatchers can spot more than 200 different species in and around the park. One of the best birdwatching spectacles though is the Sandhill Crane Migration when more than 20,000 cranes descend on the San Luis Valley. The birds arrive in early February, chowing down on barley grains to fuel up before their late-March departure to the northern United States and Canada. In the fall, the cranes revisit the valley from late September to late November. One of the best places to watch the migration is 45 minutes outside the park at the Monte Vista National Wildlife Refuge, which is an add-on to your park experience. But you can also spot the cranes from some areas in and around the park, including from County Lane 6N. Fat Bike on Medano Pass ATVs arent allowed in the park and standard mountain bikes are no match for the sandy terrain. But fat bikes, which are mountain bikes with extra wide tires, are allowed on the Medano Pass Primitive Road and can handle the extended sections of sand, though its a tough ride. The road crosses over creeks and riders can get nice views of the Eastern dunes and may even spot some bighorn sheep in the meadows. The road closes in the winter and youll want to check road conditions before bringing your bike to the park. Explore the Park on Horseback There are two ways to go horseback riding in the Sand Dunes. The first is to bring your own horse to the park. The second is to be a guest at the Zapata Ranch, staying on a ranch vacation package that requires a three-night minimum. Ranchlands, which manages Zapata Ranch, is the only licensed provider for horseback riding in the national park. Those vacationing at the ranchs historic 17-bedroom lodge can go horseback riding in the dunes and also among a herd of 2,000 bison. Glasshouse Images / Getty Images Hike the Tallest Dunes in the United States Shifting sands means there are no designated trails on the dunes, and visitors are free to roam the 30-square-mile dune field and huff it up the sandy slopes. Measuring in at 741 feet tall, Star Dune and Hidden Dune are tied for the tallest sand dunes in the United States and it takes at least 6 to 7 hours round-trip to conquer each of them. For a more approachable hike, summit the High Dune on First Ridge, which is a 2.5-mile round-trip trek that typically takes about 2 hours and comes with panoramic views of the dune field. Something to keep in mind: High temps in the summer average 75 to 80 degrees, but the sand can be scorching hot with surface temperatures reaching 150 degrees on sunny days. When the sand is too hot, take a hike on the shady forested and alpine trails. See (or Create) Some Otherworldly Art The otherworldly landscape of the Great Sand Dunes has inspired several artists over the years. Pop into the Visitor Center, which has an exhibit space showcasing fine art paintings and photography of the park and preserve. Creative types can also capture the beauty of the dunes, too. Bring a sketch pad with you on your visit and follow this ranger-led tutorial on how to create your own masterpiece, allowing your hand be like the wind when drawing a dune and using pastels to layer on tones of gold, peach, pink, and tan. Photographers also love the dunes, with each season providing a different snapshotlike autumnal colors on cottonwood trees in the fall, snow dusting the dunes in the winter, and prairie sunflowers sprouting up in the sand in late summer. Take Part in a Ranger Program Have you ever wanted to go on a full moon hike in a dune field? Or listen to experts from NASA talk about deep space exploration in a national park thats been used to test rovers because of its alien-like landscape? The Great Sand Dunes hosts several events, with free ranger events held mostly in the summer and fall. Camp at the Base of the Dunes Dennis Lane / Getty Images For an epic sleepover, reserve a campsite nestled at the base of the dunes. Just north of the Visitor Center, the Pinon Flats campground is open April through October and many sites come with great dune views. Reservations are available at recreation.gov and individual sites can be booked six months in advance while group sites can be booked a year in advance. Toast smores at the fire pit and wake up early to hike the dunes before they get too hot. For most of us, the thought of a beach conjures images in our minds of peaceful days in the sun or exotic excursions into crystal clear waters. While many of the worlds most beautiful beaches offer these exciting activities, not every beach is perfectly suited for fun in the sun. From shipwrecks to sharks to nuclear radiation, there are a number of beaches across the globe that are better to avoid than to visit on your next vacation. Utakleiv Beach, Norway Many people visit Norways Lofoten Islands for a prime view of the northern lights, and while it may be tempting to traverse the islands beaches, its ill-advised. The waters surrounding the islands are so cold that they are potentially life-threatening, so visitors should remain on dry land. Jan Marcin / 500px - Getty Images Schitovaya Bukhta Beach, Russia Even though Schitovaya Bukhta beach is known for being one of the best surf destinations in the world, youre unlikely to find any surfers riding its waves. The beach looks perfectly safe from the shore, but old nuclear submarines have sunk to the bottom of the ocean from where they are quietly leaking harmful radiation. Boris Fedorenko / EyeEm - Getty Images Skeleton Coast, Namibia Namibias Skeleton Coast Beach has seen more its fair share of shipwrecks due to the deadly Benguela Current. Equally treacherous are the waters that surround the beach, which are home to nearly a dozen species of sharks. Martin Harvey - Getty Images West End Beach, Bahamas The Bahamas are a wildly popular vacation destination, and for good reason; it is home to some seriously gorgeous beaches. However, stay away from West End Beach on your next trip to the Bahamas, because it is home to some of the most shark-infested waters in the world. apomares - Getty Images Chowpatty Beach, India Setting foot onto Chowpatty Beach reveals why its worth avoiding; the beach is heavily polluted and covered in sewage. The beach is literally toxic, which makes it even more surprising that its still popular among tourists. Peter Adams - Getty Images New Smyrna Beach, Florida Even though many surfers flock to Florida to ride the waves, New Smyrna Beach is one worth skipping. The beachs waters are densely populated by sharks, making it undeniably one of the most dangerous. Daniel Piraino / EyeEm - Getty Images Cable Beach, Australia Australia is known for its population of terrifying creatures, and Cable Beach is home to many of them. The beach is home to venomous spiders, snakes, white pointer sharks, crocodiles, and lethal box jellyfish. Posnov - Getty Images Virginia Beach, Virginia Believe it or not, the predators that are most commonly found on the shores of Virginia beach are wild foxes. Known for stealing food and terrorizing pets, wild foxes have been known to attack beachgoers for a number of years. If youre planning a visit to Virginia Beach, leave your dog and your snacks at home. OKRAD - Getty Images Praia Do Norte, Portugal The home of waves that have shattered Guinness World Records, Praia Do Norte is a prized surf destination. However, surfers beware, because those big waves can be deadly, resulting in drownings and capsized boats. To Mane - Getty Images Boa Viagem Beach, Brazil Known for one of the highest rates of shark attacks over the last two decades, Boa Viagem Beach in Brazil is one to be avoided. The beach is part of the hunting area frequented by sharks, which is why attacks are so prevalent. Fabiano Rebeque - frebeque@yahoo.ca - Getty Images Darwin, Australia On your next trip to Australia, skip Darwins beaches. The area is heavily populated with crocodiles, sharks, and deadly box jellyfish. Louise Denton Photography - Getty Images Costa del Sol, Spain Though the Costa del Sol region of Spain is nothing short of breathtaking, that doesnt keep the jellyfish away. The area is home to more than one ton of jellyfish, meaning you may want to grab a pair of binoculars to enjoy Costa del Sol from a safe distance. Ventura Carmona - Getty Images You Might Also Like A promotional video series titled "Here Is Korea City" celebrates the 50th anniversary of diplomatic relations between Korea and India. It started airing on Tuesday, with one episode per week being released on the Cultural Heritage Channel on YouTube for four weeks. The Cultural Heritage Administration and the Korea Cultural Heritage Foundation, which run the channel, have enlisted the services of Indian actor Anupam Tripathi, who also featured in Netflix's hit Korean series "Squid Game." The video is inspired by a campaign video on ABP News of a journey to Korea by an Indian boy. Anupam, who has a keen interest in Korean history and traditional culture, added "I am truly grateful to promote Korea's great cultural heritage in India through this series, and I hope the relationship between the two countries becomes even stronger in the future." The videos focus on four themes: plays, martial arts, exchanges, and food and show Anupam visiting four Korean cities to explore the cultural heritage of each region and compare it to Indian history and culture. The first episode shows traditional Korean games like jwibulnori in Andong, North Gyeongsang Province and a light festival that can be compared with Diwali, the biggest Hindu festival. Jwibulnori is a traditional game spinning a burning can to promote a good harvest. The second episode features taekwondo and Indian martial arts, while the third tells the story of Heo Hwang-ok, the first Indian to settle in Korea in Gimhae, South Gyeongsang Province. The fourth and final episode highlights a Buddhist temple in Jangseong, South Jeolla Province to introduce Korean temple cuisine. The series can be watched at https://www.youtube.com/@koreanheritage. Alec Baldwin's movie resumed filming this year, after having become a crime scene due to the 2021 tragedy A new report into the fatal shooting on the set of the movie Rust appears to cast doubt over star and producer Alec Baldwin's accounts of events. Mr Baldwin denies pulling the trigger of the prop gun which went off, killing cinematographer Halyna Hutchins. Weapons experts have now said the trigger would have "had to be pulled". The actor's representatives told the PA news agency they had no comment to make on the latest development in the ongoing case. Charges of involuntary manslaughter against the actor were dropped in April, but prosecutors said it did not "absolve Mr Baldwin of criminal culpability". They said charges against him could be refiled over the October 2021 shooting, which occurred on the set of the western movie. A new report, written by weapons experts Lucien Haag and Mike Haag, was given to prosecutors in New Mexico on Tuesday. According to the documents, prosecutors previously stated that they had information that there had been an alleged modification of the gun used by Mr Baldwin on the Rust set. Lawyers for the movie's armourer Hannah Gutierrez Reed, who was also charged with involuntary manslaughter, said the Haags' report "does not indicate any modification to the gun" and "specifies that the trigger had to be pulled". "Although Alec Baldwin repeatedly denies pulling the trigger, given the tests, findings and observations reported here, the trigger had to be pulled or depressed sufficiently to release the fully cocked or retracted hammer of the evidence revolver," said an excerpt of the weapons report included in the court documents. "If the hammer had not been fully retracted to the rear, and were to slip from the handler's thumb without the trigger depressed, the half cock or quarter cock notches in the hammer should have prevented the firing pin from reaching any cartridge in the firing chamber." It continued: "If these features were somehow bypassed, a conspicuously off-centre firing pin impression would result." Last week, Ms Gutierrez-Reed pleaded not guilty to involuntary manslaughter and evidence tampering charges, related to the shooting. Her plea came ahead of a jury trial scheduled for 6 December looking into the death of Ms Hutchins at the age of 42. It is not yet clear whether or not the findings of the new report will result in charges against Alec Baldwin being refiled. Bangladesh is on track to pass China as the worlds largest cotton apparel exporter, new data shows. According to the U.S. Department of Agricultures study, Cotton: World Markets and Trade, cotton consumption in the South Asian nation is up 800,000 bales, to 8.0 million, because of rising apparel exports, with demand predicted to go even higher. More from Sourcing Journal The USDA also said that almost all of the Bangladeshs cotton yarn is sold within its borders. Apparel accounted for about 80 percent of Bangladeshs total exports in fiscal year 2023 that ended July 31, which total $47.0 billion or double what it was a decade ago. Fiscal year 2023s exports surpassed those of the year before, which observers say reflects a growing preference for Bangladeshi cotton products around the world. The Bangladesh government foresees even greater growth. It predicts more than $50.0 billion in apparel exports in Fiscal 2024, according to the countrys Export Promotion Bureau. Spinning mills operating rates will rise as the supply chain hits reset, and depleted stocks of yarn, fabric and apparel are replenished after a year of low inventories. Much of the current growth has been coming from the knit apparel sector, which tripled over the past decade. Local textile mills meet 85 percent of the demand for knit fabrics, but only 40 percent of that for woven fabric, most of which is imported from China, according to the Bangladesh Textile Mills Association. Major products helping drive recent growth trends are knitted cotton shirts and sweaters. Record amounts of Bangladeshi cotton apparel were exported in 2022 to the U.S. and the European Union. The United States Fashion Industry Associations (USFIA) yearly Fashion Industry Benchmarking Study noted factors indicating there will be even greater growth in the clothing export realm. The study indicates that U.S. fashion companies have shifted sourcing away from China for a number of reasons. They include the Uyghur Forced Labor Prevention Act, Section 301 tariffs on Chinese exports and a move toward nearshoring to minimize the risk of supply chain disruptions due to logistical and political issues. Fashion companies have said they will increase their sourcing from Bangladesh, India and Vietnam over the next two years to divert trade away from China. The same USFIA study also noted that Bangladesh is the most competitive apparel supplier price-wise. It scored higher than any other country on this metric. Click here to read the full article. Bob Saget and Kelly Rizzo Bob Saget's widow, Kelly Rizzo, is one of 14 celebrity recruits joining Season 2 of FOX's Special Forces: Worlds Toughest Testand she's fairly certain her late husband would have been baffled by her decision to sign on to the show. "He would have thought I was crazy," the Eat Travel Rock creator told Fox News Digital. "I mean, like, What are you doing? Because there is no way he would ever have done anything like this. It just would have been way too out of his comfort zone." The reality competition series puts contestants through some of the harshest, most grueling challenges from the playbook of the actual Special Forces selection process. Season 1 took place in the piping hot desert, while Season 2 will unfold in the icy mountains of New Zealand. >>> Sign up for Parade's Daily newsletter and get the scoop on the latest TV news and celebrity interviews delivered right to your inbox <<< "This was less than a five-star hotel experience," Rizzo said. "Sleeping on a military green Army cot he would have been like, 'I can't ever see myself doing this. How on Earth are you doing this?'" Saget died in January 2022 at the age of 65 as a result of blunt head trauma, per an official autopsy report. He and Rizzo had been married since October 2016. Related: What We Know About Bob Saget's Death "My sweet husband," Rizzo wrote on Instagram around the time of his death. "After much reflection this week, Im trying, really trying, to not think I was robbed of time. But instead to think: How lucky was I that I got to be the one to be married to THE MOST INCREDIBLE MAN ON EARTH." Despite claiming that her late husband would have been perplexed by her latest project, Rizzo believes that he would have been proud of her, too. "He would have been very worried about me," she said. "He would have not liked that we could not have talked for, like, the entire time that I was there. And then he would have been very proud of me. In the end, I know he would have been very excited and proud of me and, you know, just give me all the accolades." Next, Meet the New Group of Celebrity Recruits on 'Special Forces: World's Toughest Test' Dugout with entrance from the Battle of the Bulge site. Aerial drones equipped with lasers have revealed the secrets of the Battle of the Bulge, the largest and bloodiest battle fought by the U.S. in World War II . The drones revealed 941 traces of the battle, including dugouts and bomb craters, according to a study published Tuesday (Aug. 15) in the journal Antiquity . Officially known as the Ardennes Counteroffensive, the Battle of the Bulge took place between December 1944 and January 1945 in eastern Belgium and Luxembourg, according to the Imperial War Museum in London. Despite being such a huge WWII battle, dense forests in the region shrouded much of the archaeological evidence left behind. "Although this is a 'high-profile' battlefield, studied intensively by military historians and the subject of significant attention in museums and the popular media, little has been published on its material remains," study lead author Birger Stichelbaut , an archaeologist at Ghent University in Belgium, said in a statement. Related: World War II 'horror bunker' run by infamous Unit 731 discovered in China To uncover remnants from the battle, scientists outfitted drones with a remote sensing technology called lidar (light detection and ranging), which uses pulsed lasers to form digital maps of the landscape. They surveyed between the city of St. Vith and the village of Schonberg an area that was once the central zone of the Battle of the Bulge and discovered various traces of the war, including artillery platforms, trenches and foxholes (small forts to protect soldiers from enemy fire). "This [lidar technique] allowed for traces of the battle to be observed on a scale not known until now," Stichelbaut said. Image 1 of 12 Map of Battle of the Bulge site terrain with shaded gradation derived from the 15cm DTM. Image 2 of 12 Study areas and position of front lines at the Battle of the Bulge site. Image 3 of 12 Study areas and position of front lines from the Battle of the Bulge site. Image 4 of 12 Aerial photograph of the Battle of the Bulge site taken April 16, 1945. Image 5 of 12 Arial view of Battle of the Bulge map enhanced by LiDAR visualisations. Image 6 of 12 Types of traces visible on the relief visualisations on Battle of the Bulge site derived from the 15cm DTM. Image 7 of 12 Dugout with entrance from the Battle of the Bulge site. Image 8 of 12 Archaeological detection in Battle of the Bulge site with interpretative map (orthophoto). Image 9 of 12 Battle of the Bulge site with LiDAR imagery. Image 10 of 12 Interpretative map of the Lindscheid area of the Battle of the Bulge site. Image 11 of 12 Map of study area of the Herresbach (A); Prumerberg (B); and Schlierbach areas of the Battle of the Bulge site Image 12 of 12 Map of study area of the Herresbach (A); Prumerberg (B); and Schlierbach areas of the Battle of the Bulge site After discovering these features on the virtual map, researchers visited the sites, which helped them identify three distinct phases of the Battle of the Bulge. During the first phase, before the offensive, the Allies maintained a steady front line using U.S. field artillery battalions positioned a few miles west of the area. The researchers surveying this area found artillery fuses, artillery platforms and field fortifications that they believe can likely be attributed to this pre-offensive phase. During the second phase, at the start of the German offensive, more than 200,000 German troops and nearly 1,000 tanks launched an attack on Allied soldiers. This mayhem left behind field fortifications and German objects at American artillery banks, which likely means that German forces used abandoned American fortifications during the battle, the study's authors wrote. The final phase was the turning point of this battle, marked by "numerous extant bomb craters," which "indicate that the Allied air forces were able to establish tactical dominance once the weather improved," they wrote. However, the researchers added that some of these craters may have been from earlier points in the battle. RELATED STORIES Mass grave from Nazi atrocity discovered in Poland's 'Death Valley' Nazi bomb plot cubes could finally be identified 30 incredible sunken wrecks from WWI and WWII "This paper highlights the wide range of new technology, including LiDAR and drones, that is now being employed by [conflict] archaeologists," James Symonds, a professor of historical archaeology at the University of Amsterdam who was not involved in the study, told Live Science in an email. He added that this research shows how contemporary archaeology can shed new light on "well-known historical events from the recent past." Moving forward, this technique could be applied to other forested areas of Europe, thereby growing our understanding of different battlefields, the study's authors said. It could also help protect valuable heritage sites, according to Symonds. "It is significant as it highlights the need to devise cultural heritage strategies to safeguard future heritage, while at the same time demonstrating the difficulties of recovering traces of mechanised and highly mobile modern warfare," he said. Can we hear some commotion for the back of this dress? Instagram/DuaLipa After finishing a whirlwind world tour and announcing that her upcoming album is on its way, come hell or high water, Dua Lipa is back in Albania for what looks like some very well-deserved time off. In her latest carousel of photos on Instagram, Lipa posed on the Albanian coast wearing a very Little Mermaid-adjacent shipwreck dress. The outfit included an asymmetrical skirt and halter-style collared top with very unexpected (though, very on-theme) tie details on the back. In keeping with the easy, breezy backdrop, the outfit was entirely white, except for an oval-shaped detail below the plunging, deep-V neckline. Instagram/DuaLipa The superstar kept her makeup to a minimum and had her signature dark hair blowing in the wind. A set of statement-making silver earrings finished the look, and in a photo where she was laying down on the rocky beach, she showed off the skirt's super-high slit. "Back for a few more sunsets in Albania," she captioned the photos. Related: Dua Lipa Promises Her Next Album Will Be "More Personal" Two days ago, Lipa shared a rare glimpse into her relationship with her boyfriend Romain Gavras. In typical Lipa fashion, it involved a bikini pic and plenty of vacation envy. In addition to opening up about her new album earlier, she mentioned that her public persona boyfriend and all isn't exactly what she is in real life. I love the main pop-girl energy, Lipa told Dazed. Its so fun for the fans and the character. I can be that girl; I can do that for the videos, for the red carpet, for the performances. For me, theres a time and a place to do that. There are so many sides to me that I also love to embody. For more InStyle news, make sure to sign up for our newsletter! Read the original article on InStyle. AMANUEL SILESHI - Getty Images The beginning of a new year is a time where people reflect on the past and look forward to what's next. In the African countries of Ethiopia and Eritrea, the New Year's celebration is called Enkutatash. "Its an extremely inspiring, festive, heart-warming, and hopeful time of the year in Ethiopia," says Susie Geda, the owner of BIBO Salon. She was raised in Addis Ababa, Ethiopia. The Ethiopian New Year has a rich cultural history dating back to the days of Queen Sheba. In fact, the holiday's name is derived from a story about Queen Sheba's return to Ethiopia after a visit to King Solomon. Today, Enkutatash is celebrated by Ethiopians and Eritreans across the world with music, special meals, family gatherings, and unique traditions. Children play a special role in the holiday, going door-to-door offering songs and paintings in exchange for money or small gifts. Although you might expect New Year's celebrations to take place at the end of December, Enkutatash actually takes place in September due to the nation's Julian calendar system. We've got all the details on why Enkutatash happens in September and what date people will be celebrating the holiday this year. Keep reading to learn all about the Ethiopian New Year, from the meaning of "Enkutatash" to how people celebrate it today. What is Enkutatash? "Enkutatash, which can be translated as 'gift of jewels,' marks the end of the rainy season and the beginning of a new year according to the Ethiopian calendar," says Geda. "As such, it is a celebration of renewal and hope. Bright yellow flowers blanket the landscape, symbolizing a new cycle of life and prosperity." Anadolu Agency - Getty Images Enkutatash is the Ethiopian New Year, but unlike New Year's celebrations in many other parts of the world, it doesn't take place on December 31. When is Enkutatash? "The history of Ethiopian New Year is deeply rooted in the country's unique Julian calendar system, which is approximately seven years and eight months behind the Gregorian calendar," explains Geda. "This difference in time calculation explains why the Ethiopian New Year falls on September 11 or 12 in the Gregorian calendar." This year, Enkutatash falls on September 12, 2023. History of Ethiopian New Year "On this day, Queen Sheba returned to Ethiopia from a trip where she visited King Solomon and they celebrated her return by gifting her jewels," explains Kanessa Muluneh, Ethiopian refugee and founder of MULU LOVE. In the Bible, Queen Sheba visits King Solomon to ask for his wisdom and brings with her "camels carrying spices, large quantities of gold, and precious stones." In addition to answering all of her questions, Solomon also gave Queen Sheba many valuable gifts to take back to Ethiopia with her. Enkutatash Meaning Enkutatash translates to "gift of jewels" in Amharic, which is the official language of Ethiopia. This is thanks to the history of the holiday, in which Queen Sheba was gifted jewels upon her return. It's also meaningful in the sense that it's a new start to the year, which is a time often associated with hope, gratitude, and positive change. How is Ethiopian New Year celebrated? AMANUEL SILESHI - Getty Images "Enkutatash is celebrated widely throughout the country but also by Ethiopians and Eritreans outside of their country, like myself and my children," says Muluneh. "From my experience, the holiday goes together with lots of music, alcohol, food, and fun, all in traditional wear." Geda explains that where she grew up in Addis Ababa, the day started with a special breakfast at home, where fresh-baked bread was a must. The whole family would wear new clothes to symbolize the start that the new year brings. Later, they would visit her maternal relatives for a lunch of traditional Ethiopian foods and the "iconic Ethiopian coffee ceremony." Later in the day, the festivities would continue at her paternal relatives' house. "It was a day of unity, enjoyment, and anticipation of the new beginnings that the New Year promised," she says. Other traditions can include girls going from house to house singing a traditional song called "Abebayehosh" and boys going house to house offering paintings. In exchange, the children are offered money as a gesture of gratitude. Children might also prepare a bouquet of flowers to give to their parents. Another tradition is for families and neighbors to light bonfires once it gets dark. People sing and dance around the fire and share good wishes for the upcoming year. You Might Also Like Garment factory workers, management and police have clashed in the past week after facility closures around the Bangladeshi capital of Dhaka left salaries in limbo. The Pride group factoriesFashion Knit Ltd. and H.R. Textile Mills Limited, which is listed with the Dhaka and Chittagong Stock Exchange and targets exports to Europe, Asia and Canadaannounced theyre closure until the end of August, according to workers at the production facilities in Savar near Dhaka. A notice posted Saturday on the gate stated that the facility would re-open Aug. 31, and management would settle worker pay issues before operations resumed, they said. More from Sourcing Journal Rafiqul Islam Sujon, president of the Bangladesh Garment and Industrial Workers Federation, a workers rights group, told Sourcing Journal that although the 2,100 workers at the factory were paid in July, dues for the 400-odd management team had not been paid for the past few months. Workers ringed the factory on Thursday in a show of support. Factory management asked workers to return the next day to receive some of their pay. However, July salaries werent disbursed, and workers returned Saturday to find the gates locked. During a protest, police reportedly pushed and beat a woman worker, angry workers told Sujon said. As tensions flared, garment workers who said they were beaten back with tear shells and rubber bullets demanded the removal of officer Altaf Hossain with the Ashulia Industrial police. Police officials refuted these claims, saying that they were merely stopping workers from blocking the highway, and from attacking law enforcement with brick bats. We fired several rounds of tear shells to disperse them, the police said, adding that the factories would remain closed during the unrest. However, Sujon added that workers saw raw materials being moved behind the locked factory gates. Thinking that the factory was being completely shut down, started protesting, thinking they would not even get severance. Many of the workers have been working at the factory for more than 20 years, he said. Talks between the union leaders and factory management have been productive despite the disruption. When asked about the closure, factory reps said they were suffering from the present economic conditions and a lack of orders, the raw materials were only being moved to expedite and look at other possible orders. They stressed that the factory wasnt looking at shutting down altogether, Sujon pointed out. While earlier plans were to open the factory at the end of the month, they have said they will open the factory this week itself and all worker dues will be settled, he said. Factory closures appear to be a problem facing smaller owners battling rising raw materials prices and an ongoing energy crisis. Factory owners are especially worried about falling orders, according to the Bangladesh Garment Manufacturers and exporters Association (BGMEA). While the exports figures in general have been going upBangladesh apparel exports were up 10 percent in the financial year ending June 30, 2023, at $47 billion, accounting for more than 80 percent of the countrys exports, many manufacturers have been complaining that their exports were down by 20-30 percent. July figures from the Export Promotion Bureau (EPB) also indicate otherwise. Apparel exports last month showed a 17.43 percent increase over the previous year, accounting for $3.96 billion in revenue. Apparel contributed 86 percent of total merchandise exports in July. According to EPB figures, exports of knitweara Pride group specialtywere up by 22 percent, and wovens rose 11.54 percent. Click here to read the full article. Ferragamo is making a pilgrimage to Florence as the luxury brand is returning to Italy. Captured by New York-based photographer Tyler Mitchell, the campaign features Renaissance masterpieces as its backdrop. Among them are Alesso Baldovinetti's Annunciation, Giovanni Bellini's Holy Allegory, Paolo Veronese's Annunciation and Francesco Granacci's Joseph Going to Prison, joining Botticelli's Portrait of a Man with a Medal of Cosimo the Elder and Botticelli's The Annunciation of San Martino alla Scala. "The Renaissance is hardwired into Florence and Florence is hardwired into Ferragamo," Davis emphasizes in a statement. "At this time of a new beginning at the house, it made perfect sense to reclaim the cradle of the Renaissance as our spiritual home and to harness the deep, artistic spirit of this city to showcase the new collection." Created in collaboration with the Uffizi Gallery, the Italian museum which hosts the artworks. Italian model Vittoria Ceretti commands attention in a dramatic chocolate brown leather trench coat, evoking even more glamor with an equally beautiful Italian Renaissance painting behind her. Elsewhere, she demands respect in a grey suit set, standing in front of Giorgio Vasari's Portrait of Alessandro de Medici. Meticulously crafted leather bags take center stage, alongside elegant footwear. Artfully draped metallic dresses mimic armor, whereas flowing white gowns evoke an ethereal air. Opulent animal print fur coats harken back to the sheer decadence of the times. Take a look at Ferragamo's Fall 2023 campaign in the gallery above. If you have the funds, buying your way into European citizenship is relatively easydespite some politicians attempts to make it otherwise. As such, demand for so-called golden visas across the European Union has skyrocketed, Bloomberg reported on Tuesday. These documents allow wealthy foreigners to basically buy residencyand in turn, a path to citizenshipby investing in real estate or financial assets in European countries. All over the continent, people are taking advantage of the programs while they still exist. More from Robb Report While a couple of countries no longer offer golden visasIreland and the United Kingdom, notablyothers are seeing a surge in demand. In May, Portugal issued a several-year high of 180 golden visas, while Greeces 412 that month was an 87 percent increase from the year prior. In 2022, Spain gave out a whopping 2,462 golden visas, up 60 percent from 2021, and Italy distributed 79, the most since the country launched its program in 2018. Part of the demand may be due to politicians calls to end the golden-visa system, which they say is loosely regulated and leads to rising property costs as wealthy foreigners move in. Every time governments threaten to shut these programs down, theres a surge of demand of people trying to get through the door before they close, Nuri Katz, the founder of the immigration consultancy Apex Capital Partners, told Bloomberg. Its great for business. Portugal said in February that it would be ending its golden-visa program, while Greece increased its investment threshold from $272,854 (250,000) to $545,708 (500,000) in certain areas. Spain is considering an even larger bump, from $545,708 (500,000) to $1.09 million (1 million). But for the people eyeing these programs as a way to nab European citizenship, that price tag may simply be a drop in the bucket. For people worth about $5 to $7 million, richer millionaires, a $500,000 investment to get EU residency is fine, Katz said. And despite the push among some groups to do away with golden visas, the programs have brought an influx of cash into the EU, which many experts say may be enough to keep them around. In the past decade, countries that issue golden visas have seen about $27.3 billion (25 billion) in investment through the programs, with Portugal on its own gaining $7.3 billion (6.8 billion). That sort of money, particularly in places that rely on foreign capital, might be hard for countries to turn down. Sign up for Robb Report's Newsletter. For the latest news, follow us on Facebook, Twitter, and Instagram. Click here to read the full article. Youve probably heard of radiofrequency (RF) microneedling. You may even know its supposed to tighten skin. But what, exactly, is it? As the name says, the treatment combines microneedling which studies have shown can help alleviate the appearance of acne scars, fine lines, and uneven texture with heat. To what end? "It can effectively reduce the prominence of fine lines, improve the evenness of skin tone, and offer some skin tightening, says Darren Smith, MD, FACS, a board-certified plastic surgeon in New York City. Ahead, Dr. Smith and other skin experts explain everything you need to know about the treatment, including how it works, where you should set expectations, and how much it hurts. Meet the experts: In this story: What is RF microneedling and what are its benefits? Age, sun exposure, and habits like smoking can cause the breakdown of collagen and elastin, but board-certified dermatologist Arianne Shadi Kourosh, MD, MPH, says treatments like RF microneedling can help restore some firmness to the skin. Regular microneedling involves making micro-injuries to the skin to trigger a wound-healing response that promotes collagen production. RF microneedling combines this treatment with radiofrequency energy, to heat the underlying tissue, stimulating even more collagen production, as well as elastin and hyaluronic acid, Kourosh says. It also has a tightening effect on the skin. Most adults are able to safely get RF microneedling, regardless of skin tone or skin type. Dr. Smith says that those with mild to moderate skin tone and texture concerns (such as slight sagging or minor discoloration) that don't need the power of deeper laser treatments (like Fraxel) are great candidates. RF microneedling is not going to address more significant skin laxity or serious sun damage. RF Microneedling Has Many Names There are a number of different RF microneedling devices out there, and the differences between them are in part just differences in their marketing budgets and ad campaigns. They all use the same core technology, but they are slightly nuanced in how deeply the needles penetrate the skin, says Mona Gohara, MD, a board-certified dermatologist at Dermatology of Physicians of Connecticut. She goes on to explain that deeper penetration can result in increased collagen production. These are some of the most popular brands: Secret RF Shereene Idriss, MD, a board-certified dermatologist in New York City, offers Secret RF in her office to patients with darker skin tones who are especially susceptible to post-inflammatory hyperpigmentation from the microneedling component of the treatment. Secret RF has been shown in studies to have less risk of post-procedure hyperpigmentation when compared to other RF microneedling devices, she says. Morpheus8 Idriss also offers Morpheus8 at her practice. Morpheus8 is an RF microneedling device that can treat up to 8mm deep into the skin, while other RF microneedling devices typically can only treat up to 3mm deep which makes it ideal for melting fat, says Dr. Idriss. Genius Genius. The device has 49 needles per tip, compared to 24 needles per tip on other devices. The primary benefit of more needles is that the practitioner can treat a larger surface area with each pulse, thereby increasing the speed of treatment (particularly in larger areas) and decreasing the duration of each treatment session, says Jina Kim, senior vice president of global marketing of Lutronic, the aesthetic device company behind Genius. In Idriss opinion, having more needles on your applicator isnt always a good thing, due to over-delivery of energy which poses a risk of hyperpigmentation. Kim explains that Geniuss technology ensures the delivery of a precise dosage of RF energy with each pulse, as it is measured and modulated in real-time with a proprietary monitoring feature. The high-torque motor in the Genius handpiece ensures that each needle is consistently inserted to the proper depth, maximizing consistency and outstanding outcomes. Vivace and Vivace Ultra Vivace and Vivace Ultra received US Food and Drug Administration (FDA) clearance in October 2022. While there is no clinically significant difference between the radiofrequency heat used by Vivace and other RF devices, Dr. Idriss highlights how Vivace adds LED light therapy to the treatment. Its red LED light has anti-inflammatory properties and plumping and smoothing effects, while its blue LED light targets acne. Of the two, Vivace Ultra provides a more precise application of RF energy because it is equipped with ultrasound imaging and mapping. This provides your practitioner with visuals of the skins dermis, epidermis, and tissue layers a view that is unique to the device. Everyones skin is different, meaning the quality and thickness of skin differs from person to person, based on age, the individuals anatomy and other factors, says Dr. Idriss. With visual mapping we can determine what needle depth will penetrate into the dermis, which is where you want all microneedling devices to reach if you want to build collagen. Dr. Paul Jarrod Frank, MD, a board-certified dermatologist in New York City. has previously explained to Allure that this ultrasound feature is especially helpful in treating darker skin tones: We can deliver the energy deeper in the skin while minimizing trauma to the epidermis [that can cause hyperpigmentation]. But Dr. Jessica Weiser, MD, FAAD, a board-certified dermatologist and assistant clinical professor of dermatology at Columbia University, suggests that all patients manage expectations when it comes to any of these devices. The hype may not always match up with the reality. Each device allows the operator to select the amount of energy delivered and the depth of needle penetration, she says. "But I saw data from a skin pathologist who did a private set of studies and found that many of these devices are not penetrating to the depth selected or delivering the energy selected. Some devices create a zone of destruction in the dermis, but do not deliver enough heat to cause tissue coagulation." And without tissue coagulation, there is an empty hole under the skin that slowly fills in but doesnt tighten or stimulate collagen as robustly. Weiser says that based on the data she's seen, Secret RF and Genius are more accurate in what they are able to deliver within your skin than other RF microneedling devices. What can I expect at an RF microneedling appointment? The Preparation First, the skin is cleansed to prevent infection. Then, a topical anesthetic is applied and left on the skin for an hour to help reduce pain. Dr. Kourush says that if someone doesn't want to wait an hour for the numbing cream to be absorbed in the skin, a local anesthetic such as lidocaine might be injected instead. The Procedure The head of an RF microneedling applicator which is usually about the size of a postage stamp uses micropins to poke tiny holes in the skin surface, says Dr. Gohara. The tips of the needles also release radiofrequency waves into the openings to heat the surrounding tissue. It can take about 30 minutes to stamp the applicator across the entire face. The Pain Factor The experts we talked to all say that RF microneedling can cause discomfort even with numbing cream, but there are a few things done during the procedure to help you feel more at ease. In addition to numbing cream, Dr. Smith gives offers patients nitrous oxide (a.k.a. laughing gas) for added comfort. "Without this approach, RF microneedling can feel like a combination of sharp pokes and electric zaps," he explains. Dr. Idriss adds that patients have described RF microneedling as rubber band snaps all over the face, with the most sensitive and painful areas being around the eyes and upper lip. "Pain is very subjective," she says. "So it's a bit difficult to anticipate exactly what it will feel like from person to person." Senior Commerce Writer Angela Trakoshis, who underwent one session of Morpheus8, had a very unique experience. Morpheus8 didnt hurt at all, she said. She did, however, endure a bout of painful sunburn after her treatment, despite applying and reapplying sunscreen. RF Microneedling Before and After Dr. Darren Smith What are the risks associated with RF microneedling? Dr. Kourosh says that if the treatment is not done correctly, you might see hyperpigmentation and scarring. There is also a small risk of facial fat loss and infection. If the after-care instructions are followed, the risk of infection is low, Dr. Gohara adds. Laws vary state to state and in some places an aesthetician can legally perform an RF microneedling treatment. But, because of the potentially serious risks involved, the experts we spoke to all said you should only see a board-certified dermatologist or plastic surgeon, who is trained to deal with complications. You can go to certificstionmatters.org to check if a doctor is certified by the appropriate board. What is recovery like for RF microneedling? Recovery is dependent on each person, but Dr. Kourosh says that most people will experience mild warmth and soreness for the first 24-48 hours. Youll likely be red immediately after your treatment (so perhaps put any errands you might have on hold), but Dr. Idriss says that the skin should start to calm over the next 24 hours. Some people might see areas of bleeding, swelling, and bruising, which can last for up to a week. Most people feel comfortable going back to work the next day with no more than a little makeup. (Trakoshis says her skin felt normal shortly after her Morpheus8 treatment but she experienced a sunburn weeks later, despite following her doctors recommendations.) "When recovering from RF microneedling, you should be extremely gentle with your skin as you have tiny, open wounds on your skin," Dr. Idriss says. "I tell my patients no skin care for 48 hours and [then they can use] gentle skin care for the week following." That means just the basics: cleanser, moisturizer, and sunscreen. And then another layer of sunscreen. Its imperative that you apply SPF 30 to minimize the chances of post-procedure hyperpigmentation. You should be especially serious about reapplying every two hours for the first week, says Dr. Gohara. As for what to completely avoid, Dr. Idriss recommends steering clear of anything with fragrance and anything formulated with an exfoliating acid, such as alpha hydroxy (AHAs) and beta hydroxy acids (BHAs). She says you'll also want to press pause on your retinols and retinoids until your skin is completely healed, which can take up to a full week. And even when using SPF, she says you'll want to avoid being out in the sun for a few days following treatment. You can see improvements in your skin after a few days but it can take two or three weeks for full results. To see optimal results, Dr. Gohara suggests returning one to two more times. The doctors we spoke to said that just one treatment is often enough to help slightly firm the skin, but they will do up to three on patients who are looking to alleviate lines and wrinkles, mild or moderate laxity, and crepiness. How much does RF microneedling cost? Dr. Smith says you can expect it to cost anywhere from $1,000 to $1,200 per treatment but, of course, pricing varies. Dr. Kourosh says that pricing depends on where you live and the credentials of the professional giving you the treatment. Heres the thing: RF Microneedling is a treatment you don't want just anyone performing on your skin. "When it comes to your body, your health, and your cosmetic results, it's safer to invest in someone with the [highest level] of credentials over a cheap deal, says Dr. Kourosh. After all, we are talking about heated needles that are being inserted into your face. More on cosmetic procedures: Now, watch a day in the life of a dermatologist: Originally Appeared on Allure President Yoon Suk-yeol, U.S. President Joe Biden and Japanese Prime Minister Fumio Kishida are expected to announce a new set of principles for security cooperation when they meet at Camp David in Maryland this Friday. The principles will envision closer military cooperation, the launch of a new three-way hotline, a duty to consult each other in a military crisis, and regular meeting between their national security advisers. "Friday's summit is designed to send a loud and clear message to China and North Korea that the allies are fortifying their military and economic ties," news website Axios reported. "The summit is the result of months of U.S. diplomacy as officials have tried to persuade Japan and South Korea to look beyond their complicated past and toward a united future." Washington believes trilateral cooperation based on improved relations between Seoul and Tokyo is essential to holding China in check. "Hearst Magazines and Yahoo may earn commission or revenue on some items through these links." The heist had been planned for months. They had run through the scenarios, studied the streets and bridges and tunnels, scouted the escape routes, purchased the burner phones, and secured the getaway cars. Most important, they had uncovered secrets about the museum. It was November 25, 2019, in Dresden, Germany. The night was dark and cold, the air carrying the musky scent of the nearby Elbe River. Three centuries earlier, Augustus the Strong had built his palace on the banks of the river and stuffed it full of jewels: mother-of-pearl goblets, gilded ostrich eggs, coconuts inlaid with gemstones, and knives of gold etched with wild boars and the heads of lions. Rooms and rooms of sapphires, emeralds, and rubies. By 1723, Augustus, the Elector of Saxony and King of Poland, had turned part of his Dresden castle into a museum, one of the first in Europe. He named it the Green Vault. During World War II the Allies bombed it to rubble, but the state of Saxony restored it in the 1990s to look the way it did during the reign of Augustus: a towering edifice of white stone and brick that was one of the richest treasure chests on the continent. At 4:55 a.m. the lights went out around the castle. An electrical fire at the Augustus Bridge had plunged the neighborhood into darkness, dimming the view of the security cameras inside the museum. Two hooded men in black slipped through a window on the first floor. Using flashlights, they moved quickly through the shadows of the vaulted antechambers, past mirrored walls and a dizzying array of marvelssea snails set in silver, bowls of amber and crystal, plates of turtle shells, and a golden owl with a diamond collar. And then: jackpot. They arrived at the Chamber of Jewels. They took axes to the reinforced glass of a display case, shattering it, and scooped up what they had come for: 4,300 diamonds, including the diamond-laden breast star of the Polish Order of the White Eagle, a sword with a hilt with nine large embedded diamonds and 770 smaller ones, diamond-studded shoe buckles and buttons worn by Augustus himself, and a 49-carat cushion-cut diamond known as the Saxon White. Only the crown jewel of the collection, the Dresden Green Diamond, was missed. Considered one of the purest diamonds ever discovered, an internally flawless 41 carats worth, it was reportedly worth $80 million alone. Unfortunately for the crooks, on that night it was on loan to the Metropolitan Museum of Art in New York. Nine minutes after the gang entered the museum, at 5:04 a.m., the first police officer arrived. The thieves were long gone, and so was an estimated $130 million in jewelry, one of the largest heists in European history. But as anyone who studies these things knows, stealing the loot is only half the job. In fact, you could say the real grift was yet to come. NORBERT MILLAUER - Getty Images Diamond District Secrets When the museums director, Dirk Syndram, entered the Green Vault later that morning and saw the shattered glass, he felt a physical sensation bordering on pain. He had restored the Green Vault to its Baroque glory, a painstaking process that had gone on for decades. How had these criminals shown such disregard for what Germans considered a cornerstone of the national patrimony? It was as if someone had entered a temple or cathedral and desecrated the sacred. Willi Korte understood Syndrams emotionfor decades he had worked for museums, or people trying to find works lost from their family collectionsbut he felt something other than sorrow. He was intrigued. I felt like we were dealing with an expert gang, someone who really understood art, Korte says. Once called the Indiana Jones of the Art World, Korte has recovered stolen art by Picasso, van Gogh, and Rembrandt. His claim to fame, however, was the recovery of the Quedlinburg treasures, a trove of religious objects from the 1500s thought to be worth a quarter of a billion dollars, including splinters of wood alleged to be from Noahs Ark and drops of milk attributed to the Virgin Mary. As one of the few people in the world who make the recovery of blue chip art their profession, Korte was fascinated by these thieves, who seemed to be on the level of the Pink Panthers, the Serbian gang that had stolen an estimated $550 million in jewels since the early 2000s in locales from Tokyo to St.-Tropez. Perhaps, even for someone of Kortes stature, there were lessons to glean from this latest brazen scheme. His first hypothesis was that the thieves were working for a mastermind with a knowledge of art. The second was that the jewels would never be seen again. Sean Gallup - Getty Images Theres no way to sell something like the Saxon White on the open market, because it would be immediately recognized, he tells me. The stolen jewels were initially estimated to be worth $1.3 billion, but the thieves would never fetch that much: A private collector or an auction house like Sothebys or Christies would demand to see paperwork establishing provenance. (Both houses declined to comment.) Plan A for any well-informed burglar, then, would be to offer the stolen works back to the company that insured them, like asking for a ransom after a kidnapping. The insurance company has an incentive to settle for 10, 15, even 20 percent of the value, because it saves them millions in what theyd otherwise have to pay to the museum, Korte says. But none of the Green Vault jewels were insured; the premiums would have been too high for the museum to handle. Korte guessed that the burglars had been paid for the heist and then passed on the jewels to a broker who belonged to the underground black market. This broker would in turn pass the jewels on to a gem cutter, who would chop them up. What had once been the Saxon White would become a dozen small stones, sold at a fraction of the big gems value. Scott Selby says there are only a handful of people on earth with the expertise to cut a diamond as valuable as the Saxon White, and most work in the secure diamond district of Antwerp, Belgium. He would know. He is the author of Flawless: Inside the Largest Diamond Heist in History, which tells the story of the robbery of $108 million in diamonds from a safe in Antwerp in 2003. Youre not going to find someone on the black market who can cut a diamond like that, he says. Somebody who isnt really good could shatter it, and then its worthless. NORBERT MILLAUER - Getty Images One Keyser Soze, or Several? The worlds best cleavers and polishers are unlikely to touch a diamond of suspect origin. But, Selby says, there are a lot of people on the middle or lower rungs who are willing to do it for a fee. And once cut into standard 1-carat or 2-carat stones, a diamond is easy to move. Diamonds in Antwerp could trade hands easily five, six times a week. You only need one corrupt person to enter it into the legal stream and, before you know it, its on someones finger. At first museum officials spoke of the heist in a tone of shock. The Dresden Castle was as secure as Fort Knox, boasted one former museum director, with state-of-the-art security systems that were updated every few years. How could burglars get in? But as evidence began to trickle in, that narrative became harder to accept. Surveillance cameras had captured the thieves climbing the castles wall at least two nights before the burglary to cut a hole in an iron grille that protected a corner window. They then taped back the piece they had perforated to make the grille seem intact. On the night of the robbery, no motion sensors were triggered when the thieves entered through the window, because they had been turned off. No guard intervened, because the guards werent armed and had been instructed not to do anything other than call the police. Instead, they watched the heist on a closed circuit feed in the basement, where they had gone to hide until the police arrived. MANDEL NGAN - Getty Images Surveillance video turned up other clues. The day before the robbery, four bearded men wearing sweatpants and sneakers had strolled through the eight rooms of the exhibit (the Chamber of Jewels is the final room), using audio guides like tourists and pausing to inspect the display case that would be smashed to bits the next evening. Something about the men tipped the police offa hunch, perhaps, that the burglary had been the work of a gang of young thieves who were coming into some notoriety. They had struck first in 2014, robbing a Berlin department store called KaDeWe of close to $1 million in luxury watches and jewelry. In 2017 they had pilfered a coin of solid gold that weighed 220 pounds and was worth $4.4 million called the Big Maple Leaf. They were known as the Remmos, one of four or five clans connected to organized crime in Berlin who had made their stronghold in the district of Neukolln, the citys answer to Bushwick. The clans originated in refugee communities that had come to Berlin from Lebanon in the 1980s and 90s, fleeing a civil war that had begun a decade earlier. Because of their immigration status they werent allowed to work, and many turned to crime, including prostitution, extortion, drug trafficking, and, apparently, theft. The younger generation feels closed off from society and that their only opportunity is crime to work their way up the hierarchy of the clan, says Christopher Stahl, who spent years among them for the book In the Gangs of Neukolln. They believe that pulling off a spectacular heist will improve their standing. A year after the Green Vault break-in, hundreds of police officers raided shops and apartments across the district, collecting evidence that tied five Remmo men in their twenties to the charred remains of one of the getaway cars, as well as to DNA found at the crime scene. The suspected ringleader of the crew, Wissam Remmo, had already been arrested for the theft of the Big Maple Leaf (traces of gold dust matching the coin had been found on his clothing), but he and his suspected accomplices denied any involvement with the Dresden caper. The evidence in court seemed circumstantial. But then came a surprise twist. In December 2022 defense lawyers called prosecutors and proposed a shocking deal. Jens Schlueter - Getty Images A Brilliant Double-Cross On the evening of December 16, 2022, members of the Epaulettes, the elite police crew tasked with tracking down the Green Vault jewels, arrived in a tony neighborhood of luxury department stores and museums in Berlin. They had been summoned to the office of Kai Kempgens, one of Germanys most highly sought-after criminal defense attorneys. He represented Rabieh Remmo, who was ready to confess. Kempgens led the officers into a conference room, where 31 pieces of jewelry sat on a long table. They had come from the Green Vault, and more could be found in a shipping canal that runs through Neukolln. Kempgens proposed a deal: The Remmos would return the goods and tell all; in exchange, the state of Saxony would recommend to the judge less time in prison. Prosecutors agreed, and Syndram and others associated with the museum said they were overjoyed by what was returned; the recovery was a Christmas miracle. Once the 31 pieces were photographed, bagged, carefully boxed, and returned to Dresden for forensic examination, relief turned to disappointment. The pieces had been stolen from the Green Vault, but they were in terrible shape, some visibly warped. Diamonds on the hilt of the stolen sword had been damaged by moisture and condensation; they appeared cloudy and grayish in color, leading museum officials to believe the sword had been submerged in water. There was also a sticky white substance on many of the diamonds, perhaps an attempt to erase fingerprints, as well as the stench of a cleaning agent. On December 25 divers began searching the canal in Neukolln where Rabieh Remmo said the gang had dumped the sword. They couldnt use metal detectors because of all the scrap metal dumped in the canal, so they crawled along the bottom, millimeter by millimeter. They returned the next day, but other than a few rusty bicycles, they found nothing. Whats more, the thieves hadnt returned the most valuable pieces from the collection. And in court, the confessions the suspects offered were contradictory, evasive, and piecemeal, a violation of the agreement, which stipulated that they reveal how they had planned and organized the crime, the exact sort of information Korte and other experts in international art theft sought. picture alliance - Getty Images As the trial reached its conclusion, prosecutors complained again and again that the Remmos werent complying with the deal they had struck. But German law governing plea bargains foiled them; the settlement was binding, and they couldnt back out. The Remmo defense team had apparently pulled off a brilliant double-cross by milking a loophole in the law. When the five men were finally convicted, on May 16, their sentences called for just four to six years in prison. The greater deception, it turned out, seems to have taken place in the courtroom. They were able to exploit competing interests, says Selby, the author of Flawless, of the defense attorneys. Because of the cultural value of these jewels, Saxony was desperate to get them back, so they made a deal with the proverbial devil, which could set a troubling precedent. Criminals are now aware that if they steal something of real cultural value in Germany, even though it could be very hard to move, if they stash it, someday it could help them leverage a deal. The showpieces of the collectionthe Saxon White and Queen Amalie Augustas diamond necklace and bow-shaped brooch, studded with 660 diamondsremain unaccounted for. When it was discovered in the fabled Golconda mines in India, the Saxon White was considered of the finest water, an old term of art to describe absolutely colorless diamonds with a perfectly formed crystal structure and no impurities; only one or two percent of naturally occurring diamonds are as pure. The diamond has a D-color, the highest rating on the GIA color grading scale, the industry standard. At the time of the theft, Marion Ackermann, Dresdens state art collections director, said the true value of the jewels couldnt be measured. We cannot give a value, because it is impossible to sell, she told reporters. The material value doesnt reflect the historic meaning. But Tobias Kormind, managing director of Europes largest online diamond jewelry retailer, 77 Diamonds, says the Saxon White could be worth as much as $12 million. Jens Schlueter - Getty Images Youre not going to get that value without its provenance, Selby says. But from the perspective of a thief its still great, because your cost is basically just putting together a team, planning it, and whatever value you place on the risk of getting caught and going to prison. Maybe its a collection worth $1 billion at an auction house, and if you cut it down into pieces its worth $100 million, $50 million, $20 million. Thats still a really good return for what might be two or three weeks worth of work for the heist itself. The trial exposed that the Green Vault wasnt highly secured at all; because of budget pressures, neither are many other museums in Europe. It also highlighted how comically inept the German judicial system is in dealing with jewel thieves. After all, Wissam Remmo was awaiting trial for stealing the Big Maple Leaf when he led the Green Vault crew. (Prosecutors declined comment, and defense attorneys could not be reached for comment.) They didnt give a damn about the objects as works of art or historical significance, Korte says. They were interested in the material value. Korte says inside sources have told him the smaller diamonds would need to be recut in a modern style, and that when the thieves discovered this, they likely adjusted their plans. They could no longer sell the small diamonds piece by piece. They would have to sell them by the pound for much less. Thats when I think they may have said, Maybe we keep some of these smaller pieces as a sort of get-out-of-jail-free card and focus on the big stones, he adds. Thats where they could still make some money for this whole thing to be worthwhile. Korte doubts the police have caught what he calls the head of the snake, the mastermind he assumes not only planned the heist but made arrangements to sell the jewels. For those arrested, the short sentences will serve as little deterrent. In fact, Stahl, the author of In the Gangs of Neukolln, says prison is a badge of honor for young men in the clan, and if history is any guide, Wissam and his accomplices are likely to strike again. The museum and law enforcement seem so proud of how this case was resolved, but they really got only trinkets back. The big pieces werent returned, and there are no answers as to where they are, Korte says. If those were sold, or are still out there, and these men are doing such short time, its hard not to look at this and conclude that crime pays. Lead image: The whereabouts of the Dresden Castle collections showpieces, including a bow-shaped brooch worn by Queen Amalie Augusta (top row, third from left) and the epaulet featuring the $12 million Saxon White diamond (middle row, far right), remain a mystery. This story appears in the September 2023 issue of Town & Country under the headline Now You See Them, Now You Don't. SUBSCRIBE NOW You Might Also Like Ciaran Hinds (right) told Mark Carruthers about his journey from Belfast to the Baftas The Oscar and Bafta-nominated actor Ciaran Hinds has told BBC News NI he feels fortunate not to have been sucked into the violence of the early days of the Troubles when he was growing up in Belfast. He told the Red Lines podcast "in all honesty I could have got involved in some bad way at some stage". Mr Hinds, who was a teenager when the conflict broke out in the late 1960s, grew up in a middle-class home in north Belfast. His father was a local GP and his mother was a teacher. "There were people joining the IRA, people out to see where you stand", he recalled. "I'm, at heart, a pacifist. I don't believe in violence - I just don't. "I think I probably was quite fortunate that I didn't get sucked into it at that impressionable age." Mr Hinds cited the positive influence of his family at the time - particularly his sister Bronagh. She was studying law at Queen's University and had been elected the first female president of a students' union in Ireland. Bronagh Hinds went on to become a leading figure in the Women's Coalition which was influential in the negotiations that led to the signing of the Good Friday Agreement in 1998. The civil rights movements in Northern Ireland and the United States in the late 1960s, along with the student riots in Paris in May 1968, had a considerable bearing on the actor's developing world view. Mr Hinds said his interest in Irish dancing brought him into contact with a wide range of people in his childhood. His best friend, he remembered, was a young Protestant from a village outside Larne, County Antrim, who taught him a lot about the countryside and the Twelfth of July. He said he realised Irish dancing "was for everyone - not one community over another". When he abandoned his legal studies at Queen's and went off to study at the Royal Academy of Dramatic Art (Rada) in London, the IRA was bringing its bombing campaign to the towns and cities of England. He described the 1974 pub bombings in Birmingham, Guildford and Woolwich as "terrible". "I didn't feel shame", he says. "I felt pained for what might happen to the people in England." Hinds has never forgotten his north Belfast roots, not even in the past couple of years when he found himself feted internationally for his part in Kenneth Branagh's semi-autobiographical film, Belfast. In a long and distinguished career that spans the stage, television and film, Hinds has worked with leading directors including Martin Scorsese, Sam Mendes, Steven Spielberg and Peter Brook. He said as far as the arts were concerned, Northern Ireland punched "way above our weight". He is rarely involved himself directly in the politics of this place, though when Liz Truss was briefly prime minister he wrote to her to take issue with the UK government's policy on the Northern Ireland legacy issue. "Funnily enough, she never answered. I guess she didn't have time", he said wryly. He said truth and justice was "very important" and the idea of "limiting criminal investigations" was something that concerned him deeply. And there was one last thing he felt "hugely passionate about" in our interview - integrated education. "It's not rocket science", he said, but "one of the most important things that should have been going on here since the 1980s". You can listen to Ciaran Hinds' Red Lines interview in full on BBC Sounds. The model shares that pulled details from these well-known affairs for her own wedding to Elliot Grainge. From her elegant Chanel wardrobe to the timeless floral arrangements that flanked the aisle, Sofia Richies wedding to Elliot Grainge on April 22, 2023, in Antibes, France, quickly became one of the most-talked about celebrations of the year. The public immediately became infatuated with the stylish decor and fashion that filled the affair. Plus, the star-studded guest list, which included Cameron Diaz, Benji Madden, Paris Hilton, Carter Reum, and more, grabbed everyones attention. During an interview for Town & Countrys September 2023 issue, the model opened up about her approach to wedding planning and what inspired her special day. I wanted it to feel timeless, she shares. I pored over photos of classic royal weddings and pulled in little things that I wanted. For her big day, Richie sported three fashionable looks from Chanel. During the interview, the newly-minted Mrs. Grainge explained how the collaboration with the fashion powerhouse came to fruition. Chanel had been my favorite designer forever, Richie notes. So, someone said, Why dont you do Chanel? And I was like, Oh, I wish it was that easy. And then, we said, Well, why dont we ask? So, we reached out, and they were kind enough and open enough to do one dress, the wedding dress, and then, that kind of segued into, OK, how am I going to ever find another designer for my rehearsal dinner that could balance out Chanel? So, I went back to them, and I was like, Feel free to say no, but would you mind doing another? They were so sweet. They did a couple more pieces. Related: Julia Louis-Dreyfus Says Princess Diana Inspired Her Wedding Dress Design Richie ended up wearing a a long-sleeved beaded dress with a high neck and fringe detailing for the rehearsal dinner, which took place at a private estate on April 21, 2023. This is like a fairy tale, she said about the dress. It really is. Its like an art piece. I feel like a princess. I really do! For the main outfit of the day, the bride walked down the aisle in a beaded ensemble with a high neckline that exhibited scalloped detailing. It is such magic, she expressed before her big day. I honestly think Elliot is going to love it. Richie incorporated sentimental details into the gown, including a monogrammed S&E on the inside of the gown and two hidden hearts to symbolize their union. For her third and final look of the night, Richie changed into a mini dress with a 3D floral accent in the middle of the gown for the after-party. This, to me, is like my little girl dream, Richie gushed. And the fact that it is inspired [by] Claudia Schiffers runway dress is iconic and dope. I can dance. I can move. I love it! Its traditional Chanel. Rachpoot/Bauer-Griffin / Getty Images Richie admitted to Vogue before she tied the knot that working closely with Chanel to customize every piece and try on each look at the designer's haute couture salons was intimidating at first, but it was ultimately a dream experience. But they were so open to hearing my thoughts and suggestions, and they were so lovely to work with, and I adore everyone that I got to know along the process, she said. Its something Ill never forget. The models wedding also became the object of the publics fascination because Richie shared many behind-the-scenes details with her followers on social media, such as doing her makeup for her rehearsal dinner and uploading footage of her wedding video. She tells Town & Country that she had zero motive to use her big day as a way to grow her following on social media. For me, my wedding wasnt an event, she says. It was just my wedding. Despite the content she shares on TikTok and Instagram, Richie explains that she keeps certain aspects of her life and her marriage private. We dont live out our whole lives on Instagram, she notes. We know when to turn it off. Up Next: Alexandra Daddario Took Wedding Style Cues from Meghan Markle The hazier wine bottles in your collection deserve the white glove treatment, too. Gregory Dubus / Getty Images With its relatively youthful following and easygoing energy, it's not hard to view natural wine as fundamentally at odds with the wine collector culture of yore. According to 2023 surveys, nearly 90% of wine purchased in the U.S. is consumed within 24 hours of purchasing, and 95%, within the week which is to say, while natural wine thrives, cellaring, once fundamental to wine culture, has become something of a lost art. Antiquated as the whole collector shtick may seem (picture Dennis Quaid blowing dust from his wedding year vintage in The Parent Trap, or James Bond waxing poetic about Dom Perignon 53 in Goldfinder), perhaps its a more tragic loss than we realize. Aging wine is a necessary exercise if you really want to get under the skin of something. You cant really know the whole story of a bottle if youve never aged it, says Isabelle Legeron, Frances first female Master of Wine, and founder of wildly popular international natural wine fair, RAW WINE. Imagine if you abandoned all of your friendships after the first year, when youd begun having deeper, more intense, revelatory conversations. That would mean youd hardly known those people at all, no? The same goes for a great bottle of wine. Related: Wine Pros Share How They Store Their Favorite Bottles at Home In reality, so many wines we love natural wines, included get more complex over time. Theyre three-dimensional characters. They mature; balance themselves out; shift as their tannins soften. Speaking personally, I age wines because I love the journey of taste. I love to witness the evolution that happens inside a bottle over time, Legeron adds. Given that so few among us have surplus apartment square footage for essentials, let alone temperature-controlled racks of Sancerre, it's not exactly surprising that cellaring is a low priority. And with the mainstream-ification of natural wine, many of us (city-dwellers in particular) have been sold on the myth that low-intervention bottles are designed to be opened young. Au contraire: If were talking about wines made with little-to-no sulfites, specifically, the bacteria in the bottle is still alive. Obviously, the yeast mostly is mostly dead, but theres a form of microbiology thats carrying on, says Legeron. That means the wine is still living, still developing into something else. That can make it even more exciting to age than a conventional wine. The consumption of organic wine in the U.S. has increased nearly 20% per year since 2020 and the millennial market share has grown substantially, too. But, in a demographic well adjusted to instant-gratification, it makes sense that cellaring has fallen off as a trend. Think about it: Rarely do we watch the thirty-second video when theres a 10-second option availableso the prospect of waiting, say, ten years, to open up a bottle feels downright obscene. We dont really have the time to respect the totality of things, anymore. Were all in a hurry, says Tynan Pierce, who helms Antica Terras The Collective, a wine club designed to introduce exciting, rare, often-aged bottles to folks who do not necessarily have cellars, themselves. But in a world where everything feels so fleeting, theres also some comfort in watching the ways a wine can hold up over decades. Is every bottle you age going to be life-changing and personality-altering in a delicious way? Maybe not. But, are they at least going to be challenging, and unique and thought-provoking in a way that young wines just cannot be? I certainly believe so. Related: Organic vs. Biodynamic vs. Natural WineWhat's the Difference? Of course, there are a number of glou glou bottles intended for early consumption fresh, low-alcohol, easy-drinking wines, named onomatopoetically for the sound made when one chugs directly from a bottle. Often, these wines lack the structure to mature for lengthy periods of time. But that doesnt mean theyre low quality just that theyve been engineered for a different purpose. According to Zev Rovine, whose importing company, eponymously titled Zev Rovine Selections, distributes one of the largest natural wine books in the country, its worth noting that glou glou is only one small portion of the natural wine landscape. I import bottles from about 350 wineries, and Id say the vast majority of them will age well. Some of these producers have bottles from the 20s that are absolutely spectacular and others, like Meinklang for example, are making bottles intentionally crafted to be consumed within the next few years. You can still absolutely cellar themjust not forever, he explains. That distinction is important: If you think of aged, refined bottles like canonical literature Proust, for example then Meinklang is a beach read. The Austrian producers wines are accessible and un-intimidating, in a way that helps to usher in new drinkers (call them gateway wines). Thats an essential role to play. But, at the same time, the popularity of the glou glou genre certainly doesnt render older bottles unhip or passe, either. You know, when youre at a natural wine party, or a bar takeover, if you come out with, say, a 1989 Ganavet Chardonnay, youll be the man, says Rovine. Seriously, the whole room will freak out. When it comes to identifying wines you do wish to age, then, it can be difficult to make definitive judgment calls. The planet is fickle: Some vintages will age better than others, even within identical regions. Weather will ravage wines, droughts will strike, faulty corks will destroy cases. And whether youre a zero-zero evangelist or a believer in the fact that a bit of sulfur dioxide is entirely worthwhile when bottling wines, any low-intervention vintage will be riskier than one made with chemical-stabilized fermentation. Which is to say, it can all feel like a shot in the dark. How to tell if a wine can be aged According to Legeron, all determinations of aging start with your palate. We dont often talk about texture as much as flavor when were discussing wines, but youll typically want to age something that has structure, or bite. Something that sits heavy with you while you taste it. Likely, something with a higher ABV she advises. We can also rely on the hard, fast evidence of a winemakers practices to determine what feels worth stowing away. If were talking about a producer I love, with really great, sturdy grape farming practices, and a lot of craft and know-how, then Ill just trust my gut and buy and age as many vintages as I can, says Trevor Kellogg, buyer and owner at Discovery Wines, one of Manhattans most comprehensive natural wine shops. Related: What Exactly Is a Pet-Nat? For legacy producers in regions like Chianti and Bordeaux, aging is an easy call we have decades, even centuries of evidence proving certain bottles will hold up over time. But for first generation winemakers, especially those avoiding chemical additives, aging is a more intimidating game. No wine is bulletproof. We cant know for sure what anything will taste like down the line, until weve tasted it, says Maggie Harrison, the winemaker and head honcho at Antica Terra, a low-intervention winery based in Willamette Valley, Oregon with allocations so low and lauded, Michelin-starred restaurant are clamoring for access to bottles. But we do know that the way were making natural wines today is the same way that wildly talented producers were making wines 50 or even 100 years ago and so we can trust in that fact, whether or not we have proof just yet. At a recent dinner, while pouring wine, Harrison says she was asked how one of her bottles would age. I just shrugged, she admits. Im a first generation winemaker and I'm at the very edge of my empirical evidence. All I know right now is that the first vintages of wine that we made are better today than they were on release. But I have no idea what happens tomorrow. Its worth mentioning, however, that delayed gratification is not always a virtue. For seasoned collectors, over-aging is indeed a valid fear. Ive definitely heard buyers say they waited too long to open a beautiful wine which is sad, because these winemakers put their heart and soul into these bottles, and they certainly didnt intend for them to be put away and forgotten, says Vanessa Conlin, Sothebys global head of wine retail. Im always encouraging collectors to watch inventory maturity. I mean, you can't take your bottles with you when you go, natural or otherwise so at some point, youve just got to wait for the right quote-unquote occasion to open something. And while sure, the practice of aging natural wine comes with no hard and fast guarantees, nor does, say, Bitcoin. Or Prozac. Or friendship, for that matter. And theres some poetic justice in waiting out a particular vintages coming-of-age phase, bolstered by nothing but blind faith.Its a little like looking at old photos. Theres something embarrassing about seeing younger versions of yourself, with bad glasses, or braces. Its you, but less fully formed, says Harrison. But listen, I am not static, and neither is the wine Im making. I cant wait to meet me in ten years, and I cant wait to taste my wine, either. For more Food & Wine news, make sure to sign up for our newsletter! Read the original article on Food & Wine. With the coup-attempting former president and 2024 Republican front-runner now at 91 felony counts across four separate indictments, his pack of rivals, with few exceptions, on Tuesday remained unwilling to use that vulnerability against him. Sen. Tim Scott (R-S.C.), campaigning at the Iowa State Fair in Des Moines, told reporters that the latest indictment from Georgia accusing Trump of creating a criminal enterprise to illegally remain in office despite having lost the 2020 election reflected badly not on Trump but on the Fulton County district attorney. We see the legal system being weaponized against political opponents. That is un-American and unacceptable. At the end of the day, we need a better system than that, Scott said. Biotech entrepreneur Vivek Ramaswamy, who has promised to pardon Trump on any federal charges should he become president, was attacking the Georgia indictment even before it was unsealed. Prosecutors should not be deciding U.S. presidential elections, he said in a statement Monday night. Former Vice President Mike Pence, who has criticized Trump for putting himself above the Constitution but says he prefers that Trumps fate be decided by voters, not criminal courts, said nothing at all about the new indictment after telling ABC News on Monday that he really didnt know much about Trumps efforts in Georgia, including his infamous phone call to election officials demanding that they find him enough votes to top Joe Bidens winning total. And Florida Gov. Ron DeSantis, who many party donors had hoped would surge ahead of Trump only to then steadily sink in the polls since entering the race, repeated the talking points of Trump defenders as he spoke with reporters from New England. I think its an example of this criminalization of politics. I dont think that this is something thats good for the country, DeSantis said. I have an idea: Why doesnt Ron DeSantis run against Trump? joked Rick Tyler, a consultant who worked for Texas Sen. Ted Cruzs run for the GOP nomination in 2016, when Cruz spent months praising Trump in the hopes of someday winning over his supporters. That strategy failed, but the 2024 cohort apparently has not learned that lesson, Tyler said, adding that he could not explain it. I dont know. Its blindingly obvious what they have to do to beat Trump. In reality, neither the federal indictment on Aug. 1 nor the Georgia indictment Monday night charges Trump with pursuing recounts or legal challenges seeking to overturn his election losses or even for lying about a stolen election. Rather, both accuse him of conspiring to defraud voters by pressuring his own vice president to accept forged elector slates for Trump from states he had actually lost a scheme that morphed into the violent attack on the U.S. Capitol on Jan. 6, 2021, when Pence refused to go along with the original plan. Only three of the candidates challenging Trump have consistently said his behavior after the election makes him unfit for the White House, and all three criticized him again following the Georgia charges. Former Texas congressman Will Hurd, who got booed for telling an Iowa audience last month that Trump was only running to stay out of prison, said in a statement: This is further evidence that Trump knew he lost the 2020 election and was ready to do anything it took to cling to power. He will use this indictment as another opportunity to manipulate Americans into paying his legal bills. In a courtroom sketch, former President Donald Trump is shown on Aug. 3 appearing before Magistrate Judge Moxila Upadhyaya in federal court in Washington, D.C., to face charges that he orchestrated a plot to try to overturn his 2020 election loss. In a courtroom sketch, former President Donald Trump is shown on Aug. 3 appearing before Magistrate Judge Moxila Upadhyaya in federal court in Washington, D.C., to face charges that he orchestrated a plot to try to overturn his 2020 election loss. Former Arkansas Gov. Asa Hutchinson said: Over a year ago, I said that Donald Trumps actions disqualified him from ever serving as president again. Those words are more true today than ever before. And former New Jersey Gov. Chris Christie, who has made attacking Trumps post-election actions the focus of his campaign, went on Fox News on Tuesday morning and pointed out that, legal questions aside, Trump had behaved terribly. We cant normalize this conduct, Christie said. This has never happened before in this country. Ever. Where you had a candidate for president of the United States conduct himself in this way, to disrespect the election process in this way, to refuse to accept the verdict of the voters. Yet when a reporter tried to pursue that line of inquiry with Scott in Iowa, asking if he similarly would have demanded that Georgia election officials overturn his election loss for him, Scott quickly looked elsewhere: Next question! Of course, Neither Hurd nor Hutchinson even breaks the 1% mark in national polls, and Christie is at just under 3%, although his focus on New Hampshire may be paying off, with a new poll showing him in second place behind Trump with 9% support to Trumps 49%. Which is why most Republican consultants, even those who oppose Trump and would prefer the party abandon him and move on, do not expect sustained attacks on him while he remains so popular with a large plurality of the party and why his rivals will continue coming to his defense even as they theoretically run against him. They make money win or lose, and its the path of least resistance, said Tim Miller, a former top staffer at the Republican National Committee. In addition to the two indictments based on his efforts to remain in power despite his election loss, Trump also faces a 40-count federal indictment in South Florida for retaining top secret documents at his country club there and then allegedly hiding them from authorities seeking to retrieve them, and a 34-count New York State indictment accusing him of falsifying business records to hide a $130,000 hush money payment to a porn star in the days before the 2016 election. Related... Theres a new barbecue joint in Morro Bay, but its been a long time coming. For nearly a year, a sign in a window of the former Sabettas Pizza spot promised Los Morros BBQ would open there soon. The restaurant finally opened its doors July 21 at 897 Main St. The 1,800-square-foot space is located in the same Old Circle Inn building as Legends Bar, Ugly Mug Ceramics and Gaias Garden & Apothecary. Los Morros BBQ will hold its grand opening beginning at 11 a.m. Saturday. Business partners Miguel Fuentes and Arturo Guatemala say the celebration will include several dancers in comic robot costumes and 200 giveaway tickets, each good for a free meal. Hungry Morro Bay residents and cue fans waited a long time for this open sign to appear at Los Morros BBQ, replacing a coming soon that had been in the window for about a year. Restaurant co-owner started Morro Bay deli Born and raised in Mexico City, the 45-year-old Fuentes said he came to the United States at the age of 16 or 17. Owning his own restaurants has always been his dream, he said. For six years, hes owned Morro Deli, 940 Morro Bay Blvd. in Morro Bay, adjacent to the Sinclair service station that fronts on the roundabout. His older brother Antonio Fuentes runs that eatery now. Miguel Fuentes also owns a food truck thats on pause for now until it can be properly staffed for use at fairs and special events, as it was before the COVID-19 pandemic. Unfortunately, he said, the pandemic sank a sister Morro Deli location in San Luis Obispo. Now Fuentes has opened another eatery, inspired by 22 years of barbecuing experience at a Paso Robles restaurant, he said. Los Morros BBQ owner-partners Miguel Fuentes, left, and Arturo Guatemala, right, flank employee Paulina Salcedo as they prepare for a long-awaited grand opening of the new eatery Monday, Aug. 14, 2023. What delayed opening of Morro Bay barbecue joint In the long delay between agreeing to do Los Morros BBQ and opening it, Fuentes said he and his partner submitted the architects drawings to San Luis Obispo County, waited for permits to come through and had all-new equipment delivered, including a specialty hood-and-vent system. They then laboriously revamped the former pizza parlor. The total cost of remodeling, including the new equipment, was about $130,000, Fuentes estimated. Following the soft opening for Los Morros BBQ, Fuentes, Guatemala and employee Paulina Salcedo have been fine-tuning the restaurants menu, getting ready to launch social media and preparing for the grand opening. Miguel Fuentes, owner/partner at Los Morros BBQ in Morro Bay, mans his new Backwoods Smoker, which he stokes with red oak wood. He then finishes off the smoked meat by glazing it with a pro propane torch. Mouth-watering aromas drift out daily from Los Morros BBQ, luring in hungry diners. The barbecue magic happens within view of customers, who place their orders at a walk-up station counter in front of the open kitchen. There, Fuentes and his team wield their new Buckwoods smoker unit, flattop Imperial grill, Volcano fryer and an industrial propane torch that sets the final barbecue glaze on the meats. Customers ordering tacos at the new Los Morros BBQ in Morro Bay will get these piled-high tortillas. Whats on the menu? Los Morros BBQs menu includes tri tip on nachos, in a sandwich and with the works, as well as chicken, pork and beef ribs, pulled pork, burgers and tacos. Those are served with side dishes of fries, beans, cole slaw and garlic bread. The men make their own dry rubs and barbecue, sweet and sour sauces and aioli from scratch, Guatemala said. Prices range from $5 to $6 per taco to $31 for a shareable meal with three meats and two side dishes. Sandwiches range in cost from $11 for a cheeseburger to $16 to $19 for a tri-tip sandwich. Los Morros BBQ is open from 11 a.m. to 9 p.m., seven days a week, with occasional service longer to the Legends bar next door. The restaurant plans to eventually offer catering, like Morro Deli. MOESC now hiring substitutes for 2023-2024 school year Mid-Ohio Educational Service Center is looking for substitute teachers to work in a number of area school districts. HB 583 allows districts the flexibility to use substitute teachers with either an associate degree or high school diploma. Interested substitutes should contact the district(s) that they are interested in working to find out what they will be requiring. To work within Mid-Ohio ESCs client districts, candidates must submit a Substitute Teachers application, and new Substitute Teachers must submit their application by scheduling an appointment. MOESC has waived the application fee for the 2023-2024 school year. Candidates must also have a current BCI/FBI background check. Fingerprinting for a background check can be completed at the Mid-Ohio ESC office for a fee. Other important information, including downloadable applications, can be found at Mid-Ohio ESCs website. Potential candidates with questions can call Mid-Ohio ESC at 419-774-5520 or email sub@moesc.net. Mid-Ohio Traumatic Loss Response Team to hold training Sept. 29 MARION Mid-Ohio Traumatic Loss Response Team offers free support for suicide and overdose loss survivors in Crawford, Delaware, Marion and Morrow counties. The team provides emotional support and crisis intervention and links survivors to resources and other survivors as soon as possible after the loss. Those interested in learning more about becoming a volunteer can sign up an upcoming regional volunteer training. It will take place from 8 a.m. to 5 p.m. on Sept. 29 at Marion Nazarene Church, 233 W. Church St., Marion. To register for this event or for a volunteer interest form, contact Kim Turner at 216-389-8542 or kturner@cornerstoneofhope.org. Gov. DeWine launches ReadOhio initiative COLUMBUS Ohio Gov. Mike DeWine has announced the ReadOhio initiative, a statewide effort to encourage improved literacy skills for all ages, including the implementation of curriculum aligned with the science of reading in k-12 schools. The since of reading refers to research that has been conducted for decades by scientists and literacy experts that shows there is an actual science behind learning to read and that certain skills need to be taught, including phonics. The ReadOhio initiative, a statewide effort to encourage improved literacy skills for all ages. In Ohio, 40% of third graders are not proficient in reading, according to Gov. DeWine. The governor also released a video to explain what the science of reading is and why it is important. In addition, he announced a toolkit for school leaders whose schools are not already using a curriculum aligned with this method, at Education.Ohio.Gov/ReadOhio that will help educators prepare for the transition to science of reading instruction. To stay up-to-date on the ReadOhio initiative visit read.ohio.gov. This article originally appeared on Bucyrus Telegraph-Forum: MOESC seeks substitute teachers, Mid-Ohio Traumatic Loss training FORT LAUDERDALE, Fla. As recently as June, a U.S. Coast Guard plan to force a drawbridge to remain open for longer periods of time over the St. Lucie River near Stuart prompted Brightline officials to worry that its new rail extension to Orlando could be slowed to a crawl. But now the Coast Guard, which by law regulates the bridges operation, is offering a new set of temporary operating procedures designed to satisfy the access needs of marine interests, Brightline and the Florida East Coast Railway, the latter of which owns the drawbridge. The Coast Guard disclosed a new temporary operating plan dubbed a temporary deviation through a notice in the Federal Register on Friday. Deviations are essentially test periods designed to allow the Coast Guard to analyze the impact of new procedures before it imposes a final operating schedule for the bridge. The Coast Guard is adhering to the regulatory process established by Congress for the regulation of bridges and waterways, and we will continue to be transparent in the process, said Rear Admiral Douglas M. Schofield, commander of the Seventh Coast Guard District, in a statement. We are engaged with the many stakeholders who have a vested interest in the final rulemaking for a bridge operating schedule, which includes the boating public, elected officials who represent the constituents in the communities most likely to be impacted, as well as Brightline and Florida East Coast Railway, amongst others. For Brightline, the higher speed rail service that currently serves five South Florida cities, the new hours are apparently more palatable than a schedule imposed earlier this summer by the Coast Guard. Although the rail line last week delayed the Sept. 1 opening of its high-speed 170-mile extension to Orlando from West Palm Beach, citing certification issues, no one attributed the delay to a continuing dispute with the Coast Guard over the bridges operating procedures. The bridge has been a pain point for Brightline as it built out its multibillion dollar extension, according to one marine industry executive. A protracted public debate over the bridges operations included U.S. Rep. Brian Mast, who championed marine and other local business interests over those of the railroads. Mast could not be reached for comment Friday. But the two rail operators suggested in a statement that the parties are closer to a consensus today than just two months ago.. The new temporary deviation is the result of several parties working together for the good of the region and the good of the state, Brightline and the FEC said in a joint statement. Ultimately, the revision will allow mariners to have more than equal access to the waterway while allowing for successful movement of passenger and freight trains. Were already working with federal, state and local partners to accelerate the schedule for a new bridge. But in June, the rail lines denounced a previous Coast Guard test program as deeply flawed, unsafe and impossible for freight and passenger railroad operations to comply. Among other things, the program called for the bridge to open on signal at the quarter and three-quarter hour and remain open until all vessels requiring or requesting an opening have cleared, except any open period shall not exceed 15 minutes. It remained unclear Friday what factors led to the railroads change of mind. In a telephone interview, Justin Beard, executive director of the Marine Industries Association of the Treasure Coast, said his group is satisfied that marine interests are being taken seriously. What the marine industry is pleased to see is that this process of coming up with a set schedule is being followed by the numbers, Beard said. The Coast Guard is doing their due diligence to ensure that whatever permanent schedule they come up with is something that is going to be fair to everybody and thats all we can ask for. The new rules are scheduled to start Tuesday and run through Dec. 17, the Coast Guard said. Among the key points: A more predictable schedule. The span will be open to marine traffic at least 50 percent of the time during daytime operations (6 a.m. to 10 p.m.), the Coast Guard said, and allow for reasonable usage of competing modes of transportation. The bridge will stay in the fully open-to-navigation position, except during periods when it is closed for the passage of train traffic, to conduct inspections, and to perform maintenance and repairs authorized by the Coast Guard. The bridge will not be closed for more than 50 consecutive minutes in any given hour during daytime operations (6 a.m. to 10 p.m.) and for more than 8 total hours during daytime operations (6 a.m. to 10 p.m.). Notwithstanding the above, the bridge will open and remain open to navigation for a fixed 10-minute period at the top of each hour from 6 a.m. to 10 p.m. In the event of operational failures or other emergencies, the FEC must notify the Coast Guard at PortMiami and provide an estimated time for repairs and a return to normal operations. A bridge tender must be present daily from 6 a.m. to 10 p.m., monitoring radio channels and providing boaters with operational information on request. The FEC must maintain a mobile app that provides opening times, schedule updates, and impacts due to emergency circumstances, inspections, maintenance, and repairs authorized by the Coast Guard. Signs should list VHF radio contact information, application information, and the tenders telephone number. A logbook will chronicle the dates and times of each closing and opening. We like the fact the bridge shall not be closed more than 50 minutes, Beard said. A bridge closing shouldnt last longer than 50 minutes. The rules are critical, Beard said, for a waterway whose traffic is growing and via the Okeechobee Waterway is the only continuous thoroughfare in the state for vessels to cross from the Atlantic Ocean to the Gulf Coast. The only alternate route is to loop around the Florida Keys. According to a 2014 study conducted by the nonprofit Florida Inland Navigation District in Martin County, an average of 250 boats passed the drawbridge daily. Beard believes that number has increased to 300. Post pandemic or during the pandemic recreational boating has been so popular and in demand that the number of registered boaters continue to rise and boat sales continue to rise, he said. Moreover, the concentration of businesses that serve the marine industry mirrors Fort Lauderdale as most of the marinas, boat repair yards and sales firms are located to west of the railroad tracks. The true test (of the Coast Guard rules) is going to be when we hit peak season in usage for that bridge, which is in the winter time, and what is going to be peak usage for Brightline at the same time, Beard said. Were still waiting to see how Brightline Is going to be able to run the full schedule of the trains while accommodating boat traffic and sticking to the schedule, he said. Theyve done high-speed testing, Beard added. And Brightline has given a heads up to the community as to where theyre going to be running their trains. They have a text alert system. They are putting information out there so theyve been good about that. In downtown Fort Lauderdale, where Brightline and Florida East Coast trains use a drawbridge to pass over the New River, a timetable set by the Coast Guard calls for the bridge to open for 10 minutes at the top of each hour. Weve since asked for 20 minutes versus 10, said Phil Purcell, president and CEO of the Marine Industries Association of South Florida. Currently, 36 Brightline trains cross the bridge daily 18 in each direction. Those are the numbers currently in play under a contract with the Coast Guard, he said. But its unclear if it will change when Brightline starts its Orlando service. Until they start running its really hard to know, Purcell said. He said that for the long-term future, the association is interested in seeing a 40-foot bridge that would rise above the river in the interest of minimizing bridge closures. The current bridge in Fort Lauderdale has a 4-foot clearance over the river when closed. For the marine industry interests, the goal is predictability, no matter where the trains cross. Theyre dependent on a bridge that works in a manner that allows them to put a client in a boat and he can use it when he wants to go use it. Its pretty simple, Purcell said. Thats all the boating community needs a schedule thats fair and balanced. _______ Chinas defense minister Li Shangfu on Tuesday warned against playing with fire when it comes to Taiwan in a veiled jab at the United States as he addressed a security conference in Russia. Speaking at the Moscow Conference on International Security, Li said attempts to use Taiwan to contain China, would surely end in failure, according to state-run news agency Xinhua. Lis comments echoed previous statements by Chinese officials but the location of his speech was significant and symbolic given Moscows ongoing invasion of Ukraine. Chinas ruling Communist Party claims the self-governing democracy of Taiwan and has vowed to take control of it, by force if necessary. It has repeatedly castigated American interactions with the island, with which Washington does not have official diplomatic ties, including for the sale of US arms to Taipei. Li, who was sanctioned by the US in 2018 for purchases of Russian weapons, joined the Moscow security conference as he began a six-day trip to Russia and its close ally Belarus. Senior defense officials from more than 20 friendly states, including Belarus, Iran and Myanmar will also attend the forum, Russian state media previously reported, citing Moscows defense ministry, which organizes the annual event. No Western countries were invited, state media said. The visit is Lis second to Russia since assuming his role as defense chief earlier this year. It comes as Beijing has continued to bolster its security ties with Moscow, despite its unrelenting assault on Ukraine, which has triggered a humanitarian disaster with global ramifications. In a pre-recorded message to the same Moscow conference, Russian President Vladimir Putin accused the US of adding fuel to the fire of global conflicts, including through its support of Ukraine. China has used similar rhetoric in its own official comments about the conflict, despite maintaining that it remains a neutral party and a proponent of peace. Li on Tuesday also told attendees that Chinas military was a firm force in maintaining world peace, and that Chinese leader Xi Jinping aimed to stabilize global security in a world of chaos. We are willing to work with other militaries to strengthen mutual trust in military security strategies and practical cooperation in various specialized fields, Li added, according to Xinhua. Russian state-run media Sputnik also cited Li as saying that military relations between China and Russia do not target any third party a point Chinese officials have made in the past. The Xinhua report did not include the statement. Li met with his Russian counterpart Sergei Shoigu to discuss cooperation between the two countries militaries, Xinhua said. China and Russia regularly carry out joint exercises including a joint naval patrol off the coast of Alaska in recent weeks. The Chinese defense chief also held bilateral meetings with Iran, Saudi Arabia, Kazakhstan, Vietnam and other countries defense departments and military leaders on the sidelines of the conference. Lis comments on Taiwan come on the heels of a backlash from Beijing as Taiwans Vice President William Lai, a front-runner in the islands upcoming presidential race, makes planned stopovers in the United States during travel for an official visit to Paraguay. Chinas foreign ministry condemned the stopover on Sunday, calling Lai a trouble maker through and through. The US maintains an unofficial relationship with Taipei after formally establishing diplomatic relations with Beijing in 1979, but is bound by law to provide the democratic island with the means to defend itself. During a speech in New York, Lai declared Taiwan will never back down to threats from China. No matter how great the threat of authoritarianism is to Taiwan, we absolutely will not be scared nor cower, we will uphold the values of democracy and freedom, he said. China has in recent years ramped up its military intimidation of the island, including following meetings between Taiwanese leaders and US lawmakers. Putins invasion of Ukraine has also drawn increased attention to Taiwan as a potential security flashpoint in Asia. Despite broad differences with the geopolitical circumstances of Russia and Ukraine, the optics of a seemingly more powerful aggressor launching an attack driven by a vision of unification have heightened focus on Chinas intentions toward Taiwan. Some analysts have suggested that China was watching Western reaction to Russian aggression in Ukraine with an eye to understanding possible responses to any potential, future moves against Taiwan. CNNs Mengchen Zhang, Katharina Krebs and Duarte Mendonca to contributed to this report. For more CNN news and newsletters create an account at CNN.com U.S. Rep. Mark Green, R-Tennessee, 7th Congressional District, has called for transparency from Clarksville- Montgomery County Schools following alleged complaints that teachers were exposed to Critical Race Theory and implicit bias concepts. Representatives for the school district responded that they are in compliance with state law and that principals received training on the new implicit bias law. Before welcoming students back for the new year, CMCSS teachers began their year at the annual summer training, where Green says the option to take a CRT training took place. U.S. Rep. Mark Green In a letter addressed to CMCSS director of schools Jean Luna-Vedder, Green said that CMCSS owes parents transparency and answers to what type of learning environment CMCSS is creating. Green said during the conference, one seminar titled "The World Needs More Purple People" spread Critical Race Theory and that educators were provided with CRT-informed readings, calling the "type of divisive indoctrination completely unacceptable." CMCSS says it was in compliance In response to the letter, CMCSS communications director Anthony Johnson said CMCSS is in compliance with state law and that they don't require implicit bias training for employees. Implicit bias training provides individuals with ways to identify unconscious bias when they're interacting with others. CMCSS director of schools Dr. Jean Luna-Vedder "Principals were trained this summer on the new implicit bias training law to ensure compliance in their buildings," Johnson said. "Educators are required to teach the Tennessee State Standards and are not allowed to include prohibited concepts in their instruction." The ENGAGE conference, optional to educators, featured around 600 sessions on various topics that educators could select to attend, according to the school district. The sessions were a mix of content developed by the district and content developed independently by educators. "The World Needs More Purple People" session was developed independently by educators and not developed or delivered by the district. Although this year's, training was aligned with the district's expectations, Johnson said that going forward, there will be an additional level of scrutiny and approval placed to ensure messaging aligns with district expectations. What is Critical Race Theory The NAACP defines Critical Race Theory as "an academic and legal framework that denoted that systemic racism is part of American society." Meaning CRT teaches that racism is deep-rooted in institutions in America and that white people benefit from it. Over the past few years, there have been national debates on what CRT is and if, or how, it should be taught in schools. In 2021, Tennessee banned public schools from teaching CRT. During the time of the vote, it was unclear where CRT was being taught in Tennessee and advocates of the bill didn't give any specific examples. Reporter Kenya Anderson can be reached at kanderson@nashvill.gannett.com or on Twitter at KenyaAnderson32. This article originally appeared on Clarksville Leaf-Chronicle: CMCSS says staff in compliance after Rep. Green questions CRT training Russia's arms shopping list for its war in Ukraine includes outmoded weapons that are more than 70 years old from North Korea, Radio Free Asia said Tuesday. It said Russian Defense Minister Sergei Shoigu, who visited Pyongyang last month, carried a shopping list that was leaked to Gulagu, a Russian human rights group. According to the list, Russia requested from the North PPSh-41 submachine guns that were used in the Korean War, RPD Degtyaryov light machine guns, Chinese Type-56 rifles, and ammunition. Some of former President Donald Trump's allies in Congress are jockeying to find a way to strip funding from special counsel Jack Smith's prosecutions. In a series of new proposals, House Republicans are attempting to prohibit the use of federal money to pay for Smith's investigation and criminal cases against Trump. At least three different efforts are already underway, according to a CBS News review. Though they are unlikely to generate any large number of supporters and are being criticized as political posturing, the proposals could eventually derail fragile negotiations to avoid a government shutdown or emergency funding for natural disaster relief in Hawaii and Vermont. And they could be a wedge issue inside the Republican party on Capitol Hill. Rep. Matt Gaetz, Republican of Florida, and Rep. Andy Ogles, Republican of Tennessee, have introduced similar but separate pieces of legislation to deny federal funding for the special counsel. Gaetz's bill, which was introduced two days after Trump announced he'd received a target letter from the special counsel, would prohibit Smith from expending federal funds. Ogles' bill, introduced days after Trump's indictment in Washington, D.C., this month, would deny Jack Smith a federal salary. In a statement to CBS News, Ogles said, "It's well past time that Congress uses its power of the purse to tell Jack Smith 'you're fired.'" The proposals have generated just a handful of co-sponsors so far, but Ogles' bill has gained the support of West Virginia Rep. Alex Mooney, a House Republican who is seeking his party's nomination and Trump's endorsement for a West Virginia U.S. Senate seat in 2024. Mooney told CBS News, "I support withholding funding to Jack Smith until the (Justice) Department ceases pushing its blatantly partisan two-tiered system of justice. These disgusting abuses of power will fail, and Donald Trump will be elected again in 2024." A third proposal has surfaced, which could disrupt ongoing negotiations to prevent a government shutdown. Rep. Marjorie Taylor Greene, Republican of Georgia, in a social media post earlier this summer, said she would add language to defund Smith's prosecution to must-pass spending bills. A series of appropriations bills, or a short-term continuing resolution, must pass in both the House and Senate by Sept. 30 to avoid a government shutdown. Greene's proposal, which seems certain to be opposed by Democrats, could force a divisive vote or threaten passage of bills in the House, where Republicans hold a very narrow majority. In her social media post, Greene wrote, "I will not vote for ANY appropriations bill to fund the weaponization of government. I hope every one of my Republican colleagues will join me." In a podcast recorded in late July, Gaetz urged colleagues not to wait until House consideration of spending bills in September to strip Smith of funds for the prosecution. "We do not need to wait for the appropriations process," Gaetz said. He urged colleagues to pass his standalone bill to defund Smith's office: "The power of the purse is not some intermittent thing It's something we have to wield day in and day out to achieve victory." Gaetz acknowledged President Biden wouldn't sign such a bill into law, nor would Senate Democrats take up the legislation in the upper chamber of Congress, but Gaetz said the legislation would be a marker for where Republicans stand on the prosecution of Trump. Democrats are denouncing the proposals and criticizing the Republicans sponsors of seeking to cozy up to Trump as the former President pursues the party's nomination for the White House. Rep. Mark Pocan, Democrat of Wisconsin, told CBS News, "Republicans are no longer a political party, but a cult following Donald Trump's orders. Holding government funding hostage in order to protect the four-time indicted former president is irresponsible at best, and dangerous at worst." Rep. Eric Swalwell, Democrat of California, said Republicans supporting the measures are "seeking to obstruct Justice by utilizing cheap publicity stunts masquerading as policy. It won't work." California Rep. Norma Torres, a Democrat, told CBS News, "Extremist House Republicans want to hijack our government's annual funding process to defund the special counsel's office investigating the former president for potential crimes." Negotiations over the federal appropriations bills are already in a precarious stage. With a Sept. 30 deadline looming, the House has yet to pass the bulk of its spending bills and must navigate a minefield of controversial amendments and proposals that risk passage of the legislation. In addition to possible amendments or language to squeeze Smith's investigations, the House appropriations proposals include language to more tightly restrict access to abortion services, reduce funding for programs that serve the LGBTQ community and restrict spending for diversity, equity, inclusion and accessibility in the federal workforce. Those proposals would dramatically limit prospects of Democratic support in the House and any serious consideration by the Democratic-controlled Senate, which has already moved to approve its own version of the spending bills. Senate Majority Leader Chuck Schumer suggested to reporters Tuesday that a short-term continuing resolution to keep the government running might be needed to extend time for negotiations and avert a government shutdown. House Speaker Kevin McCarthy also raised the prospect of a short-term resolution during a Monday call with his Republican members, according to the Associated Press. The White House has also requested tens of billions of dollars in emergency funding to support the Ukraine war effort and to help respond to the natural disasters that have struck this summer. Smith's two Trump prosecutions are in their early stages in federal courts in Fort Pierce, Florida, and Washington, D.C. Judge Aileen Cannon has set a May 20, 2024, trial date for Trump and two co-defendants in the special counsel's classified records case against Trump, in which the former president is accused of conspiracy, obstruction and the mishandling of classified records. Smith has requested a Jan. 2, 2024, trial date in the 2020 election conspiracy case against Trump in Washington, in which Trump is charged with conspiracy and the obstruction of the Jan. 6, 2021, electoral vote certification by Congress. A hearing to determine the trial date is scheduled for Aug. 28. Trump has pleaded not guilty in both cases. Exploring food across Iowa's state fair Sen. Joni Ernst: Iowans "desensitized" to Trump indictments Asa Hutchinson says 14th Amendment "should keep Trump from presidency" TALLAHASSEE, Fla. The cost to provide around-the-clock security for Florida Gov. Ron DeSantis and his family jumped by more than 58 percent in the past year, an increase that coincides with the governors re-election campaign and the start of his bid to become president. The Florida Department of Law Enforcement released an annual report on Tuesday that showed that the amount the state spent protecting the governor grew from $5.94 million in the fiscal year that ended June 30, 2022, to $9.41 million during the budget year that ended June 30 of this year. The report tracks spending on staffing as well as transportation costs paid for the security detail over a 12-month period. The Florida Department of Law Enforcement is responsible for providing security to the governor, first lady Casey DeSantis and members of the governors family, as well as security on the grounds of the governors mansion located about a mile north of the state Capitol in Tallahassee. The jump in security costs for DeSantis were largely due to an increase in salary costs for those guarding the governor. That rose from $2.37 million in 2022 to $5.03 million in 2023. The report does not break out the number of those that are part of the governors detail or whether the increased salary costs were due to overtime expenses. Officials with the states law enforcement agency are reticent to go into specifics about the governors protective services due to security concerns. Earlier this year, state legislators passed a new law that shielded most of DeSantis travel records even on trips he had previously taken. But Jeremy Redfern, a spokesman for DeSantis, said that the higher costs were due to a need to increase security for the governor. By law, FDLE must provide protective services to the governor and the first family, Redfern said in an email. His record as the most effective conservative governor in American history has also earned him an elevated threat profile, and FDLE has increased the number of protective agents to ensure the governor and his family remain safe. Last fall, DeSantis traveled throughout the state as he ran for re-election. But he also made trips out of state, including making appearances on behalf of other Republican candidates for office such as Arizona gubernatorial candidate Kari Lake. After he won a second term in office, DeSantis embarked on a tour during the spring to promote his book that took him across the country. He did not officially declare for president until late May, but once he did, he started making regular stops in key early primary states. The state report also includes a breakdown on how much is spent providing protective details for visiting dignitaries such as governors and other top officials from other states. The agency spent more than $457,000 in the past year providing security for out-of-state visitors and other types of dignitaries, a total that included more than $96,000 spent on security for the Republican Governors Association meeting held in Orlando last number. The report also says that the Department of Law Enforcement spent more than $117,000 for DeSantis inauguration which was also augmented by private security services paid by the Republican Party of Florida. A lucky North Carolina lottery player won a $2 million Powerball prize but didnt claim their reward. That is until Nhat Ho and his wife, Ngoc Truong, heard that the winning ticket was bought in Wake Forest, officials with the N.C. Education Lottery said in an Aug. 16 news release. The couple had purchased a $3 Quick Pick ticket from Wegmans in Wake Forest, so Ho checked their numbers, he told officials. He didnt believe what he saw. We didnt think we actually won at first, Ho told officials. The couples ticket matched all five white balls, winning them $1 million, officials said. Then the 2X multiplier hit, raising their prize to $2 million. I told my wifes parents we won, and they were like, Are you sure? Ho told lottery officials. After taxes, Ho and Truong took home $1,425,001, according to officials. We just moved here two months ago, Ho said in the release. And now we can pay off our mortgage. Wake Forest is about 20 miles northeast of Raleigh. Lottery player gets funny feeling during car wash then wins huge prize in Maryland Pickleball players chip in for lottery tickets and win $6. That was just the start Lottery player used same birthday numbers for years. Now he can pay off his NC house Police look on as students return to Richneck Elementary in Newport News, Virginia. The mother of a Virginia elementary school student who shot and critically injured his teacher inside her classroom pleaded guilty to felony child neglect Tuesday. The January shooting of teacher Abby Zwerner, 25, by the first grader, 6, shocked the world and sparked a new national conversation about kids and guns in schools. The child's mother, Deja Taylor, faces up to six months in prison as part of a plea deal in which prosecutors agreed to drop a misdemeanor charge of reckless storage of a firearm, court records show. Taylor previously pleaded guilty in a related federal prosecution for possessing marijuana while owning a handgun. She said in interviews that her son has been diagnosed with ADHD, and that the 9mm handgun was locked up. Investigators reported they found no evidence of the gun being safely stored. In May, Taylor apologized to Zwerner, who is suing the Newport News school district for $40 million. The boy is too young to prosecute under Virginia law. Taylor's attorney said she was suffering following miscarriages and domestic abuse, and that he hoped the court would take those into account as mitigating factors, along with her guilty pleas. "Her guilty plea in both state and federal courts indicate her willingness to accept responsibility for her role in this terrible tragedy," defense attorney James Ellenson said. Zwerner's civil lawsuit claims leaders at Richneck Elementary School created an unsafe work environment and ignored multiple warnings the child had a gun at school that day. Her attorney, Diane Toscano, said Zwerner is committed to finding out how Taylor's son got the gun and why school officials didn't stop him. As the criminal probe widens, our focus remains on justice for Abby and holding the school system accountable for failing to act on warnings the boy had a gun," Toscano told USA TODAY. In interviews, Taylor acknowledged that until a week before the shooting, the school required her son to be accompanied by a family member to classes, and that he shot Zwerner the day after returning from a suspension for breaking her cell phone. According to court documents, the boy admitted to a teaching aide that he shot his teacher. While restraining him, (the child) made statements like, 'I shot that (expletive) dead.' And 'I did it. I got my mom's gun last night, the search warrant filed by police said. In a statement, prosecutors said they could not comment on the guilty plea, given the ongoing case. Taylor is due to be sentenced Oct. 27 after a pre-sentence investigation by court officials into her background, family life, any criminal history, and potential mitigating factors. "We are thinking of Ms. Zwerner and all the students and faculty who experienced these events as our office continues its investigation," Newport News Commonwealth's Attorney Howard Gwynn said. "The safety of our schools is of paramount importance, and we will continue to support the victims as they work through the effects of this incident." Attorneys for the school district did not return a request for comment. Contributing: The Associated Press This article originally appeared on USA TODAY: Deja Taylor, whose 6-year-old son shot teacher pleads guilty Florida Gov. Ron DeSantis, a 2024 GOP presidential candidate, sidestepped a question on how he would respond if his children turned out to be gay or transgender, saying he and his wife would respond to the matter privately. Well, my children are my children, DeSantis said in an interview published Wednesday in Time, which reported that his eyes flash[ed] momentarily when the reporter posed the question. Well leave that well leave that between my wife and I, DeSantis added. In the interview, which was conducted at the Iowa State Fair, DeSantis discussed his parents rights agenda, as well as some of the controversial policies he has signed into law in his state. Most notably, DeSantis championed a bill, deemed the Dont Say Gay law by his critics, that prohibits teaching sex or gender in schools and blocks schools from asking students about their preferred pronouns. DeSantis signed into law another bill that restricts gender-affirming care for minors. As a parent right now, I cant take my six-year-old daughter and get her a tattoo, even if I want to do that, he said in the interview. You dont have the right to do things that are going to be destructive to kids. I think that some of these parents are being told by physicians who are making a lot of money off this that you have to do this, otherwise your kid can end up doing something like commit suicide. I think that they get bullied into thinking this is the right decision. DeSantis added, in the interview, that he thinks it is totally appropriate for us to say that protection of children means that those things are not appropriate. The interview is the latest example of a new strategy DeSantiss campaign is taking making the candidate open to interviews with mainstream media outlets, as opposed to avoiding less friendly interviews. The campaign has undergone several reboots as DeSantis struggles to convince GOP voters that he is a viable alternative to former President Trump, who is the front-runner in every poll. For the latest news, weather, sports, and streaming video, head to The Hill. How did your Columbus-area schools cafeteria perform in its health inspection? With students returning to classes, the Ledger-Enquirer has compiled a list of the most recent health inspection scores for public and private school cafeterias in the Columbus area. Schools from Columbus, Phenix City, Smiths Station, Harris County and Chattahoochee County are included in the list with scores pulled from the Georgia Department of Public Health and the Alabama Department of Public Health. In Georgia, food establishments are typically inspected about twice a year. They receive one of four letter grades that are assigned based on how many points are deducted from 100: A grades mean food safety is excellent is achieved by receiving 90-100 points Bs are issued when there is satisfactory compliance with the business receiving 80-89 points Cs mean there is marginal compliance and applied to scores between 70-79 Us represent unsatisfactory compliance and are applied to scores of 69 or less. Establishments that receive a C or U food safety grade will have at least one additional routine inspection added in a twelve month period, according to state law. Alabama state law generally requires food service establishments to be inspected at least three times a year depending on the type of food being prepared. Establishments are placed in one of four categories that determine the re-inspection schedule and use of enforcement actions: Scores of 85 to 100 are considered to be satisfactory compliance and inspected on a routine schedule Establishments that score between 70 to 84 require a follow-up inspection within 60 days Scores of 60-69 require follow-up and reinspection within 48 hours Establishments that score below 60 are closed immediately All of the cafeteria inspections were performed between February and July 2023. Points were removed for issues like food storage containers or cleaners not being properly labeled, facilities not having the correct chemical testing kits, failure to post the latest inspection report, incorrect storage of food, residue on ice producing machines and faulty equipment. Most issues were corrected while the inspectors were on site. No school in Georgia or Alabama received an unsatisfactory score or required a follow-up inspection. Here are the most recent health inspection scores for school cafeterias. Muscogee County public schools Elementary schools with perfect scores Allen Blanchard Brewer Britt David Dimon Double Churches Eagle Ridge Forrest Road Fox Gentian Georgetown Key Other elementary schools Clubview - 97 Davis - 93 Dawson - 97 Dorothy Height - 96 Downtown - 97 Hannan - 99 Johnson - 99 Lonnie Jackson - 96 Reese Road - 91 Middle schools Aaron Cohn - 100 Arnold - 100 Baker - 100 Blackmon Road - 100 Double Churches - 100 East Columbus - 100 Eddy - 100 Fort - 100 Midland - 100 Richards - 100 Veterans Memorial - 96 High schools Carver - 100 Columbus - 97 Hardaway - 100 Jordan - 99 Kendrick - 100 Northside - 100 Shaw - 100 Spencer - 100 Others Marshall Success Center - 100 Rainey-McCullers School of the Arts - 100 St. Elmo Center for the Gifted - 100 Harris County Creekside - 100 Harris County Carver Middle School - 100 Harris County High School - 100 Mulberry Creek - 100 New Mountain Hill - 100 Park - 100 Pine Ridge - 100 Chattahoochee County Chattahoochee County Education Center - 100 Chattahoochee County Middle/High School - 100 Phenix City Central Freshman Academy - 100 Central High School - 100 Creative Learning Center - 99 Creekside Early Learning Center - 99 Culinary Arts Center - 100 Lakewood Elementary School - 100 Lakewood Primary School - 100 Meadowlane Elementary School - 100 Phenix City Elementary School - 100 Phenix City Intermediate School - 100 Phenix City Special Education - 100 Ridgecrest Elementary School - 100 Sherwood Elementary School - 100 South Girard School - 100 Success Academy - 100 The Brick - 100 Westview Elementary School - 100 Russell County Dixie Elementary School - 100 Ladonia Elementary School - 100 Mount Olive Elementary School - 100 Oliver Elementary School - 100 Russell County High School - 100 Russell County Middle School - 99 Smiths Station East Smiths Station Elementary School - 100 Smiths Station High School - 100 Smiths Station Junior High School - 100 South Smiths Station Elementary School - 100 West Smiths Station Elementary School - 100 Private schools Brookstone - 87 Calvary Christian - 100 Glenwood - 100 Pacelli Catholic High School - 100 St. Annes School - 100 St. Luke Early Learning Center - 100 St. Luke Ministry Center - 100 Florida governor Ron DeSantis and his financial backers have donated almost $100,000 to an evangelical Christian nonprofit with ties to an anti-LGBTQ+ hate group, in an apparent attempt to curry favor with a conservative political kingmaker ahead of the 2024 presidential election. DeSantis presidential campaign, the super PAC Never Back Down, and the 501(c)4 nonprofit And to the Republic gave a combined $95,000 to The Family Leader Foundation, an Iowa nonprofit headed by influential evangelical leader Bob Vander Plaats, according to documents obtained by Reuters. The donations came in advance of The Family Leaders Family Leadership Conference held on July 14, which featured DeSantis and other Republican presidential candidates including U.S. Senator Tim Scott and former South Carolina governor Nikki Haley. The DeSantis campaign paid $25,000 for an ad in the events commemorative booklet, plus an invitation to a post-event dinner organized by the conference with former Fox News host Tucker Carlson; Never Back Down paid $50,000 for a two-page ad in the booklet and tickets to the dinner, while And to the Republic bought a table at the dinner for $20,000. Those hoping to win Iowas Republican caucus have pursued Vander Plaats endorsement for years, although his endorsed candidates which have included then-presidential hopefuls Rick Santorum and Mike Huckabee have not always gone on to win the partys nomination, let alone their general elections. Vander Plaats told Reuters that his endorsements are not for sale, but political analysts say he is well aware of the role he plays in conservative politics. Vander Plaats clearly understands his political power, his kingmaker status in Iowa, and how thirsty candidates are for his endorsement, said attorney and former watchdog legal analyst Paul S. Ryan. Regardless of whether all those DeSantis dollars influence Vander Plaats endorsement decisions or not, the Florida governor has now given a major financial boon to an organization thats part of a notorious hate network. The Family Leader organization is a state affiliate of the Family Research Council, which has long been recognized as a hate group by the Southern Poverty Law Center for its extreme opposition to LGBTQ+ civil and human rights. Although the FRC claims to have no corporate or financial relationship with its state policy affiliates, groups like the Family Leader act in practice as regional lobbying wings for FRCs mission or as the organization puts it, share common core beliefs in the sanctity of human life and in the institution of marriage. FRC founder and president Tony Perkins, a former advisor to Donald Trump, has for years pushed the false claim that LGBTQ+ adults are dangerous to children. Perkins has accused LGBTQ+ people of luring children into sexual confusion and infiltrating the Boy Scouts for predatory purposes, claimed transgender people are a slippery slope to saying that youre an animal, and in 2001 spoke at a conference for the white nationalist group Council of Conservative Citizens while claiming not to know their beliefs. The FRC is itself part of a network of religious extremists organizing opposition to the inclusion of trans students in schools, has helped boost voter suppression efforts in Georgia, and influenced the passage of laws like Arkansas House Bill 1570, which have led the families of some trans children to flee the state. Why Ron DeSantis' Campaign for President Is Imploding The governor's war on woke is going to cost him the presidency. Floridians will be left to face the consequences. All of this is bread and butter for DeSantis, of course, who has made opposition to anything woke in particular, anti-racism and LGBTQ+ rights a load-bearing plank in his 2024 presidential platform. While shoveling money into the FRC network, DeSantis has this year banned trans people from government bathrooms, organized a takeover of a progressive school board, and signed five anti-trans laws on issues like gender-affirming medical care and drag performances in a single day. But with his campaign rapidly deteriorating amid drastic layoffs and last months scandal over a former staffer who spread Nazi imagery in pro-DeSantis memes, the governor is likely in desperate need of someone like Vander Plaats to give him a bump in the polls which this week find him in third place behind other Trump challengers Vivek Ramaswamy and Chris Christie. Heres hoping DeSantis race to capture the evangelical vote finds him on the Santorum path in more ways than one. Get the best of whats queer. Sign up for Thems weekly newsletter here. Originally Appeared on them. "It's so rewarding being a dog mom of rescue dogs because you truly watch them get a second life," King tells PEOPLE Arnold Jerocki/Getty Joey King attends Pomellato High Jewelry Gala Event at Palais De Tokyo on July 03, 2023 in Paris, France Joey King couldn't be more head over heels for her rescue pup, Fable! In an exclusive interview with PEOPLE, the Kissing Booth star, 24, raves about how "incredible" it's been to watch Fable transform since the dog's adoption. King met the rescue dog while volunteering at an animal shelter in Romania and it was love at first sight. "I came across Fable, and she was just so sad and so sweet," the actress says. She explains that the pup was found in the woods with her siblings, and because Fable was "the runt of the litter," the other dogs attacked her and "tried to kill her off." "She was so terrified of dogs when I first met her and just terrified of everything, but was so sweet and loving," King reflects. "And now watching, her arc has just been so incredible." Joey King/Instagram Joey King and Fable Related: 'The Act' 's Joey King Talks Emmy-Nominated Role, Teases 'Kissing Booth 2' The Bullet Train star and her fiance, Steven Piet, introduced her on Instagram in April as the "newest member of our family." The couple are also proud pet parents to 10-year-old dog Jesse, whom King describes as a "great big brother" to Fable. "She absolutely adores our other dog, Jesse, and loves all dogs now because of Jesse being able to show her the ropes and be patient with her," she shares. "It's just amazing to watch in real time her personality change as she becomes more comfortable." Related: Joey King Celebrates 'Bachelorette' in Napa Valley See The Photos! King tells PEOPLE that Piet and Fable are "best friends" too. Her fiance loved the pup before he'd even met her. "When I met Fable, he was back home, and I was in Romania, and I was like, 'I met this dog, I love her, but also, I don't want to make any decisions. I also want to get your temperature. I want you to meet her first,'" she says. "And his response was, 'If you love her, I love her. Let's take her.' And he hadn't even seen her yet!" Jon Kopaloff/Getty Joey King and Steven Piet attend the Los Angeles premiere of Columbia Pictures' "Bullet Train" at Regency Village Theatre on August 01, 2022 in Los Angeles, California Related: Joey King Says Upcoming Wedding to Steven Piet Will Be a 'Little Non-Traditional (Exclusive) "It's so special to have someone who loves animals that way because it makes it all that much more rewarding when you experience their arc together," she adds. King can't narrow down her favorite thing about being a dog mom but says the role "means so much," especially with rescue pets, because it's "so rewarding" watching their journeys. "You truly watch them get a second life, a second burst at life," she says. "[They] find themselves in an environment where they do not feel like they have to be in survival mode and on their toes all the time, and they really just get to relax. And the best part is the unconditional love you receive from them." Joey King's dog Fable Related: Joey King Fights Back in Action-Packed Trailer for Violent Fairy Tale 'The Princess' The Emmy-nominated actress is partnering with Hill's Pet Nutrition for NBCUniversal Local's Clear the Shelters 2023 campaign, which promotes pet adoption and raises money for animal welfare causes. She says the partnership felt "so natural" because animals are "a big part of my life." As part of the partnership, King helped adoptable pets get adorable new photos for their profiles. She calls the photos "so important," citing a 2015 study from the Journal of Applied Animal Welfare Science that suggests the quality pictures "may speed up and possibly increase the number of" pet adoptions in shelters. Hill's Pet Nutrition Joey King poses with rescue dog for Hill's Pet Nutrition King calls volunteering "emotional" and admits to crying a few times during the photo sessions. But, she says, it's all worth it. Never miss a story sign up for PEOPLE's free daily newsletter to stay up-to-date on the best of what PEOPLE has to offer, from juicy celebrity news to compelling human interest stories. "It's so rewarding to come and to see these animals and to hang out with them and to know that you're helping," she shares. "These animals are so sweet and loving and good-natured, and they deserve homes with people who love them." For more People news, make sure to sign up for our newsletter! Read the original article on People. As the start of the school year approaches in Florida, some teachers say chaos and confusion have marked the days leading up to reopening as they navigate how to teach under new state policies. Teachers say they are going into classrooms less confident about their lesson plans, confused about changes to state laws and on high alert that once-benign instructions could now get them fired or charged with felonies. I dont know how to approach the year, said Richard Judd, a social studies teacher at Nova High School in Broward County. Theres a lot of different ways you can get in trouble. And thats whats so insidious about these policies. Mayade Ersoff, 61, who teaches civics and world history at Palmetto Middle School, said she had not had any guidance from the schools principal or Miami-Dade Public Schools about recent decisions that have made national headlines, such as the states new African American history standards for K-12 students, which include instruction that enslaved people benefited from skills that they learned. Its very unsettling, Ersoff said. Judd, 52, said he was unsure whether his school district had even had time to digest any of the changes. I dont know that anybody has any guidance, because so many of these things came out so recently, Judd said. They came out within weeks of the school year, which is what really makes them so disruptive. Some teachers said they were holding out hope that a meeting scheduled for the day before school starts in the coming days would help clear up their confusion, even if it comes weeks after their curriculums had been finalized. The new laws and policies change how schools teach African American history and topics involving LGBTQ people, among other things. In recent weeks, Florida was accused of effectively banning the College Boards Advanced Placement Psychology classes because of its sexuality and gender content. The state Education Department said the course can be taught in its entirety in a manner that is age and developmentally appropriate an explanation that some teachers said was borrowed from legislation that is anti-LGBTQ and intentionally vague leaving teachers vulnerable to attacks from parents and conservative politicians alike. Amid the confusion, several districts said they would stop offering the longtime course. Theyve created these really ambiguous terms that can get people in trouble, like losing your teaching license, which is basically losing your livelihood, Judd said. Without clear-cut definitions. The conflict was spurred by Floridas Parental Rights Act, which critics call the Dont Say Gay bill. The measure, which Gov. Ron DeSantis signed into law in March 2022, originally forbade instruction about sexual orientation and gender identity in kindergarten through third grade. The Education Department expanded the prohibition to include all grades in April, and DeSantis signed that measure into law in May. And last week, Hillsborough County Public Schools said students in the district will be reading only excerpts from William Shakespeares plays as opposed to the full texts under redesigned curriculum guides developed, in part, to take into consideration the new laws that restrict classroom materials whose content can be deemed sexual. The state already requires that any book in a classroom be deemed appropriate by a librarian or a certified media specialist. In a statement sent after this article was published, Cailey Myers, the communications director for the state Education Department said, Teachers should not be confused about African American history standards for this school year since the standards do not go into effect until NEXT school year. Myers also said, Students can enjoy Shakespeare and the Florida Department of Education in no way believes Shakespeare should be removed from Florida classrooms. Judd said he would not maintain a classroom library or lend any books to students, which he said he had always done in his more than 20 years in the profession, so as not to unknowingly allow students access to any of the books the state has banned. The reality is that when you start telling people that they can be a criminal for putting books on the shelf, theyre going to start self-banning, Judd said. Florida faces a teacher staffing crisis. The Florida Education Association, the states largest teachers union, said there are 6,920 advertised vacancies for teachers statewide, compared to 6,006 at the same time last year. The association cited positions advertised on district websites and blamed DeSantis and his legislative allies anti-education agenda, saying it is harming Floridas children. Jeremy Redfern, a spokesman for DeSantis said the unions contention is blatantly false. In a news release Tuesday, the state Education Department, which did not respond to a request for comment, said there are 4,776 teacher vacancies to begin the year, compared to 5,208 last year. With an average of 1.28 vacancies per school, Floridas vacancy rate is less than the national average of 2 vacancies per school and less than the 1.4 vacancies per school Florida experienced last year, the department said. Miami-Dade Public Schools did not immediately respond to requests for comment. Broward County Public Schools said it had made parental consent a requirement to enroll in AP Psychology for high school students. Ersoff and other teachers said they were not confident any clarity would come. The states education commissioner, Manny Diaz Jr., backed out of a planned town hall in the predominantly Black neighborhood of Miami Gardens last week that was intended to address the widely condemned African American history standards, among other things. The event had been promoted for weeks. Diaz defended his decision under criticism, saying there was nothing sudden about his inability to attend. Florida Education Commissioner Manny Diaz Jr. at a press conference alongside Gov. Ron DeSantis in Miami on May 9, 2023. (Rebecca Blackwell / AP file) Heather Felton, 48, who resigned from her job teaching English at South East High School in Manatee County at the end of the last school year, said she removed a little less than half of the 700 books she had in her classroom ahead of a planned visit by a media specialist. She said she had a panic attack the day the media specialist pored through her classroom library. The day they came through to vet my books, I passed out in class, Felton said. That was the big thing my health was in jeopardy. I have rheumatoid arthritis and other things, and it was flaring so bad. My husband said, You need to get out of this job. She finished out the school year and submitted her resignation in May. You make it a felony to be in a possession of a book, said Felton, who is unemployed. Were pretending that LGBTQ people dont exist. My children are nonbinary; they use they/them pronouns. That is no longer allowed. Another high school English teacher in Miami-Dade County, who asked not to be named out of fear of retaliation, said they started telling their students they were being censored in their role as an educator. "I tell the students about all the rules that have been passed," the teacher said, adding that they have had to modify their lessons. The Dont Say Gay bill is so vague, the teacher said. Any parent could say they dont think we should discuss queer people. The district could be sued, and the teacher could lose their license. Lost in the conversation and controversies, the teacher said, is whom the policies affect the most. I care about what I do, the teacher said through tears. And I know that this is hurting kids. Ultimately thats what this is about. Jose M. Consuegra, 31, who teaches chemistry in the Miami-Dade school system, said he originally believed he was safe from the DeSantis-era policies but no longer believes that. Consuegra said a student once questioned why he taught a lesson about Black chemists from a science news article outside of Black History Month. And I responded, Every month is Black History Month, Consuegra recalled. And then the kid said, Im gonna tell my principal about that. Nothing came of it, but Consuegra said it made him realize he was vulnerable. He said he also feels limited in what he can say and teach about climate issues in a state where some residents spent 20-something days under heat advisories. At first glance, I really didnt worry about any of this, he said. But now that Im learning more about it and Im actually planning my lessons, Im realizing, Oh, my God, I cant teach this; oh, I cant talk about this or I cant do this, I cant do that. So in essence, it really just damages the students. The teachers said they place the blame squarely on DeSantis. Felton, the retired teacher, said she does not fault principals. Theyre doing the best they can with what theyve been handed, she said. The state is not giving the district information. The districts need to fight back. The superintendents need to get together and explain this is hurting our teachers. This is hurting our students. This article was originally published on NBCNews.com I had my Greek parents try 15 different Greek Trader Joe's products. Here's their ultimate ranking. Anneta Konstantinides/Insider I had my Greek parents try 15 Greek Trader Joe's product and rank them from worst to best. My parents weren't impressed by the chain's Greek salad, olive tapenade, or chickpeas. But they loved Trader Joe's 5 Cheese Greek Spiral, spanakopita, and feta cheese. I had my Greek parents try 15 different Greek products from Trader Joe's. My parents tried over a dozen Greek Trader Joe's products for the first time. Anneta Konstantinides/Insider Greek cuisine is the first food I remember falling in love with. Every summer my parents would take me back to Greece to visit our family, and every meal was an adventure. I have fond memories of bright cucumbers and tomatoes glistening in a classic horiatiki, light-as-a-feather lavraki licked with olive oil, and crunchy plates of calamari by the sea. Back home in California, my parents stayed connected to Greece through food. My mom would make big pans of cheese and spinach pies, while my dad whipped up his famous pastitsio. Cold nights were for avgolemono soup, and Christmas always featured baklava for dessert. But many Greek dishes take time and effort, so I wanted to introduce my parents to a whole new world of quick and easy Greek items at Trader Joe's. My parents are tough critics, and I was excited to see what would impress them especially in terms of authenticity. Now, they've created the ultimate ranking of Trader Joe's Greek products. I had my Greek parents try 15 different Greek Trader Joe's products. Here's their ultimate ranking. Anneta Konstantinides/Insider For this taste test, we grabbed every item we could find with "Greek" or "Greece" on the label from my parents' local Trader Joe's, along with a few products that are very common in Greek cuisine. Then, over the course of a few days, we warmed the frozen pies, whipped up some salads, and sampled more olives than I'd eaten in my entire life. In addition to taste and authenticity, my parents said they also based their ranking on which products motivated them to go to Trader Joe's over their usual (and closer) grocery store. "For example, Trader Joe's olive oil and Greek yogurt are good, but they're available in the local supermarket as well," my dad explained. There were some products that really impressed everyone and a few that definitely won't be purchased again. Now, without further ado, let's get ranking! 15. Trader Joe's Olive Tapenade with Kalamata and Chalkidhki Olives Trader Joe's Olive Tapenade with Kalamata and Chalkidhki Olives was just too briny. Anneta Konstantinides/Insider Trader Joe's has some very good Greek olives, but my parents just couldn't get behind this product. The acidity from the Chalkidhiki olives completely overpowered the tapenade, making every bite super briny and intense. "I definitely wouldn't buy this again," my dad told me. "The vinegar taste dominates everything." 14. Trader Joe's Greek Olive Medley Trader Joe's Greek Olive Medley had contrasting flavors that didn't work well together. Anneta Konstantinides/Insider My parents also weren't impressed with Trader Joe's Greek Olive Medley, which they thought was packed with too many contrasting flavors that just didn't work together. "I liked the herbs in it, but I didn't like the brine and the texture felt off," my mom said. 13. Trader Joe's Greek Chickpeas with Parsley & Cumin Trader Joe's Greek Chickpeas with Parsley & Cumin didn't wow us. Anneta Konstantinides/Insider While Trader Joe's Greek chickpeas smelled delicious, their taste didn't make much of an impression. We threw some in with our daily Greek salad, and neither the flavor nor texture really wowed us. "I'm not a fan," my dad told me, getting straight to the point. 12. Trader Joe's Classic Greek Salad Our Trader Joe's Greek salad only had two slices of cucumber, and barely any tomato. Anneta Konstantinides/Insider Trader Joe's Classic Greek Salad just isn't all that Greek. Horiatiki, as it's known in Greece, traditionally never features lettuce. A good Greek salad is all about the cucumbers, tomatoes, red onions, and thick, white-chalk chunks of delicious feta. Our Trader Joe's Greek salad only had two slices of cucumber, and barely any tomato. It mainly consisted of lettuce, a few pitted kalamata olives, and just a dash of feta (along with some red wine vinaigrette). "It's trying to be Greek, but it's not Greek," my mom said. "You would never find this salad in Greece," my dad added. While the veggies tasted fresh, my parents said they'll stick to making their own Greek salads instead. 11. Trader Joe's Organic Mediterranean-style Salad Kit Trader Joe's Organic Mediterranean Salad Kit had some exciting and delicious flavors. Anneta Konstantinides/Insider Trader Joe's Organic Mediterranean-style Salad Kit features romaine lettuce, radicchio, shredded broccoli stalks, seasoned flatbread strips, roasted chickpeas, dried tomatoes, crumbled feta cheese, and a vinaigrette that combines extra-virgin olive oil and red wine vinegar. Both my parents and I far preferred Trader Joe's Mediterranean salad to its Greek one. While it wasn't all that authentic, the combination of flavors was exciting and delicious. The chickpeas and flatbread strips provided a lovely crunch, the greens tasted fresh, and I couldn't get enough of that beautiful vinaigrette. My mom said she'd still prefer to prepare her own salad, but she'd happily eat this one if she didn't have time. As for me, I've already bought the Mediterranean Salad Kit a few times since returning to my apartment. 10. Trader Joe's Premium 100% Extra-Virgin Greek Kalamata Olive Oil Trader Joe's Premium 100% Extra-Virgin Greek Kalamata Olive Oil took the tenth spot. Anneta Konstantinides/Insider Olive oil is essential to Greek cuisine. For as long as I can remember, there have always been Costco-sized tubs of the liquid gold stored in my parents' kitchen cabinets. I figured it'd be tough to impress them with Trader Joe's version, but my parents loved drizzling this on our Greek salads. But, as my dad pointed out, you can get great Greek olive oil at most supermarkets. He told me he wouldn't go out of his way to grab this one. 9. Trader Joe's Grecian-style Eggplant with Tomatoes and Onions Trader Joe's Greek-style eggplant was rich with flavor. Anneta Konstantinides/Insider Trader Joe's Grecian-style eggplant, which is actually made in Bulgaria, features fried eggplant with peeled tomatoes in a tomato sauce. It's seasoned with parsley, garlic, red pepper, and curry powder. The eggplant was deliciously rich and packed with tons of flavor, surprising both me and my parents. "You'd probably find eggplant cooked this way in a Greek village," my mom told me. "I'd definitely buy this again." 8. Trader Joe's Greek Yogurt My dad thought Trader Joe's Greek yogurt had great texture. Anneta Konstantinides/Insider I wasn't expecting my parents to be big fans of Trader Joe's Greek Yogurt. I've only ever seen one Greek yogurt brand in their fridge and that's Fage, a major Greek dairy brand that was founded in Athens in 1923. I figured nothing would compare to what they grew up with. But my parents said they were pleasantly surprised by Trader Joe's Greek yogurt. My dad is even planning to use it the next time he makes tzatziki because it has a better texture for the dip. "It's not too watery, but not very thick," my dad said. "It's just the right consistency." 7. Trader Joe's Greek Kalamata Olives These slightly sweet kalamata olives were perfect for Greek salads. Anneta Konstantinides/Insider According to the label, these jumbo pitted kalamata olives were harvested from "centuries-old olive oil trees throughout Greece." Both my parents and I were huge fans of these slightly sweet olives, eating them on their own and throwing them in our Greek salads. While the kalamata olives still only scored the seventh spot on my parents' list, they're near the top of mine. I now pick up at least one jar every time I go to Trader Joe's I love them that much. 6. Trader Joe's Tzatziki My dad said Trader Joe's Tzatziki definitely tastes authentic. Anneta Konstantinides/Insider Trader Joe's Tzatziki is another Greek item that has made it onto my regular shopping list, and my parents are also huge fans. My dad said the dip which features yogurt, cucumbers, and garlic "definitely tastes authentic." "It's very nice, and very creamy," he added. Both my parents make their own tzatziki at home, but said they'd definitely buy Trader Joe's version when they don't feel like putting in the work. 5. Trader Joe's Authentic Greek Feta We all loved the soft but crumbly texture of Trader Joe's Greek feta. Anneta Konstantinides/Insider My mom is the biggest feta fan there is. So when she gave Trader Joe's version her stamp of approval, I knew it must be the real deal. "It's hard to find good feta in the US, but I really like this," she told me. We all loved the soft but crumbly texture of Trader Joe's Authentic Greek Feta, and the flavor was a delicious mix of salty and subtly rich. 4. Trader Joe's Gyro Slices The thin and nicely-seasoned gyros paired great with some pita and tzatziki. Anneta Konstantinides/Insider If you had told me at the beginning of this taste test that Trader Joe's Gyro Slices would've made it in the top four of my parents' list, I wouldn't have believed you. Gyros are something my dad takes very, very seriously. It is one of his favorite foods of all time, and he has frequently lamented how hard it is to find gyros that are even OK much less good in the US. While Trader Joe's version doesn't claim to be "Greek" on the label, my dad was still a huge fan and would happily buy them again. It barely takes two minutes to cook the gyro slices in a pan, where they get a lovely hint of crunch. And the thin and nicely-seasoned meat paired great with some pita and tzatziki. 3. Trader Joe's Dolmas Vine Leaves Stuffed with Rice My parents said Trader Joe's dolmas were the most authentic version they've found in the US. Anneta Konstantinides/Insider These dolmas stuffed grapes leaves also known as dolmades in Greece tasted extra special to my mom. "They remind me of my mom's," she told me. "They have a great texture, and a touch of lemon flavor, which she always used to add." Both my parents said Trader Joe's dolmas were the most authentic canned version they've been able to find in the US. And while the label said the dolmas were made in Bulgaria, a Trader Joe's crew member recently informed me that their production first began in Greece. Dolmades take quite a bit of work to make by hand, so my parents were super excited to find a premade version that they both loved. 2. Trader Joe's Greek Spanakopita My mom praised the balance of delicious flavors in Trader Joe's Spanakopita. Anneta Konstantinides/Insider It was neck and neck between Trader Joe's Greek Spanakopita and the winner of my parents' number one spot that's how much they loved both products. Trader Joe's Greek Spanakopita features a combination of spinach and three different cheeses (feta, mizithra, and a grating cheese). And, according to the product description, the chain "engaged some Athenian bakers" to make their version authentic. The effort definitely paid off. My mom makes a legendary homemade spanakopita, but both of my parents were big fans of Trader Joe's easy frozen product. My mom praised the spanakopita's balance of flavors and the fact that the spinach still looked and tasted fresh. "It's crispy, and it's got a good balance of spinach and cheese," my dad added. "There isn't an overwhelming amount of spinach, which I like." 1. Trader Joe's 5 Cheese Greek Spiral My parents said Trader Joe's cheese pie is the best frozen one they've had in the US. Anneta Konstantinides/Insider Before this taste test began, I would have never guessed that Trader Joe's 5 Cheese Greek Spiral could win the top spot in my parents' hearts. Just like spanakopita, my mom makes her own tiropita (as they're called in Greece). So I didn't think there was any way she could be swayed by a frozen version. But both my parents were very impressed with the 5 Cheese Greek Spiral, which includes Gouda, kasseri, kefalotyri, semi-hard cheese, and blue cheese all stuffed between thin sheets of phyllo. According to the label, the pie is made for Trader Joe's in Greece and is "what you'd find if you were traveling in the Greek Isles." While my mom said she'd never heard of a Greek cheese pie with blue cheese, she and my dad were still really impressed with all the great flavors in Trader Joe's frozen version. "Cheese pies can be very salty, but this has the proper amount of saltiness," said my dad, who loved the cheese combination. "It's also the perfect size," my mom added. "Usually frozen cheese pies in the US come in a huge spiral, and then the extra just ends up getting dry in the fridge." My parents said the 5 Cheese Greek Spiral is the best frozen cheese pie they've had in the US, and they definitely plan to buy it again and again. The frozen foods took the top spots, but my parents were impressed with almost every Greek Trader Joe's product. I was surprised Trader Joe's frozen Greek products took the top spots. Anneta Konstantinides/Insider My parents had never been to Trader Joe's before this taste test (crazy, I know), and told me they were blown away by the quality of so many of the Greek products. They thought most of the items we tried were authentic, well-packaged, and well-priced. "I think it's a great collection of Greek products that offer an excellent Greek eating experience," my mom said. "The combination can bring you to Greece for a day." And that's definitely cheaper than a plane ticket. Read the original article on Insider Firefighters work to extinguish a fire at a petrol station on a road near Makhachkala, the capital of Dagestan, Russia, late Monday, Aug. 14, 2023 A massive explosion at a gas station in Russias southern republic of Dagestan killed 35 people and injured scores more, Russian officials said Tuesday. Russias Health Ministry said 115 people were injured in the blast and subsequent fire, and 35 of them died, including three children. A total of 65 of those injured, including 16 children, remained hospitalized as of midday Tuesday, the ministry said. Eleven people, including two children, were in grave condition. The explosion occurred Monday night on the outskirts of Makhachkala, the regions capital. A fire started at a car repair shop and spread to a nearby gas station, triggering the blast. Families of the dead will receive 1 million rubles (about $10,000) each and the injured between 200,000 and 400,000 rubles (about $2,000-$4,000), Dagestans authorities said. Russian state media said some of those injured would be airlifted to Moscow for treatment. Makhachkala is about 1,600 kilometers (990 miles) south of Moscow. The authorities have begun a criminal investigation. Tuesday has been declared a day of mourning in Dagestan. Also on Monday night, a blast in western Siberia killed two people and wounded five others. The explosion occurred at an oil mine in the Khanty-Mansiysk region late in the evening, authorities said. The explosion in Dagestan was the deadliest in Russia since April 2022, when a fire at a defense research facility in Tver, a city 160 kilometers (100 miles) north of Moscow, killed 22 people and injured a dozen more. A blast at a factory north of Moscow that makes optical equipment for Russias security forces killed one person and injured 84 more earlier this month. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Harrison Ford's legendary Indiana Jones character may fear snakes, but the actor now has a real reptile named after him. A new found species of snake in Peru has been named Tachymenoides harrisonfordi to honour the actor's environmental advocacy. Ford, who is the vice chair of non-profit group Conservation International, called it "humbling". The actor also has an ant and a spider named after him. "These scientists keep naming critters after me, but it's always the ones that terrify children," Ford told Conservation International. "I don't understand. I spend my free time cross-stitching. I sing lullabies to my basil plants, so they won't fear the night." Unlike his character, Indiana Jones, Ford has repeatedly said he actually liked snakes and "found a quick kinship with this one". "The snake's got eyes you can drown in, and he spends most of the day sunning himself by a pool of dirty water we probably would've been friends in the early '60s," he said. "It's a reminder that there's still so much to learn about our wild world - and that humans are one small part of an impossibly vast biosphere," The discovery, a joint collaboration between researchers from Peru and the United States, was made in Peru's Otishi National Park. The Tachymenoides harrisonfordi is a slender snake, measuring a modest 16in (40.6cm) when fully grown. It is not harmful to humans. "For a biologist, describing a new species and making it public with its new name is one of the most vital activities during the biodiversity crisis," said Edgar Lehr, the lead scientist on the project. "Only organisms that are known can be protected." He hopes the discovery will draw attention to the extinction crisis facing species around the world. Reptiles are particularly prone to extinction, with more than a fifth of all reptiles currently under threat, a study co-authored by Conservation International researchers found. It was in 1993 that a new species was first named after Ford - the Calponia harrisonfordi, which is a California spider. Years later, an ant was dubbed after the actor - named Pheidole harrisonfordi. CANTON Officials within Canton City Schools hope a new medical partnership will help make health care more accessible and thwart absenteeism. The Canton City School Board of Education recently approved a telehealth agreement with Aultman Orrville Hospital to provide telemedicine services. The deal will allow a student or staff member at a district site to meet virtually with a doctor who can diagnose and treat illnesses such as colds, coughs, rashes, migraines, respiratory issues and earaches. "There is definitely a need in the district," school Health and Wellness Coordinator Caitlin Nichols said. "We have gaps with access to health care and we have lots of absenteeism related to illness." Absenteeism: Stark, Ohio schools struggle with students missing school during COVID-19 pandemic Providing health care services in the school setting will hopefully minimize absenteeism. "A student can miss 15 minutes of class time (to be seen by a doctor) and have a prescription sent to a pharmacy to be picked up after school," Nichols said. "It will definitely ease that burden for our families and students." School health partnerships in Stark, Tuscarawas, Wayne, Holmes and Carroll counties Aultman has school-based health clinics in eight districts across Stark, Tuscarawas, Wayne, Holmes and Carroll counties, including Orrville City Schools, Southeast Local Schools and Carrollton Exempted Village Schools. Canton City along with Sandy Valley and Wayne County Career Center are new this year. Rittman Exempted Village Schools became one of the first to partner with Aultman Orrville Hospital in 2018 and offer telemedicine to students and staff in a school clinic, said Pete Kandis, vice president of facilities and support services for Aultman Hospital and Aultman Orrville Hospital. Last school year, Aultman Orrville provided more than 1,300 visits to students and faculty. "Aultman is excited to partner with Canton City Schools to provide new access to care for both students and faculty through our school-based health clinics. The key objective is to provide telehealth treatment for students in the convenience of the school," Kandis said. "The service allows students and faculty to receive clinical assessments during regular school hours including examinations, treatment options and other recommendations." Aultman Health Foundation received a $1.9 million Ohio Department of Health grant in 2022 to implement school-based health clinics in Stark, Carroll and Tuscarawas counties. Districts served by the grant include Minerva Local, Tuscarawas Valley Local, Claymont Local and Brown Local. How does the Aultman-Canton City School partnership work? Under the three-year agreement, the hospital will provide teleservices remotely to students in set locations: McKinley High, Early College High School and Middle School at Lehman, STEAMM Academy at Hartford, Crenshaw Middle School and Patrick Elementary School. Nichols said those schools were selected because of their high rates of absenteeism or lack of health care opportunities. A licensed nurse will facilitate the call. Each location will have a telehealth cart that includes a camera, an otoscope, a stethoscope and other equipment. The nurse will use the instruments to help the doctor examine the patient. Parents of the student will be notified before the visit and can attend remotely, Nichols said. Students at the five locations will be provided information about opting into the program, Nichols said. Those who want to participate will provide the district with medical insurance information. "It's just like a doctor's visit," Nichols said. "Aultman will bill the insurance company whether it is private or Medicaid insurance." Students without medical insurance will not be turned away, she added. The district has negotiated an allocated amount of visits that will be covered by the district. "We want everyone to have equal access to the service," Nichols said. The program is expected to be running by Oct. 1. Staff members will need training beforehand. Nichols acknowledged there are limitations to the types of cases can be seen through telemedicine, but she is hopeful it can eliminate the need for ER visits as well as help students and staff get diagnosed and treated early on, keeping them in the classroom and reducing the spread of illness. "Getting treatment quickly is the best thing," she said. "We are excited about it. It's a great resource for our families and hopefully will be helpful for us, too." Reach Amy at 330-775-1135 or amy.knapp@indeonline.com. This article originally appeared on The Independent: Canton City Schools, Aultman Orrville ink pact for telemedicine visits Four Australian surfers who went missing after their boat was struck by a storm in a remote part of Indonesia have been rescued after more than 38 hours at sea, according to parents of the missing tourists. Australians Steph Weisse, Will Teagle, Jordan Short and two unnamed Indonesian nationals were found bobbing on surfboards by a surf charter boat involved in the frantic rescue to locate the group. Dramatic video of that moment showed both the stranded castaways on their surfboards cheering and hollering alongside their rescuers as they realized they had successfully found each other in a vast expanse of ocean. A further search picked up Australian Elliot Foote, however one Indonesian crew member remains missing. Footes father, Peter Foote, said his son was separated from the rest of the group because hed gone looking for assistance. He left his mates bobbing in the water to go to search for help. The charter boat found them and then went and found Elliot, Peter said. Im really happy its all turned out well and I hope he continues with his holiday, Peter told CNN. Hes in a great place to celebrate, with his girlfriend [Weisse] and 10 mates in paradise. Hes still got eight nights to enjoy then Im looking forward to him coming straight home. Jordan Short was also among those rescued - Family handout The groups boat was last seen Sunday evening local time after they encountered bad weather and heavy rain on a journey to the remote Pinang island from Nias, a popular surfing destination some 150 kilometers from Indonesias Sumatra Island. A second boat with the rest of the party successfully reached Pinang Island Sunday evening, the families said, helping to raise the alarm. While Indonesian authorities conducted search and rescue efforts with support from the Australian Department of Foreign Affairs and Trade, the families of the four Australians said the surf charter boats made all the difference by using their local knowledge of the currents to locate where the group may have drifted. According to their families, the four Australians were on a surf trip in Indonesia to celebrate Footes 30th birthday. Wil Teagle was with fellow surfer friends who travelled from Nias island - Family handout Friends in Australia have hailed what they described as a near miraculous rescue. Now that all four have been found we can just be so so grateful, Ellie Sedgwick, who described herself as Weisses best friend since they were 17, told CNN. Her mum and I were speaking the whole way through, just saying if anyone can survive this, its Steph, she added. Its funny because Steph actually had that conversation with us before she left. The last thing she said to us was, its amazing that you know we only get one lifewe kept replaying that conversation over and over in our heads. In a statement issued Tuesday afternoon, DFAT said the Australian Government expresses its deep gratitude to those involved in the search and rescue efforts. Australian Minister for Foreign Affairs Penny Wong said in a tweet that the government will continue to provide support to the four Australians and their families. The search continues for a crew member who is still missing, she wrote. Our thoughts are with them and their loved ones. The names of the Indonesian crew who were on board the boat have not been shared yet by authorities. Indonesia has long been a popular destination for Australian tourists thanks to its proximity and a wealth of budget flights to places like Bali. The western island of Sumatra is one of Indonesias less commonly traveled destinations but the coral-fringed islands around Nias are popular with intrepid surfers and boast multiple world class breaks, particularly around Lagundri Bay. CNNs Lizzy Yee and Hilary Whiteman contributed reporting. For more CNN news and newsletters create an account at CNN.com Barely eight months ago, Tom Ford paid private equity guru Rob Heyvaert an eye-popping $51 million for a sleekly designed contemporary mansion in Palm Beach. But just weeks ago as part of an off-market deal thats expected to top $100 million, per The Real Deal the fashion mogul traded that place to Brian Kosoy, CEO of the real estate private equity firm the Sterling Organization, in exchange for his even larger South Florida spread. Now Ford has turned his attention toward New York, having forked over an equally impressive sum of cash for a historic East Hamptons estate that once served as a summer getaway for Jacqueline Kennedy Onassis, as first reported by The Wall Street Journal. More from Robb Report Records show Ford paid media producer David Zander $52 million for the home, which was originally listed for $55 million. The residence was previously owned by fashion designer and retail executive Reed Krakoff and his wife Delphine, who sold it to Zander for $24 million in 2018. And way before that, the property known as Lasataa Native American word meaning Place of Peacewas owned in the 1920s by the former First Ladys paternal grandfather John Vernou Bouvier Jr. Nestled amid a 7-acre parcel of land, just blocks from the Atlantic Ocean, Fords newly acquired compound was designed by architect Arthur C. Jackson and completed in 1917. Recently restored by its current owner, the multi-building property is highlighted by an eight-bedroom main home sporting 8,500 square feet of Pierre Yovanovich-designed living space boasting tall casement windows and beamed ceilings throughout. Theres also a two-bedroom guesthouse, caretakers cottage, pool house and three-car garage with a workshop, plus Louis Benech-crafted grounds laced with lush lawns, mature specimen trees and a flower meadow. Ford, a Texas native who recently sold his eponymous fashion label to Estee Lauder Cos. in a deal valued at around $2.8 billion, also maintains a Holmby Hills estate he paid late socialite Betsy Bloomingdale nearly $40 million for in 2016, as well as homes in New York City, London and Santa Fe. The Lasata listing was held by Eileen ONeill of Corcoran Group and Ed Petrie of Compass; Frank E. Newbold of Sothebys International Realty repped Ford. Best of Robb Report Sign up for Robb Report's Newsletter. For the latest news, follow us on Facebook, Twitter, and Instagram. Click here to read the full article. PORTLAND, Maine (AP) Maine is close to becoming one of few states in the country to provide a retirement savings program to workers who don't have access to one through their jobs. The Maine Retirement Savings Program is designed to provide a way for Maine residents to contribute to a Roth individual retirement account directly from their paychecks. Workers would retain the account from job to job until they retire. At least six other states have similar programs, Maine officials have said. Maine Gov. Janet Mills delivers her State of the Budget address on Feb. 14, 2023, at the State House in Augusta, Maine. Maine is close to becoming one of few states in the country to provide a retirement savings program to workers who dont have access to one through their job. Mills signed a bill advancing the program on July 25, 2023. A pilot version of the program is slated to start this fall, said Democratic Sen. Eloise Vitelli of Arrowsic in a statement. The full program is expected to be phased in through January 2026. It is critical that this program succeed so that all Mainers can have a financially secure and stable retirement, Vitelli said. Nearly half of Maine's private sector workers don't have access to an employer-sponsored retirement savings program, supporters say, and more than a quarter of the country's working-age adults have no retirement savings at all. Nubble Lighthouse scavenger hunt: York man behind the Keepers Quest Democratic Gov. Janet Mills signed a bill advancing the program on July 25. The bill makes numerous tweaks to the program, including increasing the maximum amount employees can contribute from 8% to 10% of their salary or wages per year. Other changes to the program are intended to make it easier for businesses to sign up for it. The program allows businesses that don't offer a retirement plan to facilitate the deduction from an employee's paycheck. AARP Maine testified in support of the changes during a public hearing. The organization is eager to see the Maine Retirement Savings Program up and running so that all Mainers will be able to adequately save for their retirement, said Alf Anderson, associated state director of advocacy and outreach for AARP Maine. Neighbors fed up with pickleball noise: Sound study dispute puts York court expansion in limbo This article originally appeared on Portsmouth Herald: Maine launches new retirement savings program for workers Domestic violence is a big part of our violent crime challenge, and we must tackle it aggressively. According to the Tennessee Bureau of Investigation, in calendar year 2022, there were 16,232 reported domestic violence offenses in Shelby County, with 14,158 occurring in Memphis. We are keeping pace with that this year, with 8,314 reported domestic violence offenses countywide during the first half of 2023 and 7,346 of those in Memphis. These figures represent only those incidents reported to law enforcement. Guns have become the weapon of choice in domestic violence aggravated assault cases. According to data from the Memphis Police Department, 56% of reported domestic violence aggravated assault cases in 2022 involved firearms. That compares to less than 25% in 2011. For the first half of this year, 52% of reported domestic violence aggravated assaults involved firearms. In 2020, the University of Memphis Public Safety Institute issued an evaluation on the effectiveness of services to domestic violence victims in Shelby County. The evaluation concluded that there is: Widespread frustration among victims, criminal justice personnel, and service providers related to the level of cooperation in providing services to victims Challenges related to sufficient collaboration and communication Lack of funding and services available for victims pursuing social services, and A serious lack of 24/7 services. There was also a sense among the university researchers that there are many resources available or potentially available for domestic violence victims which are simply not being utilized as effectively as they could be. Hear more Tennessee Voices: Get the weekly opinion newsletter for insightful and thought provoking columns. How a lethality assessment protocol works As a follow up to the evaluation, the Tennessee Office of Criminal Justice Programs awarded a grant to the Institute to oversee development of a strategic plan to (1) ensure a coordinated response to domestic violence and (2) reduce offender recidivism, especially in aggravated assault cases involving firearms. A task force of key stakeholders was established to develop the plan. Ethele Hilliard, transition manager at the Family Safety Center, holds a proclamation declaring Nov. 17 Domestic Violence Awareness Day. Both Memphis Mayor Jim Strickland and Shelby County Mayor Lee Harris signed proclamations. Hilliard is pictured here with J.D. Smith, commander of the domestic violence unit for the Memphis Police Department, on Nov. 17, 2020. The task force completed the strategic plan on schedule. It is composed of eight strategies and 35 action items. One of the key strategies in the plan is better use and sharing of a Lethality Assessment Protocol (LAP). By measuring potential risk to the victim, LAPs can provide vital information to court personnel in setting bail and making sentencing decisions upon a finding of guilt. They can also help identify the kind of support services a particular victim needs. The plan recommends development of an electronic version of the LAP so that law enforcement can ensure it is shared with other key parties immediately, including judicial commissioners who initially set bail in most cases. Because the LAP is currently in paper form, it is not consistently reaching decision-makers in the judicial process. This needs to change. Sign up for Black Tennessee Voices newsletter:Read compelling columns by Black writers from across Tennessee. How the plan works to protect victims A Domestic Violence Rapid Response Team (DVRRT) has been in place for agencies to collaborate on responses to certain cases. However, it has not consistently been focused on the more serious, high-risk cases (determined by reviewing the LAPs), nor has it consistently provided the kind of rapid, coordinated responses envisioned. The plan calls for a restructured DVRRT to promptly connect high-risk victims to services and build strong cases against the perpetrators. Safe emergency housing for domestic violence victims continues to be a dire need in our community. The plan calls for expanding the YWCAs shelter capacity as well as other safe housing opportunities for victims. The plan also calls for assessing the capacity of current agencies to provide 24/7 responses to the needs of victims and, as part of that assessment, to align the structure of the Family Safety Center with best practices as the designated hub for referring victims to services. The university research team will now be responsible for (1) a process evaluation focused on plan development and implementation followed by (2) an impact evaluation examining the outcome of the plan. Development of the strategic plan and its implementation are key parts of the local Safe Community Action Plan. To review the plan, visit the Institute website at https://memphis.edu/psi. Bill Gibbons serves as executive director of the University of Memphis Public Safety Institute and president of the Memphis Shelby Crime Commission. Formerly, he served as district attorney for Shelby County and as commissioner of the Tennessee Department of Safety and Homeland Security. He has also served on the Memphis City Council and Shelby County Board of Commissioners. This article originally appeared on Nashville Tennessean: Tackle the domestic violence challenge in Memphis and Shelby County Mark Meadows, former President Donald Trumps last chief of staff, defended himself in court documents Tuesday against criminal charges filed in Georgia on Monday night accusing him of helping an attempt to overturn the 2020 election. Meadows, a Republican who once served as North Carolinas 11th Congressional District congressman, faces two charges of racketeering and solicitation of violation of oath of a public officer, according to the indictment. Nothing Mr. Meadows is alleged in the indictment to have done is criminal per se: arranging Oval Office meetings, contacting state officials on the Presidents behalf, visiting a state government building, and setting up a phone call for the President, his attorneys wrote in court documents. One would expect a Chief of Staff to the President of the United States to do these sorts of things. Fulton County District Attorney Fani Willis accuses Meadows of working with the former president to direct Trump adviser John McEntee to create a plan to disrupt and delay the Jan. 6, 2021, joint session of Congress proceedings to certify President Joe Bidens election. That detail had not been previously reported, though much of the 98-page indictment included known information. Meadows is mentioned 12 times. Hes accused of spreading election conspiracy theories to Michigan legislators, setting up meetings with Pennsylvania legislators for the same purposes, traveling to Cobb County, Georgia, to attempt to access a signature-match audit of their election results and setting up two phone calls with Georgia officials to pressure them into overturning the election. The events giving rise to the indictment occurred during Mr. Meadowss tenure as White House Chief of Staff and are directly related to that role, his attorneys argue. Meadows lawyers petitioned the court to move the case to federal court, arguing that a federal official is protected from criminal prosecution in state court relating to his official duties. They also petitioned for the court to immediately dismiss his indictments. The Georgia case became Trumps fourth indictment, totaling 91 charges. Attorney Sidney Powell, a lawyer who grew up in the Triangle and graduated from UNC-Chapel Hill, also faces charges in the Georgia case. Shes accused of directing a company and individuals to access voting machines in Georgia and obtain and steal voter data. Powell is also considered a co-conspirator in a case out of Washington, D.C., connected to the events surrounding the Jan. 6 insurrection, but she has not been charged. Meadows had escaped any criminal charges in Trumps other cases, despite his close interaction with the president. Many saw that as a sign that Meadows might have been collaborating with prosecutors, prior to Mondays charges. Meadows is being represented by attorneys at McGuireWoods. Evacuation orders have been issued after a wildfire sparked Wednesday afternoon in Nevada County, near the Northern California town of Washington, authorities said. The grass fire ignited shortly before 2:30 p.m. Wednesday off Washington Road, south of Alpha Road and near Highway 20, local authorities said. The fire had burned about 25 acres as of 5:48 p.m. Wednesday, and crews had about 5% of the wildfire contained, said Jason Flores, incident commander with the U.S. Forest Service. Were looking really good, Flores said in a video shared via Tahoe National Forest social media posts. (The fire has) been boxed in with retardant from the fixed-wing (aircraft). At least 185 residents had been ordered to evacuate as of 5 p.m., Nevada County sheriffs officials said in social media posts. Flores said crews had made good progress, holding the fire at Alpha Road. He said the plans overnight were to gain more containment of the fire, and he anticipates fire personnel will remain in the area for at least two more days. Despite progress in containment, evacuation orders and warnings remained in place as of 6:30 p.m. Mandatory evacuation orders were in effect as of that time for Nevada County residents in county evacuation zones 30, 315 and 316. The zones include Washington Overlook area of Nevada City, as well as the Alpha Diggins, Omega and Phelps Hill regions and the town of Washington, according to the Nevada County Sheriffs Office, which first reported the fire in social media posts shortly before 3 p.m. Evacuation warnings were issued just before 3:45 p.m. for zones 30, 15 and 318, in areas east of the initial mandatory evacuation orders and near the town of Maybert. Zone 30, near the Omega area, was upgraded to a mandatory evacuation order just after 4:45 p.m. Those who require additional time to evacuate, and those with pets and livestock should leave now, Nevada County emergency officials said in a statement attached to the latest evacuation warning. Cal Fires Nevada-Yuba-Placer unit in a social media post said it was assisting Tahoe National Forest, which is the lead agency responding to the Highway Fire. Air tankers continued to drop retardant Wednesday afternoon and early evening in an effort to slow down the progress of the blaze, according to the Sheriffs Office and fire authorities. About 110 people live in the town of Washington, according to census data. CAL FIRE Firefighters are assisting the Tahoe National Forest with the Highway fire near Highway 20 and Washington Road in the town of Washington in Nevada County. CAL FIRE air resources are over the fire and engines are at scene. pic.twitter.com/iF0GfXYw7v CAL FIRE Nevada-Yuba-Placer Unit (@CALFIRENEU) August 16, 2023 The Madelyn Helling Library, at 980 Helling Way in Nevada City, has been established as a gathering location for evacuees. Animals can be temporarily housed at the Nevada County Fairgrounds, at 11228 McCourtney Road, the county Office of Emergency Services said. Nevada County fire map Sources: Genasys Protect/Zonehaven Aware (Clockwise from upper left) The four liberal justices of the Wisconsin Supreme Court: Jill Karofsky, Rebecca Dallet, Janet Janet Protasiewicz and Ann Walsh Bradley. MADISON Two weeks after being stripped of his duties, the former director of Wisconsin's state courts system has filed complaints against the four liberal state Supreme Court justices who fired him and against his replacement. Randy Koschnick, who had held the position since 2017 when he was appointed by the outgoing conservative majority, filed five complaints with the Wisconsin Judicial Commission against Justices Ann Walsh Bradley, Rebecca Dallet, Jill Karofsky and Janet Protasiewicz, as well as Milwaukee County Circuit Judge Audrey Skwierawski, who was appointed to fill Koschnick's role on an interim basis. Koschnick in his complaints Tuesday argued Skwierawski cannot legally serve as courts director until her term as Milwaukee County Circuit Court judge ends in July 2025 because the state constitution bars sitting judges from holding non-judicial public offices until the end of their appointed term. The state courts director is the chief non-judicial officer of Wisconsin's court system, according to Supreme Court rules. The office oversees court operations across the state including developing the court system's budget, advising the state Supreme Court on how to improve the court system, and supervising technology and continuing education. Koschnick told the Milwaukee Journal Sentinel he felt an "obligation" as a legal professional to challenge what he saw as an ongoing violation of the state constitution. "If we follow the process, I can live with the political outcome, whatever that may be. But I think it's a real threat to the system, long-term, to have justices not following the constitution and apparently not being concerned about it," Koschnick said. Skwierawski said in a statement Wednesday she consulted legal experts and carefully read relevant statutes, ethics opinions and past cases to ensure she met the qualifications to serve as courts director. Her research included a 2008 ethics opinion from Republican former Attorney General J.B. Van Hollen the same opinion both Koschnick and Republican leaders in the Legislature cited in their claims that Skwierawski's appointment was illegal. "Based on all those conversations, and in large part on the ethics opinion by my former boss, Attorney General J.B. Van Hollen, I believe that serving as interim director is legally, ethically, and constitutionally sound," Skwierawski said. Complaint is 'a political hit job,' former judge says Koschnick's complaints ignited swift criticism from liberal Madison attorney Lester Pines and former Dane County Circuit Court Judge Rick Niess, both of whom said Koschnick's argument was meritless. Pines and Niess argued that, despite the Supreme Court rule that qualifies the courts director as the chief nonjudicial officer of the state courts system, the courts director is in fact a judicial office because it is located within the judicial branch and subject to the direct authority of the state Supreme Court. Both cited the 2008 Van Hollen opinion. Niess said it was "perfectly appropriate" for Skwierawski to take a leave of absence and accept the director position. "The director of state courts is quintessentially a position that is concerned with the improvement of the law, the legal system and the administration of justice," Niess said. "It's bizarre to view this as anything but a political hit job." Koschnick in response said it's possible to be within a judicial agency and still not be a judicial officer. Besides, he added, Van Hollen's opinion is "not binding in any sense." "It's literally an opinion," he said. "If there was a conflict, the Supreme Court rule has the force of law. The (attorney general's) opinion does not." Niess also argued Koschnick's complaint that Skwierawski and the liberal justices violated the state constitution should not have been filed to the judicial commission. The commission decides on matters of ethics not constitutional law Niess said, meaning Koschnick's complaint is beyond their purview. "I will be out of my chair if I heard that they actually were going to decide that issue," Niess said. "The likely course here is they're going to take this, take a look at it, and they're going to say 'you need to go and file a lawsuit.'" "That's one possible way of doing it," Koschnick said. But he sees Skwierawski's appointment as a blatant violation of the state constitution and the state's judicial code of ethics that requires quick action. It's "uncharted territory," he said. "I'm not trying to get money from anybody. I don't want anybody to get in trouble. I don't want a judgment against anybody. I just want the court to follow the constitution, including Judge Skwierawski, because that's best for society," Koschnick said. "(A lawsuit) would take a lot longer, I would think, and nothing requires that. The judicial commission is in power to investigate ethics violations, and that's what I've asked them to do using their forms." In the event the judicial commission determines there is reason to believe an ethics violation occurred and files a case in the Supreme Court, Koschnick wants the four liberal justices disqualified from "any consideration of whether they violated the (state) constitution." "They can't fairly judge their own actions," he said. "The judicial commission is an independent body that can take an objective view at it without any type of conflict of interest." Koschnick 'happy' to return but not trying to reinstate his job Koschnick said he would be "happy to consider" an offer from the court to serve out the rest of his initial appointment, which runs until Chief Justice Annette Ziegler's time leading the court ends in April 2025. The former state courts director said the last time he communicated with liberal justices was a July 31 phone call with Karofsky, who told him there were enough votes to "fire you tomorrow." "I'm not trying to get my job back. They had the legal authority to fire me, they didn't do it the right way, but I'm not getting my job back," Koschnick said. "I'm really concerned about the court system and the constitution being followed." More: Rancor flares on the Wisconsin Supreme Court as its new liberal majority moves to blunt the chief justice's power Koschnick, who in 2009 lost his challenge to then-Chief Justice Shirley Abrahamson, said he can accept decisions from the court's liberal majority as "a consequence of the election," but "all of us as citizens are adversely affected when the court doesn't follow the Constitution." Liberals gained a majority on the court with Protasiewicz's election in April. The majority became official Aug. 1 when she was installed as justice. Koschnick was fired the next day. Additionally, Koschnick worried Skwierawski's removal from her bench in Milwaukee County could worsen the area's case backlog, which he said he "worked hard for six years" to minimize. Skwierawski is taking a leave of absence from her Milwaukee County judicial post. She was appointed to her seat in 2018 by former Republican Gov. Scott Walker. She previously worked as an assistant attorney general for former Republican Attorneys General Brad Schimel and J.B. Van Hollen and was a prosecutor in the Milwaukee County District Attorney's Office before that. Jessie Opoien of the Milwaukee Journal Sentinel contributed to this report. Our subscribers make this reporting possible. Please consider supporting local journalism by subscribing to the Journal Sentinel at jsonline.com/deal. DOWNLOAD THE APP: Get the latest news, sports and more This article originally appeared on Milwaukee Journal Sentinel: Ousted courts director files complaints against 4 liberal justices The U.S. military is strengthening response efforts in Hawaii as the state battles wildfires and their aftermath on Maui, with the Pentagon planning to move supplies and emergency responders more quickly around the island. Pentagon spokesperson Sabrina Singh said Tuesday that U.S. Army Pacific is overseeing six new mission assignments designated by the Federal Emergency Management Agency (FEMA) to assist Hawaiians. Those missions include moving cargo, personnel and equipment around the island with aircraft and other vehicles, creating a defense coordinating office and setting up new staging areas on Maui for more coordinated responses. Singh said more than 250 Hawaiian National Guard members have now been mobilized to fight the wildfires, along with 140 U.S. Coast Guard responders and dozens of personnel from the U.S. Army Corps of Engineers. The [U.S. military] will continue to work closely with state officials, FEMA and other supporting agencies to support the people of Hawaii in response to this incredibly terrible disaster, Singh told reporters at a briefing. Wildfires in Upcountry Maui were 65 percent contained as of Monday night, according to the Maui County government. The Lahaina fire, named for the town it has decimated, was about 85 percent contained, while two other fires have now been extinguished. President Biden first authorized the U.S. military to respond to the Hawaii blazes last week, deploying the Coast Guard and Navy to assist state responders. Biden on Tuesday also announced he would visit Hawaii with first lady Jill Biden as soon as we can, noting the fires on Maui have already taken the lives of 99 people. Our thoughts and prayers are with the people of Hawaii, the president said. But not just our prayers, every asset, every asset they need will be there for them. And well be there in Maui as long as it takes. There are more than 500 federal emergency personnel helping Hawaii. Singh said Tuesday the military specifically was focused on search-and-rescue operations and getting needed supplies to communities. For the latest news, weather, sports, and streaming video, head to The Hill. The new president of Paraguay, Santiago Pena, and the first lady, Leticia Ocampos, greet supporters during a car ride after the inauguration ceremony on Aug. 15, 2023. President Santiago Pena said Paraguay and Taiwan are not just allies, but also brothers when he was sworn in as the South American countrys new president on Tuesday. Pena took the presidential oath outside the government palace in the capital of Asuncion in a ceremony attended by several regional leaders and Taiwans Vice President William Lai. Paraguay is the only country in South America, and a member of a dwindling group of 12 governments around the world, to have diplomatic relations with Taiwan. It lost a key ally in the region earlier this year when Honduras cut diplomatic ties with Taiwan. We will build alliances and cooperation with a geostrategic vision, seeking horizontal agreements, Pena said, noting that the countrys relationship with Taiwan is an example of this and of Paraguays friendly and cooperative spirit with nations for which we have deep affection and with whom we feel not only as allies, but also like brothers. Before leaving on the trip Saturday, Lai said his visit to Paraguay was in part so that the international society understands Taiwan is a country that persists in its democracy, human rights and freedom and actively takes part in international affairs. Lai stopped in New York en route to Paraguay and was planning to stop in San Francisco on his way back home. When Pena, 44, visited Taiwan in July, he told President Tsai Ing-wen his country would stand with the people of Taiwan during his five-year term. Pena, an economist, easily won election earlier this year, keeping the long-ruling Colorado Party in power for five more years. Taiwans ambassador to Paraguay, Jose Han, published photos on social media of Lai making chipa, a traditional cheese-flavored roll, and drinking terere, an infusion popular in the South American country. He loved the traditional flavors of Paraguay, the ambassador wrote. Taiwan had become a thorny issue during Paraguays presidential campaign, as Penas main challenger argued the alliance had become too costly because it prevented the small country from pursuing business opportunities with China. In his inaugural speech, Pena, a conservative, business-friendly president, praised former President Horacio Cartes (2013-2018), the head of the Colorado Party who has been accused by Washington of involvement in significant corruption. The U.S. government accuses him of bribing government officials and legislators and of having ties to people who raise money for the Hezbollah group, which Washington has deemed a terrorist organization. Thank you for persevering, without faltering, in the construction of consensus and in the pursuit of agreements above differences, Pena said in words addressed to Cartes. Today, it is our turn to bring that political calling to the service of all Paraguayans. Spains King Felipe VI attended the inauguration ceremony, as did presidents from the region, including Luis Inacio Lula da Silva of Brazil, Alberto Fernandez of Argentina, and Gabriel Boric of Chile. Success is making sure all Paraguayans are better off, and that the world becomes witness to the resurgence of a giant, Pena said. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition As a whole, schools within the county and city have one of the highest exemption rates in the state. ASHEVILLE - Buncombe County and Asheville City schools combined have one of the highest immunization exemption rates in the state among public, private and charter schools, according to data provided through a Citizen Times public records request. Several other Western North Carolina counties also rank in the top 10, including Cherokee County, with a 6.3% religious exemption rate and Polk with a 5.6% rate, ranking No. 2 and 3, respectively from the 2022 kindergarten immunization data. Haywood, Transylvania and Watauga counties fall under the top 12 highest immunization exemption rates in the state in 2022. Haywood ranks No. 8 with a 4.7% rate, while Transylvania and Watauga each have a 4.5% religious exemption rate. Ranking No. 1 is Pamlico County on the coast with an 8.2% religious exemption rate. According to the N.C. Department of Health and Human Services, an average of 5.4% of kindergarten students in Buncombe County (which includes Asheville city students) had a religious exemption from childhood vaccines in 2022-23, ranking it as the county with the fourth highest number of religious exemptions in the state. The statewide average in 2022 of religious exemptions is 2.3%. The N.C. Department of Health and Human Services states that "North Carolina law requires children receive certain vaccines. But in order to be fully protected from vaccine-preventable diseases, children should receive all age-appropriate immunizations." Before kindergarten students enter school, they are required to get five doses of the DTaP (diphtheria, tetanus and pertussis) vaccine, four doses of polio, two doses of measles, two doses of mumps, one dose of rubella, four doses of Haemophilus Influenzae Type B, three doses of Hepatitis B, two doses of chickenpox and four doses of pneumococcal conjugate, according to NCDHHS. Kindergarten vaccination numbers in Buncombe County Year School Type Enrollment Religious exemption percent Total students exempt for religious reasons. 2022-23 Public 1,744 3.33% 58 2022-23 Private 250 18.4% 46 2022-23 Charter 232 6.9% 16 2021-22 Public 1,810 3.1% 56 2021-22 Private 228 19.7% 45 2021-22 Charter 200 12% 24 2020-21 Public 1,829 1.7% 31 2020-21 Private 235 21.7% 51 2020-21 Charter 204 9.8% 20 2019-20 Public 2,109 3.1% 65 2019-20 Private 263 22.4% 59 2019-20 Charter 206 13.1% 27 In the 2019-20 school year, the religious exemption rate was at its highest, sitting at an average of nearly 6% among public, private and charter schools. The 2017-18 school year doesn't fall far behind this having a 5.7% religious exemption rate across the county this number has stayed around 5% the past five years, leaving Buncombe County with one of the highest exemption rates in the state. An exemption means that the student had an exemption to at least one of the required vaccines, Medical Director Jennifer Mullendore told the Citizen Times in a Aug. 9 email. "Most religious exemptions in N.C. are blanket exemptions, meaning they cover all required vaccinations," Mullendore said. The 2022-23 annual kindergarten enrollment in public schools across Buncombe County Schools and Asheville City Schools along with private and charter schools in the area was 2,226. Out of this enrollment, there were 5.4% religious exemptions. The health department groups city and county vaccination rates together, as follows: Public schools Enrolled: 1,744 students out of 27 schools. Religious exemption: 3.33% (58 students). Sand Hill Venable Elementary on Sand Hill Road, had six students out of 106 with a religious exemption and Charles C. Bell Elementary off of Maple Springs Road, had the highest percentage of religious exemptions with 32 students at 9% (three students). Private Schools Enrolled: 250 students out of 14 schools. Religious exemption: 18.4% (46). Highest religious exemption percentage was Temple Baptist School off of Patton Avenue, with 27 students enrolled and 44% (12) exempt for a religious reason. Asheville Pisgah Christian School in Candler wasn't far behind with 16 kindergarteners enrolled and a 69% (11) exemption rate. The 2019-20 school year had a 22% religious exemption rate, making it the highest in the last five years. Charter schools Enrolled: 232 students out of six schools. Religious exemption: 6.9% (16) ArtSpace Charter, in Swannanoa, had a total of 35 students enrolled with 16.7% (six) of them with a religious exemption. "We understand that parents are trying to care for their children in the best way they know how with the information they have. The reality is that there is a potential for bad health outcomes and missed days at work or school for children and adults if they come down with a vaccine-preventable disease," Public Health Director Ellis Matheson told the Citizen Times in an Aug. 15 email. "Once these illnesses begin circulating within a community, it creates unnecessary risk for those who cannot vaccinate due to age or other medical conditions. Given our high rate of religious exemptions to immunizations, this is a concern for our community. We want to protect all ages from preventable illness, and being up to date on your immunizations is one of the simplest, most effective steps you can take to create a shield of protection for yourself, your family, and the community." NC private school voucher bill: NC private school voucher bill proceeds as Asheville public schools struggle for funds How can a student be exempt from vaccinations? North Carolina allows two types of exemptions for required vaccinations: medical and religious. For medical purposes, an exemption can only be requested by a physician licensed to practice medicine in North Carolina, according to the NCDHHS website. In order for a student to submit a religious exemption, "the parent or guardian requesting the exemption must provide a written statement of their religious objection to immunization, including the name and date of birth of the person for whom the exemption is being requested and then provide this statement to schools, child care programs, camps, etc. in place of an immunization record," Stacey Wood, spokesperson for the county's Health and Human Services department, told the Citizen Times in an Aug. 9 email. All public, private, and religious schools are required to report on the immunization status of all enrolled children annually to NCDHHS. The NCDHHS website says that this statement will work in place of the immunization record. "Statements of religious objection to immunization do not need to be notarized, signed by a religious leader, or prepared by an attorney. They do not need to be submitted to the state for review or approval," according to NCDHHS. There isn't a form for requesting a religious exemption in North Carolina. Buncombe County has had less than 1% of students receiving medical exemptions for the past five years according to data from the N.C. Department of Health and Human Services. COVID guidelines for students COVID guidelines for students in the 2023-24 school year. ACS spokesperson Dillon Huffman told the Citizen Times in an Aug. 9 email that the protocol for students who test positive for COVID are the following: Students should be kept home until it has been at least five days since they had symptoms and 24 hours since their last fever. "Students should wear a mask 10 days after the first day of symptoms (or date positive test was collected if no symptoms) to minimize the risk of infecting others unless an exemption to mask use applies. The student may remove their mask sooner following two consecutive negative tests 48 hours apart," Huffman said. As of June 2022, children ages 6 months or older can receive the COVID-19 vaccine; and according to the N.C. Department of Health and Human Services, it's strongly recommended that all eligible individuals receive the COVID-19 vaccine. COVID-19 levels around Buncombe County and North Carolina are rising, according to the county and state health departments. According to the N.C. Department of Health and Human Services, which tracks the levels of the virus that causes COVID-19 from wastewater treatment plants around the state, viral levels in Buncombe County are the highest theyve been since April 14. More on COVID: COVID-19 on rise in NC and Buncombe, new booster shot coming soon The NCDHHS released a vaccine operational guide for K-12 schools that said "the vaccines are tested, safe, and effective. Scientists had a head start in developing all of the vaccines. They are built on decades of research. Both mRNA and viral-vector vaccine technology benefit from over 30 years of scientific work and development." The vaccines are free to all North Carolina residents. "Over the past several years, we've implemented important mitigation strategies to help keep students and staff healthy. This includes an increased focus on cleaning and improved air circulation in our buildings," Stacia Harris, spokesperson for Buncombe County Schools, told the Citizen Times in an Aug. 9 email. All public, private, and religious schools are required to report on the immunization status of all enrolled children annually to NCDHHS. Wood said that immunization data is collected each fall from childcare, kindergarten, seventh and 12th grade, colleges and universities. Asheville City Schools has a "when to keep your student home" document on the district website that parents can refer to if their child gets sick for the 2023-24 school year, which starts Aug. 28. The document can be found at District Operations / Student Health (ashevillecityschools.net) Learn more The Buncombe County Health Department is located at 40 Coxe Ave. and can be reached at(828) 250-5096 to make an appointment for immunizations. They also offer walk-in hours on Monday, Wednesday, and Friday between 8-11:30 AM and on Tuesday and Thursday between 1-4:30 PM. More information can be found on their website at Public Health - Immunizations (buncombecounty.org). Asheville-area school starts: What to know before Asheville-area school starts: Supply costs, after-school programs Answer Woman: Does Buncombe County still test wastewater for COVID-19 levels? McKenna Leavens is the education reporter for the Asheville Citizen Times, part of the USA Today Network. Email her at mleavens@citizentimes.com or follow her on Twitter @LeavensMcKennna. Please support this type of journalism with a subscription to the Citizen Times. This article originally appeared on Asheville Citizen Times: Asheville Buncombe school vaccine exemptions among highest in NC Eight years after arriving in America to attend the University of Akron on a scholarship, Lamise ElBetar is leaving Akron and the country. But she hopes to return. Eight years after arriving in Akron on a scholarship, an Egyptian woman who has inspired many with her honesty about her facial difference is preparing to leave the country. Lamise (pronounced Lah-meese) ElBetar has been featured in several Beacon Journal articles since 2018 chronicling her journey and the outpouring of support she's received from Greater Akron and the University of Akron community. Its not the first time she has faced a deadline to leave the country and her adopted second home of Akron. She had a plane ticket to leave on Dec. 30, 2020, because she had run out of time on her visa, but after a Beacon Journal article about her was read nationally and internationally, she landed three job offers. This time, however, Lamise, 38, must leave the country for at least a year. Although she had a full-time job, the rules of her visa require her to live outside the U.S for one more year before she can apply for and return on another visa. She is scheduled to leave on Aug. 27. Lamise ElBetar's story: From Egypt to Akron Lamise was born with a condition called a venous malformation, described by doctors as a jungle of intertwined veins and muscle. It was about the size of a pimple at birth but has continued to grow all her life and causes the lower right side of her face and the majority of her lips to protrude. Lamise had given up hope to treat her medical condition until Team Lamise, a group of university and community members, rallied around her. Lamise first came to Akron and UA on a scholarship in 2015 through a federal program that helps Egyptian women earn MBAs. She earned a second graduate degree from UA in supply chain management and completed an internship at FedEx Custom Critical in Green in 2020. The team has helped guide Lamise in her journey to get insurance and medical treatment for her facial difference. Lamise was able to get four treatments at Boston Childrens Hospital, which was recommended to her by several other doctors at various hospitals where she has consulted. She used funds donated by readers and community members in a GoFundMe for her medical bills since her student insurance did not cover the procedures. But the treatment in June 2020 was her last one until she could find full-time employment with insurance. Also, each time she went for a treatment, she had to arrange for her brother to come from Egypt to care for her in Boston, which became increasingly difficult. Then the COVID-19 pandemic caused delays and the doctors on her team also left the Boston hospital. The first treatment made the biggest difference in reducing some of the swelling of her face and lips and reducing the discoloration of her lips. Lamise has been told treatments could reduce but not completely remove her facial difference. She is against an extremely dangerous facial transplant because she says she knows she is beautiful. Challenges included tough job market during COVID-19 pandemic In late 2020, Lamise landed three job offers days before she was to leave the country and ultimately took a job with a Cleveland-based business solutions firm, where she excelled. But after working 10 months, she was laid off for budget reasons in the fall of 2021. First Lamise story from 2018: Brave face: UA grad student doesn't let medical condition stop her Facing a tough job market during the pandemic, Lamise decided to go home to Egypt for a visit and regroup, while continuing to apply for full-time jobs in Akron and Boston to be closer to her medical team in case she could restart treatments. The type of visa Lamise has comes with restrictions, including that she must be employed to stay in the country. When it became apparent she only had one more unemployment day left on her visa, Michelle Wilson, executive director of Global Ties, used some unexpected grant money to temporarily hire Lamise as a consultant. Global Ties is a local nonprofit organization that hosts international exchanges, brings in leaders from around the world for programs and has local programming for students. Lamise had participated in some programs and volunteered for the organization early in her UA career. Wilson also became part of Team Lamise and Global Ties has been the organization collecting community monetary donations for Team Lamise as its fiduciary agent. Lamise returned to Akron in early 2022 to work for Global Ties temporarily. The organization was able to set aside money for short-term employment, originally designed to employ Lamise through April 2022. After another Beacon Journal story update on Lamise, readers sent in donations that helped fund Lamises position at Global Ties until August 2022. Reader helps guide, mentor Lamise Lamises most recent job, which she started in August 2022, was with BE Solutions, a health benefits consultation company based in Elyria. Lamise had been connected to company owner Dave Ewonce via Tim Gill, a reader who was inspired by Lamises story. Gill in 2019 spearheaded a community fundraiser for Lamise, raising more than $17,000. The fundraiser included items personally donated and sent by well-known bands, including Kiss and Lady Antebellum. Lamise ElBetar, who is leaving Akron and the country soon, walks with her mentor Tim Gill on Main Street in Akron. He knew many of the musicians from his early years working security at Blossom and the former Richfield Coliseum. Gill joined Team Lamise and helped Lamise find a lawyer for her visa issues, connected her to Ewonce and became one of her local mentors and friends. Shes so outgoing. I told her the other day, You made a huge change in my life because you have such a great attitude about everything, Gill said recently. I dont think theres any question you could ask her thats really out of bounds. I asked a lot of questions about her religion. She said when people stare at her, she goes right up to them and says Hey, if you have any questions for me... Honestly, there arent a lot of people that do that, he said. She even has fans in Kiss band members Paul Stanley and Tommy Thayer and Mike Kroeger with Nickelback, who have read the stories Gill has sent and ask about Lamise, he said. March 2022: Brave Face: Another fork in the road for University of Akron grad Lamise ElBetar When Lamise accepted the job from Ewonce, they both knew it had a time limit. They were past the March 2022 deadline for an employer to apply for a new work visa to sponsor her. Lamise said her time with BE Solutions and a sister company was one of my most accomplished years professionally as I learned a lot in one year compared to my previous jobs. I was working as a project owner for these strategic projects and Dave trusted my judgement in handling them independently. She completed her job this month, when she ran out of time on her work visa. What's next for Lamise ElBetar? Lamises visa requires that she spend a total of two years outside of the U.S. She has completed about a year already with her various trips home to Egypt. She will be returning to Egypt and hopes to find a job in Egypt or remotely for a U.S.-based company to use her skills and give her freedom to travel to continue her medical journey. The earliest Lamise could return to the U.S. would be next fall. But she would need to have a job from a U.S.-based company that would agree to sponsor her and get a work visa approved or she could apply for a pH.D program and return on a student visa. Another option is to come back on a tourist visa, which would only allow her to stay for six months, if she came just for medical treatment. Lamise said she will consider all options, but her preference would be to come back to the United States for a job on a work visa. She would like to eventually apply for a Green card and U.S. citizenship. That would also get her medical insurance for future treatments. I need to find a plan on how to come back if I want to stay (in the U.S.) on a more permanent basis," she said. Will Lamise ElBetar return to Akron or Boston? It makes the most sense to Lamise to return to either Akron or Boston when she returns to the U.S., she said. I love Akron, she said. If I want to focus on my treatment, it is in Boston. Akron is like my second home. All of the people I love are here, Lamise said. I feel that definitely if Im coming back to the U.S., I would come back to Akron. But for treatment, its going to be in Boston. Lamise said she will stay open to job opportunities. Lamise ElBetar is leaving the country after eight years. "Akron will always have a special place in my heart as my second home," she says. Wilson of Global Ties said Lamise continues to be inspiring and a very strong young lady who has a lot of talents and a lot of skills that she has used while she's been here to benefit organizations and companies. Of course, we hope for what she wants and that is she'd like to come back and reside here and have an opportunity for future treatments and calling this her second home, being able to continue to do so, said Wilson. There are challenges, Wilson said, with having to restart with a new medical team in Boston and she needs to find a U.S.-based company to agree to pay extra money to sponsor her on a work visa. Additionally, a company has to prove that Lamise brings special skills that another candidate does not, including a candidate who is a U.S. citizen. There are also challenges in Egypt, Wilson said. Its sometimes even more challenging in her own country to try to get a job and both as a young woman and as someone who has a facial difference to try to get secure jobs, she said. A love letter to Akron Lamise said she will miss the people of Akron, who have embraced her, and the opportunities shes had, including volunteering. She most recently volunteered on the campaign for Shammas Malik, the presumptive next mayor of Akron. I couldnt even vote, but I really believe in him, she said. Lamise ElBetar stopped in at The Peanut Shoppe downtown for a quick photo with owner Marge Klein before leaving Akron and the country. As she reflects on her time in Akron, Lamise has a message for the community: My journey of eight years in the U.S. literally changed my life; I first came to the U.S. in August 2015 and I will leave in August 2023. I don't know where I would be now if I didn't get a scholarship that opened my eyes to a whole new world and made my aspirations come true, she said. But the most integral part of my journey was the last few years after I shared my story and started to see my condition as an opportunity to help myself and others and value what I have and the love that Akron people surrounded me with. Thank you from the bottom of my heart; Akron will always have a special place in my heart as my second home! Lamise wants to continue her treatment and has set aside about $60,000 that remains from the GoFundMe in a U.S. Bank account. She will use them for treatments, if she returns, and if not, she will donate the funds "to help other cases who have similar condition or facial difference that's affecting their life. In a video goodbye message to Akron, Lamise said: Thank you, family. I consider Akron people now my family. You gave me love and you made me feel special and you made me feel loved and made me feel appreciated. Thank you so much. Love you so much. Beacon Journal medical reporter Betty Lin-Fisher can be reached at 330-996-3724 or blinfisher@thebeaconjournal.com. Follow her @blinfisherABJ on Twitter or www.facebook.com/BettyLinFisherABJ and see all her stories at www.tinyurl.com/bettylinfisher This article originally appeared on Akron Beacon Journal: University of Akron grad Lamise ElBetar leaves U.S., aims to return U.S. Sen. Tim Scott outlined a presidential platform Tuesday that likely sounded familiar to many attendees at the Iowa State Fair lauding the Hawkeye State's action on education and tax cuts as a model for the future of U.S. policymaking. Scott, a South Carolina Republican, was effusive in his praise for Iowa Gov. Kim Reynolds, whom he joined for a "fair-side chat" with a standing-room crowd before exploring the fairgrounds. "How many of y'all love Kim Reynolds?" Scott began the conversation, with the crowd responding in cheers before remarking, "It's 100%." Sen. Tim Scott, R-S.C., takes part in a Fair-Side Chat with Iowa Gov. Kim Reynolds at the Iowa State Fair, Tuesday, Aug. 15, 2023. He ticked through major policy planks of his campaign, remarking on Reynolds and Iowa's approach to those same issues: an economic approach focused on protecting U.S. farmers and manufacturers, a closed-border immigration policy, "school choice" for K-12 education across the U.S. and tackling crime by "respecting the police." "Restoring hope means doing what Gov. Reynolds has done as governor," Scott said. The senator, who declined to speak at the Des Moines Register's Political Soapbox, opted to visit the fair days after the grounds were dominated by several of his GOP rivals, including former President Donald Trump. Asked by reporters about new charges unveiled against Trump in Georgia, Scott pointed to a "legal system weaponized against political opponents that is un-American and unacceptable." But asked to comment specifically on the recording of a phone call between Trump and the Georgia secretary of state in which the president asked him to "find" votes to help him win the state, Scott said, "We just draw different conclusions." Scott was the most high-profile candidate at the fair Tuesday, taking the stage with Reynolds after conversations with former Arkansas Gov. Asa Hutchinson and Texas pastor Ryan Binkley. Iowa Gov. Kim Reynolds high fives Sen. Tim Scott, R-S.C., before a conversation at the Governors Fair-Side Chat at the Iowa State Fair, Tuesday, Aug. 15, 2023. He continued to lean on a more positive campaign message than some of his opponents, confident it will resonate in the first-in-the-nation caucus state. Scott said he'll head into the first Republican debate next week with "enough time to make this not into a two-horse race" between Trump and DeSantis. "That's one of the reasons why we continue to see more people show up, is that it's refreshing, people tell me," Scott said. "To have an actual conversation about the substance of issues and not gossip questions and not the side rails, staying focused on what the average person in the state is talking about." Galen Bacharier covers politics for the Register. Reach him at gbacharier@registermedia.com or (573) 219-7440, and follow him on Twitter @galenbacharier. William Morris covers courts for the Des Moines Register. He can be contacted at wrmorris2@registermedia.com, 715-573-8166 or on Twitter at @DMRMorris. This article originally appeared on Des Moines Register: Tim Scott lauds Iowa at state fair, condemns new Trump indictment Ukrainian officials on Wednesday said its forces liberated the town of Urozhaine in Donetsk Oblast. The 35th Separate Brigade of the Marine Corps released video on Wednesday it says depicts that liberation. The video shows Russian troops fleeing from the the town, followed by its own troops putting up the Ukrainian flag. "To be continued. Next, there will be victory," the troops say, according to the Kyiv Post. https://twitter.com/Gerashchenko_en/status/1691770452224385170?s=20 "Soldiers of the 35th Brigade, together with their comrades of the 38th Separate Marine Brigade, as well as other units of Ukrainian forces, have liberated Urozhaine in Donetsk Oblast within the framework of the counteroffensive operation," the brigade wrote on Facebook, according to the Kyiv Post. The name of the city means "of the harvest" in Ukrainian. "The harvest was released," Ukrainian Deputy Defense Minister Hanna Maliar said on her Telegram channel Wednesday. "Our defenders are entrenched at the borders. The offensive continues." https://twitter.com/Gerashchenko_en/status/1691693048890081672 The Russian Defense Ministry (MoD) said its forces inflicted damage on Ukrainian troops there, but did not dispute the Ukrainian claim. "The Vostok Group of Forces' forward units, supported by artillery and aviation, launched attacks at the enemy militants near Urozhaine," the Russian MoD said. "One armored vehicle, one infantry fighting vehicle, four strongholds and the enemy manpower concentration areas were destroyed." The liberation of Urozhaine, which sits along the Mokri Yaly River, represents the latest push southward for Ukraine through Donetsk. Last month, Ukrainian troops liberated the town of Staromayorsk, a key logistics node in the oblast a little more than a half-mile away. Urozhaine is also a little more than 50 miles north of Mariupol, the recapture or isolation of which is an objective for the Ukrainian counteroffensive. Ukraine's Defense ministry said its forces have captured Urozhaine in Donetsk Oblast, about 50 miles north of Mariupol. (Google Earth image). The capture of Urozhaine is another small step in Ukraine's ongoing counteroffensive. Whether it leads to something bigger remains to be seen. Before we head into the latest news from Ukraine, The War Zone readers can catch up on our previous rolling coverage of the war here. The Latest Elsewhere on the battlefield, more visual evidence is emerging on social media of the presence of the elite Ukrainian 82nd Airborne Assault Brigade fighting in Zaporizhzhia Oblast near the town of Robotyne. That's located about 50 miles southwest of Urozhaine. The brigade has U.S.-donated Stryker armored vehicles, U.K. donated Challenger 2 tanks and German-donated Marder infantry fighting vehicles. Video of a Challenger and an image of a Marder deployed with the 82nd have been posted on Twitter in recent days. https://twitter.com/jimmysecuk/status/1691843808378433711?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A https://twitter.com/deaidua/status/1691517813309988864?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A As we suggested yesterday, it appears that Ukraine is trying to exploit an opening in the Roboytne-Verbove sector of the front. Ukraine continues to press along the Robotyne-Verbove sector of the battlefield in Zaporizhzhia Oblast. (Google Earth image) The first indication of the brigade's presence in the area came yesterday, through a video wrote about of a Stryker being hit by a Lancet drone. It was unclear from the video of that incident what happened to the Stryker, because the vehicle was still moving as it cut off. https://twitter.com/RALee85/status/1691340418590429184?ref_src=twsrc%5Etfw%7Ctwcamp%5Etweetembed%7Ctwterm%5E1691340418590429184%7Ctwgr%5Ed8f1ae2a035e431e37be7c96597cb13226edba9f%7Ctwcon%5Es1_\u0026ref_url=https%3A%2F%2Fwww.thedrive.com%2Fthe-war-zone%2Fukrainian-stryker-seen-in-frontline-video-for-the-first-time But Wednesday, the Oryx open-source tracking group for the first time added a Stryker to its list of Ukrainian equipment losses. The group, which only tabulates items for which is has visual confirmation, listed the Stryker as damaged and used yesterdays video as proof. The Russians on Wednesday claimed theyve destroyed more Strykers near Robotyne. The fighting in Robotyne continues, our unit has already destroyed 3 STRYKER infantry fighting vehicles with personnel, the Russian Archangel of the Special Forces Telegram channel claimed. It posted video of what it says are those vehicles. However, it is unclear from the video just how many Strykers were actually hit. https://twitter.com/miladvisor/status/1691838251965743317 The War Zone could not independently verify those claims. Ukraine, meanwhile, appears be having some degree of success in that area, at least according to Russian Telegram. The situation is assessed as severe, Archangel of the Special Forces reported Wednesday. The channel has close ties to the Russian military, especially its special operations forces. https://twitter.com/noelreports/status/1691804843982131420?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A If you ever wanted to see what it was like to be in a High Mobility Multipurpose Wheeled Vehicle (Humvee) hit a mine during combat, check out this video below. It shows several Ukrainian troops dismounting while the turret gunner spits through numerous rounds whose spent casings fall back into the vehicle. At the 39-second mark, there is a bright flash that appears to be the Hummer hitting a mine. That's followed by plumes of gray smoke as the driver, the truck commander and the gunner climb out of the destroyed vehicle. https://twitter.com/warmonitors/status/1691899266321580396?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A The crew of this Ukrainian tank seems to have lived a charmed life as it motored through a crater-pocked open field during the day, firing its main gun while dodging Russian artillery rounds. The 122-second video, which looks like a real-life version Whack-A-Mole, ends with the tank traveling a path paralleling a river and then cuts out. So we never really know its fate. https://twitter.com/region776/status/1691876438503469275?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Troops from the Lyut assault brigade of the National Police of Ukraine are seen in this video below clearing out what looks like a destroyed farmhouse near Bakhmut in Donetsk Oblast. They fire on the ruined structures, advance toward it and then enter and clear what looks like a cellar. https://twitter.com/feher_junior/status/1691892102727078289?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A The first civilian vessel to sail through the Black Sea via Ukraines newly created humanitarian corridor left the port of Odesa Wednesday, Ukrainian President Volodymyr Zelensky announced on Twitter. The vessel, which Zelensky did not name, is heading toward the Bosphorus, he said. Ukraine on Thursday announced that new corridor to release cargo ships trapped in its ports since the outbreak of the full-on war. Its a new test of Russia's de facto blockade since Moscow last month abandoned the Black Sea Grain Initiative, hammered out last year to allow grain and other food and fertilizer to be shipped out via Ukraine's Black Sea ports of Odesa, Chornomorsk, and Yuzhny. https://twitter.com/zelenskyyua/status/1691865208245940621?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A Hours before Zelensky's announcement, another wave of Russian drone attacks on Danube River ports damaged grain storage facilities, but caused no injuries, senior Ukrainian officials said Wednesday. Russians attacked the region with drones twice overnight, Odesa Oblast Gov. Oleh Kiper said Wednesday on his Telegram channel. The main goal is port and grain infrastructure in the south of the region, he said. As a result of enemy strikes on one of the Danube ports, warehouses and granaries were damaged. Kiper did not name the port, but Andriy Yermak, head of the office of the president of Ukraine said the attack took place at the port of Reni. Russian drones hit two metal warehouses there, damaging two tractors and setting fire to grain, Yermak said. Both Kiper and Yermak posted photos on Telegram showing destroyed warehouses with grain spilling out. https://twitter.com/Flash_news_ua/status/1691706953632772379 Meanwhile, video of the attack taken from across the river in NATO ally Romania emerged on social media. The video shows air defense tracers flying skyward, followed by what appears to be an explosion at the port. The Odesa Official Telegram channel said that 13 Iranian-made Shahed-136 drones were destroyed in the Odesa region, but it did not say how many got through. https://twitter.com/clashreport/status/1691703937831715284 This is just the latest in a series of Russian attacks on these vital ports. On Aug. 2, Russia struck Izmail, about 30 miles to the east of Reni. And on July 24, they struck Reni. Russia began striking Ukrainian grain facilities, starting with those in Odesa, last month after it withdrew from the Black Sea Grain Initiative. https://twitter.com/OAlexanderDK/status/1681658643865821184?ref_src=twsrc%5Etfw%7Ctwcamp%5Etweetembed%7Ctwterm%5E1681658643865821184%7Ctwgr%5Edceb760d39b5f46a9c0852145c2c45e7e2318328%7Ctwcon%5Es1_\u0026ref_url=https%3A%2F%2Fwww.thedrive.com%2Fthe-war-zone%2Frussia-directly-targets-ukrainian-grain-in-reprisal-airstrikes Iranian-made drone attacks by Russia, like the ones last night in the Odesa region, have caused widespread death and destruction across Ukraine. Now it appears the Biden administration is trying to convince Iran to cease supplying Russia with those weapons. The U.S. is pushing Iran to stop selling armed drones to Russia as part of discussions on a broader unwritten understanding' between Washington and Tehran to de-escalate tensions and contain a long-simmering nuclear crisis, Financial Times reported Wednesday. The Biden administration has raised the issue with the Islamic regime at indirect talks in Qatar and Oman this year, according to people briefed on the matter. https://twitter.com/kyivpost/status/1691805893795484050?s=12\u0026t=BQRSNakUKt7_8ssZiGBW-A When we last wrote about Russian Gen. Sergei Surovikin, his status was a bit murky after reports emerged that he was aware beforehand of Yevgeny Prigozhins putative putsch at the end of June. News is now emerging that Surovikin - known as General Armageddon for his brutal bombardment tactics in Syria that he later carried out in Ukraine - is being forced to stay out of sight at his home. Politico on Tuesday noted that the VChK-OGPU Telegram channel, which is considered close to Russias security forces, reported late Sunday that Surovikin is now under a kind of house arrest where he cant leave the apartment he is being kept in, but has been permitted visitors, including several of his subordinates. Given how close Prigozhin came to successfully reaching Moscow, that Surovikin is still alive is interesting to say the least. https://twitter.com/MassDara/status/1691865880462848083?s=20 Another Russian air defense system was reportedly destroyed by a Ukrainian M142 High Mobility Artillery Rocket Systems, or HIMARS. This time it was a 9K33 Osa (SA-8 Gecko) mobile short-range air defense system (SHORADS). It was struck near the town of Ulyanivka in the southern part of Russian-occupied Kherson Oblast, about 35 miles from Ukrainian-held territory. https://twitter.com/region776/status/1691765796677333443 There was also another reported HIMARS attack on Russian forces in occupied Kherson Oblast, this time along the Black Sea coast about 30 miles from the frontlines near a town called Prymorske. The target in this case appeared to be a Russian training base. A number of troops tried to hide in a building there, but it appears to have received a direct hit. This incident took place about 30 miles southwest of where the 9k33 Osa air defense system in the video above was hit. https://twitter.com/bayraktar_1love/status/1691832218258202872 Russia is carrying out military exercises on the grounds of the Zaporizhzhia Nuclear Power Plant. The action was captured in video posted Tuesday on the Russian ProjectRHBZ Telegram channel. It shows troops dismounting from armored vehicles and trucks, taking up firing positions, conducting radioactivity sweeps and even practicing tourniquet techniques. "Our fighters do not forget about combat training, working out all kinds of situations with an attack by enemy [sabotage and reconnaissance] groups," ProjectRHBZ reported. https://twitter.com/region776/status/1691750623224758433 And finally, it appears that Ukraine has a new battlefield commander briefing the president. https://twitter.com/DefenceU/status/1691792422857052469?s=20 That's it for now. We'll update this story when there's more news to report about Ukraine. Contact the author: howard@thewarzone.com Enslaved Americans in the War of 1812 found a surprising path to freedom. America and the United Kingdom both enslaved Africans and their descendants, but Brits accepted escaping people. It undercut the U.S. war effort and weakened the economy. Britain upped the ante in April 1814 when it started the Corps of Colonial Marines and actively recruited enslaved people with promises of freedom. Americans, always wary of a slave revolt and enraged at the loss of "property," protested. Hundreds of enslaved persons earned equal pay, pensions, and other benefits to their Royal Marine brethren. After the war, many achieved freedom and received land in Canada or Trinidad. The War of 1812 America declared war in June 1812 after years of Britain supporting native tribes against American expansion and of it pressing American citizens into British naval service. But Britain, while still vastly stronger than the U.S. at the time, was busy fighting Napoleon. In April 1814, as British forces took part in the War of the Sixth Coalition, other forces looked for how to win in America when reinforcements were unlikely. As the war shifted from the northern states to the Chesapeake Bay area in Maryland and Virginia, one senior officer, Vice Admiral Alexander Cochrane, came up with actively recruiting enslaved Americans. The logic flows easily. Americans feared a slave revolt. Americans relied on slave labor. And the British could use the troops. Meanwhile, enslaved people already fled to British forces and ships when they could. If Britain could increase the number of enslaved people escaping, they could help themselves and hurt their enemies. The Corps of Colonial Marines Cochrane issued a proclamation promising freedom to any enslaved Americans who served in the King's Forces. He ordered 1,000 copies printed and passed out in the Chesapeake area. About 100 escaped people responded by the end of April. The escapees settled on Tangier Island in the bay. British forces began training a small group of men selected for military service, known as Blue Jackets. Women, children, and those not selected did other work for British forces. The ranks of the combat troops climbed, and, on May 18, the U.K. officially formed its Corps of Colonial Marines. These men received the same pay, pension and other benefits as their Royal Marine counterparts, as well as the promise of their freedom. The ranks soon climbed to 200 men. Just two weeks later, Colonial Marines took part in their first raid at Rumley's Gut, where it helped capture an artillery battery. Alexander Cochrane was responsible for raising the Corps of Colonial Marines. Combat for Colonial Marines The American recruits knew the terrain better than the British could hope to learn it. Some had worked the rivers before their escape and knew the waterways well. They quickly gained a reputation for navigating tough terrain and their performance under fire. They took part in raid after raid, and eventually entered Washington D.C. with other British forces when Britain took the city and burned the White House. Soon after, American defenders repulsed British attackers, including Colonial Marines, at Baltimore. About 3,600 formerly enslaved people joined the British, and between 550 and 700 trained and served as troops. But the Colonial Marines' most intense fight actually came after the war. While many took the offer of land in Nova Scotia or Trinidad, some decided to stay in America in Spanish-controlled Florida. They occupied a former British base that became known as Negro Fort. Gen. Andrew Jackson eventually led a force against that fort. Jackson's forces had the upper hand from the start, but they also got a lucky shot when a cannon firing red-hot balls landed a hit into the fort's powder magazine. It triggered a massive explosion that destroyed the fort and ended the battle. After the war, America demanded compensation for its "property," and a settlement eventually resulted in Britain paying $1.2 million. Former President Donald Trump was indicted for the fourth time on Monday night, and this time he cant pin his hopes on escaping punishment with a presidential pardon. Georgias Fulton County District Attorney Fani Willis charged Trump with more than a dozen felonies tied to his efforts to overturn his 2020 election defeat in the state, ranging from conspiring to commit forgery to filing false documents to racketeering. Willis brought charges against him and 18 co-defendants under Georgias Racketeer Influenced and Corrupt Organization Act, or RICO. The fact that Trump is being charged with state crimes, and specifically under Georgias RICO law, means that his ace in the hole to avoid prosecution winning the 2024 presidential election isnt likely to work in this case. A presidents power to pardon people, including himself, doesnt extend to state crimes. This case is a pardon insurance against Trump or another Republican winning and pardoning him out of the federal cases or just ordering the [Justice Department] to drop the cases, said Norm Eisen, an attorney who served as former President Barack Obamas ethics czar and as special counsel for House Democrats during Trumps 2019 impeachment trial over attempts to pressure Ukraine to investigate the Biden family. Most of the other GOP presidential contenders with a realistic shot at the nomination, including Florida Gov. Ron DeSantis and Sen. Tim Scott (S.C.), have declined to directly say whether they would pardon Trump. And even if Georgia Gov. Brian Kemp (R), the Trump ally turned nemesis, was inclined to pardon him, he couldnt. Unlike most states, Georgia law does not give the governor the direct power to pardon or commute sentences, though the governor does appoint a board with that power. Beyond that, the sprawling nature of the Georgia indictment entangles Trump in a web of co-conspirators unlike any of his prior three indictments. It spans from the Oval Office to the backrooms of low-level Georgia political and election officials, implicating well-known Trump acolytes and little-known officials in the state. Willis was able to bring such a sweeping case against Trump, essentially accusing him of being a mob boss at the helm of a vast criminal conspiracy, under the states expansive RICO law. These laws were initially aimed at tackling organized crime, and Georgias happens to be much stronger than the federal RICO law. The evidence of Trumps alleged conspiracy is most compelling in Georgia, said Eisen, pointing to the three smoking guns linked to the former presidents meddling: a recorded call of Trump urging Georgias secretary of state to alter the states presidential vote in the 2020 election, fake electoral certificates falsely declaring Trump the winner in Georgia and text messages connecting Trumps legal team to a January 2021 voting system breach in Coffee County. All the details of Trumps alleged efforts to steal the 2020 election will be on full display, too, as Georgia state law requires that cameras be allowed during judicial proceedings. Its the only one of his trials that will be accessible to the public. The reason that matters so much is because of this alleged conspiracy, Eisen said. This is the one case thats going to be televised. If you only get one shot at it, you kind of want to use your HBO miniseries on the programming block on the big story. Trump has been indicted for the fourth time. He must now contend with Fulton County District Attorney Fani Willis in the case involving alleged attempts to change the 2020 presidential vote in Georgia. Trump has been indicted for the fourth time. He must now contend with Fulton County District Attorney Fani Willis in the case involving alleged attempts to change the 2020 presidential vote in Georgia. The Georgia trial will be unprecedented and likely difficult to manage, given the large number of defendants, the nature of the alleged crimes and the fact that it centers on a former president conspiring to steal a national election while also running for reelection. But theres a number of strategies that any of the 19 defendants can take to speed things up, and that includes making deals that implicate other defendants in order to save themselves. As the rats seek to leave the sinking ship, they may be happy to get their own life raft and leave everybody else behind, said Caroline Fredrickson, a distinguished visiting professor at Georgetown Law and a senior fellow at the Brennan Center for Justice. When it turns out you have 19 people who were trying to overthrow the U.S. government and denying it the whole time, and thought they could get away with it and now are all of a sudden facing real consequences, I think youre going to start to see some of them break ranks, said Fredrickson, who is also the former president of the American Constitution Society. It is my prediction, she added. Fredrickson isnt the only one who thinks defendants in the case will start coming for each other. Yes, absolutely, said Donald Sherman, executive vice president and chief counsel for Citizens for Responsibility and Ethics in Washington, or CREW, a nonpartisan ethics watchdog group. If we have learned anything from the Trump years in government, its that Trump is loyal to no one, and once people realize that, they start to turn against him and others, Sherman said. The fact that theyre all indicted together certainly doesnt mean that theyre all going to be tried together. I think its more likely that others will flip on the former president. It doesnt help Trump that hes tied to 18 co-conspirators either. If he were to try to use his status as a former president to get pardoned, somehow, that would theoretically mean everyone who was allegedly working under him to help him steal the election should be pardoned, too. Willis teed up her indictment in a way that makes it even harder and more problematic for pardons to come out of this process, Sherman said. Theres no credible way. It makes it harder to decide to pardon one actor as opposed to several others, especially the person who everyone else is working at the behest of. If Trump were to become president in 2024, the question of whether he could still be prosecuted is entirely new territory. It is Justice Department policy that a sitting president cant face federal charges, but there is no legal precedent on whether a president could face state-level charges. And while presidents enjoy immunity from most lawsuits while in office, its legally untested whether that applies to criminal immunity from prosecution. Its possible that any prosecution of Trump, if he wins in 2024, could end up with the Supreme Courts conservative majority and Trump-appointed plurality. As the rats seek to leave the sinking ship, they may be happy to get their own life raft and leave everybody else behind.Caroline Fredrickson, distinguished visiting professor at Georgetown Law and a senior fellow at the Brennan Center for Justice Fredrickson sees no legal reason why Trump wouldnt continue to face prosecutions if he became president. Yes it could certainly, she said, asked if the Georgia prosecution could go forward in that scenario. Theres no bar on that. Will he try to stop a DOJ prosecution? Yes of course. Will the judge allow that? Not so sure, said Fredrickson. And of course the state prosecutions will proceed. Sherman took things a step further. He argued that there is a provision in the Constitution, Section 3 of the 14th Amendment, that disqualifies Trump from serving in public office or even being a candidate on the grounds that he incited an insurrection. In fact, he said CREW is planning to bring litigation soon to enforce this section of the Constitution against Trump. He wouldnt give many details. He said only that there is ample public evidence that the former president helped to fuel an insurrection and that CREWs lawsuit may be brought in state court, federal court or both. These prosecutions are important for accountability, and I think the Georgia prosecution is potentially a bigger threat to Donald Trumps freedom than any of the other charges hes facing, Sherman said. But they will also not prevent him from serving. For now, its up to the judge randomly assigned to this case, Fulton County Superior Court Judge Scott McAfee, to decide how to proceed. He will set the schedule for the trial and will have to navigate unsettled legal questions about actions carried out by a president. Fredrickson said its important to take a step back from the Georgia indictment to think about the fact that a former president of the United States has now been indicted four times. Realize this is an incredible moment in history, she said. Its both something we should be proud of that our legal system seems to be operating properly, but its something that we should all be ashamed of that we have a political system that could belch up somebody like Donald Trump and his various associates. Related... It appears that Elon Musk is, once again, punishing websites run by his perceived enemies. The website formerly known as Twitter seems to be interfering with links to The New York Times, Mastodon, Bluesky, Threads and Substack to make them load noticeably slower. Clicking links to those websites from within X causes a significant delay in loading the web pages compared with navigating to the links from another browser or website. The delay, which seems to be about 5 seconds, was noticed by users on the Hacker News forum and later reported by The Washington Post. It appears to be widespread and only affecting a handful of high-profile websites that Musk has publicly attacked in the past. The user who first reported the issues on Hacker News estimated the inexplicable delay first cropped up on August 4th, noting that its so consistent it's obviously deliberate. That's the same day Musk called the paper a "racial genocide apologist" in response to its reporting on South Africa. For now, its unclear if there is a cause for the delay other than Musks personal grievances with these companies. But the lag only seems to be affecting websites that Musk has previously retaliated against. He previously blocked links to Substack, Mastodon, Threads and other competitors. Musk has also publicly attacked The Times, revoking the publications verified status shortly before the rollout of Twitter Blue (now called X Premium). The owner of X is also currently feuding with Meta CEO Mark Zuckerberg over his launch of Twitter competitor, Threads. In a statement, a spokesperson for The New York Times said the publication was aware of reports about the delay and had "made similar observations" of their own. "We have not received any explanation from the platform about this move," the spokesperson said. "While we don't know the rationale behind the application of this time delay, we would be concerned by targeted pressure applied to any news organization for unclear reasons." Substack founders Chris Best, Hamish McKenzie, and Jairaj Sethi also criticized the move. "Substack was created in direct response to this kind of behavior by social media companies," they wrote in a statement. "Writers cannot build sustainable businesses if their connection to their audience depends on unreliable platforms that have proven they are willing to make changes that are hostile to the people who use them. While we hope that Twitter will reverse its decision to institute a delay on Substack links, our focus is on building Substack." As usual, X didnt respond to a request for comment. The company has largely stopped replying to press inquiries since Elon Musk fired its communications staff shortly after taking over the company. Update, August 15th, 2023, 1 pm PT: This story has been updated to include responses from The New York Times and Substack. Each morning, Beatrice Kananu, 32, rises with the spirit of a hunter-gatherer ready to claim the day. She gathers up her tools in her case, disposed polythene sheets that she has gathered from nearby garbage sites and cleaned in preparation and leaves her home, in the village of Mutuati on the outskirts of the Nyambene Hills in Central Kenya. Before long, she reaches the woods from which she will harvest a crucial resource: water. She wraps the polythene around the trunks of around five trees, tweaks the sheets with a practiced precision, and places containers beneath them. The process can take a couple of hours, but by the time she returns later in the day, the vessels may contain 100 liters of water. I feel like I am living in those days of hunting and gathering, says Kananu, a mother of three. At the end of the day I manage to have water for cooking, washing and drinking. Kananu has been getting water in this way since a relative from a neighboring village taught her to do it two years ago. The method works by harnessing and harvesting through condensation the moisture contained in fog which, especially in the spring and autumn, floats densely amidst the hills of central Kenya. In these seasons, Kananu can gather enough water for her familys daily use and for their chicken and two cows. Fog harvesting has become an increasingly popular innovation, often through word of mouth, in areas where climate change has contributed to water scarcity and where public provision is weak. The method has emerged in different ways across the world, from South Africa to Morocco, and from Peru to India. In Kenya, it has taken a variety of forms. In central regions, tapping fog through trees is most common. In the drier south-east, some communities place polythene sheets on the ground overnight and harvest atmospheric dew in the mornings. In some areas around the capital Nairobi, Maasai herders use a specially designed polythene mesh to trap moisture that is used to quench the thirst of their livestock during prolonged dry spells. It is a simple innovation where atmospheric water vapor is turned into liquid droplets when it comes into contact with the polyethylene meshs cold surface, says Bancy Mati, a researcher at the Jomo Kenyatta University and the innovator behind the fog catcher. This water is good for domestic use and also for growing crops. Water is becoming a luxury Water scarcity is a growing concern in Kenya, where only 59% of the population has access to safe drinking water. Climate change has exacerbated this problem, with droughts becoming more prolonged and severe, but many also blame government policies. Critics say that the privatization of water supplies has worsened access for many Kenyans. Others blame poor governance and corruption, alleging that management positions in the relevant government agencies are awarded based on political loyalties rather than competence. Corruption in the water sector is very significant and this is why there is mismanagement and unequal access of services among Kenyans, says Malesi Shivanji, the chief executive of the Kenya Water and Sanitation Civil Society Network. Water is becoming a luxury to the poor. According to a report conducted by Shivanjis organization, very little revenue is invested in service delivery and most goes to administrative overheads. Kenyas Ministry of Water, Sanitation and Irrigation acknowledges the challenges facing the country but says it is trying to solve them by expanding water infrastructure, including by investing in 100 mega dams and 1,000 small-scale dams by 2026. The problems we are having in the water sector have been inherited from a corrupt system that has refused to go away, says Andrew Kinyua, an official at the ministry. But working with partners, we are trying to see how to make the sector functional again. This ambition is only partly reassuring to experts like William Ojwang, program manager at WWF-Kenya. He warns that many of the governments infrastructural ambitions end up as inefficient and overly costly white elephant projects. Rather than exclusively focusing on building systems that remove water from its natural sources, he suggests efforts to restore catchment areas like rivers, lakes, and wetlands through tree-planting and reducing the use of agrochemicals. Expanding water infrastructure to serve people living in towns and cities is a good thing, but we must also remember poor Kenyans who are suffering because of getting water from polluted sources, he says. A container fills up with water through the day, funnelled in from polythene sheets around the tree. David Njagi It is in this difficult context that straightforward, low-cost, local innovations like fog-harvesting have become important for many communities. However, it too comes with its own risks. Scientists warn that rising air pollution can contaminate atmospheric water, which can be harmful to animals and plants if not treated. Although Kenyas average air quality is reasonable by global standards, it is estimated that nine out of 10 people are exposed to air pollution beyond the global health standards set by the World Health Organization (WHO). Soluble gases in the air can mean that the fog harvested is acidic and contains potentially harmful substances. The problem of acidic moisture in Kenya should be something we should be worried about because we have seen the damage it is causing to humans and the environment in places like Europe, says Paul Njogu, a Nairobi-based researcher on air pollution. These risks require further research, but in the meantime, people like Kananu feel they have no choice but to use methods like fog harvesting. They feel like they have been long forgotten by both the government and aid agencies when it comes to access to clean water and sanitation, and climate change is only making the issue more severe. I cannot sit around and wait for something to happen, says Kananu. Instead, I will use my knowledge and available resources to get water. This article was originally published on 'African Arguments'. Jasper Kenzo Sundeen's reporting for the Yakima Herald-Republic is possible with support from Report for America and community members through the Yakima Valley Community Fund. For information on republishing, email news@yakimaherald.com. You are the owner of this article. Santiago Ochoa's reporting for the Yakima Herald-Republic is possible with support from Report for America and community members through the Yakima Valley Community Fund. For information on republishing, email news@yakimaherald.com. An undated photo shows a view looking west on Naches Avenue in Selah, with Selah State Bank on the right. (Ron Ott Collection, Yakima Valley Museum) Thousands of emotional congregants bowed their heads, shedding tears, and raising their voices in exaltation, unaware of what lay ahead. I sense the majesty of Gods spirit among us my soul overflows with joy, boomed the voice of Naason Joaquin Garcia, leader of Mexicos La Luz del Mundo (LLDM) church, who was sentenced to nearly 17 years in prison last year on charges of sexual abuse in California. The crowd erupted with thunderous applause upon hearing the man they revere as the earthly apostle of Jesus Christ, even as he languishes in prison. The event marked the much-awaited comeback of the Lords Supper for the congregation, celebrated in person for the first time since the Covid-19 pandemic began. It also marks the church leaders first participation after his conviction on pedophilia, human trafficking, rape and the production of child pornography. The congregation still regards Naason Joaquin with reverence, but the rest of the world sees him as a confessed sexual predator. Dissidents and cult survivors are now making louder demands of justice for his victims. Naason Joaquins message lasted only about 15 minutes. As in all Christian denominations, the Lords Supper is celebrated in commemoration of the last supper of Jesus Christ. But at LLLDM, its only celebrated once a year on August 14 the birthday of Aaron Joaquin, Naasons grandfather who started the church in the 1920s. Its a sacred date for the hundreds of thousands of LLDM faithful, who each year fill the streets of Guadalajara (Mexico) to receive the apostles blessing. For the last four years, the so-called servant of God has been conspicuously absent from the annual event. Im not physically present, but Im here with all of you. You may not see me, but you can definitely feel my presence in this wonderful gathering, said the voice over the phone from Chino the California Institution for Men (CIM) state prison in the city of Chino, California. The religious leader, who agreed to a plea deal to avoid trial and a potential life sentence, justifies his absence as if it were a divine mandate, comparing it to Christs earthly absence. There is no one like our God... we dont see him, but we feel his beautiful caress, he cried out. La Luz del Mundo characterized the phone call as an apostolic intercession asking God to forgive the sins of his flock. Joaquins followers claim to be Gods new chosen people, and believe that he is the anointed one, the only living man who has direct communication with the Lord. In his brief sermon, Naason Joaquin said Jesus also faced temptations of the flesh and understands our weaknesses. Ive often found myself crying out to my Lord Jesus Christ, asking Him to bless my brothers with His grace and truth, he said tearfully. Then his sermon wanders, and the distinction between the forgiveness he seeks for the congregations sins and his own becomes blurry, at least to an external observer. There is nothing sadder and more pitiful than the sinner, mired in guilt and sadistic malice, all because of carnal desire and vagaries of the flesh, the diseased and sly deceit that brought the worst kind of evil, said Joaquin in a sorrowful voice. Indulging in fleeting pleasures, they unknowingly consumed the poison from the golden chalice offered by Satan. In return, they were met with the piercing thorns of everlasting remorse. With utmost humility, I come to your blessed presence seeking your boundless mercy and forgiveness, he said. LLDM continues to dismiss the testimony of his victims, and says those who have abandoned the church are driven by guilt and malice they are the true transgressors. I humbly seek guidance, O Lord, on behalf of my people who have strayed from Your love and mercy. They have been misled and lost, misguided by the notion that there is something better than You, said the voice over the loudspeakers. Suddenly, an automated recording interrupts The time is 6:00 p.m. Its obvious that Joaquin is in jail, although he never says so. Let us give thanks to God for the abundant blessings bestowed upon us today through the intercessory prayer of the Apostle of the Lord. We have been purified and sanctified, a testament to the glory, honor and praise that belongs to God alone, shouts another pastor from the pulpit. The choir begins singing a hymn to honor the sacrifice of Jesus Christ and their own apostle. Tears and heart-rending cries begin to flow again. LLDM says over a million people attended the week-long celebration, though Mexican census data indicates LLDM has only 170,000 followers nationwide. There were also tears outside the congregation tears of indignation, courage and rage. Lety, a 44-year-old woman from the United States, first spoke to the media after leaving the church two years ago. Waking up was very hard and painful for me. La Luz del Mundo has been my whole life since I was born, she said, asking for anonymity. Lety is afraid. Every member of LLDM is acutely aware of the repercussions and risks associated with leaving the church. Dozens of former members have reported instances of harassment on social media. They are shunned by their families and some even received death threats. Two out of Letys four children are still LLDM members and have severed all contact with her. She is concerned about how her decision may affect them. It takes a lot of courage to acknowledge that you were wrong and that the only ones who really benefited from our work and money were the royal family [the Joaquin family] and their privileged ministers, she said. We were told that evil spirits had possessed the accusers, that it was all a sinister plot to destroy the church and undermine the apostle, said Lety. After learning the real accusations against their leader and fearing community repercussions, one follower chose to leave. Abisai, who grew up in a devout family, made this decision after his wife shared her own experience of being chosen to serve the apostle Samuel, Naasons father. Samuel Joaquin also faced sexual abuse allegations in the 1990s, but no charges were filed, even though one of Samuels accusers went into hiding after being violently stabbed over 60 times. Abisai's wife was instructed to massage Samuels feet and wear revealing clothing prohibited in public by the church, all to serve her father in faith. The chosen were groomed for sex by church leaders, and Abisai's wife later alleged sexual abuse by Naason. She belonged to the Unconditional group within the church that pledged unwavering loyalty and complete submission to the leaderships desires. The church publicly denies the existence of such groups. My world completely fell apart. But I was such a fanatic that, honestly, I didnt believe it at first. She really fought for me to open my eyes, said Abisai, who also uses a pseudonym out of fear. Most of his family remains inside, forbidden by the cult from communicating with him. Within a few months, he went from being a devoted member to an enemy of the church, facing attacks for divulging personal aspects of his life and business. The ministers make it seem like the apostle is some kind of holy man, saying things like we know him, we know who we believe in, said Abisai. But thats just a big lie. All we really know is the guy who talks for two hours from the pulpit, he adds. He changed his mind after watching a video of Naason Joaquin with his lawyer Alan Jackson. Jackson had previously defended actor Kevin Spacey and billionaire Harvey Weinstein in other sexual abuse cases. In the video, the apostle admitted to having had sex with one of his secretaries. I realized that the holiest and purest man in the world was actually an adulterer, he said. I feel outraged but also empowered when I see these videos [of the Lords Supper celebration] because I used to be just like them. The verdict in California concluded the criminal case, but the controversy persists. Claiming they were never consulted by prosecutors about Naason Joaquins plea bargain, several anonymous sexual abuse victims and Sochil Martin, the first woman to publicly accuse Joaquin, filed civil charges. New allegations against other church members have emerged, raising the possibility that Joaquin will face a new trial in a U.S. federal court. Kaleb Lemus, the churchs choir director in Houston, was arrested last week for abusing his partners granddaughter for six years. He was released after posting a $75,000 bail bond. We know that a judicial authority made a decision about the Lords apostle. However, we have chosen to move ahead in pursuit of our freedoms, an LLDM spokesperson told the EFE news agency. The spokesperson also asserts that church membership remains stable, with new locations in Japan and Denmark. They claim to operate in 64 countries and have a dedicated following of seven million people. They consistently say they are victims of religious persecution, a claim they have maintained since the beginning. But Lety and Abisai say they are finding more and more people who have left the congregation, and others who have lost faith but hesitate to formally disassociate. There is also a growing number of complaints and protests against the organizations leadership. Thousands have joined a social media protest coinciding with LLDMs religious celebrations, sharing testimonies by former members about sexual, spiritual and financial victimization. Those who left the faith and were once alone after venturing into the world, as the church calls it, are organizing in pursuit of justice. The LLDMs Lords Supper is the latest episode of a story that is far from over. Well keep fighting to make people open their eyes and see whats really happening, said Lety. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition North Korea has provided the first official confirmation that U.S. Army soldier Travis King had crossed the Demilitarized Zone (DMZ) into its territory on July 18. The North Korean Central News Agency, KCNA, reported Wednesday that King confessed to illegally entering the country because he harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army. According to the KCNA agency statement, the 23-year-old private expressed his willingness to seek refuge in North Korea or a third country, stating he was disillusioned at the unequal American society. The U.S. Department of Defense has not been able to independently verify the report. Kings mother, Claudine Gates, has asked the North Korean government to treat her son humanely, according to CNN. Ms. Gates is aware of todays report from KCNA. DPRK authorities are responsible for Travis Kings well-being, and she continues to appeal to them to treat him humanely. Shes a mom worried about her son and would be grateful for a phone call from him, said Jonathan Franks, a spokesman for the family. For its part, the Pentagon has stated that Washington is focused on Kings safe return. The Departments priority is to bring Private King home, and that we are working through all available channels to achieve that outcome, a U.S. defense official stated. It is unclear whether Washington has received any further details from North Korea. So far, officials quoted by U.S. media said Pyongyang had not provided substantive responses to their requests for information about King. A spokesman for the United Nations Command, which oversees the border village of Panmunjom where King crossed, said he had nothing to add to previous statements. More information Travis King: The mysteries surrounding the US soldier detained in North Korea North Korea and South Korea and its ally in the 1950-1953 conflict, the United States remain technically at war, having signed an armistice rather than a peace treaty. As an active-duty soldier, King could be considered a prisoner of war, but factors such as his decision to cross into North Korea of his own volition and in civilian clothes disqualify him from that designated, Reuters reported U.S. military officials as saying. It is unclear why on July 18 King decided to become the first U.S. soldier to cross into North Korea since 1982, also becoming the first American to be detained in the country in five years. King enlisted in the U.S. Army in January 2021 and was stationed in South Korea, where the U.S. maintains a deployment of 28,500 troops, 70 years after the end of the Korean War. But his posting had been marked by various run-ins with the law. He was found guilty of assault and damage to public property during an altercation in October, Reuters reported. Fined by a South Korean court, he spent 50 days in detention and was released on July 10. Kings uncle, Myron Gates, told ABC News in early August that his nephew had experienced racism during his military deployment and, after spending time in a South Korean jail, did not seem the same. After being released, his superiors ordered King to return to his home base in the U.S. to face a disciplinary hearing. On July 17, King confirmed that he was ready to board a Dallas-bound American Airlines plane that would take him back to his unit, the 1st Cavalry Regiment of the 1st Armored Division, at Fort Bliss, Texas. However, the military escort who accompanied him to Incheon International Airport in Seoul only took him as far as passport control, beyond which they were not authorized to continue. King cleared customs and all security checks, airport officials told the press, but at the gate, the soldier informed airline personnel that his passport was missing and did not board the plane. Airline workers escorted him back outside the departure area. King then booked a tour with a private company to the DMZ for the following day, the only point on the 160-mile-long, 1.2-mile-wide fortified border where there is no barbed wire or landmines. It is also the only place where contact, be it public or secret, between the two Koreas is allowed. It was while visiting with 42 other tourists that King inexplicably fled across the Military Demarcation Line, witnesses told the media and U.S. officials familiar with the incident later confirmed. Donald Trump and North Korean leader Kim Jong Un pose at a military demarcation line at the demilitarized zone (DMZ) separating the two Koreas, in Panmunjom, South Korea, June 30, 2019. KCNA KCNA (Reuters) No American, detainee or defector, had ever crossed into North Korea there before, even though it only entails stepping over a small brick line, as Donald Trump did in 2019, when he became the first U.S. president to set foot on the territory of one of the most secretive dictatorships on the planet. After crossing the DMZ, King tried to enter Panmungak Hall, a North Korean facility, but the front door was locked, so he ran to the back of the building, at which point North Korean guards took him prisoner. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Our directory features more than 18 million business listings from across the entire US. However, if we're missing your business, add your business by clicking on Add Your Business. FOTO - A luat foc un bloc in Husi, peste 30 de persoane au fost evacuate Un incendiu a izbucnit, luni, la un apartament din municipiul Husi. Pe timpul interventiei au fost evacuate 30 de persoane, iar 6 persoane s-au autoevacuat. Momentan, la locul evenimentului sunt asistate medical doua persoane care au suferit atacuri de [citeste mai departe] The captain of the Metacomet discovered the desertion of the Frisby brothers, George and James, when in the summer of 1857 the steamboat was preparing to return from Veracruz to New Orleans for more cotton. The man alerted the police, but he left out one piece of information: the Frisbys were black, the property of a Louisiana plantation owner. According to the recently approved Constitution, any slave became a free man when they set foot in Mexico, whose Congress had abolished human slavery in 1837. George was quickly arrested. James was better at hiding, but most importantly, he told his story to the authorities when they finally found him. Thats why they didnt send him back to the Metacomet despite the formal complaint of the U.S. ambassador. The Frisbys story is one of many that the American historian Alice L. Baumgartner, a professor at the University of Southern California, recalls in South to Freedom: Runaway Slaves to Mexico and the Road to the Civil War. The book reveals that there was also an Underground Railroad in the southern U.S., a network of homes and people who helped fugitives escape to Mexico from the slaveholding states of Texas, Louisiana and North Carolina. The book analyzes how the end of slavery in Mexico precipitated the debates in the U.S. that resulted in the Civil War. The southern route was not as well-known as the northern one. It was not as commonly traveled; Baumgartner calculates that, while 3,000-5,000 fugitives crossed into Mexico, between 30,000 and 100,000 crossed the Mason-Dixon Line. American historian Alice L. Baumgartner. It was easier up north. Those who left the slaveholding states further north Maryland, Virginia and Delaware had better help, but they also had a more difficult future, the historian explained recently in the elegant library of the Mexican Cultural Institute in Washington, where the day before she spoke about her book as part of the bicentennial celebration of the diplomatic relationship between Mexico and the U.S. In high school, we all learned about Harriet Tubman and the myth of a route of houses with candles in the windows where enslaved people could take refuge. That myth says that it was a network formed fundamentally by whites, while there were also free Blacks who were essential. Those ideas have been reconsidered recently. Whichever direction they went, they faced slavery and the risk of being kidnapped. In Mexico, where they arrived with falsified permits from their owners, they wore wigs to pass for being white and rode stolen horses. They had two options: join the militias that defended the Northeast border from the incursions of Indians or integrate into the lowest ranks of the labor force. There is evidence in the archive that some were capable of claiming land and citizenship. That didnt happen in the north, where they enjoyed what Frederick Douglass called a doubtful freedom. In this struggle between the right to property and the right to freedom, the former tended to be imposed, even in the anti-slavery regions of the United States. There was a debate about whether descendants of Africans could be considered full citizens, she explains. Baumgartner began to write her book in 2012, without knowing that she would have the help of the author Colson Whitehead, who in 2017 wrote a homonymous book about the Underground Railroad. The book won Whitehead a Pulitzer, and Barry Jenkins later adapted it to television. The historian had chosen the topic because of the case of Haiti. After the revolution against the French, the country outlawed slavery in 1804 and in 1819 passed a law that gave freedom to anyone who set foot in its territory. That provoked turbulence in the neighboring country, leading Baumgartner to delve into the consequences that Mexicos decision to abolish slavery had in the United States, particularly after the conquest of Texas in the war of 1848. In 1837, Congress prohibited slavery in the whole country. That abolition policy raised morale among Mexicans, galvanizing international support for the country, she writes. Without that decision, which made slaveholders nervous, she says, the Texas Revolution may never have happened, and who knows if that territory would still remain Mexican. I agree with [the historian] Enrique Krauze when he says that, to the list of the original sins of my country, slavery and the genocide of Native people, we have to add a third: the seizing of Mexican territories. Fragment of the mural at the Mexican Cultural Institute in Washington, by Roberto Cueva del Rio. On the left, from top to bottom: Benito Juarez, Abraham Lincoln and Jose Marti. On the right, from top: George Washington, Miguel Hidalgo and Simon Bolivar. The connecting of those two points is perhaps the greatest strength of the book, which takes an uncommon path: telling the stories of the countries as an interconnected narrative. Many were surprised by that when I published it. They had trouble admitting that Mexico had a role in the United States debates about slavery. Bad hombres It was, she explains, another expression of the condescension with which her countrymen are used to regarding the south. There were many moments when I was looking at the sources from the 19th century when I couldnt avoid thinking about what I was seeing on the news everyday. For example, when Trump started with the rhetoric that the Mexicans were bad hombres and rapists, or when he said that he would make them pay for the wall. It reminded me of that American politician [Jacob W. Miller, a congressman from New Jersey] who said that Mexico would pay for the war against the United States with its own territory. I was interested in the history of the 19th century because it seemed like a very different place from modern life, but at times they are disturbingly similar. Baumgartner explains that the viceroyalty of New Spain was always much more diverse than the United States and, in a certain sense, more advanced. The demographic differences explain the different approaches to the racial issue in both places. In 1810, there were 10,000 slaves in New Spain, compared to approximately a million in the United States, she recalls. In the book, she laments that this disparity leads her countrys historians to conclude, falsely, that Mexico abolished slavery in 1837 because it was easier, given that its slave population was declining. I think that decision was taken for humanitarian and political reasons, but above all it was made with Texas in mind, as a way to stop the colonizers. The book, which covers the period until 1867, also touches on the adventures of some of the 19th centurys protagonists. Among them is Vicente Guerrero, the rebel leader in the war of independence with Spain and descendent of African slaves who, during his brief presidency of Mexico, abolished slavery by decree in 1829, and Abraham Lincoln, who as a congressman was opposed to the war with Texas. Benito Juarez, whose effigy appears on a mural at Washingtons Cultural Institute, also appears. The alliance of Lincoln and Juarez helped strangle the Confederacy, Baumgartner says. And there the fascinating figure of the diplomat Matias Romero, the Mexican representative in the United States, is essential. He was the first foreign envoy to congratulate Lincoln after winning the presidency. There began an interesting relationship between both, which appears in their letters. Romero, from the beginning, saw what Lincoln would only later realize: that Mexico and the United States had a shared commitment to equality and freedom, and that could be the base of cooperation between Juarez and Lincolns governments. That, the author believes, was one of the defining moments of the relationship between two countries separated and divided by almost 2,000 miles of border, and condemned to understand each other. The relationship can still be described by the common phrase attributed imprecisely to Mexican president Porfirio Diaz: Poor Mexico, so far from God and so close to the United States. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition YORK The York City Council will meet with Bobbi Pettit of Five Rules Planning to hear her De-Blight Study presentation. A public hearing will be held to consider recommendations to de-designate areas within existing redevelopment areas in the City of York as blighted or substandard. Also on the agenda for Thursday: The council will consider approval of a quote from Electric Pump for a replacement mixer for the Wastewater Treatment Plant in the amount of $40,028. They will consider additional/corrected special designated license for Sip & Stroll on Sept. 28. An update to the personnel manual for city employees will be considered. They will consider authorizing the mayor to sign the Municipal Annual Certification of Program Compliance to the Nebraska Board of Public Roads Classifications and Standards. The council will consider the acquisition of real estate on behalf of the City of York for the purpose of future economic development. Sewer and landfill rates will be discussed. Board of Adjustment reappointments will be made by the mayor. The meeting will begin at 5:30 p.m. on Thursday, Sept. 17 in the council chambers and the public is encouraged to attend. YORK COUNTY The expansion/renovation of the Heartland School is now up in the air because not enough Heartland patrons voted in favor of a tax levy override in a special mail-in election. The ballots were counted Tuesday evening, August 15, at the York County Clerk/Election office, after the 5 p.m. deadline. York County Clerk Kelly Turner hand-delivered the ballots sent to the Hamilton County Clerks office, where Heartland patrons in that county were able to take them. She arrived in Hamilton County at 5 p.m., after the deadline arrived, and drove them directly to her office where all the ballots were counted. No ballots were turned in by the few patrons in Fillmore County, according to the York County Clerks office. A total of 60% of voters had to vote in favor of the override in order for the project to continue at this point; however, 55.6% said yes and 44.4% said no. A simple majority in the matter was not sufficient, due to new state legislation. A total of 741 people sent in ballots. Of those, 656 were in York County and 85 were in Hamilton County. It must be noted that the canvassing board will convene at 10 a.m., on Wednesday, Aug. 16. Turner said the canvassing board will make sure all the numbers are correct so the figures as of 6:45 p.m., Tuesday, Aug. 15, are still considered preliminary. The majority of Heartland District voters approved the bond issue in 2022 for the following improvements: main entrance and office expansion with safety renovations; a 4-classroom elementary addition; childcare facility; agriculture/industrial technology shop connection to the main building; an activity entrance renovation; a weight room addition; locker room addition; work in the north gym with air conditioning and bleacher improvements; fire sprinklers; an updated fire detection system and control; and an upgraded electrical capacity, power distribution systems and infrastructure. The tax request authority certified to the Heartland Community Schools for the 2023-24 budget year is $3,990,760. This represents an initial limit for the combined tax requests for both the districts general fund and special building fund. For the upcoming budget year, the district needs a tax request authority of up to $5,821,786 combined between the two funds to finance the plans the board and the district have committed to. The difference between the two amounts $1.8 million is the additional tax request authority voters were asked to consider. New state legislation created this situation, when on May 25, the Nebraska Legislature passed LB 243, which created new limits to the tax request authority for the general fund and the special building fund in every school district. The legislature then passed LB 737 on June 1, which amended LB 243, making it immediately effective for the 2023-24 fiscal year. As a result, the district no longer had the tax request authority to finance the previously-approved facility improvements. Passage of the tax request authority required 60% of the voters approval, which as of Tuesday evening had not been achieved. It is unclear how the district will proceed at this point, pending the canvassing boards determination on Wednesday. But historically, past canvassing boards have confirmed the findings of election counts by the county clerks office. Nebraskans love their cranes, especially the red-capped Sandhills kind that make a migration pitstop each year along the Platte River. But this year two giant cranes, one yellow, one blue, have settled in for a lengthy stay near Omahas riverfront. The big yellow one is a Liebherr model 630 Hammerhead tower crane. The smaller blue one is a Comansa 310 luffing tower crane. The two machines are providing a free daily show for curious office workers, engineering geeks and visitors to the Gene Leahy Mall as the machines do the heavy lifting on the new 677-foot-tall Mutual of Omaha headquarters building. And theyre just getting started. In the years ahead, the yellow crane will rise to 780 feet above the ground, making it the tallest crane ever in Omaha, according to Brian Krause, director of construction and development for Lanoha Real Estate Co. That height is necessary, given what theyre assembling. When finished in the summer of 2026, the skyscraper will be the citys tallest building. The $600 million skyscraper will be 43 feet taller than First National Bank Tower, which has been the citys tallest structure for the past two decades. While the yellow crane will eventually top out taller, the blue one can work in tight spaces because it has the ability to raise and lower the long arm in front called a jib. Crane operators get a birds-eye view of the city a job not for the faint of heart. They couldnt pay me enough to sit in the crane tower, said Dan Sall, eyeballing the big yellow crane from the Farnam Street sidewalk below. Sall, a former Omahan now living in Indianapolis, was in town to help move a niece into the dorms at Creighton University. Its great to be back in Omaha again and see all the new developments, he said. Krause said the crane operators communicate with their co-workers via radio to put loads into the correct place. The crane operators climb to the cabs early in the morning and stay up all day, Krause said. The cabs are equipped with heat and A/C as well as many safety features to help ensure the work is done safely. Wind is the biggest challenge they face, he said. About seven months have passed since city officials celebrated the groundbreaking on the headquarters. Renderings of the building depict a glowing, sky-scraping tower of glass. The skyscrapers top floor will offer views in all directions from a two-story atrium and hold large conference rooms and other meeting spaces. The public street-level lobby will feature displays paying homage to the companys history, the services it offers and its impact. Only recently have the first signs of upward construction become visible at the buildings site, bounded by 14th, 15th, Douglas and Farnam streets. The groundwork on such a project comes first, securing the base of the cranes and seating the buildings supports in bedrock. The supports for the future building are steel-cased, drilled shafts seated into bedrock and filled with concrete. The shaft diameters range from 3 feet to 8 feet in diameter depending on the load requirement. At the Starbucks coffee shop across from the job site, employees felt the rumble of the initial groundwork. We have felt vibration here when they drill into the ground, said shift supervisor Adriana Huerta. The whole building shakes. Its wild. The base of each tower crane is a large, cast-in-place concrete structure shaped like a box. It is anchored by several piles drilled deep underground to bedrock. The first section of the tower is cast into the poured base and given time to cure and gain strength before additional tower sections are secured on top of the base, Krause said. A third crane was needed to assemble the cranes initially. As the building grows in height, the cranes will grow in height they even build themselves. They lift new tower segments and, with the help of a hydraulic jack, add the segments to raise themselves vertically in 20-foot increments. Both cranes are located just outside the footprint of the building. As the building structure rises, they will be attached for additional stability during construction, Krause said. The center core portion of the tower is a concrete shear wall structure. The final pieces of glass will be installed as the crane lowers itself back down to the ground after the building is topped out and mechanical systems are hoisted to the roof. Omahans should start to see the garage structure taking shape this fall, Krause said. The first 15 floors of the building are a concrete parking garage. The timeline calls for completing the garage structure in summer 2024. Completion of the tower structure is expected in summer 2025. The garage skin would be completed in winter 2025. Interior finishes would begin in spring 2025, with the tower skin complete in spring 2026. The project is set for completion in summer 2026. The general contractor is J.E. Dunn Construction Group. Our best Omaha staff photos & videos of August 2023 New Delhi: Following his departure from the helm of the global software giant, Microsoft founder Bill Gates has embarked on a diverse range of endeavors, spanning from philanthropy to volunteering. His latest venture is a podcast named 'Unconfuse Me,' in which he delves into intriguing conversations with notable figures. In a recent episode, Gates welcomed Sal Khan, the visionary behind Khan Academy, for an engaging discussion. During the podcast episode, a lighthearted moment arose when Gates humorously inquired whether Sal Khan had ever been mistaken for Bollywood actor Salman Khan due to their shared last name. To this, Sal Khan playfully responded in the affirmative, revealing a surprising connection. He recounted receiving letters from fervent fans of the actor who expressed admiration for his presumed mathematical skill. Some even professed affection, believing him to be a multifaceted individual capable of excelling in both academics and acting. Sal Khan, the founder of Khan Academy, has been a driving force in the realm of education. Established in 2006 as a non-profit organization based in California, United States, Khan Academy has been instrumental in creating educational content that caters to students of all ages. During their insightful conversation, Sal Khan reminisced about an intriguing anecdote. He shared an incident where he and Bollywood actor Salman Khan found themselves on the same stage, owing to their coincidental name. This amusing situation prompted a further influx of fan letters, with supporters of both individuals marveling at their unexpected mathematical prowess. Dharamshala: Due to incessant rainfall in the region, all educational institutions will remain closed in the district on Wednesday (August 16), the Kangra district administration said on Tuesday. District Magistrate and Deputy Commissioner Nipun Jindal has issued orders in this regard. All educational institutions in Kangra district will remain closed on Wednesday, August 16. This decision has been taken in view of the continuous rains in the district and the alert of heavy rains in the next 24 hours. August 16 will be a holiday for all private and government educational institutions, vocational training centres and Anganwadi centres, the order issued by the administration mentioned. The Deputy Commissioner has asked the heads of all educational institutions to ensure compliance with the orders. It has been said in the order that heavy rains are still continuing in the district for the last 24 hours, due to which landslides are taking place in many places. In such a situation, the students and staff will find it difficult to reach educational institutions, so in view of security, a holiday has been declared on Wednesday, the order said. At the same time, while appealing to all the people to be careful, the Deputy Commissioner has instructed all to not go near the rivers and drains. He urged that in case of any kind of emergency, immediately inform the toll-free number 1077 of the District Disaster Management Center. Meanwhile, 440 people were rescued from the flood-affected areas of Kangra district in Himachal Pradesh with the help of an army helicopter earlier today, the District Public Relations Officer (DPRO) informed. Those rescued were sent to different relief camps. Medical camps have also been established in the district, the DPRO said adding that more water will be released from Pong Dam on Tuesday night which will affect about 17 villages of the region. After a 47-year hiatus in its lunar exploration program, Russia is about to return to the Earths satellite. The newly-launched probe is number 25 in the Luna historic series of spacecraft, which gave the now-defunct Soviet Union some of its greatest successes in the early years of the space race. These capsules, which at first were simple metal spheres crowned with antennae and instruments, marked great milestones in the history of space exploration since 1959. Luna-1 was the first artificial planet; it received that title after it was left orbiting the Sun when its aim failed by 6,000 kilometers (it was supposed to crash into our satellite). Number 2 was the first artificial impact on the Moon and number 3 obtained the first photographs of its hidden face; even though they were of poor quality, they caused quite a stir. Later, Luna-9 soft-landed in 1966, and that same year Luna-10 became the first human artifact to orbit a body other than Earth. The USSR scored all those partial victories before the final victory that was the arrival of the U.S. astronauts in 1969. By the end of 1968, the Soviet Union had already come to terms with the fact that the race to the Moon would be won by the Americans. The Soviet manned ship, and especially the rocket that was supposed to launch it, had been delayed too often. One last chance to scrape out a bit of prestige would have been to send a pair of cosmonauts to complete the first circumlunar voyage and leave the Moon landing to their American competitors, but the flight of the Apollo 8, on Christmas Eve 1968, dashed those hopes. Model of the 'Luna-1'. Roscosmos After that disappointment, the official mantra was imposed: denying that the USSR had lost the race, as it had never actually intended to participate in it. It would not be until Mikhail Gorbachevs glasnost that Moscow would acknowledge the existence of a poorly managed, poorly financed manned lunar program. In spite of everything, the USSR had a plan B. A few years ago, the Lavochkin company, which had built the first lunar probes, began to work on the next generation, based on a landing platform capable of carrying different types of loads, among them, an automatic sample collection capsule or a rolling vehicle. Thanks to Luna-16, launched only a year after the arrival of the Americans, the Soviet Union obtained its first samples of regolith in 1970. Just 3.5 ounces, collected on the shore of the Mare Fecunditatis plain the eye of the face that some see in the lunar disk. It was a great technological feat. The capsule, with its valuable cargo, lifted off from the Moon on a direct vertical ascent trajectory that would hurl it towards Earth like a cannonball, with no course corrections. While plunging into the atmosphere (at more than 6 miles per second), it experienced a brutal deceleration of 350 G, fifty times more than what astronauts making the same journey could withstand. Not two months had passed when the Luna-17 took off. The landing platform was identical, but this time it carried a curious wheeled vehicle: the first Lunokhod. For some, its clumsy appearance and the double television camera that resembled eyes made it amusing; others simply found it ugly, like some sort of moving bathtub. It consisted of two sections: the chassis, with eight wheels (plus another that served as an odometer), was capable of withstanding the roughest treatment; not for nothing its engineers had gained experience designing tanks. It lacked a steering system, so in order to turn, it moved the wheels on one side and stopped those on the opposite. The 'Lunokhod 1' Soviet moon rover being tested for the luna 17 mission, 1970. Sovfoto (Universal Images Group via Getty) On the chassis there was an airtight compartment that carried the scientific equipment, the radio and the batteries. A lid opened at sunrise, exposing the bank of photoelectric cells, and closed at night to protect it from the cold. It was projected for a useful life of three months (three full lunar days) but resisted for almost a year, traveling about six miles and carrying out hundreds of tests to determine the composition of the soil and its mechanical resistance. Afterwards, it was abandoned for many years until it was detected by a photographic satellite in 2010. Its laser reflector still works. Those two Luna missions, so close in time, constitute one of the great achievements of Soviet astronautics during those heroic years. Others would follow: two to collect samples and another to take a second Lunokhod vehicle. This one, by the way, traveled 25 miles, further than any rover manned by the astronauts of the Apollo program. About six years ago, the Lavochkin company offered the Lunokhod 2 for auction at Sothebys. Richard Garriot, a video game designer, paid almost $70,000 for it, even though it is parked in the Le Monnier crater and can never be brought back to Earth; for him, knowing that the only privately owned vehicle on the Moon belongs to him is enough. There is a third model, more advanced than its two siblings, but in the end it did not fly due to financial problems. Today it is one more piece in the Lavochkin museum, near Moscow. Other Soviet launches followed in the 1970s: one, orbital, to photograph and analyze the composition of the surface using remote sensors, and two more for sample collection. And the Luna-24, the last in the series, had a 6.5-foot drill bit that made it possible to obtain samples of deep rock. In total, Russia now has nine ounces of lunar material. The new generation Luna-25 is an entirely new probe, although it still takes advantage of the original moon landing platform design. Its main goal is to check the operation of the modern systems that control it, landing in an area of the Aitken basin, near the lunar south pole. There, the Suns rays arrive so tangentially that they never reach the bottom of some craters. In fact, the Luna-25 does not have its solar panels on top, but on its sides, to make better use of the light. These dark oases house some deposits of frozen water, as confirmed in 2009 by the first Indian space probe, Chandrayaan 2. Mini rovers designed by NASA to map the surface of the Moon. NASA If all goes well, Luna-25 may be the first vehicle to physically scratch the Moons ice. However, it is not alone in the race. Since mid-July, another Indian probe has been making its way to the same target, albeit following a much slower trajectory. Previously, in 2019, the Vikram, also from the Indian Space Research Organization, crashed while trying to land at 70 degrees south latitude. Other recent attempts to land on the Moon (although not in the polar regions) have not been successful either: the Israeli probe Beresheet in 2019, as well as the Japanese Hakuto-R lander, which carried a small rover built by the United Arab Emirates. Now, the Japanese space agency (JAXA) is experimenting with new technologies, from the semi-soft moon landing, using airbags (a first test failed last year) to a horizontal descent. As for NASA, apart from the almost $8 billion that it has allocated to its Artemis program (divided among consortia led by the companies of Elon Musk and Jeff Bezos), it has established contracts with at least four other smaller private companies to develop autonomous landers and rovers. The U.S. plans to send three mini rovers in 2024 that will coordinate without direct human intervention to map the lunar surface in 3D, using cameras and ground-penetrating radar. The Moon, especially its south pole, is going to be quite crowded for the next few years. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition New Delhi: With an eye on upcoming state assembly elections due to be held later this year, the Bharatiya Janata Party (BJP) has convened a significant gathering of its Central Election Committee at its headquarters in Delhi on Wednesday. Scheduled to be chaired by Prime Minister Narendra Modi, the meeting will be attended by prominent BJP figures, including Union Home Minister Amit Shah and party president JP Nadda, alongside other members of the central election panel. The central election committee holds a pivotal role within the BJP's decision-making framework, responsible for the finalization of candidates and the formulation of strategic election plans. The infrequent convening of this panel before the official announcement of elections highlights the BJP's determination to leave no room for chance, particularly following the setback experienced in the Karnataka election earlier this year. Anticipating a formidable challenge in the impending Assembly polls, the BJP has its sights set on five states - Mizoram, Chhattisgarh, Rajasthan, Madhya Pradesh, and Telangana. Notably, Chhattisgarh, Rajasthan, and Telangana currently have non-BJP governments led by opposition parties, prompting the BJP to intensify its efforts for success this time around. In the state of Mizoram, the ruling party, Mizo National Front (MNF), recently voted against its ally BJP in a no-confidence motion in the Lok Sabha this month. The MNF's critique of the BJP's approach to the Manipur situation underscored underlying differences within the alliance. Meanwhile, Madhya Pradesh, currently under BJP rule, is also gearing up for a closely contested battle. These Assembly elections hold added significance as they precede the General Elections slated for the following year. They are expected to set the tone for the high-stakes confrontation between the incumbent BJP and the united opposition bloc, INDIA. According to reliable sources within the BJP, the focal point of the meeting will be constituencies where the party's presence is less pronounced. The strategy revolves around the early identification of potential candidates for these constituencies, affording them ample time to prepare adequately. Insiders suggest that the meeting's agenda will extend to deliberations on key electoral issues within these states, along with devising strategies to counter the promises put forth by the Congress and addressing other election-related concerns. Visakhapatnam: Amid a strong buzz about Telugu Desam Party (TDP) joining the National Democratic Alliance (NDA) once again, former Chief Minister of Andhra Pradesh and the party president Chandrababu Naidu has said that he will talk about it at the right time. On being asked about his plan to join the NDA, Naidu said it is not the right time. It`s not the time to talk about joining the NDA government. I will talk about this at the right time, he said while talking to news agency ANI. Naidu was speaking to ANI, after releasing the Vision-2047 document at a programme organised in this Port City on Tuesday evening. One of the founders of the National Democratic Alliance (NDA) - the Chandrababu Naidu-led Telugu Desam Party (TDP) had left in protest against the Centre`s refusal to give special status to Andhra Pradesh. Naidu further said that his role is very clear for national politics in 2024.My priority is Andhra Pradesh. It`s my big agenda. I will prepare for the rebuilding and reconstruction of the state, the TDP chief said. Responding to the Amaravati capital issue, Naidu said, You (CM Jagan Mohan Reddy) are sitting in assembly. You are sitting in the secretariat. Where are you conducting the cabinet meeting? Is it temporary? What Jagan Mohan Reddy is talking rubbish. For the last ten years, they have been functioning. Everything got ready. We planned the world-class capital for Andhra Pradesh. I planned systematically one of the best ecosystems for Hyderabad for nine years. Notably, the unified state of Andhra Pradesh was bifurcated into Andhra Pradesh and Telangana in June 2014. As per the AP Reorganisation Act, Hyderabad became the capital of Telangana, and Andhra Pradesh had to find itself a new capital within ten years; until then, Hyderabad would serve as the capital for both states. In January this year, Jagan Mohan announced that Visakhapatnam is going to be the capital with no mention of it in any state assembly discussion or on any official documents. Later, the YS Jagan Mohan Reddy-led government decided to make three capitals in different cities of the State in order to ensure development in all parts of the state. Earlier on Tuesday evening, Chandrababu paid tribute to the statue of the former chief minister, the late NT Rama Rao, on Beach Road. New Delhi: Bittu Bajrangi, the head of a cow vigilante group in Faridabad, has been arrested in connection with communal clashes in Haryana's Nuh on July 31. Bajrangi alias Rajkumar was arrested after his questioning in connection with a fresh FIR registered against him at Nuh's Sadar police station based on a complaint by Assistant Superintendent of Police Usha Kundu. Haryana Police said Bajrangi, the president of an outfit called the Goraksha Bajrang Force, was initially detained by the Crime Investigation Agency team of Tauru for questioning. A spokesperson of Nuh Police later confirmed that Bittu Bajrangi was arrested after the questioning and will be produced in a city court on Wednesday. Who Is Bittu Bajrangi? Bittu Bajrangi heads the Faridabad Gau Raksha Bajrang Force, a cow vigilante group. The group describes itself as an "animal rescue service" on social media. Its social media pages have inflammatory content, with many posts on "love jihad" - a term used by right-wing activists to allege a conspiracy by Muslims to convert Hindu women. He runs a fruit and vegetable shop at the Gazipur Market and Dabua Market in Faridabad. Bittu Bajrangi is also known to be a close aide of wanted Bajrang Dal leader Monu Manesar, also under the scanner for the violence in Nuh. Manesar, who is wanted over his alleged role in the killing of two Muslim men earlier this year, is yet to be arrested. Bajrangi is accused of stoking communal tension ahead of a march organised by Vishwa Hindu Parishad in Haryana's Nuh by putting up inflammatory videos. He was also present during the yatra. Bittu Bajrangi was arrested earlier on August 4 and was out on bail. According to a senior police officer, Bittu Bajrangi and his associates brandished weapons during the procession that was attacked by a mob on July 31. The weapons were seized by police, but Bajrangi and his aides allegedly attacked a police vehicle and snatched them back. The police officer said they also threatened cops. The FIR against Bittu Bajrangi and at least 15 others accuse them of rioting, unlawful assembly, obstructing a public servant from discharging duty, armed robbery and criminal intimidation. They have also been charged under provisions of the Arms Act. What Are The Charges Against Bittu Bajrangi? Bittu Bajrangi faces multiple charges, including rioting, armed robbery and criminal intimidation. An FIR has been registered against Bajrangi under Indian Penal Code sections 148 (riots), 149 (unlawful assembly), 323 (causing hurt), 353, 186 (obstructing a public servant from discharging duty), 395, 397 (armed robbery), and 506 (criminal intimidation) and provisions of the Arms Act, police said. VHP Disassociates Itself From Bittu Bajrangi Meanwhile, the Vishva Hindu Parishad has disassociated itself from cow vigilante Bittu Bajrangi arrested in connection with the communal clashes in Haryana's Nuh district. "Raj Kumar alias Bittu Bajrangi, who is said to be a Bajrang Dal worker, has never had any relation with Bajrang Dal. The Vishva Hindu Parishad (VHP) also does not consider the content of the video allegedly released by him to be appropriate," the right-wing outfit said in a statement. Bajrang Dal is the youth wing of the VHP. Six people, including two home guards and a cleric, died in the clashes that also spread to nearby areas. New Delhi: In an effort to address the challenge of more than 40,000 vacant flats within its inventory, the Delhi Development Authority (DDA) has taken the help of a private consultancy firm to revitalise the housing market approach. According to information, for the first time since its establishment in 1957, the DDA has partnered with a private real estate consultancy to rejuvenate its presence in the city's housing market. Speaking with IANS, a DDA official said that it was being done to simplify the proceess and give it a professional touch which will help the Authority and homebuyers both. In basic terms, the consultant's task will involve examining and assessing the data from the DDA concerning the effectiveness of its housing schemes, housing standards, and pricing methods. The agency will present its discoveries in a report to the DDA, which will encompass vital suggestions for the DDA to contemplate and potentially put into action. According to DDA officials who didn't wish to be named said that the housing market has undergone a transformation, providing homebuyers with alternatives from private entities. Therefore, their conventional approach must be reevaluated, as it no longer remains effective. They said that DDA must embrace modifications to position ourselves as pivotal participants in the housing market. A standard DDA housing scheme entails specific prerequisites for potential buyers to qualify for application, ranging from not possessing a residence or land in Delhi to obtaining their preferred housing option through a randomised selection process. While the DDA was once a significant contributor in Delhi's housing market, entrusted with the responsibility of offering economical housing, the allure of its housing schemes has gradually diminished. Expanding on the deficiencies highlighted by past applicants who relinquished DDA flats, the official again wishing anonymity mentioned that distant locations like Narela and Rohini, elevated costs, insufficient connectivity and quality, as well as undersized accommodations, contributed to the gradual decrease in the appeal of DDA flats. The Australian Police has arrested a 45-year-old Canberra resident Muhammad Arif for threatening to blow up a plane during a flight from Australia to Malaysia, reported AP. The incident took place on Monday, after the Malaysia Airlines flight MH122 returned to Sydney Airport when Arif became disruptive and claimed to have explosives on board. A video of the alleged incident is going viral on social media platforms like Twitter. The police arrested Arif on Monday, three hours after the flight landed in Australia and charged him on Tuesday with making a false statement about a threat to damage an aircraft and failing to comply with the cabin crews safety instructions. As per the reports, the airliner left Sydney on Monday with 199 passengers and 12 crew on a scheduled eight-hour flight to Kuala Lumpur in Malaysia. One of the passengers, Velutha Parambath, said Arif had drawn attention to himself before takeoff by praying aloud. At that point, we just thought he was praying for everyone. People just generally had a laugh, AP quoted Parambath, who was seated five rows behind Arif. But half an hour into the flight, Arif became louder, stood up and started pushing and shoving passengers, Parambath said. The man implied that he had explosives in a backpack, I dont think he specifically said bomb. But he was carrying his bag and he said, Ive got power in my arms, said Parambath, who was traveling with his wife and three children. Malaysia Airlines said the pilot decided to return to Sydney for safety reasons. The passengers concerns escalated after they landed and spent almost three hours in the plane on the tarmac. All we saw were fire engines surrounding us and again people reading the news saying theres potentially a bomb on the plane, Parambath said.] New South Wales Police Commissioner Karen Webb defended the delay between when the plane landed and when two police officers handcuffed Arif. We can never presume anything and you dont know whether this person was acting alone or he actually had other support on the plane or outside the plane, Webb said. The chrarges carry a maximum penalty of 10 years in prison and a fine of more than 15,000 Australian dollars ($7,300) respectively. KCET Counselling 2023: The KCET Seat Allotment Result 2023 is expected to be released today, August 16. The Karnataka Examination Authority will release the final seat allotment for KCET Counselling 2023 based on the selections saved by students. KCET 2023 seat allotment results will be accessible on the official website--kea.kar.nic.in--once they are issued. To obtain the results, candidates must enter their CET number. KEA released the KCET Mock Seat Allotment Result 2023, and candidates who were granted a seat were given the choice to reorder, delete, and add to their course/college preferences. The final seat allocation result will be delivered based on the alternatives saved by the students. KCET Counselling 2023: Steps to check here Step 1. Visit the official website at cetonline.karnataka.gov.in Step 2. On the homepage, click on the UGCET 2023 Round 1 Seat Allotment Result 2023 Step 3. You will be redirected to a new page, enter your application number and password Step 4. Your KCET round 1 seat allotment status will be displayed on the screen Step 5. Download and take a printout of the allotment result for future reference KCET Counselling 2023: Check the choices below: Choice 1: If you are satisfied with your assigned seat, you will pay the fees, download the admission order, and report to the college. No other rounds of any discipline are available. Choice 2: Satisfied, but would like to participate in the second round by retaining the assigned seat. Should not enrol in college and instead wait for the second round. Choice 3: Dissatisfied, but willing to participate in the second round without a seat. Option 4: Dissatisfied and uninterested. Wish to withdraw from the Allotment Process. Once Round 1 counselling is completed, KEA will begin the KCET Round 2 counselling procedure. In a momentous stride towards inclusivity, Madhya Pradesh's Police Department has made a groundbreaking decision by granting permission for a woman constable to undergo gender transition. Under the leadership of Chief Minister Shivraj Singh Chouhan, the state government has approved the request of constable Deepika Kochari, allowing her to transition and continue her service as a male officer. This progressive move showcases the state's commitment to acknowledging diverse gender identities and fostering an environment of acceptance within the police force. Recognizing Identity: Fostering Inclusiveness within the Force The decision to approve gender transition for a woman constable underscores Madhya Pradesh's dedication to recognizing and respecting individual identities. It sets a crucial precedent for inclusivity within the law enforcement agency. Government's Consent: Upholding Personal Choices The Madhya Pradesh Home Department issued an official order on Monday, giving formal consent for Deepika Kochari to undergo gender reassignment surgery. This monumental approval, based on her medical report, opens the path for her transition while continuing her service. Balancing Benefits and Changes: Impact on Duties While Deepika Kochari will resume her role as a male officer following the transition, the order outlines that certain benefits previously available to female officers may no longer apply post-transition. This measured approach ensures equitable treatment while considering the implications of gender reassignment. Legal and Judicial Considerations: Ensuring Decision Legitimacy The approval for gender transition was made after thorough consultations with the legal department and in accordance with High Court directives. This assures the decision's legality and upholds the individual's rights. Continuing Inclusivity Momentum: A Historical Precedent Madhya Pradesh's support for gender transition within the police force isn't unprecedented. In 2021, another female constable, Aarti Yadav, was granted similar permission for gender reassignment, reaffirming the state's dedication to cultivating an inclusive and respectful work atmosphere. Pathway to Inclusiveness: Empowering Officers of All Genders By endorsing gender transition and enabling continued service, Madhya Pradesh's Police Department sends a powerful message of inclusiveness and validation. This approach not only honors personal choices but also emphasizes the state's commitment to maintaining a diverse and efficient law enforcement entity. As Madhya Pradesh leads the way towards more equitable and open practices within its police ranks, it underscores the notion that a varied and inclusive force is better equipped to effectively serve and safeguard the community. New Delhi: Prime Minister Narendra Modi on Wednesday paid tributes to former PM and Bharatiya Janata Party (BJP) stalwart Atal Bihari Vajpayee on his death anniversary and said that the late leader played a pivotal role in boosting the nation`s progress and in taking it to the 21st century in a wide range of sectors. Taking to X, formerly known as Twitter, PM Modi said that the country has benefitted greatly from Vajpayees leadership. "I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nation`s progress and in taking it to the 21st century in a wide range of sectors, the PM said in a tweet. I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nation's progress and in taking it to the 21st century in a wide range of sectors. Narendra Modi (@narendramodi) August 16, 2023 The PM accompanied by top BJP leaders and Union Ministers visited 'Sadaiv Atal' Memorial where he paid floral tribute to former PM Atal Bihari Vajpayee on his death anniversary. Delhi | Prime Minister Narendra Modi arrived at 'Sadaiv Atal' memorial today and paid floral tribute to former PM Atal Bihari Vajpayee on his death anniversary. pic.twitter.com/S1ETY9KkVr ANI (@ANI) August 16, 2023 Born in Gwalior in 1924, Vajpayee was the face of the BJP for decades and was the first non-Congress prime minister to have served a full term in office. Vajpayee served as the Prime Minister of India from May 16, 1996, to June 1, 1996, and again from 19 March 1998 to 22 May 2004. He also served as India`s External Affairs Minister in the cabinet of Prime Minister Moraji Desai from 1977 to 1979. He passed away at AIIMS Hospital in Delhi on August 16 in 2018. After coming to power in 2014, Prime Minister Narendra Modi, in order to honour the former Prime Minister and Bharat Ratna recipient, declared that December 25 would be celebrated as Good Governance Day every year, his birthday. Aurangabad: Nationalist Congress Party (NCP) president Sharad Pawar on Wednesday asserted he will not align with the Bharatiya Janata Party (BJP) and instead work towards bringing about a change in 2024 when the Lok Sabha polls will be held as he sought to downplay his last week's meeting with politically estranged nephew Ajit Pawar. He sought to nix speculation that the Congress and the Shiv Sena (UBT) were working on a 'Plan B' to contest the 2024 Lok Sabha elections without his faction of the NCP. The Congress, the Shiv Sena (UBT) and the NCP (Sharad Pawar faction) are constituents of the opposition Maha Vikas Aghadi (MVA). "The news of a 'Plan B' (by Congress and Sena-UBT to together contest polls) is wrong. No such plan is being made. There is a need for change in 2024 and therefore were are preparing for it, " Sharad Pawar told reporters in Aurangabad ahead of his public rally in Maharashtra's Beed district on Thursday. Asked about his hush-hush meeting with Maharashtra Deputy Chief Minister Ajit Pawar, who has aligned with the BJP, in Pune last week, the senior Pawar said, "He is my family member. If any ceremony is planned in our family, naturally I will be consulted at that time." Seeking to clear his stand on the much-talked-about meeting with his nephew, which has caused unease among his allies Congress and the Shiv Sena (UBT), the NCP patriarch asserted, "I will not go with the BJP. To stop unrest among people, we need to bring a change in 2024. We will do every possible to bring this change." The meeting between the NCP founder and Ajit Pawar on August 12 at businessman Atul Chordiya's residence in Pune had sent political circles abuzz with speculation. It also did not go down well with the Congress and the Shiv Sena group led by former CM Uddhav Thackeray. Apparently referring to the split in the NCP after Ajit Pawar and eight other party MLAs joined the Eknath Shinde-led government in early July, the former Union minister said, "Those who have left me (in the past) didn't get election tickets again." Asked about the Ajit Pawar group still using his photos, the former Union minister said, "We are going to court over this issue." Sharad Pawar indicated his faction is in danger of losing the party symbol and noted he has sent his reply to the Election Commission over the issue. "I have replied to the notice sent by the Election Commission. Looking at the decision that came up in regards to the Shiv Sena (whose poll symbol was given to rebel faction led by Eknath Shinde), our party symbol (clock) seems to be in danger. But I don't care for symbol as I have contested elections on many symbols like bullock pair, cow and calf, and won," he said. The rebel NCP faction led by Ajit Pawar has approached the EC and sought allotment of the party symbol clock. The NCP founder said Prime Minister Narendra Modi did not think the situation in Manipur was important enough to visit the violence-hit state. "The Modi government has been a mute spectator to the goings on in the (Manipur) region. The northeast region is important and sensitive. More attention needs to be paid to the areas bordering China," the former defence minister said. The NCP chief claimed that 'things happening and being orchestrated in northeast are extremely dangerous for the country.' "Manipur is an example," he added. "PM Modi spoke outside Parliament and gave a three-minute video message on day one of the Monsoon session, and also briefly mentioned Manipur in his lengthy reply to the debate on the no-confidence motion," the NCP chief said. "Modi should go to the northeast and give confidence to the people, but he did not think it important. Instead, he preferred to address election meetings in Madhya Pradesh," Sharad Pawar said. He also said injustice has been done to his party colleague and former minister Nawab Malik, who is out on interim bail in a money laundering case, in which he was arrested in February 2022. "I have spoken to him (Malik). Injustice has been done to him as he was kept in jail for a long time for no reason. Political issues will take their time. It's time for him to be with family," he said. An electric shaver factory in Drachten, in the north of the Netherlands, is preparing for tests that will enable the European industry to thrive in increasingly competitive international markets. This factory, managed by the consumer electronics company Philips, is participating in a European Union-funded research project whose purpose is to encourage manufacturers to use digital twins, in other words, virtual factories created with technologies designed to optimize current production processes. The idea is to use techniques like cloud computing, artificial intelligence, robotics and blockchain technology to create models of manufacturing processes and identify areas for improvement. The industry is experiencing a transition phase and digital technologies can help, says Cecile Girardot, coordinator of the DIMOFAC initiative, which will run until March 2024: Digital twins provide real-time data that can show the performance of machines in the real world on a virtual plane. Europes 5 billion ($5.4 billion) manufacturing sector, with global powerhouses in areas such as aviation, steel, automotive and chemicals, must grapple with export-oriented manufacturers abroad and more stringent environmental standards within the European Union. These two underlying challenges are compounded by spikes in energy prices following the Russian invasion of Ukraine in February 2022 and supply chain disruptions triggered by the Covid pandemic two years earlier. The EU has set up a 1.15 billion ($1.25 billion) public-private partnership called Factories of the Future to promote research and innovation in the field of manufacturing, which illustrates the importance of this issue on the EUs political agenda. What is on the line is the ability of European companies to adapt to what has been dubbed the fourth industrial revolution, or Industry 4.0, an era characterized by automation and connectivity. The virtual factory concept is increasingly gaining ground in Europe. The aeronautics company Airbus and the electrical equipment specialist Schneider Electric are exploring digital twin concepts and establishing virtual production plants. Since it launched in late 2019, DIMOFAC has developed a system to streamline manufacturing processes called plug-and-produce, which enables the reconfiguration of production lines by connecting real machinery to their corresponding digital twins. Using this system, a manufacturer can simulate a new configuration virtually and resolve any problems online before installing the equipment in a physical factory. Production problems may arise, for instance, if recycled raw materials are used whose properties are not always identical, as Girardot, who coordinates European projects on advanced materials and manufacturing at the French research institute CEA-Liten, explains: In these cases, it is necessary to adapt production. The sooner this is done, the better. The main objective is to reduce the time required for reconfiguration. The projects plug-and-produce system will be piloted in five existing production plants across Europe. Alongside electric shavers in the Netherlands, there are aerospace components fabricated by Eirecomposites in Ireland and interactive screens produced by Schaltag in Switzerland. This technology is being implemented at all five sites and results are expected within the first quarter of 2024. DIMOFAC has succeeded in bringing together a wide range of industrial expertise thanks to its 30 partners, including Siemens Industry Software (France), mechanical engineering company FILL (Austria) and EXOM Engineering (Spain). Improved coordination of design and manufacturing Another EU-funded project, FIRST, which has brought the future of digital factories a step closer, has explored how digital factories can increase efficiency in production facilities spread across multiple locations. The new designs and processes developed by FIRST will enhance collaboration, said Lai Xu, coordinator of the initiative, which concluded in December 2022 after six years in operation. In the past, production plants were often quite isolated, says Xu, who is also an associate professor at Bournemouth University (U.K.) and works on collaborative business processes and virtual enterprises. According to Xu, the focus of FIRST was to identify the stumbling blocks and obstacles that could hinder the use of virtual factory technologies and to develop strategies to address them. The project partners (seven in total) were manufacturers, software companies and universities and came from countries such as Germany, Italy, the Netherlands and China. Xu is optimistic about the potential that digital twins can offer the industry on business and environmental levels. As an example, using a digital model of the entire production process, a company could design a pair of shoes in Paris or Milan and then coordinate their manufacture and sale on a global stage. By making use of blockchain technology, an IT network could connect all stakeholders (stores, designers, logistics companies and manufacturers) and send them information about exactly what is needed, where and when. A tailor-made shoe can be designed in one place and manufactured in another, resulting in a more efficient and flexible manufacturing process and a reduced environmental impact, explains Xu. Virtual factory technologies do not require an all-or-nothing approach. Manufacturers can embrace some aspects to improve processes without having to commit to a comprehensive implementation. This may be particularly valuable for many small and medium-sized companies in the European manufacturing sector, which do not have the resources to completely overhaul their systems. Virtual factory technologies can also help companies more efficiently manage the maintenance processes of complex machinery, leading to cost savings. Sensors around the machines collect data that permit programming when an engineer should be dispatched to repair them, says Xu. As proof of the ever-growing importance of virtual manufacturing technologies, the FIRST coordinator says that the consortium is seeking funding from the EU and other countries to achieve further advances in this field. The research described in this article has been supported by EU funds. This article was originally published in Horizon, the European Union Magazine for Research and Innovation. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition World's Richest Man Of 17th Century: Indian history becomes increasingly fascinating and fantastic as we learn more about it. India, a developing nation today, used to be among the richest nations in the world in terms of both economic and cultural resources. India was called "Sone ki Chidiya," which translates to "Golden Bird," for a reason, since the country had a pretty robust money market and credit instruments at the time the East India Company first arrived. Bankers and merchants began to play a crucial role in the nation's tax system when Aurangzeb's government came to an end. The fact that India had a banker and a trader in the 17th century, like Seth Fateh Chand of Bengal, is truly something to be proud of. 300 years ago, he was given the name Jagat Seth. Fateh Chand To Jagat Seth Muhammad Shah, the Mughal emperor, renamed Seth Fateh Chand to Jagat Seth in 1723. The entire family of Fateh Chand was henceforth referred to as the Jagat Seth Family. The founder of the family is supposedly Seth Manikchand. It is said that Girdhar Singh Gehlot, a Gehlot Rajput, converted to Jainism in 1495 AD, making Jagat Seth Mahtab Rai's ancestors Marwar residents. The Hiranand Sahu family relocated to Patna in 1652 AD from Marwar. Jagat Seth: Banker Of The World In Bengal during the first half of the 18th century, Jagat Seth, a colossally wealthy banker, was referred to as the "Banker of the World." It's interesting to note that he was the first person in India in the 17th century to lend money not just to individuals but also to powerful countries like Britain, and he even possessed a sizeable sum of money that was more than the bank of Britain. His holdings and possessions are estimated to weigh roughly 10,000,000 pounds, according to sources. It will be close to 1000 billion pounds (1.60 Lakh Crore) overall if calculated in terms of today. According to contemporary British government documents, the Jagat Seth family's entire assets were reportedly significantly bigger at the time than the combined assets of all English banks. The British economy lagged behind the merchant household's wealth even in the 1720s. Friendship With Nawab Of Bengal Murshid Quli Khan, the first Nawab of Bengal, and Manikchand Sahu, Hiranand's son, became close friends. At this point in pre-independence India, the Sahus, or Seths, had offices in almost all significant cities. Their offices were eerily similar to those of a contemporary bank. Even their internal communications included messengers and other tools for boosting trade between cities. The Sahus were soon being used to move money between locations by the Nawab and the British. They also made a lot of money by aiding the British, French, and Portuguese colonial powers in their wars against smaller kingdoms in certain regions of India. The kingdom of Jagat Seth began to fall apart after the deaths of Madhab Rai and Maharaj Swaroop Chand, as they lost control over most of the area they held. In addition, the British East India Company never returned the money that it borrowed from them. The Jagat Seths, or Sahu Family, vanished by the 1900s, and no one is aware of their descendants. New Delhi: A Delhi court has allowed Bollywood actor Jacqueline Fernandez to leave the country without its prior permission, modifying the bail condition in a Rs 200-crore money laundering case lodged against her and alleged conman Sukesh Chandrashekar. The court directed her to intimate to it as well as the Enforcement Directorate at least three days before leaving the country instead of taking prior permission. Special Judge Shailendra Malik, who had granted bail to Fernandez on November 15 last year, observed that in order to grab professional opportunities, the actor requires to travel abroad at short notice and she has never misused the conditions of bail at any point in time. The judge modified the condition in an order passed on August 10, noting that taking prior permission of the court before leaving the country "becomes cumbersome and may be a reason for losing livelihood." While granting bail to Fernandez, the judge had directed her 'not to leave the country without prior permission of the court'. The court noted that while Fernandez was facing trial in the money laundering matter being probed by the ED, she was made a witness in a related case being investigated by the Economic Offence Wing (EOW) of Delhi Police. It was also undisputed that the accused never misused the conditions of bail at any point in time, the court observed. The judge said that the purpose of putting conditions on the accused to take prior permission before leaving India was only to ensure that she must appear during the course of trial and there must be least possibility of her fleeing from the judicial process. "In the present case, I find considering the fact that the accused being an actor in the Indian film industry and has to travel abroad frequently and in certain situations, in order to grab professional opportunities, she requires to leave the country with short notice. "In such a situation, the condition of taking prior permission before leaving the country becomes cumbersome and may be a reason for losing livelihood,? the judge said. The judge further noted that Fernandez was a citizen of Sri Lanka but was living in India since 2009 and had been regularly paying income tax since 2009. There has never been any instance of the accused violating any condition of bail, the judge observed. "In such a situation when apprehension of investigating agency can be removed by putting certain other conditions, I find that it would be just an equitable to modify conditions of bail regarding taking of prior permission before leaving the country. Accordingly, application of accused is being allowed," the judge said. In her application, Fernandez claimed that ?being an actor of international acclaim, she frequently engaged in film shooting, appearance at events, participation in various award functions etc. Being indispensable to her professional occupations and livelihood. In certain situations, it becomes time-consuming for the accused to take the court's approval for going abroad, which is mandatory under the terms of bail." Due to that reason accused has faced certain situations wherein organisers, producers and other film industry professionals, on account of the time-consuming process of seeking court approval for leaving India, opted to engage other individuals resultantly potential financial loss and reputational implications to her, the application claimed. The court had granted bail to Fernandez in the case on a personal bond of Rs 50,000 and one surety of like amount. The court had on August 31, 2022, taken cognisance of a supplementary charge sheet filed by the Enforcement Directorate (ED) and asked Fernandez to appear before the court. Fernandez, who was summoned by the ED several times in connection with the investigation, was named as an accused for the first time in the supplementary charge sheet. The ED's earlier charge sheet and supplementary charge sheet did not mention her as an accused. The documents, however, had mentioned the details of the statements recorded by Fernandez and fellow actor Nora Fatehi. New Delhi: Who is Orry aka Orhan Awatramani? Anyone following Bollywood or Gen-Z celebs in the Hindi showbiz world would have come across this question. Well, we all wanna know who is he after all. Orry, as he is popularly called is often seen at high-end fashion events, Bollywood glam parties, hanging out with A-listers, and star kids including Janhvi Kapoor, and Nysa Devgan among others. But...who is Orry? WHO IS ORRY? So, Orry decided to give in a little brief about himself on a video shared by Netflix. Yes, he did. But didn't really reveal much information. Basically, promoting Alia Bhatt and Gal Gadot's 'Heart Of Stone', the pap-favourite fashion aficionado is seen in a fun video where he is talking about himself. Watch it here: Many of his celeb friends including Janhvi Kapoor, Jacqueline Fernandez, Alaviaa Jaaferi and Sara Tendulkar - all dropped their amazing comments. Orhan Awatramani aka Orry's personal information is not out there in the open as yet other than the fact that he is a social activist from Mumbai, who loves flaunting his high-end brand affection. He is more often than not seen in Balenciaga, Prada and other international labels. According to his LinkedIn profile, Orhan works as a Special Project Manager at RIL Chairpersons Office. He pursued a bachelor's in Fine arts and communication design from New York's Parsons School of Design. He not only parties with Bollywood celebs but has been spotted with the Kardashians, Joe Jonas, Anne Hathaway, and Kylie Jenner among others. In June this year, Orhan Awatramani gave netizens a pleasant surprise after he posted a picture with none other than Congress leader Rahul Gandhi from his meeting in London. The two met at the Nobu, Old Park Lane restaurant. This surely got him trending on social media all day. New Delhi: Google's AI-powered Search Generative Experience (SGE) is getting a major new feature, which will summarise articles for users while reading on the web. Currently, the feature -- "SGE while browsing" is in the experiment phase. "When you're trying to understand the ins and outs of a new topic, you often need to digest long or complex web pages, and its not always easy to hone in on specific details. So starting today, we're launching an early experiment in Search Labs called "SGE while browsing", available in the Google app on Android and iOS, and coming to Chrome on desktop in the days ahead," Google said in a blogpost on Tuesday. If users have access to the Google app on mobile, the company will pull up AI-generated "key points" from an article when they tap the icon at the bottom. The feature is designed to work "only on articles that are freely available to the public on the web", however, the company said that the feature wont work with websites that publishers mark as paywalled. Google is also making a number of other enhancements to SGE. Google said that users will be able to hover over specific words in the SGE results for a search query about topics like science, economics, and history to get definitions or diagrams about a topic. The tech giant is also making it easier to understand SGE's coding information summaries. "Whether youre a new or experienced programmer, learning more about coding is probably on your running to-do list, and generative AI can be a helpful tool. Starting today, well add new capabilities to SGE so its easier to understand and debug generated code," Google said. Meanwhile, Google seems to be working on an artificial intelligence (AI) writing and editing feature for Chromebooks. The tech giant is actively working on a project that has at least five codenames associated with it, with the main three being "Orca", "Mako" and "Manta", reports 9To5Google. New Delhi: Russian Foreign Minister Sergey Lavrov on Tuesday acknowledged India, along with other global south countries, for their 'sincere' commitment to coming up with realistic solutions to the ongoing Ukraine conflict. "We appreciate China, Brazil, South Africa, Egypt, India, and other Global South countries' sincere interest in promoting the quest for fair and realistic settlement avenues," Lavrov said at the 11th Moscow Conference on International Security. The Russian foreign minister highlighted, "It is critically important that the proposals coming from our friends in the developing world are based on a clear understanding of the true causes and nature of ongoing developments as fallout from the West's efforts to undermine the principle of indivisibility and security." India, in particular, has emphasized the urgent need for an immediate cessation of hostilities and a return to diplomatic negotiations to address the ongoing Russia-Ukraine conflict. Lavrov's specific mention of India as a contributor to the pursuit of equitable resolutions serves as an indication of India's growing influence on the global security stage. During his meeting with Russian President Vladimir Putin last year, Prime Minister Narendra Modi had underlined the necessity of avoiding war. Furthermore, New Delhi has demonstrated its commitment by extending humanitarian aid to Ukraine. Lavrov's comments come ahead of the upcoming key BRICS and G20 summits. While Putin will virtually attend the BRICS summit in South Africa's Johannesburg, Foreign Minister Lavrov will represent Russia in person. On the other hand, Russia has so far not made any official announcement regarding Putin's in-person presence at the G20 summit, which is set to take place in New Delhi next month. The ongoing conflict between the G7 countries and Russia and China continues to cast a shadow over the group of 20 most powerful economies. Amid this, India's role is seen as pivotal that can potentially influence the course of events. Public health officials often face the decision of how to best allocate taxpayer money. Ideally, buying medicines that cure every ailment would be the clear answer. However, the reality is more complex. Not all diseases have effective therapies, new treatments are costly, and budgets are always tight. Therefore, the best strategy is to invest in what benefits the populations health the most. This involves prioritizing drugs that increase life expectancy, enhance well-being, and serve unmet medical needs. A recent research study in eClinicalMedicine conducted by experts from the Memorial Sloan Kettering Cancer Center in New York and the University of Zurich has identified an additional challenge in this complex equation: the cancer premium. Miquel Serra, co-author of the paper and a health economics and epidemiology researcher at the University of Zurich said, New cancer drugs tend to be up to three times more costly compared to drugs for other diseases, even when considering equivalent efficacy for conditions with similar incidence and mortality rates. This price disparity cannot be solely attributed to these factors or to higher research and development expenses. Miquel Serra, in the University of Zurich library. The study found that the cancer premium is almost universal. The researchers observed it in three countries that are representative of the most common public policies regarding pharmaceuticals. In the United States, where there is no public healthcare system, companies are free to set drug prices. In Germany, the public health system initially agrees to pay what companies ask and renegotiates after a year based on the results. In Switzerland, an evaluation is done before accepting a drug into the public health system. The Swiss system resembles the one in Spain. In general, Spain negotiates slightly lower prices compared to northern European countries. However, experts say there still are variations in costs between oncological and non-oncological treatments. The difference comes from applying discounts to a mostly consistent official public price across Europe. The studys significant finding is the identification of the cancer premium. Regulatory differences account for the considerably higher prices in the United States, slightly higher prices in Germany, and lower prices in Switzerland. However, cancer treatments remain considerably more expensive in all instances, said Beatriz Gonzalez Lopez-Valcarcel, a professor of economics at the University of Las Palmas in Spains Canary Islands. According to researchers, the cancer premium has significant implications for equitable access to health care and the sustainability of health systems, especially considering the substantial increase in drug prices. A recent study conducted by Spains Ministry of Health shows that hospitals in Spain have experienced a 94% rise in the cost of cancer treatments in just five years (2016-2021). The concept of a premium alters efficiency dynamics in health systems. The objective is to allocate resources where they yield the greatest health benefits. However, if cancer treatments need triple the funding for equivalent results, other areas may be neglected. This risks compromising overall population health due to inefficient resource allocation, said Serra. Social injustice raises treatment expenses, diverting resources from other important illnesses in a context where health system sustainability is a concern. Beatriz Gonzalez Lopez-Valcarcel, University of Las Palmas, Spain The researchers analyzed a total of 181 drugs recently launched by the pharmaceutical industry. Out of these, 68 (37.5%) were oncological. Among them, 177 were available in the U.S. market, 116 in Germany, and 93 in Switzerland. Notably, there are significant price differences among the countries. The average price of the new treatments is $49,000 (45,000) in Switzerland, $63,200 (58,000) in Germany (29% higher), and almost $100,300 (92,000) in the U.S. (104% higher). The researchers have primarily focused on the significant rise in the cost of new drugs in the last decade, particularly among cancer patients. This increase has been more pronounced in all countries, except Germany. In the United States the price of new treatments for other diseases has gone from an average of $26,000 (24,000) in 2011 to $68,750 (63,000) in 2020, with an average annual increase of 17.6%. Oncology drugs, on the other hand, have shot up 31% annually, going from $51,000 (47,000) per drug to $196,400 (180,000). In Germany, the costs of non-oncological drugs have increased from $24,000 (22,000) to $41,400 (38,000) per year (7.9% annual growth), while cancer-related drugs have risen from $50,200 (46,000) to $72,000 (66,000) per year (4.8% annual growth). Similarly, in Switzerland, annual price increases over a decade have been 3% for in non-oncological drugs and 8.1% for cancer drugs. These data indicate that the cancer premium was around $74,200 (68,000) per treatment in the United States, $37,650 (34,500) in Germany, and $32,700 (30,000) in Switzerland, considering the average of all drugs released in the last decade. Pricing policy The pharmaceutical industrys pricing policies are the primary cause of these disparities. The industry claims that high prices are attributed to increased cancer research and development costs. However, scientific evidence has proven that drug prices are not correlated with actual expenses. Furthermore, it neglects to consider that a significant portion of initial drug development is financed by the public, said Jaime Espin, a professor of health economics at the Andalusian School of Public Health and former adviser on drug pricing to the World Health Organization (WHO), the World Bank and the European Commission. Kerstin Vokinger, a professor at the University of Zurich and co-author of the article, examined the factors that drive health systems to accept these higher prices. Higher prices for cancer drugs may reflect higher public awareness and fear of oncological disorders compared to other diseases, he said. More than research and development costs or treatment effectiveness, social willingness is the main driver of the cancer premium, experts say. This willingness persists despite studies indicating that fewer than half of approved cancer treatments provide substantial therapeutic benefits that enhance patient survival or quality of life. Serra and Vokinger recently conducted another study published in The BMJ, and found that only 41% of new cancer treatments approved by the U.S. Food and Drug Administration (FDA) provide substantial therapeutic value. This percentage increases to 47% for treatments approved by the European Medicines Agency (EMA). When a drug is approved for a second indication, such as using a therapy for one type of breast cancer to treat another tumor in a different organ, the therapeutic value achieved drops to 34% and 36%, respectively. The decreasing effectiveness in the second and third indications has significant implications for health systems. The drug price remains the same despite an increasing number of approved indications for the same treatment [sometimes more than a dozen]. This may divert resources towards less efficient therapeutic options, said Vokinger. Public health systems use stringent evaluation processes to determine the therapeutic value of drugs and indications eligible for public financing. In Germany, therapies are made available upon approval by the EMA, followed by a reassessment of their effectiveness after a year. If the observed effectiveness is lower than expected, companies may be asked to reduce prices to continue selling the drug to the public health system. While mechanisms exist to exclude less effective indications from the system, the challenge lies in the fact that all therapies maintain the same price, regardless of their therapeutic value. Countries like Spain, France and Switzerland face a dilemma in evaluating drugs for their public health systems. High prices require strict financing and prioritization of more effective indications. However, this limits options in the system. One potential solution is setting different prices based on effectiveness per indication. Spanish law currently prohibits this, but reforms are being studied, said Espin. In some cases, health systems allocate more resources to certain pathologies, such as certain types of cancer and rare diseases. This is to promote research and provide therapeutic options for patients with limited treatment choices. This is the reality for certain types of cancer and rare diseases with low incidence rates and limited treatment options. Allocating more resources to these areas promotes research and ensures that patients have viable therapeutic options. This may explain the reason for the higher cost in certain cases. However, the study shows that it is consistently applied to all anticancer medications, including those with several alternative therapies for tumors that are prevalent in the population, said Gonzalez Lopez-Valcarcel. Jaime Espin highlights two factors to consider when evaluating this type of study. They provide valuable information, but they do have limitations. First, they are based on the official prices of drugs, which are publicly available. However, healthcare systems often negotiate confidential discounts with companies, resulting in potentially lower actual prices and fewer inefficiencies. Second, public hospitals often purchase in volume, which can provide additional discounts. In some cases, this prompts the purchase of treatments for second indications, even if they are less effective, to lower the average purchase price. Its important to note that the details of these deals are confidential due to contractual agreements. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition While thermometers continue to register extremely high temperatures, some of the best practices to follow to deal with the heat at home come down to the principles of logic and tried-and-tested hacks. The two basic principles for surviving the sweltering heat are to ventilate during the cooler hours and to close up when the sun is at its hottest. A typical remedy from your grandmother. One of the accessories that has made a comeback is the ceiling fan. Like you see in the most elegant movies, says the DIY and decoration company Leroy Merlin. Considered as one of the best companions, especially on the hottest nights, this is an affordable accessory that blends functionality and aesthetics. Unlike in previous decades, in stores you can now find a wide variety of prices, styles and models that adapt to all types of interior settings. Another aspect to take into account is the size of the room you want to cool down when choosing the most suitable model. The option that includes a light and remote control is the most advisable. The rest of the possible measures, aside from turning on the air conditioning and spending a fortune in the process, involve putting into practice basic decorative solutions that may be summarized in these eight ideas. Net curtains make a comeback Net curtains from the new Aire collection of the textile firm Alhambra. Alhambra Poniente, Bora or Mistral are some of the names of the models of net curtains of the new Aire collection of the textile firm Alhambra, which offers a wide variety of fabrics inspired by the Mediterranean winds. The fabrics come in natural colors and double width, designed to regulate the inflow of light and modulate the air coming in through the windows. These subtle fabrics ensure a feeling of freshness. You will love its blades above all things An Amelia fan by Faro Barcelona. Ricard Badia This fan in the picture is the Amelia model by Faro Barcelona, designed by Hector Serrano. It is inspired by aeronautics and is a tribute to the aviator Amelia Earhart. The designer has placed special attention on the lighting design and there are two shade options: blown glass or opaque to avoid glare, which is particularly important if it is installed over a bed. The light intensity is adjustable, and it is equipped with a six-speed motor. It features a reverse function to improve the efficiency of the heating system in winter. It is remote-controlled and is also suitable for sloping ceilings. Besides being quiet and fast, its motor reduces electricity consumption by 70%. Light furniture, dark chocolate Sideboard from the Valencian firm Slowdeco. Slowdeco This sideboard is from the Valencian company Slowdeco, which specializes in modular furniture made using wood from certified sustainable forests. This contributes to the timelessness and versatility of its design, which is inspired by a Nordic style, despite being crafted in Valencia by local carpenters. Indeed, this modular composition of the Penxat series is made of ash wood, a classic feature of Scandinavian furniture. Its straight and simple lines provide a sense of freshness and brightness. Decluttering and tidying brings freshness Living room created by interior designer Beatrice Askanazy from Materia Barcelona. Materia Barcelona This living room created by interior designer Beatrice Askanazy, from the Materia Barcelona store, is an example of how decluttering and tidying can provide freshness. It is furnished with a Calmo sofa by Fredericia, featuring a metal frame and fabric upholstery; a Malam Chobi rug by Francisco Cumellas; Hardy coffee tables and Toto pouf by Meridiani; Gravity and Beslite BL35 lamps, both by Gubi; sculpture and mobiles by Laura Maresc; and ceramic bowl by Leticia Feduchi. Plants even in the bathroom Mobles 114 bathroom. When it comes to plants, they should always be arranged in an orderly fashion. In this case, the space enables us to have three species next to the freestanding bathtub that go well in such a bright and airy interior without humidity attacking the monstera, philodendron or pachira aquatica (or Guiana Chestnut). The stool is a Nuba Colors model, designed by Lluis Pau, and the Forc coat rack, by the Lagranja studio, both produced by the firm Mobles 114. White bed linen, please Bedroom from the new collection presented by the firm Be Water. Be Water If white is recommended to cover the mattress all year round, it is even more so in the summer. An immaculate white color induces you to rest more than a loud orange that is chosen for places you want to run away from. White is proven to be perceived as clean and fresh, just as a white candle conjures up good luck and good omens. This bedroom is part of the new collection presented by the firm Be Water and the white sheets matching the bedspread adorning the bed are complemented by the custom-made headboard made using selected fabrics from local artisan workshops, as well as the footboard and bedside tables. A mat is better than a fur rug A jute rug from Westwing. Westing The traditional mats of homes in Seville have been telling us since time immemorial that natural fibers refresh, combat the heat and are also sustainable, while also being handcrafted. In the above image, we have a design from Westwing to protect the living room floor without overheating the space. It consists of a Sharmila jute rug model that, as described by the online store, it provides a casual and fresh look. For freshness at the table Tableware by Rue Vintage 74. Rue Vintage 74 This table decoration is a selection of accessories from the Rue Vintage 74 store. It features ceramic plates decorated with a geometric pattern in green by French designer Sarah Lavoine, and fiber placemats. Both refreshing options for savoring cold dishes alfresco. Keep light dim and cool A lamp by Vibia. Vibia A dim and cool light is what this Vibia lamp provides, with its steel base and aluminum reflector, which seems to resemble a sculpture. This is a tabletop model that is part of the collection designed by the Japanese Ichiro Iwasaki, whose lampshade directs the light downwards, creating a soft glow. Its all about the windows A country house refurbished by Hiriko Estudio. Erlantz Biderbost The success in achieving optimal air circulation in the rooms of a house is based on effective insulation. In this example, windows of a country house refurbished by Hiriko Estudio, which opted for larch wood carpentry, by Barrio Hermanos, completed with rolling shutters, also made of wood, by Persianas Barcelona, which provides the best thermal insulation against cold and heat. The Luco stools are a design by Martin Azua from Mobles 114, the furniture is made of recycled oak from Hauka and the countertop is made of terrazzo from Huguet Mallorca. This space is illuminated by a white adjustable wall lamp model 265 by Paolo Rizatto, produced by Flos. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition There are many stories about Tesla cars, but this one is particularly unusual. In this case, its not about a sudden accident or parts breaking down, but rather the curious story of what happened to Jay Yarow, the executive editor of the CNBC television network. Yarow owned a Tesla Model X that he sold for parts after an accident in late 2022. There was nothing strange about that. What is strange is that the parts were sold in the United States, but the car seemingly came back online in Ukraine. In a report on the incident, CNBC explains that the car was sold through an online auction site affiliated with a local salvage yard. A few months later, he opened Teslas cellphone app which has geolocation and noticed that the vehicle or, rather, its onboard computer, was online. The app revealed that it was located in a war-torn region of southern Ukraine, near Kherson. Whats more, Yarow noticed that the new owners were using his Spotify account. Heres an unusual situation. I had a Tesla, crashed it, it was totaled. And now its... in Ukraine? And someone there is listening to Drake on my, still logged in, Spotify account, Yarrow recounted on X, formerly known as Twitter. Since sharing the story, the tweet has gone viral, receiving nearly 160,000 likes and 7,000 reposts. The Model X auction This is where another character in the story comes into play. The car was sold through Copart, a global provider of online vehicle auction and remarketing services that has subsidiaries throughout Europe. Copart is a global leader in 100% online car auctions featuring used, wholesale and repairable vehicles, the company states on its website. As CNBC reports, Copart has more than 1,600 Tesla models for sale and is connected to salvage yards in the U.S., including one in New Jersey, where the car ended up. A Tesla in Ukraine Its likely that even if Yarows Tesla wasnt that badly damaged, the insurance company decided to declare it a total loss and put it up for auction. Its a feasible scenario given the fear of battery failure in electric cars and their high cost of repair. Another factor to take into account is the rise of digital auctions. According to Forbes data, in 2018, Ukraine imported more than 34,000 used cars from the United States, making it the sixth-biggest importer. So its not so strange that the Tesla ended up there. And when it comes to the Spotify account, Yarow probably left it open in the Tesla. He even joked about it: Now I feel bad for logging out. They may have needed Drake to keep the fighting spirit. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Being a woman, a migrant and an exile is not an easy path. Heyling Marenco knows this well. She had to flee Nicaragua for Costa Rica after being persecuted and threatened by the Daniel Ortega regime. She fled on August 4, 2018. Marenco was studying social work, but she was expelled from university for having participated in the anti-government protests that began in April of that year. These mass demonstrations, which were violently repressed, resulted in more than 300 deaths and marked the beginning of the sociopolitical crisis in Nicaragua. Crossing the border by land into Costa Rica was the easiest option after Nicaraguan authorities denied her the right to a passport, she said. But starting over in Costa Rica was not easy. She was alone in a foreign country, where she initially thought she would only spend three months. Marenco, 29, has now been living in San Jose for five years. She was granted refugee status in December. The difficulties she faced were not unlike those experienced by other Nicaraguans who had migrated for similar reasons, such as Genesis Herrera or Ximena Castilblanco, whom she met in San Jose. The three women began to meet and chat: they talked about their experiences, their needs, and their pain. They were looking for a safe place to share their stories. These meetings gave rise to Volcanicas, a feminist collective founded in 2018, which promotes and defends the rights of Nicaraguan migrant and exiled women in Costa Rica. The organization was founded by 12 Nicaraguans, all young women, feminists, migrants and exiles. We have held workshops to provide information about the refugee application processes and the legal process to denounce gender violence [] One of our central themes is healing, because Nicaragua is a historically violent and wounded country. We believe that we cannot change a place without working on the wounds that that place has left us, says Genesis Herrera, 24, who left Nicaragua in August 2018 after being arrested for protesting in the city of Chinandega and receiving threats from people allied to the regime. The members of Volcanicas in a demonstration in San Jose. DELAO As a collective, Marenco says, they seek to guide and help other women through the processes that they themselves have gone through or are currently experiencing. The fact that another woman is going through the same thing can be helpful, she explains. They want to be able to make visible the experiences of migrant and exiled women in Costa Rica. We are the ones talking about our own stories. Its not someone alien to our experiences, says Ximena Castilblanco, 28, the communications coordinator of Volcanicas, who was also exiled for taking part in the anti-government protests. Historical migration Nicaraguan migration to Costa Rica goes back a long way. However, since the outbreak of the sociopolitical crisis, it has increased. According to official figures, since 2018, the government of Costa Rica has received more than 200,000 refugee applications. Until February 2022, according to figures from the U.N. Refugee Agency (UNHCR), the number of Nicaraguans seeking protection in Costa Rica exceeded the total number of refugees and asylum seekers during the 1980s, when Costa Rica became a sanctuary for those fleeing civil war in Central America. The members of Volcanicas a name meant to serve as a reminder of Nicaragua, a land of lakes and volcanoes, and of each womans strength say that it feels empowering to be able to help migrant women in the same situation. It is moving when we meet and go down this migrant path, joining others who have the same demands and needs. It allows us to respond to reality and to be able to rebuild ourselves from there, says Herrera, who said she found friends who made her experience of adapting to a new country a process of tenderness and affection. The workshop "Weaving community networks among women" that was held in Upala, in Costa Rica. Cortesia Volcanicas Being a co-founder of Volcanicas, is very powerful and demanding, says Castilblanco, as it means being part of a collective where I am a woman with a voice in a space that we created from scratch and where we feel safe. For Marenco, the process of going into exile has been very difficult. There was a time when she avoided buying things because it made her feel like she would be staying permanently. But now, she says, she has learned to be in the here and now. The most beautiful thing for me has been all the learning, feeling supported after many fears, not feeling alone and building this network that in the end is not just us there are a lot of Nicaraguan women. This community saved my life, she says. Now, for them, it is not just about their own stories and needs, but about supporting other Nicaraguan migrant women, asylum seekers and refugees in their processes. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition In this April, 2020 image provided by International Rights Advocates, children from Burkina Faso are seen resting while working on a cocoa plantation in Ivory Coast in Daloa Child welfare advocates filed a federal lawsuit Tuesday asking a judge to force the Biden administration to block imports of cocoa harvested by children in West Africa that can end up in Americas most popular chocolate desserts and candies. The lawsuit, brought by International Rights Advocates, seeks to have the federal government enforce a 1930s era federal law that requires the government to ban products created by child labor from entering the U.S. The nonprofit group says it filed the suit because Customs and Border Protection and the Department of Homeland Security have ignored extensive evidence documenting children cultivating cocoa destined for well-known U.S. candy makers, including Hershey, Mars, Nestle and Cargill. The major chocolate companies pledged to end their reliance on child labor to harvest their cocoa by 2005. Now they say they will eliminate the worst forms of child labor in their supply chains by 2025. They will never stop until they are forced to, said Terry Collingsworth, International Rights Advocates executive director. He added that the U.S. government has the power to end this incredible abuse of African children by enforcing the law. Spokespeople for CBP declined to comment on the suit, which was filed in the U.S. Court of International Trade. When asked more generally about cocoa produced by child labor, the federal agency said it was unable to disclose additional information or plans regarding forced labor enforcement activities due to protections of law enforcement sensitive and business confidential information. Cocoa cultivation by children in Cote dIvoire, also known as the Ivory Coast, as well as neighboring Ghana, is not a new phenomenon. Human rights leaders, academics, news organizations and even federal agencies have spent the last two decades exposing the plight of children working on cocoa plantations in the West African nations, which produce about 70% of the worlds cocoa supply. A 2019 study by the University of Chicago, commissioned by the U.S. government, found 790,000 children, some as young as 5, were working on Ivory Coast cocoa plantations. The situation was similar in neighboring Ghana, researchers found. The U.S. government has long recognized that child labor is a major problem in the Ivory Coast. The Department of Labor reported in 2021 that children in Cote dIvoire are subjected to the worst forms of child labor, including in the harvesting of cocoa and coffee. The State Department in a recent report said that agriculture companies in the Ivory Coast rely on child labor to produce a range of products, including cocoa. The department said this year that human traffickers exploit Ivoirian boys and boys from West African countries, especially Burkina Faso, in forced labor in agriculture, especially cocoa production. To try to force companies to abandon cocoa produced by child labor, International Rights Advocates has sued some of the worlds large chocolate companies over the use of child labor in harvesting cocoa beans. It lost a case before the Supreme Court in 2021. Several others are pending. Pressured by lawmakers and advocates, major chocolate makers in 2001 agreed to stop purchasing cocoa produced by child labor. That goal, experts and industry officials say, has not been met. These companies kept saying, We cant trace it back. Thats BS, said former Sen. Tom Harkin, who led a push for legislation to reform the industry, but ended up agreeing to a protocol that allows corporations to regulate themselves. They just wont do it because it will cost them money. Harkin said Americans dont realize the treats they hand their children originate with child abuse. Its not just the chocolate you eat, its the chocolate syrup you put on your ice cream, the cocoa you drink, the chocolate chip cookies you bake, he said. The World Cocoa Foundation, which represents major cocoa companies, said it is committed to improving livelihoods of cocoa farmers and their communities. A Hershey spokesperson said the company does not tolerate child labor within our supply chain. Cargill, Nestle and Mars did not respond to requests for comment. Their websites all describe their work to end child labor in cocoa plantations. Ivory Coast officials have said they are taking steps to eradicate child labor but blocking imports of the nations cocoa would devastate the nations economy. We dont want to un-employ the whole country, said Collingsworth, the labor advocate who brought Tuesdays lawsuit. We just want children replaced by adults in cocoa plantations. Collingsworth was in the Ivory Coast investigating working conditions when he noticed children chopping through brush and harvesting cocoa. He pulled out a phone and took video and photographs of the boys and girls at work. He also stopped by a nearby processing facility and took a photos of burlap sacks with labels of U.S. companies. International Rights Advocates decided to petition the CBP to block imports of the cocoa, filing a 24-page petition in 2020 asking the agency take such action. The petition contained what it said was photographic and other evidence detailing how the companies were violating the law. Collingsworth said his group also provided CBP with interviews with children as young as 12 who said their wages were being withheld, and that they had been tricked by recruiters into working long hours on a false promise they would be given land of their own. CBP failed to take any action on the petition, the lawsuit alleges. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Prisoners work at a nearly 1,800-year-old decorated floor from an early Christian prayer hall discovered by Israeli archaeologists on Sunday, November 6, 2005 in the Megiddo prison An ancient Christian mosaic bearing an early reference to Jesus as God is at the center of a controversy that has riled archaeologists: Should the centuries-old decorated floor, which is near whats believed to be the site of the prophesied Armageddon, be uprooted and loaned to a U.S. museum that has been criticized for past acquisition practices? Israeli officials are considering just that. The proposed loan to the Museum of the Bible in Washington also underscores the deepening ties between Israel and evangelical Christians in the U.S, whom Israel has come to count on for political support, tourism dollars and other benefits. The Megiddo Mosaic is from what is believed to be the worlds earliest Christian prayer hall that was located in a Roman-era village in northern Israel. It was discovered by Israeli archaeologists in 2005 during a salvage excavation conducted as part of the planned expansion of an Israeli prison. The prison sits at a historic crossroads a mile south of Tel Megiddo on the cusp of the wide, flat Jezreel Valley. The compound is ringed by a white steel fence topped with barbed wire and is used for the detention of Palestinian security inmates. Across a field strewn with cow-dung and potsherds, the palm-crowned site of a Bronze and Iron Age city and ancient battles is where some Christians believe a conclusive battle between good and evil will transpire at the end of days: Armageddon. For some Christians, particularly evangelicals, this will be the backdrop of the long-anticipated climax at the Second Coming, when divine wrath will obliterate those who oppose Gods kingdom; it serves as the focus of their hopes for ultimate justice. The Israel Antiquities Authority said that it will decide about the move in the coming weeks, following consultations with an advisory body. Theres an entire process that academics and archaeologists are involved with, said IAA director Eli Eskozido. The organization said that moving the mosaic from its original location was the best way to protect it from upcoming construction at the prison. Jeffrey Kloha, the Museum of the Bibles chief curatorial officer, said a decision on the loan would be made solely by the IAA. The museum of course would welcome the opportunity to educate our thousands of visitors on important pieces of history such as this mosaic, he told The Associated Press via email. Several archaeologists and academics have voiced vociferous objections to the notion of removing the Megiddo Mosaic from where it was found and all the more so to exhibit it at the Museum of the Bible. Cavan Concannon, a religion professor at the University of Southern California, said the museum acts as a right-wing Christian nationalist Bible machine with links to other institutions that promote white evangelical, Christian nationalism, Christian Zionist forms. My worry is that this mosaic will lose its actual historical context and be given an ideological context that continues to help the museum tell its story, he said. Others balk at the thought of moving the mosaic at all before academic study is complete. It is seriously premature to move that mosaic, said Matthew Adams, director of the Center for the Mediterranean World, an non-profit archaeological research institute, who is involved in digs at Tel Megiddo and the abutting Roman legionary camp of Legio. Asked about criticisms of the Washington museums practices, Kloha said, Major museums and distinguished institutions committed to preserving history have had to grapple with cultural heritage issues, particularly in recent years. To be clear: Museum of the Bible is proud to have proactively launched research and a thorough review of items in its collections, he added. The museum initiated returns where appropriate to countries of origin without obligation to do so and encourages other institutions to do the same. Based on other finds found in the dig and the style of the letters in the inscriptions, IAA archaeologists have dated the mosaic floor to the third century before the Roman Empire officially converted to Christianity and when adherents were still persecuted. Nonetheless, one of the donors who paid to decorate the ancient house of worship was a centurion serving in the adjacent Roman legionary camp. The mosaic bears Greek inscriptions, among them an offering To God Jesus Christ. Since opening its doors in 2017, the Museum of the Bible has faced criticism over its collecting practices and for promoting an evangelical Christian political agenda. In 2018, it had to repatriate an ancient Mesopotamian tablet looted from Iraq and admit that several of the Dead Sea Scroll fragments in its collection were modern forgeries. American authorities also seized thousands of clay tablets and other looted antiquities from the museums founder, Hobby Lobby president and evangelical Christian Steve Green, and returned them to Iraq. The mosaic loan would reinforce ties between Israel and the museum. The museum sponsors two archaeological digs in Israel, has a gallery curated by the IAA. Kloha said the museum also is planning a lecture series featuring IAA archaeologists. Evangelical Christians, whose ranks have been growing worldwide, have become some of Israels most fervent supporters, donating large sums of money and visiting the country as tourists and pilgrims. In the U.S., they also lobby politicians in Congress in support of Israel. Evangelicals, who make up more than a third of the worlds estimated 2 billion Christians, say their affinity for Israel stems from Christianitys Jewish roots. Some view the founding of Israel as fulfilling biblical prophecy, ushering in an anticipated Messianic age when Jesus will return and Jews will either accept Christianity or die. That tenet has generated unease among some Israelis, but politicians have embraced evangelical support for the state nonetheless. Since its discovery, the mosaic has remained buried beneath the grounds of the Megiddo Prison. But in recent years the Israeli government has started advancing a multi-year plan to move the prison from its current location and develop a tourist site around the mosaic. The Tel Megiddo archaeological site is already a major attraction for evangelical Christians visiting the Holy Land. Busloads of pilgrims stop on their way to or from the Galilee to see the ruins of a biblical city and pray at the site where they believe the apocalypse will take place. Neither the IAA nor the museum would discuss the exact terms of the loan proposal, but Eskozido suggested something similar to the decade-long global tour of a Roman mosaic found in the central Israeli city of Lod until Israel had completed a museum to house it. Experts remain skeptical of uprooting the mosaic. Once you take any artifact outside of its archaeological context, it loses something, it loses a sense of the space and the environment in which it was first excavated, said Candida Moss, a theology professor at University of Birmingham who co-wrote a book about the Museum of the Bible. Rafi Greenberg, a professor of archaeology at Tel Aviv University, said the proposal smacked of colonialism, where historically dominant powers have extracted archaeological discoveries from colonies. Even if Israel doesnt ever recognize itself as being a colony, it is actually behaving like one, which I find odd, he said. Greenberg said that archaeological finds should stay where they are and not be uprooted and taken abroad to a different country and basically appropriated by a foreign power. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Water flows down the Colorado River downriver from Hoover Dam in northwest Arizona, on Aug. 14, 2022. Federal officials said Tuesday they will ease water cuts for Western states reliant on the Colorado River next year thanks to a slightly improved outlook, but long-term challenges remain. The river serves seven U.S. states, Native American tribes and two states in Mexico. It also supports a multibillion-dollar farm industry in the West and generates hydropower used across the region. Years of overuse by farms and cities, and the effects of drought worsened by climate change, has meant much less water flows today through the Colorado River than in previous decades. The U.S. government in 2021 announced cuts that hit Arizona particularly hard. Last year, those cuts grew more severe thanks to continued drought, poor precipitation and less runoff from the rivers Rocky Mountains source. A wetter winter and conservation measures have helped improve the rivers health a bit this summer, but experts warn a drier future is ahead. Not much will change for Western farms or cities because of the reduced water cuts announced for next year. What cuts were announced? The Bureau of Reclamation described the Colorado Rivers status based on projected water levels at Lake Powell and Lake Mead, key reservoirs that serve as barometers of the rivers health. Officials announced cuts for next year to Arizona, Nevada and Mexico. The cuts are based on previous agreements to keep Lake Mead, behind Hoover Dam outside Las Vegas, from getting too low. The reservoir stores water for Arizona, Nevada, California and Mexico. Bountiful snowfall and rain last winter pulled much of the region out of drought this spring and raised water levels at reservoirs. Officials on Tuesday said releases from Lake Mead in 2023 to water users are expected to be the lowest in 30 years, the result of a wet winter and conservation efforts in Arizona, Nevada, California and Mexico. The reductions are a return to what was announced in 2021, a Tier 1 shortage. That means Arizona will see an 18% cut from its total Colorado River water allocation, down slightly from last year. The cuts announced Tuesday do not change anything for farmers in Arizona who have already lived with them for two years now. Cities and tribes will be spared, though some have already volunteered to cut back in exchange for federal money. Nevada, which gets far less river water than Arizona and California, will lose slightly less than it did last year. Mexico will face a 5% reduction. California has not faced any forced water cuts. Will the river keep getting healthier? No. While the winters precipitation brought immediate relief, the challenges of a hotter, drier future and overuse of the river remain. The above-average precipitation this year was a welcome relief, Reclamation Commissioner Camille Touton said Tuesday. However, the two largest reservoirs in the United States and the two largest storage units in the Colorado River system remain at historically low levels. Those reservoirs Lake Powell and Lake Mead are still only about 39% and 33% full, respectively. That is a little better than last year but still extremely low. It only takes a few dry years to set us back, said Kim Mitchell, senior water policy adviser at Western Resource Advocates, a Phoenix-based nonprofit dedicated to protecting water and land in the West. Are deeper cuts coming? Yes, but not immediately. This weeks announcement is just one piece of various water-savings plans already in place or being negotiated. Earlier this year, Arizona, California and Nevada released a plan to conserve an additional 3 million acre-feet of water through 2026 in exchange for $1.2 billion from the federal government. An acre-foot of water is enough to serve two to three households annually. The Interior Department is expected to release its analysis of the proposal this fall. The plan, likely be finalized in 2024, would mean cuts for Californias Imperial Irrigation District, the largest user of Colorado River water. The district, which supplies farmers who grow fruits, vegetables and feed crops, is typically spared based on senior water rights. Some tribes and individual districts in the West that supply water to farms and cities are signing contracts to use less water in exchange for federal money. The Gila River Indian Community in Arizona agreed in April with the U.S. government not to use some of its river water rights in return for $150 million and funding for a pipeline project. The tribe gets Colorado River water through the same aqueduct system that delivers river water to Arizonas major cities. The cuts announced Tuesday would not be a big swing one way or the other in terms of on-reservation use, said Jason Hauter, a member of the Gila River Indian Community and a tribal water attorney. What about western farms? Farmers use between 70% and 80% of all water in the Colorado River system, but Tuesdays announcement will not change much for most of them. In August 2021, one farming district in Arizonas Pinal County outside of Phoenix lost almost its entire Colorado River water supply. Though the rivers health is improving, the farmers will not get that water back. Instead, they have either turned to groundwater or given up as much as half the farmland has gone unplanted in the past two years, estimated Brian Yerges, general manager of the Maricopa-Stanfield Irrigation and Drainage District, which serves the region. What about cities? Western residents are unlikely to feel the effect of Tuesdays announcement. In Arizona, Phoenixs water supply didnt diminish when the states supply was cut because other sources compensated. The nations fifth-largest city is supplied by the Colorado River as well as the in-state Salt and Verde rivers, with a small portion from groundwater and recycled wastewater. Already in the Las Vegas area, ornamental lawns are banned, swimming pool sizes are limited and almost all water inside homes is recycled. Because of that, the impact of water cuts over the past two years has been minimal. Despite last winters precipitation, the Southern Nevada Water Authority said it would continue with its strict conservation measures. The Metropolitan Water District of Southern California, which supplies nearly 20 million people, lifted restrictions in March on nearly 7 million people. But that was largely because of improved conditions for rivers in Northern California that supply the district with most of its water, in addition to the Colorado River. Whats next? Guidelines that dictate how Colorado River water is allocated expire in 2026. We have a generational set of agreements coming up, said Bill Hasencamp, manager of Colorado River resources for the Metropolitan Water District of Southern California. Thats where we need to focus. Discussions among states, tribes and the federal government about their priorities for the river after 2026 are just starting. Mexican negotiators will engage in a similar but parallel process with U.S. officials. Negotiators say long-term discussions must consider how users will live with significantly less water in the system. We had a good year, said Anne Castle, U.S. Commissioner to the Upper Colorado River Commission. But no one expects thats going to be the new normal. The question is, Whats the plan for the future? Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Counterclockwise, John Eastman, Jeffrey Clark, Sidney Powell and Rudy Giuliani, four of those charged with attempts to rig the 2020 election result in the state of Georgia. The new indictment of Donald Trump in Georgia is the most detailed one so far. It is also the most extensive: 18 associates and supporters of the former president have been indicted with him under racketeering charges as part of a corrupt enterprise to alter the outcome of the 2020 election in that state. These are some of the Republican presidential candidates future co-defendants: Rudy Giuliani, the sweaty former mayor Trumps electoral defeat in November 2020 will forever be associated with the image of the former mayor of New York sweating profusely as dye dripped from his hair, attempting to argue to the media without providing any proof that fraud had taken place and that Trump had really won the election. Trumps personal lawyer was one of the masterminds behind the plans to try to overturn the results, including an outlandish plot to bring fake electors to Congress to vote for Trump at the proceeding to certify the results of the election. Along with his client, Giuliani faces the most charges: thirteen. He is charged with participating in a criminal enterprise in violation of Georgias racketeering law; three counts of pressuring an official to violate his oath of office; three counts of false statements; conspiracy to impersonate a public official; two counts of conspiracy to falsify documents; two counts of conspiracy to file false statements; and conspiracy to file false documentation. The lawyer is also facing a defamation lawsuit filed by two former Georgia election officials, whom he had accused of committing electoral fraud. Giuliani has admitted that his allegations were false, but he also indicated that he will argue that he was exercising his freedom of speech and did not harm the defamed parties. Giuliani, in November 2020 during a press conference in Washington. JIM LO SCALZO (EFE) Mark Meadows, Trumps former chief of staff According to the indictment, Trumps chief of staff also played a key role in the Georgia plot. He is charged with two counts. Meadows organized and was present during several calls from the then-outgoing president to state officials to pressure them to alter the results of the vote, which Joe Biden had won. One such call sparked the legal investigation: a conversation between Trump and Georgia Secretary of State Brad Raffensperger, in which the president asked the state official to find 11,780 votes, the amount he needed to defeat Biden. According to the indictment, Meadows conspired with a number of Trump associates to explore ways to keep the president in the White House. He even traveled to Georgia and made a surprise visit to a vote recount center in December 2020. John Eastman, mastermind of the plan This conservative lawyer was one of the masterminds behind the fake electors plot and efforts to execute it. In a meeting in which Giuliani also participated, he tried to convince Georgia state legislators that the Republican-majority state senate could appoint their own electors and send them to the certification ceremony. Once there, the vice president, Mike Pence, could decide that they were the states real electors and accept their votes for Trump. This attorney also falsely claimed that Trumps defeat in Georgia was partly the result of the votes of 66,000 minors and 2,500 disenfranchised convicted felons. Eastman is charged with nine counts, including conspiracy to impersonate officials. Kenneth Chesebro, coordinator in six states Another attorney, Chesebro, was the first to broach the phony elector scheme, which he proposed to a friend in the Trump campaign. This appellate lawyer reached out to the presidents legal team, including Giuliani, to coordinate schemes in six key swing states, including Georgia. He is charged with seven counts. Sidney Powell, the prosecutor who spread lies about the election The former prosecutor was one of the most insistent voices in spreading lies about the election results, including making false allegations that the electronic voting machines were rigged in Bidens favor. She went as far as to claim that Georgia officials, including Republican Governor Brian Kemp, had received bribes to participate in rigging the election. Trump considered appointing her as a special prosecutor to investigate the results of the election. The indictment also appears to implicate her in an attempt to illegally access voting machine computer systems in Coffee County. She is charged with seven counts. Jeffrey Clark, the Trump-loving Justice Department official This mid-level official at the Justice Department is charged with two counts. A Trump supporter, Clark offered to write a letter to the authorities in several swing states in the name of the Justice Department, in which he would claim that the Justice Department had seen indications of voting irregularities and demanded that local officials send their own pro-Trump electors to the certification ceremony on Jan. 6, 2021. Trump was so enthusiastic about Clark that he wanted to appoint him attorney general. That idea was only discarded when Justice Department officials threatened to resign en masse if then-acting Attorney General Jeffrey Rosen who had refused to accept Trumps falsehoods about the election results was removed. David Shafer and Shawn Still, fake electors Former Georgia Republican Party Chairman David Shafer was one of Trumps fake electors. He chaired and helped organize a meeting of fake electors in December. He is charged with eight counts. Currently a Georgia state legislator, Still was also a fake elector. At the December meeting, he was the person in charge of checking attendees identities and preventing the public and the press from accessing the state Capitol room where deliberations were taking place. He is charged with seven counts. Stephen Cliffgard Lee, the pastor who harassed an election official This Protestant pastor from Chicago is accused of harassing election official Ruby Freeman and other participants in the recount. Freeman, whom Giuliani had accused of counting suitcases full of illegal votes in Atlanta, called the police after Lee knocked on her door several times in December 2020. The pastor replied that he was working with some folks who are trying to help Ruby out, and also get to some truth of whats going on. Through the mediation of others, Lee also tried to set up a meeting with her. He is charged with five counts. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition Donald Trump is facing a criminal investigation another one that will go to trial. The case focuses on his efforts to overturn the result of the 2020 presidential elections in the state of Georgia, and is the most detailed and extensive of the four indictments against the former U.S. president. The new indictment, which was announced Monday night, may also become the most dramatic. Unlike federal or New York trials, Georgia law provides that, with few exceptions, hearings are televised. This means that the country may be able to watch Trump the front-runner in the race for the Republican nomination and his collaborators standing trial right in the middle of the 2024 election campaign. With the indictment from Georgia, Trump is now facing 91 charges from the four cases against him. These range from falsifying business documents to violating the Espionage Act. If found guilty of everything, he would face tens of years in prison. The Georgia indictment may cost Trump dearly. Of all the states that voted for Joe Biden in 2020, the defeat in Georgia hurt Trump the most. Firstly because he lost by such a narrow margin fewer than 12,000 votes and, secondly, because he lost a state that had voted Republican for the past 30 years. Trump and his allies efforts to subvert the results in the state were especially intense and left many traces, according to the indictment presented by the Fulton County district attorney, Fani Willis, after a two-and-a-half-year investigation. Trump is accused with 18 other people of breaking various criminal laws. Willis has tied their alleged misconduct together to implement one of the toughest legal tools available to prosecutors in the United States: the Racketeer Influenced and Corrupt Organizations Act (RICO). This law was designed to target the mafia and other criminal groups, and imposes long prison sentences for those found guilty: the minimum is five years and the maximum is 20. Giuliani, from prosecutor to prosecuted One of the ironies in this case is that one of the defendants, Trumps personal lawyer and former New York mayor, Rudy Giuliani, made a name for himself as a ruthless prosecutor by frequently using RICO when he was a district attorney. Willis, who is also considered an expert in RICO, has accused the 19 defendants of forming a criminal enterprise to keep Trump in the White House. Trump and the other defendants charged in this indictment refused to accept that Trump lost, and they knowingly and willfully joined a conspiracy to unlawfully change the outcome of the election in favor of Trump, the indictment states. Trump has been charged by Special Counsel Jack Smith for his effort to overturn the federal results of the 2020 presidential election, and that indictment also alludes to his collaborators. But the Georgia indictment goes one step further: it not only charges Trump, it also charges 18 co-defendants. Rather than abide by Georgias legal process for election challenges, the defendants engaged in a criminal racketeering enterprise to overturn Georgias presidential election result, Willis said Monday night after the indictment was made public. The fact that the indictment is from Georgia could also cause possible complications for Trump in the future. The former president has discussed the possibility of pardoning himself if he wins the 2024 election. As president, he could also appoint an attorney general to close open federal cases. But neither of the options are possible in Georgia. It is a state case, which means a federal attorney general has no jurisdiction. Presidents also cannot issue pardons in state cases. This task typically falls to the governor. But in Georgia, even the governor cannot grant a pardon: only the State Board of Paroles and Pardons can do so. Trump and his co-defendants have until noon Friday, August 25, to appear in court. At the arraignment, they will be read the charges against them and issue a plea. The case has been assigned to Judge Scott McAfee, a recent appointment of Republican Gov. Brian Kemp, who has been in office for just six months. Mark Meadows, former White House chief of staff who has been charged with Trump, has requested his case be transferred to the federal court, with the hope that those judges decide to dismiss it. No special treatment Its still not known if the arraignment will be televised, or if any special measure is planned for the former president and his co-defendants. In Trumps previous cases, he was allowed to appear in court without handcuffs and avoided having a mugshot taken. But Fulton County Sheriff Patrick Labat has previously said that he did not intend to give the detainees any special treatment. Meanwhile, Trump has gone on the attack. On Tuesday, he claimed the case was a witch hunt to prevent him from returning to the White House. Indeed, even before the terms of his indictment were known, Trump had attacked Willis trying to discredit the prosecution is a tactic the former president has used in all the legal cases against him. Trump has called a press conference for next Monday to present a report that he claims will prove his allegations of election fraud in the 2020 election in Georgia. The only election interference was done by those that rigged and stole the election. Those are the ones you should be going after, not the innocent people that are fighting for election integrity! Trump posted on his social network, Truth Social. Giuliani, for his part, has accused prosecutors of being the real criminals. So far, Trumps rivals for the Republican nomination have mostly opted for cautious silence. Former governor Chris Christie, who is very critical of the former president, has limited himself to saying that the new indictment is unnecessary, as it overlaps with the indictment from Special Counsel Jack Smith. Vikram Ramaswamy, who on several occasions has said he would pardon Trump if he wins the election, claimed the new indictment demonstrates the existence of a police state. The prosecutor opened the investigation after a recorded phone call between Trump and Georgias then-Secretary of State, Brad Raffensperger, also a Republican, was made public. In the January 2, 2021, call, then-president Trump pressured Raffensperger to find him 11,780 votes, one more than those won by Biden in the state. Willis investigation also led her to examine illegal access to the computer systems of electronic voting machines in a rural county, and a plot to use fake electors in a bid to capture the states electoral votes for Trump rather than Biden. The idea was to send an alternative group to vote for Trump and force then-vice president, Mike Pence, to recognize those votes as legitimate. Other efforts included pressuring election officials, dozens of calls to Raffensperger the head of state election management and harassing staff who participated in the recount. Sign up for our weekly newsletter to get more English-language news coverage from EL PAIS USA Edition KYODO NEWS - Aug 15, 2023 - 18:28 | All, Japan Japan on Tuesday marked the 78th anniversary of its surrender in World War II, three months after Prime Minister Fumio Kishida pitched his vision of a "world without nuclear weapons" at the Group of Seven summit in Hiroshima. Kishida stated Japan's opposition to war in his speech at the annual ceremony in Tokyo amid lingering fears that Russia might use nuclear weapons in its war in Ukraine. "Under the banner of proactive contribution to peace, Japan is determined to join forces with the international community and do its utmost to resolve the various challenges facing the world," he said. The government-sponsored ceremony was held to mourn about 2.3 million military personnel and 800,000 civilians who perished in the war on the Japanese side. Emperor Naruhito also attended the event. The ceremony was held on a smaller scale for the fourth consecutive year to prevent coronavirus infections. About 1,900 people attended it at the Nippon Budokan arena, compared with 1,000 last year. The memorial day coincided with a typhoon hitting western and central Japan, meaning relatives of the war dead in affected areas had to cancel traveling to Tokyo due to public transport disruptions. In the speech, Kishida did not refer to his vision of a nuclear-weapons-free world that he pitched at the G-7 summit in May. The summit was held in his home constituency of Hiroshima, which was devastated by a U.S. atomic bomb in August 1945. Kishida, a liberal-leaning member of the conservative Liberal Democratic Party, also made no mention of Japan's wartime aggression in Asia, following the recent precedent set by his two immediate predecessors Yoshihide Suga and Shinzo Abe. Meanwhile, Emperor Naruhito expressed his "deep remorse," as he has done on occasion since ascending the throne in May 2019. "Reflecting on our past and bearing in mind the feelings of deep remorse, I earnestly hope that the ravages of war will never again be repeated," the emperor said at the ceremony. Japanese leaders had mentioned the country's aggression and remorse at the ceremony until Abe, who pledged instead that Japan would contribute to world peace. Suga essentially followed the line of Japan's longest-serving premier. Abe was prime minister for one year from 2006 and then again from 2012 until 2020. He was assassinated by a lone gunman during an election campaign speech in July 2022 after stepping down as prime minister. A moment of silence was observed at noon for the war dead, including those killed in the U.S. atomic bombings of Hiroshima and Nagasaki, the other city attacked with a U.S. atomic bomb. Teruo Yokota, the 83-year-old representative of relatives of the war dead, said in a speech, "Wars continue to ravage the world, claiming many precious lives. Our country's role in achieving peace is not small." With relatives of the war dead who participate in the annual ceremony aging, the government implemented COVID-19 preventive steps such as maintaining an adequate distance between individuals, the welfare ministry said. "There were people who fought for their lives. I have a renewed sense of appreciation for peace," said Katsuji Yakushiji, who lost his elder brother in Papua New Guinea during the war. Yakushiji, 78, was among those who visited Chidorigafuchi National Cemetery in the capital where the remains of around 370,000 unidentified soldiers and citizens are interred. Junichi Natsume, 72, brought his 11-year-old granddaughter Hinako to the cemetery, and said, "I wanted her to see a place to think about the war." Hinako noted, "There was nothing good for Japan waging the war. I hope the war between Russia and Ukraine ends soon." Related coverage: Japan PM Kishida sends offering to Yasukuni shrine on WWII anniv. KYODO NEWS - Aug 16, 2023 - 17:51 | World, All Paraguay's President Santiago Pena on Tuesday underscored his country's strong relationship with Taiwan by warmly referring to them as "brothers" in his inauguration speech in the capital Asuncion, Taiwanese media reported. Taiwan Vice President Lai Ching-te was in attendance as Pena was sworn in. Paraguay is Taiwan's only remaining ally in South America and one of only 13 countries that still maintain formal diplomatic relations with the self-ruled democratic island. During the ceremony, Lai shook hands with U.S. Interior Secretary Deb Haaland and spoke briefly, according to the media report. Although the United States does not have official diplomatic relations with Taiwan, it is one of the island's most important arms suppliers and trade partners. Lai also exchanged pleasantries with other dignitaries, including King Felipe VI of Spain and Brazilian President Luiz Inacio Lula da Silva, the report said. His move could anger China, which considers Taiwan as its own territory and opposes any official contact with it by other countries. Lai transited New York en route to Asuncion and will stop at San Francisco on his way home. "If China uses my transits in the United States as an excuse to carry out verbal and military intimidation or other threatening methods, I believe it just confirms international media reports that China is attempting to intervene in Taiwan's election with military threats," Lai told reporters in Asuncion on Tuesday The vice president is a major candidate in the presidential election next January. Taiwan's Defense Ministry said Tuesday that it has yet to detect any relatively large-scale Chinese military exercises near the island but will not let its guard down. Zhu Fenglian, a spokeswoman for China's State Council Taiwan Affairs Office, said in a statement Tuesday that Lai used his U.S. stopover to spread "Taiwan independence" ideas and that "such a person will push Taiwan to the brink of war." China regards Lai, leader of the ruling Democratic Progressive Party to which Taiwan President Tsai Ing-wen belongs, as a pro-independence figure. In April, Tsai met with U.S. House of Representatives Speaker Kevin McCarthy in California during a stopover on a trip to Central America, prompting China to launch military drills near Taiwan. Related coverage: Taiwan Vice President Lai arrives in New York en route to Paraguay Ex-Japan PM Taro Aso says Japan, U.S. must resolve to fight for Taiwan Taiwan Vice President Lai to transit U.S. during Paraguay trip KYODO NEWS - Aug 16, 2023 - 23:00 | All, Japan, World The following is the latest list of selected news summaries by Kyodo News. ---------- Typhoon Lan moves north over Sea of Japan, leaves 49 injured in wake TOKYO - Typhoon Lan traveled northward over the Sea of Japan on Wednesday after bringing torrential rains mostly to western parts of Japan, leaving 49 injured and disrupting train services in the midst of the summer holiday season. Direct bullet train services connecting the capital and Hakata in southwestern Japan were suspended on Wednesday due to heavy rain in central Japan, but resumed later in the day. ---------- July foreign entries to Japan at 2.3 million, 78% of pre-pandemic level TOKYO - Japan saw an estimated 2,320,600 foreign visitors in July, the highest number since arrivals began to plummet due to the COVID-19 pandemic and about 78 percent of the level in July 2019 before the outbreak, government data showed Wednesday. The figure was up 16-fold from a year before and topped 2 million people for the second straight month as schools across many countries entered the summer holidays and Japan's eased COVID-related border restrictions continued to attract travelers, the Japan National Tourism Organization said. ---------- Microsoft Japan to launch siloed ChatGPT to handle classified info TOKYO - Microsoft Corp.'s Japan unit is launching a more secure version of the artificial intelligence chatbot ChatGPT that can handle classified information of government ministries and agencies as well as corporate entities. Unlike the current ChatGPT, the new version of the generative artificial intelligence service will be considered suitable for the Japanese government to use as all information will be processed in data centers in Japan. Banks and other companies that handle sensitive data are also expected to benefit. ---------- Japan to give Hawaii $2 million in aid as wildfire death toll tops 100 TOKYO/KAHULUI, Hawaii - Japan's government said Wednesday it will extend $2 million as humanitarian aid to Hawaii to help with the damage caused by recent wildfires on the U.S. state's Maui Island, as the death toll from the disaster has topped 100. The assistance, to be offered through organizations including American Red Cross, is intended for evacuation site safety, food delivery and psychological support for those affected by the fires that first broke out on Aug. 8, among other purposes. ---------- North Korean athletes arrive in Chinese border city, 1st time since COVID DANDONG, China - Several dozen people believed to be North Korean athletes joining an international taekwondo event in Kazakhstan arrived Wednesday in China's border city of Dandong, marking the first time for Pyongyang to send a domestic sports team overseas since its 2020 COVID-19 border closure. In a scene witnessed by Kyodo News, the athletes boarded two buses in the North Korean border city of Sinuiju and crossed the Yalu River, which divides the two Asian countries, before arriving in Dandong. They are expected to travel to Beijing by train before flying to Astana, the capital of Kazakhstan. ---------- Japanese climber presumed dead on Pakistan mountain after falling TOKYO - A Japanese climber is presumed dead after falling while climbing a mountain in northern Pakistan last week, his Japanese climbing companion said Wednesday. Takayasu Semba told Kyodo News that he and Shinji Tamura had been climbing a 5,800-meter-high mountain in the northern province of Gilgit-Baltistan last Friday, when Tamura fell about 60 meters after a rope latch they were using came away from the rock face. ---------- Japan's main bullet train services halted for hours over heavy rain TOKYO - Japan's busiest bullet train services were suspended for hours Wednesday due to heavy rain, frustrating travelers already affected by public transport disruptions after Typhoon Lan cut across the archipelago the previous day amid the summer holiday season. The direct shinkansen services between the Tokyo and Hakata terminals was halted, with the impact of the suspension in the central prefecture of Shizuoka at around 8:30 a.m. spreading to other areas soon, the operators said. ---------- North Korea says U.S. soldier who crossed border is seeking refuge BEIJING - Pyongyang said Wednesday a U.S. soldier who crossed the heavily fortified border into North Korea last month is seeking refuge in the country or elsewhere, as state media referred to him for the first time. The soldier, Travis King, a private second class in the U.S. Army, has admitted to illegally entering North Korea, saying he "harbored ill feeling against inhuman maltreatment and racial discrimination" in the army, the official Korean Central News Agency said. ---------- Video: Summer festival in Tottori KYODO NEWS - Aug 16, 2023 - 23:10 | All, World, Japan Japan, the United States, and South Korea are expected to agree during their upcoming leaders meeting near the U.S. capital to hold annual three-way gatherings for their foreign ministers and defense ministers, respectively, diplomatic sources said Wednesday. In the latest sign of strengthening ties between the three countries, Japanese Prime Minister Fumio Kishida, U.S. President Joe Biden and South Korean President Yoon Suk Yeol are already planning to agree on Friday when they meet in Camp David to hold trilateral summits at least once a year. The move comes as the three nations seek to ramp up collaboration to address North Korea's missile and nuclear threats and China's assertive military activities in the Indo-Pacific region and growing economic clout. According to the sources, the three countries are also seeking regular meetings between senior officials in charge of national security. They are also considering setting up three-way, working-level consultative bodies on issues such as artificial intelligence, economic security and cyber security, the sources said. Friday's gathering marks the first standalone summit between the three leaders, as their previous talks were typically held on the fringes of international meetings. Despite a bitter wartime history that has frequently divided Japan and South Korea, their relations have significantly improved since Yoon assumed office last year. KYODO NEWS - Aug 16, 2023 - 23:48 | All, World, Japan Japan's government said Wednesday it will extend $2 million as humanitarian aid to Hawaii to help with the damage caused by recent wildfires on the U.S. state's Maui Island, as the death toll from the disaster has topped 100. The assistance, to be offered through organizations including the American Red Cross, is intended for evacuation site safety, food delivery and psychological support for those affected by the fires that first broke out on Aug. 8, among other purposes. "Japan and Hawaii have enjoyed a friendly relationship over the years and been engaging in active exchanges in various fields," Foreign Minister Yoshimasa Hayashi told reporters. Of the total aid, $1.5 million will be given to the American Red Cross, and the rest will be provided through the Tokyo-based nonprofit organization Japan Platform. Hayashi also vowed Tokyo's continued support "toward the earliest possible recovery" of the devastated areas. The Foreign Ministry has received reports that houses of some Japanese residents on Maui have been damaged, but there is no confirmation yet of any harm to Japanese citizens, Hayashi said. According to Japan's consulate general in Honolulu, several hundred Japanese nationals have been residing on the island. The Japanese government's supportive measure was announced after local authorities said Tuesday the death toll from the Maui wildfires had reached 106. The fires are the deadliest natural disaster in the United States since a 1918 blaze killed hundreds in Minnesota, according to U.S. media reports. In a television interview aired Monday, Hawaii Gov. Josh Green said around 1,300 people remain unaccounted for following the fires. The county government said Tuesday about 32 percent of the area affected by the disaster had been searched. U.S. President Joe Biden and his wife Jill Biden will travel to Maui on Monday to meet survivors and local officials, according to the White House. An estimated $5.52 billion will be required to rebuild affected areas in Lahaina, where most of the buildings impacted were residential, the U.S. Federal Emergency Management Agency has said. Related coverage: Hawaii wildfires deadliest in modern U.S. history KYODO NEWS - Aug 16, 2023 - 13:32 | World, All Pyongyang said Wednesday a U.S. soldier who crossed the heavily fortified border into North Korea last month is seeking refuge in the country or elsewhere, as state media referred to him for the first time. The soldier, Travis King, a private second class in the U.S. Army, has admitted to illegally entering North Korea, saying he "harbored ill feeling against inhuman maltreatment and racial discrimination" in the army, the official Korean Central News Agency said. King has also said he is "disillusioned at the unequal American society," according to an interim report on North Korea's investigation into the case carried by KCNA. On July 18, King, who was stationed in South Korea, went "willfully" across the military demarcation line into North Korea while on a tour of the inter-Korean truce village of Panmunjeom, U.S. officials and the U.S.-led U.N. Command said. Pentagon spokesman Lt. Col. Martin Meiners said Tuesday, "We can't verify these alleged comments. We remain focused on his safe return." The U.S. Defense Department's priority is "to bring Private King home," he said, adding, "We are working through all available channels to achieve that outcome." Quoting U.S. officials, Reuters news agency has reported that the soldier was due to face disciplinary action by the U.S. military. The United States and North Korea do not have diplomatic relations. Some analysts say Pyongyang may use King as a bargaining chip in future negotiations with Washington. The U.N. Command oversees activities in the Demilitarized Zone dividing the two Koreas. Related coverage: U.S. soldier crosses inter-Korean border into N. Korea Staffers with the Drolkar Lake protection station of the Hoh Xil management office pose for group photos in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer of the Hoh Xil management office feeds rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A group of pregnant Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, May 29, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office encounters with heavy snowfall on the way to the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer of the Hoh Xil management office is pictured with rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) Guo Xuehu, deputy head of the preservation station of Drolkar Lake, poses for photos at the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 17, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer of the Hoh Xil management office feeds rescued Tibetan antelope calves in Hoh Xil, northwest China's Qinghai Province, July 28, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A group of pregnant Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, May 28, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A group of Tibetan antelopes pass through the Qinghai-Tibet Highway and head towards the Sanjiangyuan area in northwest China's Qinghai Province, July 28, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A female Tibetan antelope is pictured at the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 18, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) Staffers with the Drolkar Lake protection station of the Hoh Xil management office patrol around the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 17, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) Tsesogyal (L), a staffer with the Drolkar Lake protection station, and his colleague repair a vehicle on their way to the Drolkar Lake protection station in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office prepares to perform rescue operation on trapped vehicles in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) This aerial photo taken on June 17, 2023 shows a view of the Drolkar Lake protection station of the Hoh Xil management office in Hoh Xil, northwest China's Qinghai Province. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) Tibetan antelopes are pictured at the Drolkar Lake area in Hoh Xil, northwest China's Qinghai Province, June 18, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) A staffer with the Drolkar Lake protection station of the Hoh Xil management office checks the condition of trapped vehicles in Hoh Xil, northwest China's Qinghai Province, June 16, 2023. Located in Sanjiangyuan National Park, Hoh Xil is an important habitat for Tibetan antelopes which enjoy first-class state protection in China. Around May each year, tens of thousands of pregnant Tibetan antelopes start their migration to Hoh Xil to give birth, leaving with their offspring in late July. The Drolkar Lake area in Hoh Xil is a crucial birthplace of the species. Renowned as one of the world's most breathtaking migrations of hoofed animals, this majestic journey of Tibetan antelopes has to confront with extreme weather and invasion of wild animals. Patrollers of Hoh Xil have been guarding Tibetan antelopes for decades. Hoh Xil stands as China's largest world natural heritage site, maintaining a high-altitude ecosystem and iconic species of the Qinghai-Tibet Plateau. Since the establishment of Hoh Xil National Nature Reserve, six protection stations have been established one after another. In addition to working in shifts, batches of staffers also patrol deeply into the hinterland of the reserve from time to time. Small-scale patrol takes place once every three days while large-scale patrol takes place at least once a month. Up to now, three generations of patrollers have been guarding the land of Hoh Xil, which has become a landmark area for wildlife protection and ecological protection in China. The population of Tibetan antelopes in Hoh Xil has increased from less than 20,000 in the 1980s to over 70,000. (Xinhua/Zhang Hongxiang) MOSCOW, Aug. 16 (Xinhua) -- The death toll from a gas station explosion in Russia's Republic of Dagestan rose to 35, including three children, Russia's Emergencies Ministry said on Tuesday. Local media reported that search and rescue efforts were ongoing as of Tuesday morning, with emergency workers clearing the rubble and searching for potential survivors. A video released by the ministry showed firefighters trying to extinguish flames near burnt-out vehicles as rescue workers examined the rubble of a building with flashlights. Citing data from the Federal Disaster Medicine Center, the ministry also said that 115 people were affected by the incident, and the fire had spread over roughly 600 square meters. Noting that around 260 firefighters were deployed, the ministry sent a special aircraft to evacuate the injured to Moscow hospitals. Makhachkala, a city of over 600,000 residents on the Caspian Sea, is the capital of Dagestan, a Russian republic bordering Chechnya. On Monday evening, an auto repair shop on the outskirts of Makhachkala caught fire, spreading to a nearby gas station and resulting in an explosion, possibly caused by storing agrochemicals with flammable characteristics. The blast was heard shortly before 10:00 p.m. local time (1900 GMT), Sergei Melikov, head of the Dagestan regional administration, said on Telegram. The explosion in Makhachkala was the deadliest in Russia since April 2022, when a fire at a defense research facility in Tver, a city 100 miles north of Moscow, killed 22 people and injured a dozen more. Dagestan's authorities said that families of the dead would receive 1 million rubles (about 10,324 U.S. dollars) each and the injured between 200,000 and 400,000 rubles. The Russian Investigative Committee is investigating the explosion and has initiated a criminal case concerning the incident. Russian President Vladimir Putin expressed his condolences to the victims' family members. A day of mourning has been declared in the Dagestan Region. BEIJING, Aug. 16 (Xinhua) -- The People's Bank of China, the central bank, announced Wednesday that it decided to provide 35 billion yuan (4.86 billion U.S. dollars) in new loans to commercial banks to support small and micro-sized enterprises and agriculture and rural areas affected by disasters. In a statement, the central bank said that the new loans would cover six regions, including the municipalities of Beijing and Chongqing and the Hebei, Heilongjiang, Jilin, and Fujian provinces, and support these regions' post-disaster reconstruction efforts. Due to typhoons, extreme rainfall struck many parts of China, causing floods and geological disasters that led to casualties and property losses this year. The statement said the new loans would strengthen credit support for business entities in the disaster-stricken areas, specifically small and micro-sized firms, the agricultural sector, livestock firms, and farmers. BEIRUT, Aug. 16 (Xinhua) -- TotalEnergies on Wednesday announced the arrival of the Transocean Barents drilling platform to Block 9 in Lebanon's territorial waters, as oil and gas exploration is expected to begin by the end of this month. Meanwhile, TotalEnergies said it dispatched a helicopter to transport a drilling team newly arrived in Beirut to the offshore drilling platform, according to the National News Agency. TotalEnergies said the arrival of the platform and helicopter marks a significant step in preparation for drilling the exploratory well in Block 9, scheduled to commence in late August 2023. "Today we start a new page, and when all logistical matters are ready, the excavation begins, and we expect results to be out in two to three months, depending on the excavation period," Energy Minister Walid Fayyad said at the Beirut airport. Lebanon and Israel finalized a U.S.-brokered maritime border demarcation deal last October, bringing both countries closer to oil and gas exploration in their waters after years of negotiations. On Jan. 29, Qatar signed an agreement with Lebanon to join the consortium of France's TotalEnergies and Italy's ENI for oil and gas exploration in Blocks 4 and 9 in the Lebanese territorial waters. Lebanon needs revenues from the oil and gas sector as the country reels under an unprecedented financial crisis. BEIJING, Aug. 16 (Xinhua) -- A casual walk along the Beijing Central Axis, joining the dots of the Drum Tower, the bustling Nanluoguxiang Lane, the Jingshan Park and the majestic Forbidden City -- this was Zhao Xianghan's maiden city-walking journey in the spring of 2022. Since then, the 21-year-old student from the Beijing Foreign Studies University has remained a city-walk enthusiast, believing it to be the best way to "rediscover a city." "I visited Beijing before the start of my college life here, and it was a typical package tour during which going to scenic spots and taking photos was a big thing. The crowded tourist attractions gave me the impression that Beijing was a noisy place," said Zhao. "Yet now a city-walk allows me to see a whole different side of Beijing, which is full of serenity," Zhao added. With the increasing variety of travel options, conventional group tours can no longer satisfy people who are looking for a more personalized and differentiated travel experience and hoping to delve into every part of the city in a more immersive way, wrote a blog on social media platform WeChat, which has garnered more than 100,000 views. Compared with tightly-scheduled travel plans and picture-taking tours, city-walking focuses on the free, casual and spontaneous experience, read a recent article by the People's Daily. The trending city-walk culture to experience urban life with no transportation means other than one's legs and feet has also made splashes on various social media platforms. On the video-sharing app Douyin, the Chinese version of TikTok, a recent video about city-walk routes in Guangzhou has gained more than 171,000 likes, with 72,000 viewers adding the video to their favorites. According to a report from China's lifestyle-sharing platform Xiaohongshu, in the first half of 2023, searches about city-walk increased 30-fold from the previous year. Netizens also share their city-walking experience on the Twitter-like Sina Weibo. One post recommended a classic city-walk route from Wukang Road to Fuxing Park in Shanghai. "The route offers a glimpse into the culture and landscape of Shanghai in different historical stages as well as its beautiful natural scenery," it wrote. Following his experience in Beijing, Zhao has also explored Wuhan, Hangzhou and other cities through city-walking tours. "City-walk is all about doing whatever you want in your own exploration of a city, and it is actually a kind of slow-paced and low-carbon lifestyle that I relate to so much," said Zhao. Enditem (Reporting by Jiao Shixie, Liu Boqian and Cao Pengyuan) WELLINGTON, Aug. 16 (Xinhua) -- New Zealand continues to crack down on organized crime with the largest ever meth bust seizing nearly three-quarters of a tonne of methamphetamine. Police Minister Ginny Andersen said on Wednesday that it was possibly the largest ever meth bust in New Zealand, with a provisional total of 746.9 kg seized during the search warrant in Manukau, Auckland, in March. "Methamphetamine destroys lives and wreaks havoc on our communities," she said, adding that this will also deal a significant financial blow to gangs and organized criminals. The New Zealand government has increased police funding by 50 percent, the minister said, adding that gang conflict legislation and related law have been introduced or amended to give police more tools to tackle gangs. The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) LOS ANGELES, Aug. 16 (Xinhua) -- The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. Only five of the 106 victims had been identified, and about 32 percent of the area has been searched so far, according to the update. Hawaii Governor Josh Green said on Monday that the death toll could rise significantly as search efforts continue. Maui Police Chief John Pelletier said he hopes searchers will have covered 85 to 90 percent of the area by the weekend. The search-and-recovery teams, consisting of 185 individuals, are working diligently to comb through the remnants of homes, businesses and historic landmarks tragically consumed by the wildfires that began on Aug. 8, according to Pelletier. A portable morgue unit equipped with examination tables, X-ray units and laboratory equipment has arrived in Hawaii to aid in identifying and processing human remains. According to the U.S. National Fire Protection Association, the Maui wildfires are among the deadliest in U.S. history. The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) The photo taken with a mobile phone on Aug. 15, 2023 shows a shelter for evacuees from the wildfires on Maui Island, Hawaii, the United States. The death toll from the Maui wildfires in the U.S. state of Hawaii reached 106 as of Tuesday, up from 99 the day before, according to a fatality update from the County of Maui. (Xinhua) SEOUL, Aug. 16 (Xinhua) -- The Democratic People's Republic of Korea (DPRK) released the findings of an ongoing investigation into an American soldier crossing the border into the country last month, attributing his motivation to disillusion with the inequality of American society, reported state media on Wednesday. According to the official Korean Central News Agency (KCNA), Travis King, a private second class of the U.S. military based in South Korea, "illegally intruded" into the territory of the DPRK on July 18. On that day, Private King blended in with tourists to the Joint Security Area of Panmunjom, a demilitarized zone on the Korean peninsula. According to the report, the soldier crossed the military demarcation line separating the two Koreas and was subsequently taken into custody by the DPRK soldiers on duty. King himself had admitted his illegal intrusion. The report stated that King's decision to cross into the country was due to his ill feelings toward "the inhuman maltreatment and racial discrimination within the U.S. Army." The soldier expressed his willingness to seek refuge in the DPRK or a third country, saying he was disillusioned with the inequality of American society, it added. On the day of the incident, U.S. Defense Secretary Lloyd Austin confirmed that a U.S. serviceman from the U.S. Forces Korea had crossed the joint security area of Panmunjom into DPRK territory without authorization. The investigation continues, said KCNA. SYDNEY, Aug. 16 (Xinhua) -- The surge of extreme wildfires around the world driven by climate change could exacerbate climate change and pose a risk to the health of the ecosystem, Australian researchers warned. In an article published in the latest issue of the academic journal Science, researchers from the University of New South Wales (UNSW) Canberra and the University of Tasmania reviewed the significant impacts of Australia's unprecedented wildfires in 2019-2020 and called for rethinking the diversity of approaches of fire management. The 2019-2020 wildfires in Australia increased the burned area by 800 percent as compared with the average area burned in 1988-2001, emitting the amount of greenhouse gas equivalent to 80 percent of Australia's combined annual fire and fossil fuel emissions, according to the article. The fires caused outbreak of 44 fire thunderstorms, releasing massive amount of smoke which affected stratospheric circulation patterns. The smoke also produced stratospheric aerosol pollution that caused damage to the ozone layer in the Southern Hemisphere mid-latitudes. These enormous fires "had a significant effect on systems that influence global climate," said Jason Sharples, Professor of Bushfire Dynamics at UNSW Canberra. "The unprecedented amount of smoke and greenhouse gases released by the fires led to such immense stratospheric pollution that it damaged the ozone layer, caused a drop in sea temperatures and produced algal blooms in the Southern Ocean that were larger in size than Australia," he said. Sharples warned that these impacts posed a serious risk to the health of global ecosystems. "It is crucial that the global community takes steps to limit the effects of climate change and improve fire management techniques to prevent these extreme fires as much as possible," said Sharples. Australia has for a long time relied on prescribed burning to reduce the fuel load for bushfires, but it is less effective in hot and drier conditions caused by climate change, said David Bowman, Professor of Pyrogeography and Fire Science of the University of Tasmania. The severe fires ignited policy discussions focusing on increasing firefighter capability and capacity, but with extreme fires in the future, no firefighting service could adequately respond to them, said Bowman. Therefore, Australia and other fire-prone countries needed to re-think fire management practices and adopt a diversity of approaches to meet the challenge, he noted. NAIROBI, Aug. 16 (Xinhua) -- More than 70 youth from 37 African countries on Wednesday started a two-day meeting in the Kenyan capital of Nairobi to discuss the challenges and good practices of climate-induced mobility as well as opportunities for youth engagement on migration, environment and climate change across the continent. The youth engagement forum which is hosted by the International Organization for Migration (IOM), the UN migration agency, will help the African youth develop key messages for the "Global Youth Statement" to be delivered at the Africa Climate Summit and Africa Climate Week hosted by Kenya and the African Union in Nairobi in September. "IOM is committed to giving young people increased access to platforms and forums that allow them to influence the policy decisions that will affect their future lives and livelihoods," said Mohammed Abdiker, IOM regional director for East and Horn of Africa, in a statement issued in Nairobi. Abdiker said the youth engagement forum is a precursor to the continental expansion of the Kampala ministerial declaration on migration, environment and climate change (KDMECC), the first regional policy framework that addresses the climate change and human mobility nexus. "We will be integrating the priorities and perspectives that the youth will develop during this forum into the KDMECC and collaborate with them to move the commitments from paper to practice," he added. KDMECC signed in 2021 highlights commitments by countries in the East and Horn of Africa to address challenges and opportunities for human mobility in the context of climate change and IOM's commitment to address emerging environmental impacts and degradation by building multilateral partnerships as outlined in its Strategic Vision. The IOM said the forum aims to strengthen the voices of young people on climate mobility and empower young African advocates on climate and migration. Interactive sessions will be held to build the capacity of youth to speak about migration, the environment, and the climate change nexus. These key messages will also give young people a chance to make their voices heard at the 28th session of the Conference of the Parties (COP28) to the United Nations Framework Convention on Climate Change, which will be held in Dubai, the United Arab Emirates, in November. Rose Kobusinge, a young climate advocate from the UN Framework Convention on Climate Change, and Youth Convention, YOUNGO, said the youth forum is not only an opportunity for African youth leaders to contribute to policymaking processes but also an avenue to instigate life-changing youth collaboration for local and global action on climate mobility. Kobusinge said vulnerable communities are facing massive climate-related displacements and losses that could be avoided through planned, safe and regular migration and relocation. "Anyone can become a climate migrant today or tomorrow. That is why I push myself to raise awareness on the issues arising from climate change-linked mobility but also the opportunities it brings," she added. BEIJING, Aug. 16 (Xinhua) -- Upon the designation of the Supreme People's Procuratorate (SPP), the Chongqing Municipal People's Procuratorate has ordered the arrest of Jiao Xiaoping, a former standing committee member of the Communist Party of China (CPC) committee of the Xinjiang Production and Construction Corps. Jiao, who was also deputy commander of the corps, is suspected of bribe-taking, the SPP said Wednesday. The case has been transferred to procuratorial authorities for examination and prosecution following the conclusion of an investigation by the National Commission of Supervision. Further handling of the case is underway. TEHRAN, Aug. 16 (Xinhua) -- Iran's police have busted a four-member kidnap gang in the Tehran Province and released 15 abducted foreign nationals, the official news agency IRNA reported Wednesday. Police in Ray County identified the gang upon a foreigner's report that his wife and children were abducted, IRNA quoted county police chief Mohammad-Qassem Tarhani, as saying. Local police forces found the gang's hideout and arrested the four suspects on Tuesday, he added. The 15 captive foreigners, all from neighboring countries, were freed, said Tarhani, adding they have filed complaints against the abductors, who have confessed to their crimes. MOSCOW, Aug. 15 (Xinhua) -- Chinese State Councilor and Minister of National Defense Li Shangfu said Tuesday that Chinese armed forces remain steadfast in safeguarding world peace at the 11th Moscow Conference on International Security. Li said China is ready to strengthen strategic mutual trust in military security and cooperation on multiple fronts and jointly build security cooperation platforms with other countries' military forces to further safeguard global security. Li said the Global Security Initiative proposed by Chinese President Xi Jinping advocates common, comprehensive, cooperative and sustainable security. It promotes a new security path featuring dialogue over confrontation, partnership over alliance, and win-win over zero-sum, which the international community has warmly echoed. Li stressed that the Taiwan question is an internal Chinese affair prohibiting external interference, with the reunification of China inevitable. Playing with fire on the Taiwan question and attempts to "contain China with Taiwan" are doomed to fail. On the sidelines of the conference, Li exchanged views with his Russian counterpart Sergei Shoigu on bilateral military relations and cooperation and held bilateral meetings with the heads of defense departments and armed forces of Iran, Saudi Arabia, Kazakhstan, Vietnam and other countries. Li Hongzhong, a member of the Political Bureau of the Communist Party of China Central Committee and vice chairman of the Standing Committee of the National People's Congress, speaks at a symposium on implementing the law on ecological conservation on the Qinghai-Tibet Plateau, in Beijing, capital of China, Aug. 16, 2023. (Xinhua/Yan Yan) BEIJING, Aug. 16 (Xinhua) -- Senior Chinese legislator Li Hongzhong Wednesday called for comprehensive and effective enforcement of the law on ecological conservation on the Qinghai-Tibet Plateau to beef up legal protection of "the roof of the world." Li, a member of the Political Bureau of the Communist Party of China Central Committee and vice chairman of the Standing Committee of the National People's Congress, the top legislature, made the call at a symposium on implementing the law. The law, passed at a standing committee session of the top legislature in April, will take effect on Sept. 1. Noting that the law is specific for the ecological protection of a particular terrain, Li called for adherence to the principle of prioritizing ecological protection while adopting a comprehensive and systematic approach to address problems at the source. He also stressed the importance of law popularization and required continuous efforts to bolster legal guarantees in ecological and environmental protection. Aug. 15 marks China's first National Ecology Day. CHONGQING, Aug. 16 (Xinhua) -- A symposium on the history of the Chinese nation's war of resistance against Japanese aggression and carrying on its indomitable spirit was held in southwest China's Chongqing Municipality on Tuesday and Wednesday. Nearly 200 individuals from across the Taiwan Strait, including retired generals, experts, scholars, teachers and students, attended the symposium. It was held in conjunction with China commemorating the 78th anniversary of the victory of the Chinese People's War of Resistance Against Japanese Aggression. With a focus on the Cairo Conference and the post-war order, the symposium discussed the historical relevance of the war as well as its contribution to the world, highlighting the importance of the Cairo Declaration in the establishment of the post-war international order and realizing Taiwan's return to the motherland. It was the first complete victory won by the Chinese people in their resistance against foreign aggression in modern times, and it came as a result of the concerted efforts of all troops and civilians in China, including fellow Chinese in Taiwan, said Pan Xianzhang, vice director of both the Taiwan Work Office of the Communist Party of China Central Committee and the Taiwan Affairs Office of the State Council. The victory resolved, de jure and de facto, the issues of Taiwan returning to the motherland and of China having sovereignty over Taiwan, which is a significant component of the post-war international order, especially the order in the Asia-Pacific region, Pan added. Although the two sides across the Taiwan Strait have yet to be reunified, China's sovereignty and territorial integrity have remained undivided since 1949, Pan said, noting that the facts that China has sovereignty over Taiwan and that both the mainland and Taiwan belong to one China have never changed. "This is the status quo across the strait, which is acknowledged by the international community and must not be distorted or denied." The unity of the entire Chinese nation to defend against enemies was the most important reason behind the victory in the resistance war, and this historical experience should be firmly remembered, especially when the world is undergoing profound changes unseen in a century, said Wang Jianlang, head of the society on the history of China's war of resistance against Japanese aggression. The history of the resistance war is a valuable asset of the Chinese nation and also an important part of the history of the World Anti-Fascist War, said Xia Chuntao, head of the Institute of Modern History under the Chinese Academy of Social Sciences. "It is both our responsibility and obligation to ensure that this significant chapter of history is well-known to the younger generation on both sides of the Taiwan Strait." Huang Bing-lin, president of the Taiwan-based association for the commemoration of the Chinese nation's war of resistance against Japanese aggression, pointed out that during the war, the Chinese troops and civilians carried on the fight to the end and secured the victory that put an end to China's century of humiliation and elevated the country's international standing. They should be remembered by the Chinese people on both sides of the Taiwan Strait, he said. Chen Ting-chung, honorary president of the association, emphasized that the spirit of resisting aggression is a precious asset of the Chinese nation and should be passed down through generations. The symposium was co-hosted by the aforementioned society and association. BEIJING, Aug. 16 (Xinhua) -- In a recent development, China has intensified its policy support aimed at attracting foreign investment and catering to the needs of international firms. These robust efforts send clear and resounding signals of the country's commitment to further open up, thereby solidifying its position as one of the world's most appealing consumer and manufacturing markets. TARGETED SUPPORT The country's State Council on Sunday unveiled guidelines containing 24 specific measures to further optimize China's foreign investment environment and beef up foreign investment inflow. These measures include expanding pilot areas to open wider in terms of services, encouraging foreign firms and their R&D centers to undertake major sci-tech projects, facilitating the travel of senior executives, technicians and their families, and enhancing the expertise of personnel in local government offices handling foreign investment. Foreign firms have also been encouraged to participate in setting standards in a law-based and fair manner and develop globally leading products in China, according to the guidelines. "These measures address specific concerns of foreign firms and highlight the focus of China's further reform and opening-up," said Nie Pingxiang, a researcher with the think tank of the Ministry of Commerce (MOC). The guidelines are in line with the country's constant pursuit of a more market-oriented, law-based, and internationalized business environment. Earlier this year, China rolled out policies to boost foreign trade, widen institutional opening-up in free trade areas and free trade ports, and encourage foreign firms to set up R&D centers in China. The MOC will work with relevant departments to shorten the country's negative list for foreign investment access. In the meantime, it will continue to ease restrictions on foreign strategic investment in listed companies in China, Chen Chunjiang, assistant minister of commerce, told a press conference on Monday. PROMISING MARKET Despite a sluggish global economy, China has managed to keep foreign investment relatively stable. In the first half of this year, China saw a 35.7 percent year-on-year increase in the number of new foreign enterprises despite a slight dip in actually utilized foreign investment. In particular, actually utilized foreign investment flowing to the high-tech manufacturing industry expanded by 28.8 percent from a year ago. The MOC has set 2023 as "Invest in China Year," featuring over 20 foreign-investment-related events, including the China Beijing International Fair for Trade in Services and China International Fair for Investment and Trade. "We are very pleased to see the State Council's latest policy on further optimizing the foreign investment environment and increasing efforts to attract foreign investment, especially the clear mention of accelerating the landing and operation of foreign investment projects in the field of biopharmaceuticals," said Leon Wang, Executive Vice President, International and China President of AstraZeneca. Upbeat on the potential of China's innovative biopharmaceutical industry, the British-headquartered global pharmaceutical company on Monday inked a Memorandum of Understanding (MOU) with Qingdao National High-tech Industrial Development Zone located in east China's Shandong Province. According to the MOU, AstraZeneca will further invest 250 million U.S. dollars in its Qingdao pMDI inhalation manufacturing and supply site. "AstraZeneca will continue to stay true to its original intention and actively pursue development in China," Wang said. BEIJING, Aug. 16 (Xinhua) -- In recent years, the collaboration between China and African nations has flourished, propelled by initiatives like the Belt and Road Initiative and frameworks such as the Forum on China-Africa Cooperation. This collaboration has encompassed many sectors, including trade, infrastructure and rising living standards. Within this pragmatic cooperation context, Chinese provinces like Jiangsu, Hunan, Hubei, Zhejiang and Fujian have propelled cooperation, forged local platforms and leveraged their industrial strengths and regional attributes, achieving remarkable outcomes. "The regional cooperation between China and Africa can fully leverage regional strengths and promote bilateral complementarity," said Zhou Ping, deputy director general of the Department of African Affairs of the Foreign Ministry, during the 2023 Cooperation Conference Between Jiangsu and African Countries, which was recently convened in the city of Zhenjiang, east China's Jiangsu Province. Elia George Kaiyamo, the Namibian ambassador to China, expressed appreciation for agricultural cooperation projects between Jiangsu and Africa, noting that advanced Chinese technologies would assist African countries in developing their own agriculture. While visiting a workshop at the premises of Jiangsu World Agriculture Machinery Co., Ltd. in Zhenjiang, Phuti Joyce Tsipa, the consul general of the Republic of South Africa in Shanghai, lauded the advanced technology she observed. "These machines are fantastic. We hope that more of these outstanding agricultural machinery companies will come to aid Africa in the path towards agricultural modernization," said Tsipa. In October last year, the inaugural ceremony of Kenya's first demonstration village for China-Africa agricultural development and poverty reduction was held in Matangi Tisa, Nakuru County. Led by Nanjing Agricultural University, this project stands as a testament to agricultural cooperation between the two nations. By introducing the innovative "Chelalang" bean variety and implementing an efficient irrigation system, this project is poised to bring substantial benefits to approximately 200 farmers. Hu Feng, vice president of Nanjing Agricultural University, underscored the project's mission, saying, "Our objective is to increase the bean yield from 1500 to 2700 kg/ha, thereby helping local farmers escape poverty." In Gaoqiao Grand Market, located in Hunan Province's capital of Changsha, a wide variety of products from various African countries can be found, such as essential oils from Madagascar, coffee beans from Ethiopia, wood carvings from Zimbabwe and wine from South Africa. Hunan has become an economic "hotspot" after it hosted the China-Africa Economic and Trade Expo. The Gaoqiao Grand Market in Changsha is a bustling hub of commerce, home to 9,100 merchants and serves as a prime example of Hunan's thriving trade relationship with Africa. In 2022, its trade volume with Africa reached 3.1 billion yuan (about 425.1 million U.S. dollars), marking an impressive 302 percent escalation compared to the previous year. In recent years, Hubei Province in central China has leveraged its own scientific research advantages to foster and advance innovative technological collaboration with Africa. The 2023 China-Africa Innovation Cooperation and Development Forum and Hubei International Technology Exchange Conference in early July held in the provincial capital Wuhan witnessed the signing of 20 scientific and technological cooperation projects. Two weeks later, the second China-Africa Youth Innovation and Entrepreneurship Competition convened in Wuhan. It focused on the digital economy, industrial manufacturing and modern agriculture, among others, stimulating the innovative and entrepreneurial vigor of young Chinese and Africans and thereby promoting trade and human exchanges between the two sides. "Among all Guinea's partners, China is the best," said Aminata Koita, ambassador of the Republic of Guinea to China, during her June visit to Nanjing, Shanghai, and Shenzhen. She indicated that Guinea possesses abundant human and mineral resources, and the two countries have great potential for cooperation. "I hope China can bring advanced technologies to Guinea." Rector of Tribhuvan University Shiva Lal Bhusal speaks during an event marking the first anniversary of the Confucius Institute at Tribhuvan University, in Kathmandu, Nepal, Aug. 16, 2023. (Photo by Sulav Shrestha/Xinhua) KATHMANDU, Aug. 16 (Xinhua) -- The Confucius Institute at Tribhuvan University was hailed on Wednesday as an important platform for Nepalis to get to know about China as the institute marked its first inaugural anniversary in Nepal's capital. "Since its establishment to date, the Confucius Institute has proved invaluable in fostering people-to-people relations, cultural exchanges and linguistic understanding between the two great nations," said Shiva Lal Bhusal, rector of Tribhuvan University. The rector voiced hope the Confucius Institute will expand cooperation on joint research, publication and the exchange of faculties and students in the days to come among Tribhuvan University, East China University of Technology and Qinghai Nationalities University, which are co-building the Institute, the second of its kind in Nepal. A proposal has been made for establishing a Chinese language department at Bishwo Bhasa Campus, the only language teaching campus under Tribhuvan University, noted Bhusal. Addressing its inaugural anniversary, Wang Xin, a counselor at the Chinese Embassy in Nepal, said the Confucius Institute is an important platform for Nepalis to learn the Chinese language and culture and get to know about China. Wang proposed that efforts be devoted to making Chinese education high-end, professional and included in school curricula in Nepal. People perform during an event marking the first anniversary of the Confucius Institute at Tribhuvan University, in Kathmandu, Nepal, Aug. 16, 2023. (Photo by Sulav Shrestha/Xinhua) KUNMING, Aug. 16 (Xinhua) -- Muhammad Fazle Rabby from Bangladesh was impressed by the convenience of the China-Laos Railway during his trip to participate in the China-South Asia Exposition that kicked off Wednesday in southwest China's Yunnan Province. "The China-Laos Railway is expected to serve as a bridge to connect China and Laos, and the grand gathering of the China-South Asia Expo will see more fruitful results," he said. Scheduled to run until Aug. 20, the 7th China-South Asia Exposition will be held in the provincial capital city of Kunming, and thanks to the railroad that started cross-border passenger services in April, legions of attendees are opting for the train as their preferred mode of transportation to the expo. Nearly 1,000 enterprises from over 60 countries and regions are expected to attend the event. As of Aug. 15, the Mohan entry-exit border had handled a total of 246 cross-border passenger trains from China and Laos, carrying a total of 52,888 passengers from 53 countries and regions. To cope with the rising travel demand generated by the expo, a slew of measures have been put in place to provide efficient and high-quality services for attendees. In order to enhance passenger communication, intelligent language translation devices have been introduced, according to Luo Hui, a border staffer. Through a simple interaction with its 8-inch screen, the device can perform real-time translations in more than 70 languages. Additionally, it offers support for translating various Chinese ethnic minority languages and local dialects into Mandarin Chinese. "We also have a real-time monitor for trains, optimized customs clearance processes, and beefed-up guiding services," Luo added. Vice Minister of Commerce Li Fei said at a press conference that when the first China-South Asia Expo was held in 2013, the annual trade volume between China and South Asian countries came in at under 100 billion U.S. dollars, but the figure was close to 200 billion dollars last year, representing an average annual increase of 8.3 percent. Nowadays, the expo has become an important platform for economic and trade cooperation between China and South Asian countries, Li added. BEIJING, Aug. 16 (Xinhua) -- The National Development and Reform Commission (NDRC), the country's economic planner, Wednesday publicized policies and measures to promote Hongqiao international opening-up hub to a higher level. The core functions of the Hongqiao International Central Business District (CBD) will be strengthened, according to a circular released by the NDRC. The district will vigorously develop a high-level headquarters economy, a high-traffic trade economy, a high-end service economy, and a high-level exhibition economy. It will also accelerate the building of a new platform of a multi-functional international CBD and an international trade center. China will support the CBD to take the lead in piloting cash-pooling services for multinational companies to integrate domestic and foreign currencies. The country will also promote the mutual recognition and exchange of test licenses and test results of intelligent, connected vehicles in the Hongqiao international opening-up hub. It will support Shanghai and Suzhou in jointly launching digital RMB pilot projects, the NDRC said. The State Council released a framework plan and policies in February 2021 to build Shanghai's Hongqiao area, which contains the Hongqiao International CBD, and parts of the neighboring Jiangsu and Zhejiang provinces, into an international opening-up hub to bridge domestic and international markets. A boy drinks water on an arid land amid hot weather in Herat Province, Afghanistan, July 26, 2023. (Photo by Mashal/Xinhua) In a press release issued on Tuesday, the International Federation of Red Cross and Red Crescent Societies (IFRC) said that about 28 million Afghans struggle to meet basic needs. GENEVA, Aug. 15 (Xinhua) -- With economic hardships having severely affected living conditions in Afghanistan, continued humanitarian support coupled with investment in long-term solutions are urgently needed for the country, the United Nations (UN) officials and partners said on Tuesday. In a press release issued on Tuesday, the International Federation of Red Cross and Red Crescent Societies (IFRC) said that about 28 million Afghans struggle to meet basic needs. Children carry water amid hot weather in Farah Province, Afghanistan, July 26, 2023. (Photo by Mashal/Xinhua) "Economic hardships and continuous shocks have greatly diminished buying power, making many reliant on humanitarian assistance," the press release said. William Spindler, a spokesperson for the UN High Commissioner for Refugees (UNHCR), told a press briefing here Tuesday that there were important challenges with aid delivery in Afghanistan. He said that UN and non-governmental organizations' operations had been affected by restrictions, including bans on female national staff. He noted that while challenges persisted, UNHCR and its partners remained committed to staying the course in Afghanistan and finding ways to address challenges and continue to serve those in need, especially women and girls. Margaret Harris, a spokesperson for the World Health Organization (WHO), told Tuesday's press briefing that WHO was very concerned by the humanitarian health emergency in Afghanistan. This photo taken on July 23, 2023 shows a house destroyed by floods in Wardak province, Afghanistan. (Photo by Saifurahman Safi/Xinhua) She told reporters that there were 9.5 million people with little or no access to even basic health services, and 20 percent of the country's population were suffering from mental health problems, 4 million from drug addiction and associated disorders, and 875,000 children were suffering from severe acute malnutrition. Harris said WHO had an extensive presence in Afghanistan and worked closely with the health system in the country. SHENZHEN, Aug. 16 (Xinhua) -- Chinese internet giant Tencent has reported year-on-year revenue and net profit increases during the second quarter of 2023. Tencent raked in more than 149.2 billion yuan (about 20.7 billion U.S. dollars) in revenue during the second quarter, up 11 percent year on year, according to the company's financial results released on Wednesday. The company has seen its revenue increase by 11 percent year on year for two consecutive quarters since the beginning of this year. Its net profit rose 33 percent year on year to over 37.5 billion yuan during the same period. Wednesday's report noted that revenue from fintech and business services grew 15 percent year on year to 48.64 billion yuan, making it the business segment that contributed the most to Tencent's revenue in the quarter. Its contribution to the company's revenue has accounted for more than 30 percent for nine consecutive quarters. Revenue from advertising rose 34 percent year on year to 25 billion yuan. In the first half of this year, Tencent invested over 31.19 billion yuan in research and development, according to the report. Pony Ma, chairman and CEO of Tencent, said the company will continue to drive innovation, including through generative AI, as well as refining its proprietary foundation model. BEIJING, Aug. 16 (Xinhua) -- Chinese authorities on Wednesday evening issued a yellow alert for possible geological disasters triggered by rain. The Ministry of Natural Resources and the China Meteorological Administration have warned of risks of rain-induced geological disasters in parts of Yunnan in southwestern China from 8 p.m. Wednesday to 8 p.m. Thursday. Residents have been advised to take precautions, especially in areas with hidden dangers. China has a four-tier, color-coded weather warning system for geological disasters, with red representing the most severe warning, followed by orange, yellow and blue. BEIJING, Aug. 16 (Xinhua) -- China on Wednesday evening issued a yellow alert for mountain torrents in the country's western areas. From 8 p.m. Wednesday to 8 p.m. Thursday, mountain torrents are highly likely to occur in northern parts of Sichuan, southern parts of Gansu and southeastern parts of Qinghai, according to the alert, which was issued by the Ministry of Water Resources and the China Meteorological Administration. The two departments also issued a blue alert for mountain torrents in certain areas of Sichuan, Gansu and Qinghai provinces. Local authorities are advised to strengthen real-time monitoring and flood warning procedures amid measures to prepare for evacuation. China has a four-tier weather-warning system, with red representing the most severe warning, followed by orange, yellow and blue. SYDNEY, Aug. 16 (Xinhua) -- A biosecurity emergency order has been issued in the Australian state of New South Wales (NSW) after detection of a deadly parasite that feeds on honeybees. In a statement released on Tuesday, the NSW Department of Primary Industries (DPI) confirmed that Varroa mites were detected in the general emergency zone near Kempsey on the state's mid-north coast. The detection was immediately reported after a beekeeper undertook a mandatory 16-week alcohol wash and found two mites. According to the NSW DPI, the parasite was detected at an early level of infestation, and eradication is believed to be "technically feasible." "Given the low mite count and existing surveillance and compliance efforts in the Kempsey area, DPI is confident that this detection is not due to natural or environmental spread from existing red zones," said NSW DPI Deputy Incident Controller Shannon Mulholland. "NSW DPI will immediately begin priority surveillance within 5 kilometers of the infested premises, as well as of any hives that have moved out of or through this area recently," she added. Varroa mites are the most serious pest of honey bees, threatening almost every major beekeeping area of the world, except Australia. NSW DPI estimated that the mite could result in losses of 70 million Australian dollars (about 45 million U.S. dollars) a year if it becomes established in the country. The latest detection brought the total number of infected premises to 202 in NSW, since the Varroa mite was first identified at the Port of Newcastle in June last year. BEIJING, Aug. 16 (Xinhua) -- A mainland spokesperson on Wednesday called on Taiwan enterprises involved in the mainland's anti-dumping measure on polycarbonate imports from the island to actively cooperate with the measure. Zhu Fenglian, a spokesperson for China's State Council Taiwan Affairs Office, made the remarks in response to a media query on the topic. Zhu urged Taiwan enterprises involved to tap into the mainland market in accordance with laws and regulations. Last year, the Ministry of Commerce (MOC) launched an anti-dumping investigation into polycarbonate imports from the Taiwan region. The MOC on Monday announced that polycarbonate imports from Taiwan have been tied to dumping activities, and that it would collect security deposits for relevant imports from Taiwan starting Tuesday as an anti-dumping measure. Zhu said the anti-dumping investigation was a normal investigation. She noted that relevant government departments will carry out further investigations and make a final determination in a fair and just manner and in accordance with laws and regulations. This aerial photo taken on Aug. 15, 2023 shows hand-woven Turkish carpets are laid on vast fields to expose to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) This aerial photo taken on Aug. 15, 2023 shows hand-woven Turkish carpets are laid on vast fields to expose to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) This aerial photo taken on Aug. 15, 2023 shows workers laying hand-woven carpets on vast fields to expose them to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) This aerial photo taken on Aug. 15, 2023 shows hand-woven Turkish carpets are laid on vast fields to expose to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) This aerial photo taken on Aug. 15, 2023 shows hand-woven Turkish carpets are laid on vast fields to expose to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) This aerial photo taken on Aug. 15, 2023 shows hand-woven Turkish carpets are laid on vast fields to expose to sunlight in Dosemealti district, Antalya province, Turkiye. This technique of sunning Turkish carpets is a method that has been used in ancient time to soften their colors and eliminate germs they might contain. The district itself is a hub of hand-woven small carpets colored with natural dyes derived from plants. The vast fields of farmers turn into a place for carpets moving to the final stage before their sale, after summer harvest renders the fields empty. (Mustafa Kaya/Handout via Xinhua) UNITED NATIONS, Aug. 15 (Xinhua) -- The UN's lead agency for gender equality on Tuesday called on the global community to persistently advocate for change in Afghanistan, highlighting the Taliban's sweeping and unparalleled restrictions on the rights of women and girls throughout the nation. Sima Bahous, executive director of UN Women, made the appeal in a statement marking two years since the Taliban regained control of the country. "Through over 50 edicts, orders and restrictions, the Taliban have left no aspect of women's lives untouched, no freedom spared. They have created a system founded on the mass oppression of women that is rightly and widely considered gender apartheid," she said. "I urge the Taliban to reconsider and to weigh the cost of these acts for Afghanistan's present and future," Bahous added. Bahous emphasized UN Women's steadfast and resolute dedication to the women and girls of the nation. She said the agency's work there is anchored in its fundamental relationships with women, who have described how these "misguided, cruel and ultimately self-defeating" measures have affected their lives. "Despite these challenges, Afghan women also tell me that they will not give up or give in. They will continue to lead the struggle against their oppression," she said. "In the face of the most hostile of circumstances they speak out against the violations, deliver lifesaving services, own and operate businesses, and run women's organizations. Their bravery must inspire us to greater action, their example to renewed determination," Bahous said. Bahous called for heightened support for Afghan women, ranging from amplifying their voices and needs to financing essential services and backing their enterprises and groups. "I urge the international community to continue to apply every pressure and employ every means at their disposal to press for change, including by answering the call of the humanitarian community and fully funding the humanitarian appeal for Afghanistan," she said. The UN human rights high commissioner, Volker Turk, used the occasion to remind the de facto authorities of their obligation to uphold the rights of all, including women and girls. UN human rights personnel persistently operate in Afghanistan, overseeing, recording, and championing various human rights matters, from basic liberties to safeguarding civilians in conflicts and upholding detainee rights. "We are engaging with the de facto authorities on these issues and remind them of their obligations under international human rights law," said Liz Throssell, a spokesperson with the UN human rights office, OHCHR, in Geneva. The high commissioner emphasized the need for the international community to remain attentive to the challenges faced by all Afghans. NAIROBI, Aug.16 (Xinhua) -- Africa is benefitting from its trade and investment ties with China, a United Nations (UN) official said Wednesday. Rebeca Grynspan, the secretary-general of the United Nations Conference on Trade and Development (UNCTAD) told Xinhua in Nairobi, the capital of Kenya, on the sidelines of the launch of the UNCTAD's economic development in Africa Report 2023. "China is an important and responsible member of the international community so we look at China with hope and with interest always," Grynspan said, noting that China has emerged as an important trading partner for Africa as well as the Global South due to its economic development in the past decades. She observed that international trade in general is important for countries and especially for small and medium size countries because it plays a role in providing employment and improving wages. Grynspan revealed that China can help Africa enhance its global trade sector because the Asian nation has developed both critical technology and supply chains that underpin trade. She added that China can also help Africa to add value to its local raw materials so that it can transition from exports of primary commodities. TOKYO, Aug. 16 (Xinhua) -- Japan's benchmark Nikkei stock index closed lower on Wednesday, tracking losses in the U.S. market amid uncertain sentiment over the possible downgrade of U.S. banks. The 225-issue Nikkei Stock Average lost 472.07 points, or 1.46 percent, from Tuesday to close the day at 31,766.82, the lowest level in about two months. The broader Topix index, meanwhile, finished 29.47 points, or 1.29 percent, lower at 2,260.84. Fitch Ratings warned on Tuesday that dozens of U.S. banks, including JPMorgan, the largest bank in the country, could be at risk of sweeping rating downgrades, which led to losses of bank shares. In the Tokyo market, moves to avoid investment risks prevailed, and a wide range of stocks were sold. Bank shares such as Mitsubishi and UFJ saw significant declines. On the Prime Market, decliners were led by oil and coal products, wholesale trade, and mining shares. Issues that fell outpaced those that rose by 1,425 to 360, while 50 ended the day unchanged. The turnover on the third trading day of the week is estimated at 3.14 trillion yen (21.6 billion U.S. dollars). BEIJING, Aug. 16 (Xinhua) -- China's postal industry witnessed stable growth in both business revenue and parcel volume in the first seven months of this year, official data shows. The sector raked in 848.23 billion yuan (about 117.83 billion U.S. dollars) in business revenue during the period, 10.5 percent higher than a year ago, according to the State Post Bureau. In July alone, the business revenue totaled 119.45 billion yuan, up by 5.3 percent. Some 87.37 billion parcels were delivered in the January-July period, an increase of 12.8 percent year on year. The figure was 13.16 billion in July, up by 9.7 percent. China's express delivery service companies handled 70.3 billion parcels from January to July, a year-on-year increase of 15.5 percent. Their business revenue jumped 10.5 percent from a year ago to 649.53 billion yuan during the period. In July, the business revenue of the express delivery service industry increased 6 percent from a year ago to stand at 95.24 billion yuan. Chinese Premier Li Qiang presides over the second plenary meeting of the State Council in Beijing, capital of China, Aug. 16, 2023. (Xinhua/Rao Aimin) BEIJING, Aug. 16 (Xinhua) -- Chinese Premier Li Qiang on Wednesday made further arrangements for work to be undertaken for the rest of the year so as to achieve the annual work goals and concretely promote high-quality development. Presiding over the second plenary meeting of the State Council, the cabinet, Li said that the government will fully implement the policy decisions and arrangements of the Communist Party of China Central Committee, seek progress while maintaining stability, accurately and forcefully implement macro-control measures, and harness the synergy between various policies. Abstract artist Luigi Giacobbe introduces his artwork at the Italian Art House in Hebei District, north China's Tianjin Municipality, July 22, 2023. (Xinhua/Zhang Yuqi) TIANJIN, Aug. 16 (Xinhua) -- With a delightful array of Italian paintings, sculptures and photographs and a culinary experience featuring bruschetta and ravioli, a 100-year-old Italian neighborhood in north China's Tianjin Municipality is captivating flocks of artists and tourists this summer. Abstract artist Luigi Giacobbe shared that he incorporated the hue of brick red into his paintings, drawing inspiration from the encompassing walls of ancient Chinese architecture. "It's an effort to integrate Chinese elements into my works," he explained to visitors during his art exhibition at the Italian Art House. The art house, which opened in April this year, is one of the 118 such properties in downtown Tianjin's Italian-Style Area, a 400,000-square-meter neighborhood established in 1902. With exhibitions, lectures and concerts, the place serves as a platform for local residents to relish Italian music, art and culture, said Johnny Wang, curator of the Italian Art House. Giacobbe, one of the five artists-in-residence at the art house, said he loves observing ancient Chinese architecture and finds them highly inspirational in his artistic creation. The artist came to China in 2015, after traveling and living in different countries around the world. He chose to stay in Tianjin and pursue his artistic endeavors in this century-old Italian-style neighborhood because it feels like "a time travel." The houses in the neighborhood, featuring Gothic, Roman and Baroque architectures, were all designed by Italian architects and renovated in recent decades to retain their original styles, said Liu Zongjin, director of the Italian-Style Area's management committee. Today, this area is the best-preserved Italian architectural complex in Asia and home to commercial and cultural centers that draw Chinese and Italian investors, artists and tourists, Liu added. Pop musician Alberto Casartelli recently held a small outdoor concert in this neighborhood, enthralling the audiences with Italian ballads and popular Chinese songs. He said he is committed to promoting Italian art in China and fostering a connection between Italian music and Chinese culture. An Italian language teacher by profession, Casartelli has also composed many songs with the help of his Chinese wife. Among his compositions is an Italian ballad based on "The Butterfly Lovers," a poignant love story popularly known as the Chinese version of Romeo and Juliet. Renato Pegoraro, 74, said he is thrilled to see his Italian restaurant bustling with customers, as the neighborhood is experiencing a surge in visitors this summer. The restaurant imports most of its ingredients from Italy to preserve the authentic flavors of the dishes. Pegoraro said he was encouraged by his friends to open this restaurant in Tianjin almost 20 years ago, and he feels very much at home here as the Italian neighborhood evokes fond memories of his hometown. Pop musician Alberto Casartelli performs during a small outdoor concert at the Italian-Style Area in Hebei District, north China's Tianjin Municipality, July 15, 2023. (Xinhua) This photo taken on June 22, 2023 shows the Italian Art House in Hebei District, north China's Tianjin Municipality. (Xinhua) This photo taken on July 23, 2023 shows the Italian-Style Area in Hebei District, north China's Tianjin Municipality.(Xinhua) NEW DELHI, Aug. 16 (Xinhua) -- India's top court on Wednesday announced the release of a handbook of language usage to combat gender stereotypes about women in legal proceedings. The handbook available on the website of the Supreme Court of India is aimed at assisting judges and the legal community in identifying, understanding and combating gender stereotypes about women. It lists the words deemed as stereotype-promoting language and offers alternative language for them. "It contains a glossary of gender-unjust terms and suggests alternative words or phrases which may be used while drafting pleadings as well as orders and judgments," read the forward written by Chief Justice of India (CJI), D. Y. Chandrachud. "The handbook identifies common stereotypes about women, many of which have been utilized by courts in the past and demonstrates why they are inaccurate and how they may distort the application of the law," Chandrachud wrote. Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Fei Maohua) A visitor learns characters of the Jing ethnic group at a museum of the Jing ethnic group in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Fei Maohua) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhou Hua) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, plays for visitors at her museum, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhang Ailin) Su Haizhen, head of a museum of the Jing ethnic group and an inheritor of the art of the single-stringed instrument of the Jing ethnic group, directs her students during their practice, in Dongxing, south China's Guangxi Zhuang Autonomous Region, Aug. 13, 2023. The Jing is the only maritime ethnic group in China, most of its members living on the three islands off the coast of Dongxing in Guangxi. The single-stringed instrument, or "duxianqin" in transliteration, is unique to the Jing culture, capable of presenting exquisite melodies with the only string it has. The art of duxianqin was formally recognized by the Chinese national government as a piece of intangible cultural heritage in 2011. (Xinhua/Zhou Hua) RAMALLAH, Aug. 16 (Xinhua) -- The Palestinian Central Elections Commission (CEC) on Tuesday said it is ready to hold municipal elections in the Gaza Strip. The commission said in a statement sent to Xinhua that it is following the developments related to holding the municipal elections in the Gaza Strip. "The commission is ready to hold these elections if the Palestinian cabinet issues a decision specifying a date for holding it," the statement said, adding that "the cabinet has a legal mandate to call for holding it in accordance with the elections law." The CEC statement was made after Hamas officials announced during a workshop held in Gaza on Monday with representatives of Palestinian factions' leaders and civil institutions. During the workshop, Hamas leader Zakaria Abu Muammar voiced hope for a national consensus and widespread support for holding the municipal elections in Gaza under the supervision of the CEC. Hamas is committed to ensuring free, fair and transparent elections, said Suhail al-Hindi, a member of the Hamas political bureau, adding that the movement "will respect the results of the elections and will support whoever wins and extend a helping hand to him." "The municipal elections are an urgent necessity for change in institutions and services in light of the difficult circumstances in the sector," said Munzer al-Hayek, Fatah spokesman in Gaza. Home to about 2 million people, the Gaza Strip has been under an Israeli blockade since 2007 and is currently ruled by the Islamic Resistance Movement (Hamas). YANGON, Aug. 16 (Xinhua) -- The death toll from the jade mine collapse in northern Myanmar's Kachin State rose to 33 on Wednesday, local police said. "After three days of rescue operations, 33 bodies have been recovered," a police officer in Hpakant told Xinhua on Wednesday. The collapse took place at around 3:00 p.m. local time on Sunday at the jade mine near Ma Na Village in Hpakant, the center of Myanmar's jade industry, with over 30 people reported missing. "We couldn't tell the exact number of people who went missing. Locals said 36 people were missing," the police officer said, adding that the figures could rise. "Adding to the 25 bodies already recovered until yesterday, eight more bodies were found today - seven in the morning and one in the evening, bringing the total death toll to 33," Tarlin Mg, a resident in the Hpakant area, told Xinhua on Wednesday. Rescuer efforts concluded at 4 p.m. for the day, with plans to resume operations on the following day, he said. BEIJING, Aug. 16 (Xinhua) -- The number of urban passenger trips in China soared 15 percent year on year in the first half of this year (H1), official data shows. A total of 45.42 billion passenger trips were made in China's urban areas during the period, according to the Ministry of Transport. Specifically, the number of passenger trips handled by China's urban rail transit networks surged 45.9 percent year on year to 13.64 billion, while those through ferry services skyrocketed 113 percent to 38.72 million. In the same period, urban passenger trips made via bus and tram stood at 19.87 billion, up 4.6 percent from the same period last year, while trips by taxi rose 6.8 percent year on year to 11.87 billion, the data shows. CAIRO, Aug. 16 (Xinhua) -- The Arab League (AL) on Wednesday voiced deep concerns over the armed clashes in the Libyan capital of Tripoli that have killed 27. In a statement, the AL called for an immediate cessation of the violence that ravaged the relative stability in the city in the past months and urged all Libyan authorities to take necessary measures to restore stability. "The recent clashes reaffirmed the importance of unifying the state institutions swiftly and completing the transitional period via elections soon," it added. The Medical Emergency Department of the Libyan Ministry of Health on Tuesday said that 27 people have been killed and more than 100 injured in clashes that erupted in the capital Tripoli Monday night. The department said that more than 234 families have been evacuated from clash areas and that three emergency field hospitals were set up to treat the wounded. Libya has witnessed violence and insecurity since the fall of the late leader Muammar Gaddafi in 2011. NIAMEY, Aug. 16 (Xinhua) -- At least 17 soldiers were killed on Tuesday and 20 others injured, including six in critical condition, in an ambush by terrorists in West Niger's Tillaberi region, the Ministry of National Defense of Niger's junta said Wednesday. "Yesterday around 1:30 p.m. (1230 GMT), a detachment of the Nigerien Armed Forces, in motion between Boni and Torodi, encountered a terrorist ambush near the locality of Koutougou (52 km southwest of Torodi)," the ministry said in a statement. It added that the prompt soldier response and air-ground engagement at the encounter scene facilitated enemy handling. The wounded were evacuated to Niamey, the ministry said. The area known as the "three borders" (of Niger-Mali-Burkina Faso), where Torodi is located, has become a place in recent years where terrorist groups carry out deadly attacks on the borders, targeting both military and civilian populations. TOKYO, Aug. 16 (Xinhua) -- "So many 'marutas' died, and the Japanese soldiers were also dissected. I often wonder why on earth Unit 731 had done so many evil things?" asked a Japanese veteran who served at the notorious Japanese germ warfare army during World War II. The victims, referred to in Japanese as "marutas," or wooden logs, were deliberately infected with typhus, typhoid, cholera, anthrax and plague in addition to many other bacterial diseases to serve the goal of perfecting biological weapons. Some prisoners were then vivisected without anesthetic so that researchers could observe the effects of the disease on the human body. Hideo Shimizu, 93, is the only living veteran of Unit 731 willing to publicly expose the crimes of the Japanese bacteriology unit, which killed thousands of Chinese civilians and Allied prisoners of war at its sprawling complex in the northeastern Chinese city of Harbin, from the late 1930s to the end of the war. The extreme cruelty and horrors left indelible memories on the ex-serviceman after he spent four months in the unit. "This teenager was me," said Shimizu, pointing at a black-and-white group photo that features the Unit 731 Youth Corps members and their instructors. "I was born in Nagano prefecture. At the end of March 1945, when I was 14 years old, one of my school teachers referred me to the army in Harbin to serve as a 'technician on probation.' I knew nothing about what the army was or what it did specifically. This photo was taken when I joined the team," Shimizu told Xinhua at his home in central Japan. The teenager didn't realize his destination was actually Unit 731, a top-secret biological and chemical warfare research base established in Harbin as the nerve center for Japanese biological warfare in China and Southeast Asia during World War II. "There were 34 members who joined the team at the same time, three of whom were assigned to the 'Education Ministry Training Room' on the second floor of this building," Shimizu said, pointing to the lower right corner of an outline sketch titled "Japanese Kwantung Army Epidemic Prevention and Water Purification Department Unit 731 troop headquarters" in Japanese. "We started to learn the basics of various pathogens before extracting body fluids from mice to check for pathogens and culture them. I had no clue at that time what the cultured pathogens were being used for." "After a while, the instructor asked me if I wanted to become a specialized technician for germ warfare or a surgeon after my internship. He told me that to become a surgeon, one must dissect at least three cadavers," Shimizu paused for a moment before continuing. The instructor took him to the specimen room on the second floor of the headquarters after he said he wanted to be a surgeon. "Only me and nobody else," the old man recalled and then lapsed into silence again. The shelves were lined with formalin-laden bottles that contained specimens of human organs of all kinds. The instructor told him these organs were obtained from vivisection experiments on "marutas." Xinhua: I heard there were children among the specimens. Is that true? Shimizu: Yes, there were children. Specimens of fetuses from mothers' wombs and specimens of children ... there were many of them. Xinhua: Was there more than one child? Shimizu: There were many, not just one. The children were dissected, with their organs taken out. Xinhua: What's the exact number? Shimizu: Well ... Too many to count. 'Many' is the only word I can use. Dissecting the baby ... I still ... To this very day, I still can't imagine doing that to an innocent fetus. Xinhua: So there were both babies only a few months old and older children in the room? Shimizu: Yes. From a fetus in the womb for a few months to newborn babies. I really believe that Unit 731 did every evil deed possible ... those were all innocent kids. Shimizu said the episodes resulted in nightmares, and he often woke up in a cold sweat. Seventy-eight years on, the hellish scenes still haunt, said Shimizu, adding that seeing his grandson today even reminds him of the horrors. He can't imagine his own flesh and blood enduring such suffering. In December 1949, 12 members of the Japanese Kwantung Army were tried as germ warfare criminals in the Russian Far Eastern city of Khabarovsk, where Kiyoshi Kawashima, who served as the director of the bacterial production department under Unit 731, confessed that the unit killed no less than 3,000 soldiers and civilians from China, the Soviet Union and other countries due to experimentation. It never dawned on the former Unit 731 member that he himself had been an "experiment subject" of the germ warfare. "I once ate a steamed bun offered by the army that contained bacteria, but I wasn't aware that I was part of the experiment until I read the Devil's Gluttony written by Seiichi Morimura, a reportage based on interviews with many former Unit 731 members," Shimizu recalled. "After that meal, I suddenly had a fever of 42 degrees Celsius, which lasted for a week," he said. "I vaguely remember that a sanitary soldier came to measure my temperature and pulse once a day during that week, but I no longer felt alive." Shimizu said he caught typhoid in the winter and he fled back to Japan. The doctor at the clinic told him that test results showed that he had so few red blood cells that he might not make it through the winter without treatment. In addition to conducting bacterial experiments on Japanese soldiers, Unit 731 would also perform vivisections on members infected with germs during the experiments. "Yoshio Shinozuka, a Youth Corps member who joined the team earlier than me, told his stories in Morimura's book. He said his good friend Mitsuo Hirakawa was dissected alive after contracting the plague and that he stood by and saw the whole thing," he continued. "I believe every word Shinozuka said was real," said Shimizu, propping his chin with his hands and with his eyes looking out of the window. "Although I spent only four months in Unit 731, I was in deep regret when I later learned that the team I joined was the unit that invaded other countries, carried out human dissection and germ warfare," Shimizu said. In 2016, Shimizu and his family went to a peace-themed exhibition, where he saw the surgical knives and other evidence left by a former Unit 731 soldier. He then decided to disclose his own identity as a Unit 731 Youth Corps member, participate in peace activities and expose the crimes of the invading Japanese bacterial forces. Since then, he has been speaking publicly about his experiences in Unit 731 and has delivered six public speeches so far this year. "Nowadays, many forces in Japan only mention the country's sufferings in the war without mentioning the invasion and harm to other countries. They refuse to display the testimony and materials of the former members of Unit 731 because 'they do not want to tell the children about such cruel realities,'" said Shimizu. "I want to say that Japan has carried out cruel atrocities, including murder. We are telling our children the truth, aren't we?" "Facts are facts and should be accepted correctly. No more war is the most profound lesson to be learned!" Shimizu said. PHNOM PENH, Aug. 16 (Xinhua) -- Cambodia's National Council on Minimum Wage (NCMW) on Wednesday started to negotiate the annual hike of monthly minimum wage for the garment, footwear and travel goods industry for 2024, according to an NCMW press statement. At the meeting, the NCMW delivered key statistical updates on socioeconomic criteria to form the basis for the 2024 minimum wage talks, it said. The representatives of employers and employees have not yet proposed their respective specific figures for the negotiations, as they need further internal talks. "The next meetings will be convened on Aug. 28 and Sept. 4, 11, 18 and 25 at the Ministry of Labor and Vocational Training," the statement said. The garment, footwear and travel goods sector, the country's largest foreign currency earner, comprises some 1,100 factories and branches with around 750,000 workers, according to the ministry. The Southeast Asian nation exported the products totally worth 6.36 billion U.S. dollars during the January-July period this year, down 20.4 percent from 7.99 billion dollars over the same period last year, according to the General Department of Customs and Excise. The monthly minimum wage for the sector is 200 dollars in 2023, an increase from 194 dollars in 2022; however, workers have received other fringe benefits such as a transport and housing allowance of 7 dollars and a regular attendance bonus of 10 dollars per month. TOKYO, Aug. 16 (Xinhua) -- At least 49 people were injured as powerful Typhoon Lan on Wednesday hit Japan before heading to the Sea of Japan, bringing downpours and damages to several parts of the country. A total of 49 people in nine prefectures in central to western Japan were reported to have suffered injuries, including 24 people in Hyogo prefecture, said the Fire and Disaster Management Agency, adding that 141 homes were damaged by the storm. As the seventh typhoon of the year brought gusts and downpours to central and western Japan by making landfall on Tuesday, the western prefecture of Tottori experienced record rainfall. The city of Tottori issued a top-level emergency warning, as the city's Sajicho District saw hourly rainfall of 97.5 millimeters, the highest since statistics began in 1982. Two bridges collapsed and a road was sheared away by powerful waters unleashed by the storm in Tottori city, according to local media. "I saw the road collapse like dominos. I hope it gets repaired right away," a local resident was quoted by national broadcaster NHK as saying. Over 1,800 people in 844 households were left stranded due to the impacts of the typhoon in Tottori prefecture as of 9 a.m. Wednesday, reported NHK. Due to heavy rain in central Japan on Wednesday, direct bullet train services between Tokyo and Hakata in the southwest of the country were halted, making several major train stations crowded with confused travelers. The train services were gradually restored later during the day. About 50,000 households were affected by power outages in several typhoon-hit prefectures including Wakayama, Osaka, Mie, Aichi, Tokushima and Shizuoka from Tuesday to Wednesday. The Japan Meteorological Agency says Lan, now downgraded to a tropical storm, will strike Hokkaido by Thursday night as an extratropical cyclone, after it travels northward over the Sea of Japan. KIEV, Aug. 16 (Xinhua) -- Ukraine will not cede its territories to Russia to obtain NATO membership, Ukrainian Foreign Ministry spokesperson Oleg Nikolenko said Tuesday. "The talks about Ukraine joining NATO in exchange for giving up parts of Ukrainian territories are absolutely unacceptable," Nikolenko wrote on Facebook. He emphasized that Ukraine is committed to further cooperation with NATO to achieve full membership in the alliance. The statement came after a comment from Stian Jenssen, the director of the private office of the NATO Secretary General, who proposed that Ukraine cede part of its territory to Russia in exchange for NATO membership to end the war, the Ukrinform news agency reported. ANKARA, Aug. 16 (Xinhua) -- The Turkish National Intelligence Organization killed a senior member of the outlawed Kurdistan Workers' Party (PKK) in a cross-border operation in northern Iraq, semi-official Anadolu Agency reported Wednesday. Celal Birdal, codenamed "Sidar Serhat," was "neutralized" in the Gara region of northern Iraq, Anadolu said, adding that he was the responsible person for the "press and archives" of the PKK. The term "neutralized" is often used by Turkish authorities to imply that "terrorists" are killed, wounded, or captured in security operations. Birdal was involved in several attacks against Turkish security forces in the Zap and Hakurk regions in northern Iraq, the agency reported. The Turkish intelligence has intensified cross-border operations in Iraq since last year and killed several other senior PKK members over the past months. The Turkish military also carries out frequent cross-border operations against the PKK in northern Iraq, particularly in the Qandil Mountains, the main base of the group. The PKK, listed as a terrorist organization by Turkiye, the United States and the European Union, has rebelled against the Turkish government for more than three decades. by Hazel Reyes HONOLULU, the United States, Aug. 16 (Xinhua) -- As rescue workers continue looking for those missing in the deadly wildfires on the Hawaiian island of Maui, real estate investors, mostly from the American mainland, have seen an opportunity to cash in on the disaster for land development, triggering anger among local Native Hawaiians. The wildfires that broke out last Tuesday and razed Maui have left 106 people dead and more than 1,000 people missing as of Tuesday, becoming the deadliest in the United States in over a century. The fires also damaged or destroyed more than 2,000 structures, of which 80 percent were residential homes, authorities said. However, since the fires began, real estate developers have approached the survivors whose properties were damaged in the blazes, according to social media posts and media reports. Tammy Kaililaau, a Maui resident, told USA Today that the home she had lived in for 20 years was burned to the ground. Within a week, she got a Facebook message from someone in real estate. Mark Stefl, a 67-year-old fire survivor in the historic town of Lahaina, told the same outlet that developers had approached him, and the offer felt like a hit while he was down. Another resident Richy Palalay told the Associated Press that he was worried that investors would seize the opportunity to pay a lower price for the land locals lived on to build expensive resorts. "I'm more concerned of big land developers coming in and seeing this charred land as an opportunity to rebuild," said Palalay. Many residents are concerned that their land would slip into the hands of affluent outsiders. Some have turned to social media to warn each other of such purchase inquiries or potential scams. Last week, the Federal Trade Commission cautioned that people affected by wildfires on Maui could fall prey to scammers who typically target victims after a disaster. Hawaii Governor Josh Green's office said in a news release on Monday that concerns were rising over the threat of potential scams because "residents are being approached about selling fire-damaged home sites by people posing as real estate agents who may have ill intent." The governor said he had asked the state's attorney general to consider imposing a moratorium on the sales of damaged or destroyed properties on Maui. Acknowledging that laws do not restrict who can buy properties in Hawaii, Green said, "We can do it deliberately during a crisis." "So for my part, I will try to allow no one from outside our state to buy any land until we get through this crisis and decide what Lahaina should be in the future," he added. More Native Hawaiian community leaders showed their fury against the greedy attempts. "The fires of today are in part due to the climate crisis, a history of colonialism in our islands, and the loss of our right to steward our 'aina and wai' (land and water). The same western forces that tried to erase us as a people now threaten our survival with their destructive practices," a statement from Carmen Lindsey, chairwoman of the Office of Hawaiian Affairs, read. "It is very disrespectful to those who lost loved ones and to Hawaii as a whole to make offers to purchase property at rock-bottom prices. It is disrespectful to even bring it up at this time, at any price," Mona Bernardino, an attorney and Native Hawaiian leader, told Xinhua. "The pain is real for all of us in Hawaii," she said. "Hawaii is not for sale. Don't even begin to look here for economic opportunity. Our residents and community come first. We will rebuild Lahaina in our way, on our time schedule." Poland bracing for possible Belarusian provocations to disrupt stability 16 August, 04:47 PM Belarusian dictator Alexander Lukashenko (Photo:REUTERS/ ) Belarusian provocations targeting Poland are being viewed as efforts to disrupt stability, Polish Deputy Minister of Internal Affairs Mateusz Wonsik said Aug. 16, according to a report by Polskie Radio. Wonsik claimed that Belarusian dictator Alexander Lukashenko might attempt to interfere in the upcoming Polish elections. Were aware that Alexander Lukashenko could endeavor to meddle in the Polish elections, thereby unsettling the situation and orchestrating various provocative actions, Wonsik said. Video of day He also underscored that Poland was carefully monitoring the situation on the Belarusian-Polish border. Polands parliamentary elections are scheduled for Oct. 15 a significant date on the countrys democratic calendar that will determine the composition of the Sejm and Senate (the lower and upper houses of the Polish parliament, respectively). The last round of general elections in the country took place in 2019. Tensions between Belarus and Poland have been rising for more than a month. On July 1, the Polish Ministry of Defense revealed that two Belarusian helicopters had reportedly crossed the Polish border at an unusually low altitude, making them difficult to detect. The Belarusian Ministry of Defense promptly denied the Polish statement, but video of the event was soon circulating on social media. Local Open-Source Intelligence (OSINT) analysts identified the Belarusian helicopters as a Mi-8 and Mi-24, which flew over the center of Bialowieza. Local residents also reportedly captured photographs of these helicopters. Polands Operational Command of the Armed Forces had previously denied allegations of airspace infringement. Lieutenant Colonel Jacek Goryszewski maintained that Belarus had provided prior notice of the operation involving up to three helicopters in the border vicinity. He emphasized that these flights were for training purposes. On July 20, Polands Minister of Defense, Mariusz Blaszczak, announced the deployment of a contingent of its forces to the eastern border in response to the reported arrival of Wagner PMC mercenaries in Belarus. In a show of solidarity, Germanys Minister of Defense, Boris Pistorius, affirmed his nations readiness to support Poland should any aggression emerge from the Wagner Group within Belarus. Polands Ministry of Internal Affairs has not ruled out the possibility of neighboring European Union countries sealing their borders in response to provocations by the Wagner Group. Polish Prime Minister Mateusz Morawiecki disclosed on July 29 that over one hundred members affiliated with the so-called Wagner Group are allegedly en route to the Suwalki Corridor a 100-kilometer stretch marking the border between Poland and Lithuania. These Wagner Group members are reported to have entered Belarus following an unsuccessful one-day coup attempt orchestrated by their leader, Yevgeny Prigozhin, on June 24. Will you support Ukraines free press? Dear reader, as all news organizations, we must balance the pressures of delivering timely, accurate, and relevant stories with requirements to fund our business operations. As a Ukrainian-based media, we also have another responsibility to amplify Ukraines voice to the world during the crucial moment of its existence as a political nation. Its the support of our readers that lets us continue doing our job. We keep our essential reporting free because we believe in our ultimate purpose: an independent, democratic Ukraine. If youre willing to support Ukraine, consider subscribing to our Patreon starting from 5$ per month. We are immensely grateful. Please help us continue fighting Russian propaganda. Truth can be hard to tell from fiction these days. Every viewpoint has its audience of backers and supporters, no matter how absurd. If conscious disinformation is reinforced by state propaganda apparatus and budget, its outcomes may become deadly. There is no solution to this, other than independent, honest, and accurate reporting. We remain committed to empowering the Ukrainian voice to push against the muck. If youre willing to stand up for the truth consider supporting us on Patreon starting from 5$ per month. Thank you very much. Will you help tell Ukraines story to the world? Twenty years ago, most people hadnt even heard of Ukraine. Today, the country is on everyones lips and everyones headlines. War pushed us on the front page. But there are many other things we do that we are proud of from music and culture to technology. We need your help to tell the world Ukrainian story of resilience, joy, and survival. If youre willing to back our effort, consider supporting us on Patreon starting from 5$ per month. We are immensely grateful. Follow us on Twitter, Facebook and Google News Some 650 Russian invaders eliminated over past 24 hours, says General Staff 16 August, 11:42 AM Russia suffers huge losses in Ukraine (Photo:Ministry of Defense of Ukraine/Facebook) Another 650 Russian troops were eliminated in Ukraine over the past 24 hours, Ukraines General Staff said in its regular morning report on Aug. 16. Total Russian losses in personnel and equipment in Ukraine since Feb. 24, 2022 are now as follows: Personnel: 255,570 (+650) confirmed casualties Tanks: 4,324 (+11) units Combat armored vehicles: 8,380 (+10) units Artillery systems: 5,152 (+24) units Multiple Launch Rocket Systems (MLRS): 714 (+0) units Anti-Aircraft Missile Systems: 485 (+3) units Aircraft: 315 (+0) units Helicopters: 314 (+0) units Operational-Tactical UAVs (Unmanned Aerial Vehicles): 4,248 (+6) units Cruise missiles: 1,404 (+17) units Ships/boats: 18 (+0) units Military vehicles and tankers: 7,614 (+30) units Specialized equipment: 773 (+4) units. Video of day Since the beginning of June, the Ukrainian Armed Forces have undertaken a counter-offensive in the southern and eastern regions of Ukraine, progressively liberating occupied territories and securing positions on recaptured frontiers. The Ukrainian military has liberated over 192 square kilometers in southern Ukraine and 40 square kilometers in the eastern Bakhmut area since the beginning of the counter-offensive. In turn, Russian forces are suffering huge losses, according to the Ukrainian military, and according to some pro-Ukrainian and pro-Russian bloggers who cover the conflict. Will you support Ukraines free press? Dear reader, as all news organizations, we must balance the pressures of delivering timely, accurate, and relevant stories with requirements to fund our business operations. As a Ukrainian-based media, we also have another responsibility to amplify Ukraines voice to the world during the crucial moment of its existence as a political nation. Its the support of our readers that lets us continue doing our job. We keep our essential reporting free because we believe in our ultimate purpose: an independent, democratic Ukraine. If youre willing to support Ukraine, consider subscribing to our Patreon starting from 5$ per month. We are immensely grateful. Please help us continue fighting Russian propaganda. Truth can be hard to tell from fiction these days. Every viewpoint has its audience of backers and supporters, no matter how absurd. If conscious disinformation is reinforced by state propaganda apparatus and budget, its outcomes may become deadly. There is no solution to this, other than independent, honest, and accurate reporting. We remain committed to empowering the Ukrainian voice to push against the muck. If youre willing to stand up for the truth consider supporting us on Patreon starting from 5$ per month. Thank you very much. Will you help tell Ukraines story to the world? Twenty years ago, most people hadnt even heard of Ukraine. Today, the country is on everyones lips and everyones headlines. War pushed us on the front page. But there are many other things we do that we are proud of from music and culture to technology. We need your help to tell the world Ukrainian story of resilience, joy, and survival. If youre willing to back our effort, consider supporting us on Patreon starting from 5$ per month. We are immensely grateful. Follow us on Twitter, Facebook and Google News Again and again, UAW President Shawn Fain has scheduled updates for his members on Facebook Live to discuss contract negotiations and sometimes even skewer an automaker. Thousands of viewers have tuned in to watch and submit questions via chat that he addresses in real time. They submit thumbs-up and heart emojis as he speaks. Other members, who usually list their local union affiliation when commenting online, scold him for being too negative. Fain acknowledges by name the members, their local offices, their priorities and responds to them. "The audience for our Facebook Lives keeps getting bigger every time," Fain told the Detroit Free Press on Tuesday. "Being the first (UAW) president directly elected by the members, I wanted to have that direct connection with folks all across the union." UAW president Shawn Fain walks toward one of the employee entrances outside of Ford's Michigan Assembly Plant in Wayne on Wednesday, July 12, 2023. Gone are the days of UAW communication by news release only via email. This is a different kind of labor organization than the union that negotiated the four-year contract in 2019 with General Motors, Ford Motor Co. and Stellantis, which owns the Jeep, Ram, Chrysler, Dodge and Fiat brands. Only Stellantis has pushed back publicly on the UAW demands. Fain, who worked as an electrician at the Stellantis automotive parts plant in Kokomo, Indiana, has longtime family connections to Chrysler. Fain, after hosting a Facebook Live update Tuesday asking union members to vote to officially authorize a strike if needed, responded to strategy questions from the Free Press. These are his responses, unedited: Is the UAW member demand list so ambitious that any potential deal will have trouble winning ratification? Are expectations too great? "Its the Big Threes massive profits that are setting expectations. Members are right to demand that record profits mean record contracts," Fain said. Does transparency impede negotiations? Does it back automakers into a corner and not allow them to save face by brokering concessions? "Transparency makes us stronger," Fain said. "When I was a national negotiator, it was incredibly frustrating to see the president go behind closed doors and cut a backroom deal. Its not the power of the president that wins a strong contract. Its the power of a mobilized membership. Because the members have been driving these negotiations from day one, our position at the bargaining table is so much stronger." Story continues More: GM confirms future wage hike for UAW members, but other demands 'threaten' company health More: 'Shake up' UAW, purge staff, prepare to strike: Document reveals Shawn Fain's draft plans Some UAW members say they cannot afford to strike and theyre worried. What do you say to them? "In my opinion, as wages and conditions have regressed in the most profitable time in the history of these companies, we cant afford not to strike if the occasion calls for it," Fain said. "If there is a strike, its the Big Three wholl be striking themselves. Their profits have been astronomical. They can afford our demands. But if the companies do force a strike, we have been preparing. We have increased strike pay substantially. Our locals have been getting ready to assist members who need it. We will make sure every member has what they need to win." The UAW contract with the Detroit Three automakers ends at 11:59 p.m. on Sept. 14. The UAW led a 40-day strike on GM four years ago. This year, the union voted to increase strike pay to $500 for workers on the picket line. UAW GM VP Mike Booth says members have voiced support for a strike if negotiations fail to achieve goals. Booth is seen here at the GM Flint Assembly Plant on June 5, 2023 Mike Booth, UAW vice president for the GM Department, told the Free Press in May that about 80% of the union members employed at GM have indicated they would not oppose a strike if that's what it takes to get a fair contract. In fact, Booth said the UAW strike pay of $500 a week is almost as much as entry-level plant workers earn now making $16.67 an hour for a 40-hour workweek. Note: The UAW uses the term "Big Three" and the Free Press uses the term "Detroit Three," because Detroit automakers are no longer the largest. Toyota, which has had a research and development presence in Ann Arbor for more than 40 years, is the top-selling automaker globally. Free Press staff writer Jamie L. Lareau contributed to this report. Contact Phoebe Wall Howard: 313-618-1034 or phoward@freepress.com. Follow her on Twitter @phoebesaid. This article originally appeared on Detroit Free Press: Shawn Fain responds to UAW members worried about strike, impact on pay John Chachas, the owner of luxury department store Gumps San Francisco, wrote an open letter to Governor Newsom, Mayor London Breed, and the citys Board of Supervisors, pleading for them to act on what he describes as the citys worsening downtown conditions. Today, as we prepare for our 166th holiday season at 250 Post Street, we fear this may be our last, Chachas wrote in an open letter, published as a paid ad in the Sunday edition of the San Francisco Chronicle. Gumps, which sells luxury furnishings and jewelry, was acquired by Chachas after the retailer filed Chapter 11 bankruptcy in 2018. The high-end retailer, founded in San Francisco in 1861 and born out of the famed California gold rush, has only one physical location, which is one block from the citys Union Square. The ramifications of Covid policies advising people to abandon their offices are only beginning to be understood. Equally devastating have been a litany of destructive San Francisco strategies, including allowing the homeless to occupy our sidewalks, to openly distribute and use illegal drugs, to harass the public and to defile the citys streets, he wrote. Chachas, who ran for a US senate seat in Nevada as a Republican in 2010, argued that current conditions make San Francisco unlivable for its residents, unsafe for our employees, and unwelcoming to visitors from around the world. San Franciscos mayor, London Breed, Californias governor, Gavin Newsom, and the San Francisco board of supervisors offices did not respond to CNNs request for comment. A tide of store closures downtown Gumps would be one of many retailers in San Franciscos downtown Union Square neighborhood to close its doors in recent years. Well-known chain stores like Whole Foods, Anthropologie, Office Depot, and CB2 have also ceased operations in the citys downtown since the start of the pandemic. In total, more than 39 retail stores have shuttered in San Franciscos Union Square area since 2020, according to a tally from Coresight, a market research firm. Story continues Whole Foods temporarily closed one of its San Francisco locations due to rampant shoplifting. - Justin Sullivan/Getty Images The complaints raised in Chachas note also echo those of several retailers that have recently signaled plans to exit the city. In June, shopping mall operator Westfield announced it would give up control of its San Francisco mall, citing challenging operating conditions in downtown San Francisco, which have led to declines in sales, occupancy and foot traffic. One month earlier, one of that malls biggest retailers, Nordstrom, said it would shutter both of its San Francisco stores, attributing its decision to the dramatically changing dynamics of the citys downtown. Park Hotels and Resorts, the investment firm that owns Hilton San Francisco Union Square and Parc 55 hotels, also recently revealed it would leave the city. The firms CEO, Thomas Baltimore, Jr., said that San Franciscos path to recovery remains clouded and elongated by major challenges. Whats behind the citys slump? Several factors may have contributed to San Franciscos economic struggles. The citys tech-heavy workforce embraced remote work in 2020 and has yet to return to the office at the same levels as other major US cities. Office vacancies in the city are at a 30-year high, and many people have permanently left; San Francisco Countys population declined by more than 60,000 people from 2020 to 2022, according to US Census estimates. The decline of in-person work coupled with diminished tourism to San Francisco during the pandemic has translated to shrinking foot traffic in the citys once-bustling downtown retail center. The Westfield San Francisco Centre stopped making payments on a $558 million loan for their mall at 865 Market St. - Justin Sullivan/Getty Images In addition, several attention-grabbing videos of store robberies in San Francisco have garnered national attention and calls for stricter policing in the city. While violent crime statistics in San Francisco have remained relatively low in recent years, compared to other major cities, property crimes have risen since 2020, according to San Francisco Police Department data. Meanwhile, San Francisco has grappled with a housing affordability crisis since before the pandemic, contributing to an uptick in the unhoused population in the citys downtown. According to the results of a University of California, San Francisco survey released in June, most participants attributed their situations to the unsustainable cost of housing in the city. For more CNN news and newsletters create an account at CNN.com In this article, we will discuss the 20 richest countries in Africa ranked by net worth. If you want to skip the detailed analysis of the African economy, click 5 Richest Countries in Africa Ranked by Net Worth. A countrys wealth comprises its assets minus its liabilities. The global wealth spread is concentrated in some areas of the world. As we mentioned earlier, the U.S. has the most billionaires in the world, with a remarkable 735 individuals adding $4.5 trillion to the countrys economy. China ranks number two with 495 billionaires with a total wealth of $1.67 trillion. At the same time, many nations are suffering from extreme poverty. The top 1% in the world have added two-thirds of new wealth to their possessions since 2020. In 2022, global inequality soared for the first time in decades. The World Bank predicts that the UN may fall short of ending extreme poverty by 2030, considering the global economic crisis. Africa is one of the poorest regions in the world. African countries have suffered from extreme poverty due to political and economic instability in the region. However, many African countries are making progress in reviving their economy. The current GDP of Sub-Saharan Africa is around $2.05 trillion, as reported by the World Bank. As we mentioned earlier, among the richest countries in Africa, only 7 countries have a GDP greater than $100 billion. In this article, our focus is on the richest countries in Africa by net worth. Before we get into the list of the richest countries in Africa ranked by net worth, lets have a look at the economic outlook of Africa. Economic Outlook for Africa Over the years, Africa has suffered from multiple shocks including global, regional, economic, and political. Despite all the adversities, Africas economic outlook seems promising. On May 24, the African Development Bank Group shared the African Economic Outlook 2023. The report from the African Development Bank Group forecasts African economies to stabilize at a rate of 4.1% in 2023-24. The projected economic growth for this year is higher than the economic growth rate of 3.8% in 2022. The region's economic growth is expected to remain resilient despite multiple shocks. Just like other regions of the world, Africas growth was debilitated by the COVID-19 pandemic, declining from 4.8% in 2021 to around 3.8% in 2022. However, things are improving as Africa's economic outlook is projected to have the second-fastest growth rate compared to the rest of the continents. Africas projected growth stability in 2023 and 2024 shows a subtle improvement in the global economic conditions driven by Chinas re-opening and interest rate adjustments at a slower pace due to tight monetary policy. Regardless of the positive economic indicators, the African Development Bank Group considers increasing inflation, supply chain constraints, and climate issues as potential threats to the growth of the continent. On February 17, during the 36th African Union Assembly in Addis Ababa, the vice president and chief economist of the African Development Bank, Kevin Urama, added that the governments should focus on mobilizing the private sectors to drive economic growth. Urama said: Story continues The stable outlook projected for 20232024 reflects the continuing policy support in Africa, global efforts to mitigate the impact of external shocks, and rising uncertainty in the global economy. To meet the significant financing gaps in Africa, it is imperative to enact policies that can mobilize and leverage private financing for development in Africa. African countries need to focus on policy implementation to overcome the crises and ensure that economic growth continues to produce positive outcomes for them. Africas Richest Sub-Saharan Africa has a population of 1.2 billion. As we mentioned earlier, half of the African population will be under 25 years old by 2050. In the long term, the African youth can harness their potential and human capital to eradicate poverty in the region. With a market of 1.2 billion people, Africa is one of the largest free trade regions in the world. The positive economic outlook indicates that Africa is utilizing its human capital and natural resources. Some of the Sub-Saharan African countries rank among the richest countries in the world, including Egypt, South Africa, and Nigeria. Keeping up with the challenges, a number of African countries are showing resilience and making developments. As reported by the World Bank, Kenya, Cote dIvoire, and the Democratic Republic of Congo grew at 5.2%, 6.7%, and 8.6% in 2022, respectively. The World Bank projects the economic growth of Africa excluding large countries at 4.3% in 2023, which is set to dilate to 5.1% and 5.2% in 2024 and 2025, respectively. In 2023, Central African countries are expected to have weak economic performance with a growth rate expected at 2.7%. Whereas, the West African countries are projected to grow at 5.5% in 2023. Africas wealthiest people have a vital role to play in the region's economy. African billionaires have an accumulated net worth of $81.5 billion. Among 54 African countries, billionaires from just seven countries made it to the Forbes list of Africas billionaires. South Africa and Nigeria are two of the wealthiest African nations and the richest African billionaires hail from these two countries. On January 30, Forbes shared the list of Africas billionaires, and Nigerian industrialist Aliko Dangote was ranked the richest on the list with a net worth of $13.5 billion. Dangote remains the richest African for the 12th straight year. South African luxury goods tycoon Johann Rupert shares second place with a net worth of $10.7 billion. Ranked in the third spot, another South African magnate Nicky Oppenheimer has a net worth of $8.4 billion from his metals and mining business. Developments in Africa On March 20, Anadolu Ajans reported that Russias President, Vladimir Putin, wrote off the debt of African nations worth $20 billion. On July 27, Reuters reported that Russia has granted relief on debt worth $684 to the Somalian government. The Deputy Prime Minister of Somalia Salah Jama pointed out that both governments have agreed to the debt relief and the majority of debt would be immediately written off while the remaining part would be subjected to rescheduling of payments. According to Anadolu Ajans, President Putin stated: Additional opportunities are opened up by the process of establishing the African Continental Free Trade Area (AfCFTA), which began in 2021, which in the future will become a continental market with a total GDP of more than $3 trillion. Despite Russias focus on the war in Ukraine, the Russian government is looking into opportunities in Africa. During the Russia-Africa Summit held on July 27 and 28, Putin announced a strong strategic alliance with African countries. Putin added that their attention to Africa is steadily growing. All the participating African countries signed a joint declaration that will create a balanced and stable multipolar world order for the African continent. This is a huge development for Africa. Many African nations have decided to side with Russia, however, countries such as South Africa are in the midst of binding relations with both Russia and the U.S. The South African government has been neutral on Russia's invasion of Ukraine. On May 18, CNBC reported that tensions escalated between the U.S. and South Africa when U.S. Ambassador Reuben Brigety openly accused Pretoria of supplying guns to Russia. Reuben pointed out that Lady R, a Russian vessel sanctioned by the U.S., was docked outside Cape Town at Simons Town naval base in December 2022. However, South Africas government denied any involvement in the sale of arms to Russia and stated the event as factually incorrect. On August 14, Bloomberg reported that South Africa will keep its preferential access to U.S. markets despite the tension between the two countries, according to BRICS ambassador, Anil Sooklal. The reason for this is that hundreds of American firms are involved in business in South Africa. However, U.S. lawmakers continue to push the Biden administration to review the White House stance on South Africa. Some of the leading South African companies operating around the world include Sibanye Stillwater Limited (NYSE:SBSW) and DRDGOLD Limited (NYSE:DRD). Sibanye Stillwater Limited (NYSE:SBSW) is one of the leading metal producers in the world. The company is transitioning its energy sources to renewable sources. In the company's Integrated Report 2022, Sibanye Stillwater Limited (NYSE:SBSW) posted that it had achieved an A-score for its CDP climate change submission. By 2025, Sibanye Stillwater Limited (NYSE:SBSW) expects 25% Scope 2 emissions and 100% by 2038. On the other hand, DRDGOLD Limited (NYSE:DRD) is doing a great job as the South African gold miner reported 1,329 kg of gold production for the quarter ended on March 31, 2023. The company continues to increase its gold production capacity and capitalize from increasing gold prices in the market. On February 15, DRDGOLD Limited (NYSE:DRD) announced the fiscal year 2023 will be the 16th consecutive year that it will have paid a dividend. South African companies are key drivers of the country's economy. Another prominent African company and one of the largest gold miners in the world is Gold Fields Limited (NYSE:GFI). As of August 16, Gold Fields Limited (NYSE:GFI) is worth $11.77 billion on the open market and has returned more than 37% to investors over the past 12 months. Apart from African companies, foreign companies have also played a big role in South Africa's economy. For instance, the e-commerce giant Amazon.com Inc. (NASDAQ:AMZN) is running successful operations in South Africa. Amazon.com Inc.s (NASDAQ:AMZN) subsidiary Amazon Web Services, Inc. has had a huge impact on South Africas economy. Jeff Bezos company is helping South African public sector organizations to engineer solutions to overcome different challenges. According to Amazon Web Services, Inc., the companys investment in the country added ZAR 12 billion to South Africas GDP from 2018 to 2022. AWS will add an estimated ZAR 68 billion more to South Africas GDP by 2029, according to a new economic impact study (EIS) from AWS. On August 3, Amazon.com Inc. (NASDAQ:AMZN) announced its earnings for the second quarter of 2023. AWS segment made a significant stride in sales with a 12% increase year over year to $22.1 billion. The company posted earnings per share of $0.65, surpassing the consensus by $0.31. AWS has been a remarkable segment for the company and continues to progress in cloud computing. Here are some of the comments from the Q2 2023 earnings call: AWS remains the clear cloud infrastructure leader with a significant leadership position with respect to number of customers, size of partner ecosystem, breadth of functionality and the strongest operational performance. These are important factors for why AWS has grown the way it has over the last several years and for why AWS has almost doubled the revenue of any other provider. I've talked to many AWS customers over the years and continue to do so. And while all these factors I mentioned have been big drivers of the business' success, AWS customers tell us that as importantly, they care about the very different customer focus and orientation in AWS may see elsewhere. As the economy has been uncertain over the last year, AWS customers have needed assistance cost optimizing to withstand this challenging time and reallocate spend to newer initiatives that better drive growth. We've proactively helped customers do this. As a result, we've seen AWS' revenue growth rate stabilize during Q2 where we reported 12% year-over-year growth. The AWS team continues to innovate and change what's possible for customers at a rapid clip. You can see across the array of AWS product categories where AWS leads in compute, networking, storage, database, data solutions and machine learning, among other areas, and the continued invention and delivery in these areas is pretty unusual. Today, more than 50,000 customers use AWS' Graviton chips and AWS Compute instances, including 98 of our top 100 Amazon EC2 customers, and these chips have about 40% better price performance than other leading x86 processors. The same sort of reimagining is happening in generative AI right now. Generative AI has captured people's imagination, but most people are talking about the application layer, specifically what OpenAI has done with ChatGPT. It's important to remember that we're in the very early days of the adoption and success of generative AI, and that consumer applications is only one layer of the opportunity. We think of large language models in generative AI as having 3 key layers, all of which are very large in our opinion and all of which AWS is investing heavily in. 20 Richest Countries in Africa Ranked by Net Worth Roman Yanushevsky/Shutterstock.com Our Methodology To determine the wealthiest countries in the world, we analyzed data from Credit Suisse's Global Wealth Databook 2022. Credit Suisses database calculates the wealth of countries, considering their financial and non-financial assets while removing the value of debt from it. We have compared the data of 2021 and 2010 to reflect the rate at which a countrys wealth has increased over the decade. We have ranked the country higher with the highest wealth as of 2021. Here is the list of the richest countries in Africa ranked by net worth. 20 Richest Countries in Africa Ranked by Net Worth 20. Madagascar Total Wealth in 2021: $30 Billion Total Wealth in 2010: $13 Billion Percentage Change: 130.77% Officially the Republic of Madagascar is an island country which is situated on the southeastern coast of Africa. Madagascar is one of the largest island countries in the world. The countrys total wealth in 2021 came in at $30 billion, up 130.77% compared to 2010. Madagascar ranks 20th on our list of the richest countries in Africa ranked by net worth. Some of the leading companies operating in Africa include Gold Fields Limited (NYSE:GFI), DRDGOLD Limited (NYSE:DRD), and Sibanye Stillwater Limited (NYSE:SBSW). 19. Namibia Total Wealth in 2021: $31 Billion Total Wealth in 2010: $11 Billion Percentage Change: 181.82% Homeland to some of the most diverse wildlife in the world, Namibia is located in southwest Africa. The country is known for its wildlife and the Namib Desert along its Atlantic Ocean coast. In 2021, the countrys total wealth stood at $31 billion, which makes it one of the richest countries in Africa ranked by net worth. 18. Senegal Total Wealth in 2021: $41 Billion Total Wealth in 2010: $19 Billion Percentage Change: 115.79% Senegal is a West African country with a population of 17.3 million. The capital, Dakar, is an economic and administrative hub of the country. Senegal is one of the richest countries in Africa ranked by net worth, with total wealth in 2021 amounting to $41 billion. 17. Libya Total Wealth in 2021: $44 Billion Total Wealth in 2010: $56 Billion Percentage Change: -21.43% The North African country, Libya, is surrounded by the Mediterranean Sea to the north and Chad to the south. Egypt and Algeria are neighbors to the east and west, respectively. Libyas wealth has depreciated by -21.43% over the last 10 years. With a total wealth of $44 billion in 2021, Libya is ranked 17th on our list of the richest countries in Africa ranked by net worth. 16. Cameroon Total Wealth in 2021: $46 Billion Total Wealth in 2010: $18 Billion Percentage Change: 155.56% Cameroon is a Central African country, situated around the Gulf of Guinea. It has assorted terrain and wildlife. Cameroons total wealth in 2021 was $46 billion, a 155.56% increase as compared to 2010 when the countrys wealth was $18 billion. The country is among the richest countries in Africa ranked by net worth. 15. Uganda Total Wealth in 2021: $49 Billion Total Wealth in 2010: $15 Billion Percentage Change: 226.67% Located in East Africa, Uganda is a landlocked country with a diverse area that includes the snow-capped Rwenzori Mountains and Lake Victoria. The immense wildlife is another prominent thing about the country. In 2021, Uganda had a net worth of $49 billion and therefore makes it to our list of the richest countries in Africa ranked by net worth. 14. Angola Total Wealth in 2021: $55 Billion Total Wealth in 2010: $34 Billion Percentage Change: 61.76% Angola has a population of 35.5 million with a vast landscape covering tropical Atlantic beaches, rivers, and the Sub-Saharan desert. The countrys total wealth in 2021 came in at $55 billion, up 61.76% compared to 2010. Angola ranks 14th on our list of the richest countries in Africa ranked by net worth. 13. Democratic Republic of the Congo Total Wealth in 2021: $59 Billion Total Wealth in 2010: $15 Billion Percentage Change: 293.33% The Democratic Republic of Congo is the largest country in Sub-Saharan Africa and is about the same size as Western Europe. The Democratic Republic of Congo is one of the largest countries in the world with a population of 99 million. In 2021, the countrys total wealth stood at $59 billion, which makes it one of the richest countries in Africa ranked by net worth. 12. Mauritius Total Wealth in 2021: $63 Billion Total Wealth in 2010: $32 Billion Percentage Change: 96.88% Mauritius is located alongside the Indian Ocean and is known for its beaches, reefs, and lagoons. Rainforests, waterfalls, and different hiking trails make it a diverse landscape. With a total wealth of $63 billion in 2021, Mauritius is ranked 12th on our list of the richest countries in Africa ranked by net worth. 11. Zimbabwe Total Wealth in 2021: $71 Billion Total Wealth in 2010: $9 Billion Percentage Change: 688.89% Zimbabwe has made a whopping growth of 688.89% from 2010 to 2021. In 2010, the country had only $9 billion of total wealth and in 2021 it stood at $71 billion. With diverse wildlife and dramatic wildlife, Zimbabwe ranks among the richest countries in Africa ranked by net worth. 10. Tanzania Total Wealth in 2021: $122 Billion Total Wealth in 2010: $31 Billion Percentage Change: 293.55% Tanzania is home to some of the biggest wild animals including elephants, rhinos, buffalos, lions, and leopards. The countrys total wealth in 2021 stood at $122 billion, up by a staggering 293.55% compared to 2010. Tanzania ranks 10th on our list of the richest countries in Africa ranked by net worth. 9. Ghana Total Wealth in 2021: $130 Billion Total Wealth in 2010: $49 Billion Percentage Change: 165.31% Officially the Republic of Ghana is a country situated in West Africa. The countrys total wealth in 2021 came in at $130 billion, up 165.31% compared to 2010. Ghana ranks among the richest countries in Africa ranked by net worth. 8. Tunisia Total Wealth in 2021: $174 Billion Total Wealth in 2010: $108 Billion Percentage Change: 61.11% Tunisia has a population of 12.3 million and is the northernmost country in the African continent. In 2010, the country had $108 billion of total wealth and in 2021 it stood at $174 billion. Tunisia makes it to the eighth place on our list of the richest countries in Africa ranked by net worth. 7. Ethiopia Total Wealth in 2021: $228 Billion Total Wealth in 2010: $33 Billion Percentage Change: 590.91% Ethiopia has remarkably grown its wealth by 590.91% from 2010 to 2021. In 2010, the country had $33 billion of total wealth compared to $228 in 2021. Also known as the Horn of Africa, Ethiopia is one of the richest countries in Africa ranked by net worth. 6. Algeria Total Wealth in 2021: $284 Billion Total Wealth in 2010: $157 Billion Percentage Change: 80.89% Algeria has some historical landmarks from the Romans and Ottomans. The countrys total wealth in 2021 was $284 billion, up 80.89% compared to 2010. Algeria is placed sixth on our list of the richest countries in Africa ranked by net worth. For investors looking to enter the African market, some stocks to look up include Gold Fields Limited (NYSE:GFI), DRDGOLD Limited (NYSE:DRD), and Sibanye Stillwater Limited (NYSE:SBSW). Click to continue reading and see 5 Richest Countries in Africa Ranked by Net Worth. Suggested articles: Disclosure: None. 20 Richest Countries in Africa Ranked by Net Worth is originally published on Insider Monkey. In this article, we will list the leading aid-giving nations that generously contribute to humanitarian and social causes. If you want to skip our overview of the global foreign aid situation, read 10 Countries that Give the Most Foreign Aid Per Capita. Countries that give the most foreign aid per capita are Luxembourg, Norway, Sweden, and Denmark, along with the US, Japan, Germany, and the UK. Some countries that have recently started giving hefty amounts of aid include the UAE, Saudi Arabia, and Turkey. Foreign aid is a bridge between developed and developing nations that provides the latter with the resources needed to overcome their troubles. But not all countries contribute equally, and the measurement of foreign aid per capita offers insight into a country's commitment to international development relative to its population size. Countries that give the most foreign aid per capita are not necessarily the largest donors in absolute terms, but they allocate a more generous portion of their resources, relative to the size of their population, to aid. Humanitarian aid given by donor countries addresses immediate needs arising from natural disasters or conflicts to ensure the provision of food, water, and shelter to the affectees. Likewise, developmental aid aims at long-term economic growth and sustainability in weaker economies as it focuses on aid-receiving countries' education, healthcare, and infrastructure. Help from developed countries is also crucial for combating infectious diseases, rebuilding nations after war, climate change, and food insecurity. For instance, health initiatives that have received considerable foreign aid include polio, malaria, and HIV/AIDS. We have already discussed 30 Countries That Receive the Most Foreign Aid From the US and what causes make them need foreign aid; read our article to know more about them. Role of the 0.7% GNI Target as Foreign Aid Countries that give the most foreign aid per capita draft their assistance budget to allocate a certain percentage of their Gross National Income (GNI) to help other nations. Mostly, the target is 0.7% of the total GNI, which was initially set by the World Council Of Churches and later adopted by the United Nations. Countries in OECD's Development Assistance Committee (DAC) agree to aim for giving 0.7% of gross national income (GNI) as foreign aid. Story continues The idea was that economically advanced nations should aim to allocate 0.7% of their GNI to Official Development Assistance (ODA) to support developing countries. Notably, the 0.7% figure is a target, not a mandatory requirement. Therefore, while many countries have endorsed it and use it as a guideline, they don't always achieve it. Countries that have historically met (or exceeded) the 0.7% target include Sweden, Norway, Luxembourg, and Denmark. Other countries, like the Netherlands and the United Kingdom, have also met the target in certain years. Many other DAC members that are leading aid-giving nations have endorsed the target but haven't regularly met it. These include larger economies like the United States, Germany, France, and Japan. For instance, if we talk about which country gives the most foreign aid, it is the United States, which regularly disburses over $30 billion per year to support other nations. But in terms of the GNI percentage, the US's contribution stays less than 0.2% of its multi-trillion-dollar economy. Contrarily, advanced nations like Luxembourg, Norway, and Sweden have regularly delegated up to 1.2% of their annual gross national income between 2018 and 2022. But since these economies are smaller than the US's, their lump sum foreign aid is significantly lower. Multi-Billion Companies That Help Other Countries Microsoft (NASDAQ:MSFT) and Google Alphabet Inc (NASDAQ:GOOG) engage in multiple initiatives and projects to assist less developed countries. For instance, Microsoft (NASDAQ:MSFT) has channeled resources into its philanthropic arm to provide technology access to underserved communities. Microsoft Corporation (NASDAQ:MSFT) often delegates software, nonprofit grants, and technological skills training to empower those who don't have equal educational opportunities. Similarly, Alphabet Inc (NASDAQ:GOOG) has aimed to bring fast, free Wi-Fi to places with limited internet access through its Google Station program. The program was initially launched in India but has now expanded to Nigeria, Thailand, and Vietnam, among others. Notably, Google Alphabet Inc (NASDAQ:GOOG) and Microsoft (NASDAQ:MSFT) are among the largest donor companies. Besides governments, some private organizations also take part in overcoming the world's crises; read 26 Companies that Donate to Nonprofits to know more. 25 Countries that Give the Most Foreign Aid Per Capita 25 Countries that Give the Most Foreign Aid Per Capita Our Methodology To find which countries give the most foreign aid, we calculated their GNI for the past 5 years (2018-2022). We translated this GNI percentage to aid figures by running individual searches on the said countries' gross national income in these years, as some countries only state the percentage of their GNI they disburse as foreign aid and don't give actual figures (for the nations that have clearly stated their disbursed foreign aid, we took the stated figures). Later, to find the per capita foreign aid given by these countries, we divided the total foreign aid disbursed by these countries with their populations in the said years. This gave us per capita foreign aid spending of the top 25 donor countries between 2018 and 2022. We averaged these countries' per capita aid spending for 5 consecutive years to get composite rankings and ranked the highest per capita ODA contributing countries accordingly. Our sources for this study were OECD's Net ODA Database, OECD Stat, the World Bank's Open Databases on Population and World Bank's data on countries' GNIs. Based on our findings, here are the countries that give the most foreign aid per capita: 25. Portugal Average Foreign Aid Given Per Capita Between 2018-2022: $44 Portugal is an active player in international aid and commits 0.1%-0.2% of its Gross National Income (GNI) towards developmental assistance and focuses mostly on Portuguese-speaking countries in Africa and Asia. The country's commitment stems from a stable economy that gives it enough leg space to help other nations. 24. Spain Average Foreign Aid Given Per Capita Between 2018-2022: $74 Spain usually dedicates 0.25% of its GNI to foreign aid to target regions in Latin America and Africa. The country's motive with foreign aid is to help the health, education, and water sanitation sectors. 23. Italy Average Foreign Aid Given Per Capita Between 2018-2022: $92 Italy has a tradition of extending foreign assistance to numerous countries and gives up to 0.3% of its GNI as aid. The country's GDP is $2.04 trillion, and the government expects the GDP to grow 1.2% this year, which is above the initial target of 1%. Italy prioritizes its aid to go to agriculture, health, and education. 22. Turkey Average Foreign Aid Given Per Capita Between 2018-2022: $112 Although Turkey is dealing with a huge load of refugees and the recent earthquake has badly hit it, it's still among Non-DAC countries that have high foreign aid per capita. The nation's strategic location enables it to assist neighboring countries, where infrastructure and health-related projects need monetary help. The country's per capita GDP is $10,616 as of current. 21. Australia Average Foreign Aid Given Per Capita Between 2018-2022: $118 Australia's foreign aid policy is driven by regional concerns and global responsibilities. The country allocates 0.15%-0.2% of its GNI to help less developed countries. Australia emphasizes the Pacific region to improve education, health, and governance in these areas. 20. New Zealand Average Foreign Aid Given Per Capita Between 2018-2022: $125 Just like the neighbor, NZ is also a kind-hearted nation. New Zealand channels 0.25% of its GNI towards foreign aid, which translates to $550-$600 million. The nation seeks to improve sectors such as renewable energy and health through its initiatives. 19. Japan Average Foreign Aid Given Per Capita Between 2018-2022: $133 Japan is the 3rd biggest economy in the world, so naturally, it has enough resources to lend others a helping hand. However, in terms of the disburses foreign aid per capita, the Asian titan falls in the middle. Japan's foreign aid efforts concentrate on health and disaster risk reduction in Asian countries. 18. Saudi Arabia Average Foreign Aid Given Per Capita Between 2018-2022: $136 Another non-DAC nation among the highest per capita ODA contributing countries is Saudi Arabia, the biggest oil economy. The country earmarks a part of its income, derived mainly from oil wealth, to assist nations whose resources are stretched thin. 17. Canada Average Foreign Aid Given Per Capita Between 2018-2022: $148 Along with hosting millions of people from other countries, Canada also helps them with foreign aid. Canada has a longstanding tradition of international assistance geared towards sub-Saharan Africa. Major causes that Canada contributes to are women's rights and skill development. While we're on the subject of housing millions from other nations, read Top 30 Countries With The Most Immigrants to know which number Canada is at. 16. United States Of America Average Foreign Aid Given Per Capita Between 2018-2022: $156 The United States is surely the most generous in terms of helping other countries, as it can disburse upwards of $61 billion each year as foreign aid (no other country is even close to this mark). But if we break down the US's foreign aid between 2018-2022 in per capita figures, it stands at $156. The nation's economic capabilities and commitment to global development enable this generous outreach. However, the per capita measure reflects the balance between its large population and total aid volume. 15. Austria Average Foreign Aid Given Per Capita Between 2018-2022: $165 Austria also consistently dedicates around 0.25% of its GNI to global development efforts. The country's strong economy enables this commitment and helps it prioritize humanitarian projects. 14. Iceland Average Foreign Aid Given Per Capita Between 2018-2022: $200 Iceland's small population makes it a generous per capita contributor to foreign aid because of its impressive economy and societal values. The country gives away almost 0.3% of its GNI as foreign aid to help with causes like sustainable development, gender equality, and renewable energy projects in developing countries. 13. Belgium Average Foreign Aid Given Per Capita Between 2018-2022: $210 Belgium showcases a strong commitment to global solidarity through its foreign aid policy. As almost 0.45% of its GNI goes to foreign aid, the country's per capita contribution stands at $210. The nation emphasizes humanitarian aid and helps other countries' agricultural projects. 12. France Average Foreign Aid Given Per Capita Between 2018-2022: $217 France's average per capita foreign aid has been $217 in the last five years because of its prosperous economy. The nation emphasizes Africa to bolster the region's health, education, and infrastructure sectors. 11. Finland Average Foreign Aid Given Per Capita Between 2018-2022: $235 Finland is anchored in its values of equality, sustainability, and human rights. The country's thriving and innovation-driven economy empowers it to allocate a noteworthy segment of its GNI to global welfare initiatives. Click to continue reading 10 Countries that Give the Most Foreign Aid Per Capita. Suggested Articles Disclosure: None. 25 Countries that Give the Most Foreign Aid Per Capita is originally published at Insider Monkey. Energizer Holdings, Inc. (NYSE:ENR) stock is about to trade ex-dividend in 4 days. The ex-dividend date is usually set to be one business day before the record date which is the cut-off date on which you must be present on the company's books as a shareholder in order to receive the dividend. The ex-dividend date is an important date to be aware of as any purchase of the stock made on or after this date might mean a late settlement that doesn't show on the record date. Accordingly, Energizer Holdings investors that purchase the stock on or after the 21st of August will not receive the dividend, which will be paid on the 15th of September. The company's next dividend payment will be US$0.30 per share. Last year, in total, the company distributed US$1.20 to shareholders. Based on the last year's worth of payments, Energizer Holdings has a trailing yield of 3.5% on the current stock price of $34.35. Dividends are a major contributor to investment returns for long term holders, but only if the dividend continues to be paid. So we need to check whether the dividend payments are covered, and if earnings are growing. Check out our latest analysis for Energizer Holdings Dividends are typically paid out of company income, so if a company pays out more than it earned, its dividend is usually at a higher risk of being cut. Energizer Holdings's dividend is not well covered by earnings, as the company lost money last year. This is not a sustainable state of affairs, so it would be worth investigating if earnings are expected to recover. Considering the lack of profitability, we also need to check if the company generated enough cash flow to cover the dividend payment. If cash earnings don't cover the dividend, the company would have to pay dividends out of cash in the bank, or by borrowing money, neither of which is long-term sustainable. What's good is that dividends were well covered by free cash flow, with the company paying out 24% of its cash flow last year. Story continues Click here to see the company's payout ratio, plus analyst estimates of its future dividends. Have Earnings And Dividends Been Growing? When earnings decline, dividend companies become much harder to analyse and own safely. Investors love dividends, so if earnings fall and the dividend is reduced, expect a stock to be sold off heavily at the same time. Energizer Holdings reported a loss last year, and the general trend suggests its earnings have also been declining in recent years, making us wonder if the dividend is at risk. Many investors will assess a company's dividend performance by evaluating how much the dividend payments have changed over time. In the past eight years, Energizer Holdings has increased its dividend at approximately 2.3% a year on average. We update our analysis on Energizer Holdings every 24 hours, so you can always get the latest insights on its financial health, here. Final Takeaway Should investors buy Energizer Holdings for the upcoming dividend? We're a bit uncomfortable with it paying a dividend while being loss-making. However, we note that the dividend was covered by cash flow. It's not the most attractive proposition from a dividend perspective, and we'd probably give this one a miss for now. Having said that, if you're looking at this stock without much concern for the dividend, you should still be familiar of the risks involved with Energizer Holdings. We've identified 2 warning signs with Energizer Holdings (at least 1 which makes us a bit uncomfortable), and understanding them should be part of your investment process. Generally, we wouldn't recommend just buying the first dividend stock you see. Here's a curated list of interesting stocks that are strong dividend payers. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Jerome Maurice / Getty Images/iStockphoto The internet has made it easier than ever to shop for and buy life insurance, but this added convenience requires a bit of caution. Criminals are out there committing life insurance fraud, and if youre not careful you could become one of their next victims. Dave Ramsey: Money Is Not Just Math, Its Behavior 5 Bad Habits to Break Today Find Out: What To Do If You Owe Back Taxes to the IRS There are several red flags you should be aware of when researching life insurance, and certainly before agreeing to buy coverage. Here are the four main warning signs of a life insurance scam. Youre Being Contacted by an Agent or Company Youve Never Heard Of If you find yourself contacted by an agent or company you have never heard of before or if youre unsure of their legitimacy proceed with extreme caution. They might be trying to push you into making a purchase right now or sharing your personal or financial information because they are fraudsters. When it comes to life insurance, if an agent reaches out and you dont know them, Progressive recommends contacting the company they work for and their states department of insurance. You can verify the agents identity and licensing. You can also look into the companys existence and find out if its allowed to sell life insurance in your area. Discover: How To Build Generational Wealth From Scratch Unrealistic Rates If youre being quoted extremely low rates that sound too good to be true, chances are this is probably the case. According to the NAIC, a warning sign that an insurance company is illegitimate is that the premiums it is offering are more than 15% to 20% lower than comparable coverage from other legitimate companies. Unsolicited Emails or Texts Did you receive an email, or text message, from a life insurance company asking for personal information like your Social Security number or bank account information? Does this email claim youre an unexpected beneficiary? Do not reply or click on any links you may see in the email. If youre already working with a legitimate life insurance company or have an insurer, you can call them at their valid phone number and verify. Story continues The Agent Wants the Premium Paid Directly to Them Another major red flag indicating a life insurance scam is an agent who wants you to pay the premium directly to them. They may also ask for wire transfers or cash. Never pay an agent directly for your premium. The only place you should make this payment to is a legitimate life insurance company. If You Are Uneasy, Remember This: Stop. Call. Confirm. Those who feel overwhelmed or apprehensive about a life insurance policy are encouraged by the NAIC to Stop. Call. Confirm. before buying it. Heres a breakdown: Stop. Take the time to verify the company is legitimate and the agent is licensed. Call. Speak to the state department of insurance to ensure the company is authorized and in existence. Confirm. Make sure to confirm these details along with getting coverage information in writing before buying a life insurance policy. More From GOBankingRates This article originally appeared on GOBankingRates.com: 4 Warning Signs of a Life Insurance Scam The logo of DingTalk is seen, an offshoot of Alibaba Group Holding Ltd, in Hangzhou By Casey Hall SHANGHAI (Reuters) -Alibaba Group's work communication and collaboration platform DingTalk will split from the company's cloud division, according to two sources close to the company. The sources could not confirm the exact timing of the split and also did not confirm news reported by Chinese media outlet Caixin on Wednesday that DingTalk would pursue its own IPO in the near future. DingTalk will operate as a wholly-owned subsidiary of Alibaba Holding Group and there would be no impact on its services, one source said. Alibaba's Cloud Intelligence Business Group did not immediately reply to a request for comment on the matter. Though the Slack-like DingTalk will split structurally from Alibaba's Cloud Business Intelligence unit, the sources said it would continue to work closely with the cloud division technology-wise. Alibaba's cloud division is itself working towards a public listing, having flagged it would be the first of the Group's newly created six business units to IPO. The tech giant announced the split of its business in March and has said the cloud division was likely to IPO by May next year. Alibaba Group last week reported better than expected results for its first quarter, but sales for its Cloud Intelligence Business Group, a major growth driver outside of e-commerce, reported the smallest revenue growth among the group's business units of 4%. (Reporting by Casey Hall; Editing by Himani Sarkar and Conor Humphries) LONDON, August 16, 2023--(BUSINESS WIRE)--AM Best has affirmed the Financial Strength Rating of B++ (Good) and the Long-Term Issuer Credit Rating of "bbb+" (Good) of Eurasia Insurance Company JSC (Eurasia) (Kazakhstan). The outlook of these Credit Ratings (ratings) is stable. The ratings reflect Eurasias balance sheet strength, which AM Best assesses as strong, as well as the companys strong operating performance, neutral business profile and appropriate enterprise risk management. Eurasias balance sheet strength is underpinned by its risk-adjusted capitalisation, which is comfortably above the minimum requirement for the strongest assessment, as measured by Bests Capital Adequacy Ratio (BCAR),and provides the company with sufficient capital buffers to absorb the impact of adverse fluctuations in its operating environment. Whilst the company has a conservative approach to investment portfolio allocation, its asset base remains heavily exposed to the high financial system risk in Kazakhstan. In addition, offsetting rating factors include Eurasias exposure to catastrophe risk in Kazakhstan and internationally, as well as the lack of modelling capabilities. AM Best also notes the weaker credit profile of Eurasias parent, Eurasian Financial Company JSC (EFC), primarily due to its ownership of JSC Eurasian Bank. In AM Bests opinion, Eurasia derives limited financial flexibility and liquidity from its parent. However, no rating drag has been applied to Eurasias ratings from EFC, in view of regulatory restrictions in Kazakhstan that prohibit extraction of capital from an insurance subsidiary to its detriment. AM Best assesses Eurasias operating performance as strong, with the company reporting a five-year (2018-2022) weighted average combined ratio of 91.1% and return on equity of 21.5%. Whilst technical results have been subject to volatility, the company has reported positive operating earnings in each of the past 10 years, supported by solid investment returns. Underwriting results have been relatively stable in recent years, and the company is taking actions to withstand the impact of uncertain macroeconomic conditions by adjusting premium rates and adopting prudent risk selection for its motor third-party liability portfolio. AM Best expects Eurasias operating performance over the underwriting cycle to remain in line with the strong assessment level. Story continues Eurasia has a dominant role in the local (re)insurance market and benefits from geographic diversification through international inward reinsurance. It is the largest (re)insurance company in Kazakhstan, with a market share of approximately 21%, based on 2022 combined non-life and life market premiums (31% share of the non-life market). The companys international reinsurance portfolio provides diversification and accounts for approximately 46% of premiums written in 2022. This business primarily emanates from the United States, India and the United Kingdom, where the company maintains long-standing relationships with its cedants. However, Eurasia faces strong competition in international markets from companies with more established profiles. This press release relates to Credit Ratings that have been published on AM Bests website. For all rating information relating to the release and pertinent disclosures, including details of the office responsible for issuing each of the individual ratings referenced in this release, please see AM Bests Recent Rating Activity web page. For additional information regarding the use and limitations of Credit Rating opinions, please view Guide to Bests Credit Ratings. For information on the proper use of Bests Credit Ratings, Bests Performance Assessments, Bests Preliminary Credit Assessments and AM Best press releases, please view Guide to Proper Use of Bests Ratings & Assessments. AM Best is a global credit rating agency, news publisher and data analytics provider specialising in the insurance industry. Headquartered in the United States, the company does business in over 100 countries with regional offices in London, Amsterdam, Dubai, Hong Kong, Singapore and Mexico City. For more information, visit www.ambest.com. Copyright 2023 by A.M. Best Rating Services, Inc. and/or its affiliates. ALL RIGHTS RESERVED. View source version on businesswire.com: https://www.businesswire.com/news/home/20230816838229/en/ Contacts Anna Sheremeteva Financial Analyst +44 20 7397 4397 anna.sheremeteva@ambest.com Valeria Ermakova Associate Director, Analytics +44 20 7397 0269 valeria.ermakova@ambest.com Christopher Sharkey Manager, Public Relations +1 908 439 2200, ext. 5159 christopher.sharkey@ambest.com Al Slavin Senior Public Relations Specialist +1 908 882 2318 al.slavin@ambest.com Value-focused investors are always on the hunt for stocks that are priced below their intrinsic value. One such stock that merits attention is American Tower Corp (NYSE:AMT). The stock, which is currently priced at $180.58, recorded a loss of 2.73% in a day and a 3-month decrease of 4.74%. The stock's fair valuation is $288.69, as indicated by its GF Value. Understanding the GF Value The GF Value represents the current intrinsic value of a stock derived from our exclusive method. The GF Value Line on our summary page gives an overview of the fair value that the stock should be traded at. It is calculated based on historical multiples (PE Ratio, PS Ratio, PB Ratio and Price-to-Free-Cash-Flow) that the stock has traded at, GuruFocus adjustment factor based on the company's past returns and growth, and future estimates of the business performance. Is American Tower Corp (AMT) a Hidden Value Trap? A Comprehensive Financial Analysis A Deeper Look into American Tower's Financial Health However, investors need to consider a more in-depth analysis before making an investment decision. Despite its seemingly attractive valuation, certain risk factors associated with American Tower should not be ignored. These risks are primarily reflected through its low Altman Z-score of 1.1. These indicators suggest that American Tower, despite its apparent undervaluation, might be a potential value trap. This complexity underlines the importance of thorough due diligence in investment decision-making. Understanding the Altman Z-Score Before delving into the details, let's understand what the Altman Z-score entails. Invented by New York University Professor Edward I. Altman in 1968, the Z-Score is a financial model that predicts the probability of a company entering bankruptcy within a two-year time frame. The Altman Z-Score combines five different financial ratios, each weighted to create a final score. A score below 1.8 suggests a high likelihood of financial distress, while a score above 3 indicates a low risk. Story continues A Snapshot of American Tower Corp (NYSE:AMT) Established as a leading global REIT, American Tower owns and operates more than 220,000 cell towers throughout the U.S., Asia, Latin America, Europe, and Africa. It also owns and/or operates 25 data centers in eight U.S. markets after acquiring CoreSite. Despite its impressive business operations, American Tower's stock price is currently undervalued compared to its GF Value, indicating a potential value trap. Is American Tower Corp (AMT) a Hidden Value Trap? A Comprehensive Financial Analysis Breaking Down American Tower's Low Altman Z-Score A dissection of American Tower's Altman Z-score reveals American Tower's financial health may be weak, suggesting possible financial distress. This is particularly concerning considering the company's significant role in the global telecommunications infrastructure. Operational Efficiency: American Tower's Asset Turnover When it comes to operational efficiency, a vital indicator for American Tower is its asset turnover. The data: 2021: 0.18; 2022: 0.16; 2023: 0.16 from the past three years suggests a decreasing trend in this ratio. The asset turnover ratio reflects how effectively a company is using its assets to generate sales. Therefore, a drop in this ratio can signify reduced operational efficiency, potentially due to underutilization of assets or decreased market demand for the company's products or services. This shift in American Tower's asset turnover underlines the need for the company to reassess its operational strategies to optimize asset usage and boost sales. Final Thoughts: Is American Tower a Value Trap? Despite its seemingly attractive valuation, the low Altman Z-Score and decreasing asset turnover suggest that American Tower may be a potential value trap. Investors need to consider these risk factors and conduct thorough due diligence before making an investment decision. GuruFocus Premium members can find stocks with high Altman Z-Score using the following Screener: Walter Schloss Screen . This article first appeared on GuruFocus. The final piece of a puzzle. More than any other aspect of retirement planning, workers need help calculating how much to save for their golden years. That's according to a new 401(k) survey commissioned by Charles Schwab, which also revealed $1.8 million to be Americas new magic number for retirement. However, calculating a savings goal isnt the only part of retirement planning that workers need help with. Whether you need assistance saving for retirement or managing your finances as a retiree, a financial advisor can be helpful. Speak with an advisor today. Areas Where Retirement Savers Need Help As part of Schwab's 2023 401(k) Participant Survey, the company polled 1,000 401(k) plan participants between ages 21 and 70 who currently contribute to a company 401(k) plan. The survey touched on a variety of topics, including expected sources of retirement income, savings goals and whether workers feel comfortable receiving financial advice from artificial intelligence tools. When asked about retirement planning, here are the 10 areas where workers need help: 1. Calculating How Much Money I Need to Save for Retirement Just over 40% of respondents said they would like help calculating their retirement savings target, making it the most common challenge facing participants who are saving for retirement. While respondents on average said theyll need $1.8 million in order to retire, retirement savings sufficiency varies according to many personal factors. Income, lifestyle, longevity and more all play roles. It's not always obvious how to calculate a number thats both accurate and suited to your personal circumstances. One solution is to use SmartAsset's retirement calculator. With a few clicks, the tool will tell you how much youll need to have on hand when you retire and how much to save each month starting now. 2. Receiving Specific Advice on How to Invest My 401(k) In addition to calculating an appropriate savings target, workers also need help picking investments in their 401(k)s. Four in 10 respondents listed "receiving specific advice on how to invest my 401(k)" as an area of need, making it the second biggest challenge facing retirement savers. That's understandable since many employees must rely on their own judgment when deciding how to invest 401(k) funds. Story continues Getting advice on your 401(k) from a financial advisor can potentially make a difference. An experienced financial advisor can help a saver consider risk tolerance, investment strategy, asset allocation, tax benefits, management fees and more when making investing decisions. 3. Determining at What Age I Can Afford to Retire Another 38% of those surveyed said they need help picking the right age to retire. After all, your retirement age affects how much your Social Security benefits will be, whether youll have access to Medicare and more. Although 65 is considered the typical retirement age, people stop working at many different times in their lives. You can determine the best age for you to retire by evaluating your anticipated retirement lifestyle, current savings, investment strategy, asset allocation and your life expectancy. 4. Figuring Out How to Create an Income Stream in Retirement The run-up to retirement focuses on accumulating assets. Afterward, it's about turning those assets into income, an area that 36% of participants said they need help with. Retirees may have multiple income streams including Social Security, retirement plan withdrawals, pensions, dividends, annuities and part-time employment. To build your income plan, start with SmartAssets Social Security calculator, which will tell you how much you can expect to receive in retirement benefits. Next, evaluate your other anticipated income from all sources. As a benchmark, take a look at the average retiree's income. If your total retirement income wont cover your projected expenses, you may want to consider delaying Social Security or working part-time in retirement. 5. Figuring Out What My Expenses Will Be in Retirement A married couple looks over their finances as they plan for their eventual retirement. Spending habits change in retirement. Youll no longer be spending on your daily commute to work and you wont be saving in a 401(k) or other account. Healthcare and other age-related expenses, meanwhile, often go up. So, it's no surprise that 34% of survey participants said they need help estimating their retirement expenses. Making a retirement budget is the first step to clearing up the mystery. You can estimate expenses in retirement by projecting outlays for housing, food, transportation and other ordinary costs. But dont forget to account for your discretionary spending, like travel and fun. 6. Anticipating Tax Expenses in Retirement Taxes often go down in retirement, but dont expect them to disappear a fact recognized by 33% of those polled. To complicate matters, retirees have special concerns that younger workers don't share, including potential taxes on RMDs and Social Security benefits. Get a handle on retirement taxes by learning about how your Social Security benefits, retirement account withdrawals and investment earnings may be taxed. Some states don't tax certain income sources, while others levy varying amounts of state income taxes on retirees. You may also get special treatment on property taxes when youre retired. 7. Figuring Out How New Legislation Affects My Retirement Plan Laws governing retirement savings are subject to change. Because of this, a financial move that looked smart last year may no longer make sense this year if a new law has taken effect. In fact, 30% of survey participants said they need help making sense of new laws and how they impact their retirement plans. For example, the SECURE 2.0 Act of 2022 made a number of significant changes to the American retirement system. Most notably, the law changed the RMD age to 73 for people who turn 72 after Jan. 1, 2023. The age will eventually rise to 75. The landmark legislation will also allow people ages 60 to 63 to save an extra $10,000 in their 401(k)s or 150% of the standard catch-up contribution, whichever is greater beginning in 2025. 8. Managing My Current Expenses So I Can Save More Money for Retirement In order to reach your personal savings goal, you'll need to control your expenses so you have enough money left over to save each month. In fact, 25% of survey respondents said they need help managing their current expenses while accounting for retirement. There are many approaches to free up money for savings. The envelope saving method calls for stuffing cash into paper envelopes labeled with spending categories. The 50/30/20 budget, meanwhile, splits your money into three categories associated with needs, wants and debt/savings. 9. Figuring Out How to Catch Up on My Retirement Savings Goal The Federal Reserve reports that 60% of Americans either think their savings are not on track or aren't sure. That contrasts with Schwab's finding that just 25% of those surveyed want help catching up on their savings goal. About one in four Americans have no retirement savings at all, according to the Fed. The median retirement savings was $65,000 in 2019, the latest year data was collected. Catch-up contributions can help close the gap if you suspect you are behind. In 2023, the IRS allows people ages 50 and up to save an extra $7,500 in their 401(k)s or similar workplace accounts, as well as an extra $1,000 in their IRAs. 10. Managing Debt A comfortable retirement is more achievable if you pay off your debts before you stop working. However, only 20% of those surveyed said that its something they need help with. When you're trying to save for retirement, paying off debt may seem like a secondary concern. However, it can make more sense to pay down debts than to invest. Deciding whether to eliminate debt or build a nest egg for retirement requires assessing concerns ranging from the psychological benefit of paying off a loan to the power of compound interest over time. Bottom Line Every retirement saver is in a unique situation, which is reflected by the wide range of issues workers say they need help with. From calculating an accurate savings goal and picking when to retire, to keeping up with law changes and paying down debt, savers express a desire for assistance with a range of aspects of the retirement planning challenge. Retirement Planning Tips A financial advisor can help with your retirement questions, planning and more. Finding a financial advisor doesn't have to be hard. SmartAsset's free tool matches you with up to three vetted financial advisors who serve your area, and you can have a free introductory call with your advisor matches to decide which one you feel is right for you. If you're ready to find an advisor who can help you achieve your financial goals, get started now. SmartAsset's retirement calculator is a free, quick and easy place to get an answer to the overriding question of how much you need to save for retirement. Meanwhile, SmartAssets Social Security calculator can help you determine when the best time might be for you to start collecting your benefits. Get retirement planning and investing tips Tuesdays through Fridays with the SmartMoney Minute newsletter. Its 100% free and you can unsubscribe at any time. Sign up today. Photo credit: iStock.com/Tinnakorn Jorruang, iStock.com/nortonrsx The post Americans Need the Most Help With This Piece of the Retirement Planning Puzzle appeared first on SmartReads by SmartAsset. Investors who take an interest in AerSale Corporation (NASDAQ:ASLE) should definitely note that the Independent Director, Andrew Levy, recently paid US$10.94 per share to buy US$153k worth of the stock. We reckon that's a good sign, especially since the purchase boosted their holding by 163%. View our latest analysis for AerSale The Last 12 Months Of Insider Transactions At AerSale The insider George Bauer made the biggest insider purchase in the last 12 months. That single transaction was for US$8.4m worth of shares at a price of US$17.50 each. That means that even when the share price was higher than US$11.46 (the recent price), an insider wanted to purchase shares. It's very possible they regret the purchase, but it's more likely they are bullish about the company. In our view, the price an insider pays for shares is very important. As a general rule, we feel more positive about a stock if insiders have bought shares at above current prices, because that suggests they viewed the stock as good value, even at a higher price. In the last twelve months AerSale insiders were buying shares, but not selling. The average buy price was around US$15.51. I'd consider this a positive as it suggests insiders see value at around the current price. The chart below shows insider transactions (by companies and individuals) over the last year. If you click on the chart, you can see all the individual transactions, including the share price, individual, and the date! There are plenty of other companies that have insiders buying up shares. You probably do not want to miss this free list of growing companies that insiders are buying. Does AerSale Boast High Insider Ownership? I like to look at how many shares insiders own in a company, to help inform my view of how aligned they are with insiders. I reckon it's a good sign if insiders own a significant number of shares in the company. AerSale insiders own about US$111m worth of shares (which is 19% of the company). Most shareholders would be happy to see this sort of insider ownership, since it suggests that management incentives are well aligned with other shareholders. Story continues So What Do The AerSale Insider Transactions Indicate? The recent insider purchases are heartening. And the longer term insider transactions also give us confidence. But on the other hand, the company made a loss during the last year, which makes us a little cautious. Once you factor in the high insider ownership, it certainly seems like insiders are positive about AerSale. Looks promising! Therefore, you should definitely take a look at this FREE report showing analyst forecasts for AerSale. If you would prefer to check out another company -- one with potentially superior financials -- then do not miss this free list of interesting companies, that have HIGH return on equity and low debt. For the purposes of this article, insiders are those individuals who report their transactions to the relevant regulatory body. We currently account for open market transactions and private dispositions, but not derivative transactions. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. (Bloomberg) -- Argentinas presidential frontrunner Javier Milei would freeze relations with China and pull South Americas second-biggest economy out of the Mercosur trade bloc with Brazil, foreign policy proposals that are as radical as his economics. Most Read from Bloomberg In an interview following his unexpected primary victory on Aug. 13, the outsider candidate has given international policymakers the biggest insight yet in how he would conduct Argentinas affairs on the world stage. People are not free in China, they cant do what they want and when they do it, they get killed, he told Bloomberg News on Wednesday, referring to Beijings government. Would you trade with an assassin? President Xi Jinpings ruling Communist Party typically silences dissidents with lengthy prison sentences and has been accused of detaining more than 1 million mostly Uyghurs in camps in its Xinjiang region. The US has dubbed that campaign a genocide, while Beijing calls the facilities vocational training centers. China has also been accused of kidnapping a handful of people from overseas territories including Thailand, but unlike Russia has not faced credible accusations of assassinations. Milei shook Argentinas political establishment last weekend after receiving more votes than a pro-business opposition bloc and the ruling Peronist coalition, putting him in the lead to be the countrys next president. His election in October would generate shock waves across a region largely ruled by leftist leaders. In his blanket refusal to do any kind of business with socialists, he lumped Communist China in the same category as Argentinas biggest trade partner, Brazil, led by leftist President Luiz Inacio Lula da Silva. China is the second-largest buyer of Argentine exports and provides a crucial $18 billion swap line with the central bank thats being used to pay the International Monetary Fund. Story continues Brazils foreign affairs ministry didnt immediately comment on Mileis remarks and calls put to Chinas Embassy in Buenos Aires went unanswered. Chinese Foreign Ministry spokesman Wang Wenbin said at a press briefing in Beijing on Thursday that if the candidate visited he would have a completely different conclusion as to the freedom and security in China. Read More: Milei Vows to Pay Argentinas Debt While Shuttering Central Bank A breakdown in relations with China could be damaging for Argentina the central bank in Buenos Aires has been increasingly reliant on the currency swap to support the peso, with the government last month using yuan to repay some of the money it owes the IMF, amid a dwindling greenback supply. Argentina could also see its existing trade deficit with China widen if Beijing decided to treat the South American nation like Australia, and stop buying its meat and other food products amid souring relations. Milei described his foreign policy proposals as a global fight against socialists and statists, and revealed that he would appoint Diana Mondino, a trusted economic adviser, to be his top diplomat. Shes a former Standard & Poors director for Argentina and is running for Congress. Not Involved Its not the first time a prominent Argentine politician has insulted China: In 2015, then-President Cristina Fernandez de Kirchner caused a furor by mocking the Chinese accent in a tweet. Her comments came while she was on a state visit to China seeking investment. Read More: US, Brazil Discuss Possible Biden-Lula Meeting at UN Forum Milei later appeared to at least partly soften his outspoken comments, clarifying hed deem it up to the private sector to decide whether to maintain commercial ties with China, and other countries with leaders that he dislikes. I dont have to get involved, but I wont promote ties with those who dont respect freedom, he said, adding that hed respect deals already signed in Argentina by Chinese companies, which include a contract to build twin dams in Patagonia and an agreement to set up a nuclear plant. The biggest geopolitical beneficiary of Mileis ideology would clearly be the US. He was adamant he would work with any president elected in 2024, regardless of their political stripes, though he has a preference for a conservative. Read More: Argentinas Milei Wouldnt Dollarize Overnight, Adviser Says Donald Trump is now ahead in the polls to secure the Republican nomination but Milei isnt especially keen on being oft-compared to the former American president. Asked if he would like Trump to return to the White House, he said cautiously: Thats up for Americans to decide. I may like the profile of Republicans better than that of Democrats, but that doesnt mean I dont consider the US as our big strategic partner, he said. At the same time, hes put Lula, Mexicos Andres Manuel Lopez Obrador, Chiles Gabriel Boric and Colombias Gustavo Petro, the leftists who run Latin Americas top economies, on guard. Asked about how his relations with them would be, he said: I dont have socialist partners. He described his relationship with Lulas predecessor, Jair Bolsonaro, as excellent. Milei was disparaging of the trade alliance that Argentina founded with Brazil, Paraguay and Uruguay more than three decades ago. The group, beset by internal divisions, has struggled to implement a free-trade deal with the European Union agreed four years ago. Mercosur is a customs union of poor quality that creates trade distortions and hurts its members, he said. Unsurprisingly, Milei was equally critical of Venezuelas Nicolas Maduro, who he called a dictator, as well as the governments of Nicaragua, Cuba, North Korea and Russia. Argentina would again condemn Venezuela for its violation of human rights if he is picked president, Milei said, returning to the hard-line policy the country had until 2019 with President Mauricio Macri. --With assistance from Simone Iglesias, Patrick Gillespie, Jonathan Gilbert, Philip Glamann and Colum Murphy. (Updates with Chinese Foreign Ministry comment.) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. (Bloomberg) -- BAE Systems Plc is in talks about a possible acquisition of Ball Corp.s aerospace division, people with knowledge of the matter said, in what would be the years largest acquisition by a UK corporate. Most Read from Bloomberg The London-listed defense giant is in discussions with the US packaging group about the terms of a takeover of the unit, which manufactures instruments and sensors for everything from space travel to weather forecasting, according to the people. A deal could value Balls aerospace operations at more than $5 billion and be reached as early as this week, one of the people said. Deliberations are ongoing and theres no certainty theyll lead to an agreement, the people said. Another bidder could also emerge, they said. A representative for BAE said the company regularly reviews its portfolio, declining to comment further. A spokesperson for Ball didnt respond to a request for comment. Reuters reported last month that BAE was among a group of parties interested in the Ball business. Britains top defense contractor, BAE has seen its shares rise almost a fifth this year as governments increase military spending. The company, which makes products including Queen Elizabeth-class aircraft carriers and Eurofighter Typhoon jets, this month raised its forecast for sales, profit and cash flow. BAEs pursuit of Balls aerospace unit comes as growing security threats across the globe spur a dealmaking rush in the defense sector thats defying the broader drop off in mergers and acquisitions activity. The value of transactions involving aerospace and defense companies has risen 4% to $35 billion over the last 12 months, Bloomberg-compiled data show. Deals have included L3Harris Technologies Inc.s $4.7 billion purchase of rocket engine maker Aerojet Rocketdyne Holdings Inc. Story continues Westminster, Colorado-based Ball said in June that it was exploring options for its aerospace unit. A sale would allow Ball to focus on its core packaging operations and reduce debt, which grew substantially following its multibillion-dollar purchase of Rexam Plc in 2016. The company has steadily sold assets since, including its Russian operations to Arnest Group for $530 million last year. --With assistance from Siddharth Philip. (Updates value in headline and second paragraph. Adds detail on timing in second paragraph.) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. Banks make mistakes. Often, theyre easily rectified, but sometimes theyre not. That was the case for the owners of a home near Twentynine Palms, California, who lost their possessions after a bank mistakenly foreclosed on their residence in 2012. Alvin and Pat Tjosaas returned to their home to discover that all their belongings had been stolen. The sheriffs department quickly discovered that Wells Fargo had taken their possessions when it sent a crew to secure the home, which the couple did not have a mortgage on. Although the bank emptied the wrong home, it was unable to return any of the couples possessions because they had been disposed of so quickly. But in most cases, banks get it right and seize homes when borrowers fail to make their mortgage payments. The lender typically repossesses and attempts to sell the house. Check out: Investing in real estate just got a whole lot simpler. With as little as $100, average investors are becoming landlords thanks to this Jeff Bezos-backed startup. Elon Musk has reportedly bought 6,000 acres of land just outside of Austin. Here's how to invest in the city's growth before he floods it with new tech workers. And while foreclosure filings dropped in July, the number of lender repossessions continued to climb, according to a report from real estate data analytics firm ATTOM. ATTOMs July 2023 U.S. Foreclosure Market Report shows 31,877 U.S. properties with foreclosure filings default notices, scheduled auctions or bank repossessions down 9% from a month ago but up 5% from a year ago. The slight decline in foreclosure filings we are seeing is yet another sign of a rebounding housing market, ATTOM CEO Rob Barber said. With home prices back up, several factors have combined to put more financial resources in the hands of homeowners, providing more options to avoid foreclosure. However, given the U.S. housing market remains in flux, the various forces at play could keep the market improving or turn it back downward over the coming months. Story continues Lenders repossessed 3,332 U.S. properties through the foreclosure process in July. Thats up 4% from June and 9% from last year. States with the greatest number of repossessions were Illinois at 355; Pennsylvania at 230; California at 217; Michigan at 200; and Texas at 200. One in every 4,380 housing units in the U.S. had a foreclosure filing in July. States with the highest foreclosure rates were Maryland, 1 in 2,071; New Jersey, 1 in 2,335; Delaware, 1 in 2,343; Illinois, 1 in 2,430; and South Carolina, 1 in 2,511. States with the largest declines in foreclosure starts were Hawaii, down 51%; New Hampshire, down 45%; Idaho, down 43%; Arkansas, down 40%; and Alabama, down 38%. Read next: Don't miss real-time alerts on your stocks - join Benzinga Pro for free! Try the tool that will help you invest smarter, faster, and better. This article When The Bank Forecloses On The Wrong House What's Going On With Home Repossessions? originally appeared on Benzinga.com . 2023 Benzinga.com. Benzinga does not provide investment advice. All rights reserved. Binance will shut down its cryptocurrency payment service Binance Connect on Aug. 16, a Binance spokesperson confirmed to Forkast on Wednesday. See related article: Binance could face fraud charge from US Department of Justice Fast facts Binance Connect, previously known as Bifinity, was Binances fiat-to-crypto payment infrastructure launched in March 2022. It enabled users to process payments in over 50 cryptocurrencies and make cryptos purchases via traditional methods like Mastercard and Visa. The Binance spokesperson attributed the closure of the service to changing market and user needs. At Binance, we periodically review our products and services to ensure that our resources continue to be focused on core efforts that align with our long-term strategy, Binance said in a statement. While Binance holds its position as the worlds largest centralized crypto exchange by spot trading volume, its dominance is reportedly waning. A recent report by cryptocurrency data provider CoinGecko highlighted a 52.4% decline, equivalent to US$823.9 billion, in Binances trading volume between Q1 and Q2 of 2023. In comparison, the collective volume of the rest of the top 10 exchanges dipped by US$270.8 billion in the same timeframe. Throughout Q2, Binances market share remained below 60.0%, an indication that the exchange may be losing its grip on the industry as regulators continue to pressure it, CoinGeckos report said. The exchanges market share stood at 51% in June 2023, down from 61% in March. Binance faces growing regulatory hurdles. BAM Trading and BAM Management, the operators of BinanceUS, filed on Monday for a protective court order against the U.S. Securities and Exchange Commission (SEC), which sued Binance, its U.S. platform, and Chief Executive Officer Changpeng Zhao in June for alleged securities law violations. The court filing claimed the U.S. regulators discovery requests for BAM was inappropriate and unduly burdensome, which demanded the company to provide all communications concerning multiple topics that have nothing to do with customer assets and make at least six of its employees and officers available for depositions. BAM proposed to confine the depositions to four personnel, exclude both the chief executive officer and chief finance officer from being interviewed, and restrict the range of questions the SEC can pose during these sessions. See related article: Binance Japan launches with 34 tokens, BNB debuts in the country Binance.US Asks Court For Protective Order Against the SEC Binance.US Seeks A Protective Order Binance.US has lodged a court filing requesting a protective order against the United States Securities and Exchange Commission (SEC), alleging that the regulator's discovery requests amount to a "fishing expedition." Binance.US contends that the SEC's demands for extensive and excessive paperwork regarding customer assets are unreasonable in response to a court order from June. Binance.US alleges that the SEC's requests go beyond the parameters of their complaint and Consent decision, which initially concentrated on Binance's custody, security, and accessibility of customer assets, even if it complies in good faith with the June decision. Binance.US emphasized that neither the asset custody practices nor any misuse of customer assets had been proven by the SEC. The exchange has voiced opposition to the SEC's demand that six of its staff, including CEO Changpeng "CZ" Zhao, be removed from their positions, claiming that these senior officials lack special first-hand expertise in asset security and custody. The exchange seeks a protective order that would limit the SEC's depositions to four specific employees, excluding the CEO and CFO. It also aims to constrain questioning to matters strictly related to the original order and to prevent inquiries about topics unrelated to customer assets. Binance is facing legal action from both the SEC, accusing it of operating an unregistered securities exchange and selling unregistered securities, and the Commodity Futures Trading Commission, against which the exchange is attempting to secure a dismissal. (Bloomberg) -- In a chaotic year for US crypto companies, a few startups are still raising huge chunks of money. On Wednesday, crypto custody firm BitGo Inc. plans to announce that it has raised $100 million at a $1.75 billion valuation. Most Read from Bloomberg BitGo secures crypto assets by protecting private keys, sometimes in physical vaults. The company is currently the custodian for the creditors of bankrupt digital asset exchange FTX, while its legal proceedings play out. BitGos other customers include financial services firm Swan Bitcoin, blockchain developer Mysten Labs Inc. and apparel giant Nike Inc. Chief Executive Officer Mike Belshe acknowledged its definitely a rough market. But he said the companys focus on being licensed and regulated has helped it stand out amid an uncertain legal landscape for digital assets, marked by ratcheting tensions over whether cryptocurrencies are securities. Regulatory safety is just on everybodys minds right now, Belshe said. The Palo Alto, California-based company received the funding entirely from new investors, Belshe said. He declined to disclose who participated in the round, but said the backers were based in the US and Asia, and that some of them came from outside the crypto industry. BitGos previous investors include Goldman Sachs Group Inc., DRW Holdings and Galaxy Digital Ventures. The startups valuation is substantially higher than its price tag in 2021, when the company was set to be acquired by Galaxy Digital Holdings in a $1.2 billion deal that was ultimately scrapped. Belshe said that the new funding will be used in part for strategic acquisitions, noting that there are at least two deals already in the works. In June, BitGo dropped plans to acquire the parent company of troubled crypto custodian Prime Trust amid allegations that the company had a shortfall of customer funds. Story continues Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. BEIJING (Reuters) - Boeing named Alvin Liu head of its China unit on Wednesday, picking a Chinese-born representative recently hired from the auto industry as the aircraft maker grapples with a drought in U.S. imports to the crucial aviation market. Both Boeing and Airbus SE now have top executives in China who were born in the country. China accounts for about a quarter of global aircraft imports and its dealings with Boeing have become mired in geopolitical and trade tensions with Washington. It was the first country to ground Boeing's 737 MAX following fatal accidents, and although existing planes have returned to service, China has been slow to resume imports, while broader plane imports and orders have become engulfed in political tensions. Liu is a native of Liaoning Province in the northeast part of China, Boeing said. The company did not disclose his age or nationality but said he had been leading its government operations team in China. Boeing says China will need 8,500 new airplanes and $550 billion in commercial services in the next 20 years. "I am committed to making sure our team is ready to support our customers and meet that demand," Liu said in the release. He succeeds Boeing veteran Sherry Carbary, who stepped down from the position of president of the China unit in July, with Liu taking up the post on an interim basis since then. Liu joined the company in 2022 from the auto industry, where he had experience in China with Ford and Chrysler. His appointment comes at a challenging time for Boeing amid geopolitical tensions between the world's two largest economies. It has also lost ground to arch-rival Airbus, which recently announced a second jet assembly line in Tianjin. Li Hanming, an independent aviation industry analyst, said Liu is the best choice for Boeing as it seeks to rebuild close ties, in part because he is physically in China. "It is very difficult to apply for working visas and send over senior executives from overseas," Li said. Experts say processing of visa applications has slowed since the pandemic. Story continues "Also, in the past three years, Liu did a lot of work with the civil aviation authorities and now you see 737 MAX is almost all back to sky, which shows his job is done quite well." The company has said about 90% of its MAX jets in China had resumed commercial operation as of the end of June, after the country grounded the model following two deadly accidents in 2018/19. Based in Beijing, he will formally become Boeing China president on Sept. 1, the company said. (Reporting by Sophie Yu and Tim Hepher; Editing by Devika Syamnath) National youth space innovation competition held in south China Xinhua) 09:23, August 16, 2023 This photo taken on Aug. 14, 2023 shows the finals of the 2023 National Youth Space Innovation Competition in Wenchang City of south China's Hainan Province. (Xinhua/Guo Cheng) HAIKOU, Aug. 15 (Xinhua) -- The finals of the 2023 National Youth Space Innovation Competition were launched on Monday in Wenchang City of south China's Hainan Province, providing teenagers with an opportunity to share their wisdom on aerospace innovation. Speaking at the finals, Fang Xiangming, deputy Party chief of the China Aerospace Science and Technology Corporation (CASC), said that young people are not only the hope of the country's future, but also an important force to promote innovation. Fang expressed the hope that the innovative thinking and creative practice of the participating students will bring new ideas, new methods and new technologies to the aerospace industry. As a city that is striving to build itself into a high-tech innovation highland and an aerospace industry center, Wenchang will use the event as an opportunity to strengthen the selection and training of innovative talents, said Liu Chong, mayor of the city. Hosted from Aug. 14 to 16, the finals have attracted nearly 3,000 young contestants from across the country. Contestants participate in the finals of the 2023 National Youth Space Innovation Competition in Wenchang City of south China's Hainan Province, Aug. 14, 2023. (Xinhua/Guo Cheng) (Web editor: Zhang Kaiwei, Wu Chaolan) Bumpy road for China's ride hailing drivers as economy slows Ride-hailing service driver Zhu Zhimin drives his car in Shanghai By Casey Hall SHANGHAI (Reuters) - Shanghai driver Zhu Zhimin works 15 hours a day to earn the same money he was making only a few months ago working a regular shift, as he competes with an increasing number of Chinese rushing into the ride-hailing industry. Zhu, who takes home 400-600 yuan ($55-$82) a day ferrying passengers from early morning to late at night, says he wasn't able to take a day off for three months earlier this year. "I go back at midnight, I take a shower and then go to bed. I have no spare time," he said from behind the wheel. "My children are getting older, my parents are getting older, so my family needs money." China's weak post-pandemic recovery and record youth unemployment are sending more people into the ride-hailing sector, flooding the market and eroding the incomes of many of the 5.8 million drivers registered for such apps. On Tuesday, a raft of data highlighted how the world's second largest economy had slowed further in July, putting pressure on already faltering growth. About 400,000 people began driving for ride-hailing firms in China between the end of April and the end of July, state media said, citing Ministry of Transport data. Analysts see this more than 7 percent increase in the number of drivers as a manifestation of the subdued job market. Average income for employees in Shanghai is around 525 yuan a day, data from the city government shows, in line with what most drivers make working much longer hours than an office worker. "The downturn of the socio-economic environment led to a reduction in job opportunities and an influx of labour into the ride-hailing industry," said Wang Ke, an automotive and travel industry analyst at Analysys, a market research firm. "An increasing number of unemployed individuals make the ride-hailing industry their first choice." China has more than 300 ride-hailing apps, which accounted for over 40% of total taxi trips last year, state media reported. Story continues Cities including Shanghai, Sanya and Changsha have suspended issuing new ride-hailing permits. At least four others have issued warnings of overcapacity, with some saying drivers get fewer than 10 orders a day as a result. "Because the economy is not doing well, many workers have been laid off and began ride-hailing," said James Cai, 33, from Haikou, the capital of Hainan island and one of the cities warning of too many drivers. "Most of them are 20-30 year olds." Cai said he earns 200-300 yuan a day, driving for Didi Global - China's answer to Uber - from 8.00 am until close to midnight. Up until recently, he made 400 yuan a day plus bonuses, going home before 8.00 pm. "This job is not working out," he added. Didi did not respond to emailed questions about driver numbers, pay and the latest curbs in some cities. 'SELF-CORRECTION' Wang, from Analysys, expects a market "self-correction" as some drivers drop out. Nanxun Li, another Haikou driver, sold his car last month and quit a job he had been doing for 10 years, after his income dropped to 300-400 yuan a day from 1,000 when he first started. "It's getting difficult to make ends meet," Li said. But even with less drivers, making a living is likely to become more difficult for many people as China enters an era of much slower economic growth, economists say. More than 21% of Chinese youth were unemployed as of June. China's statistics bureau said on Tuesday it will stop releasing jobless youth data, drawing public ire. Shanghai driver Li Weimin's rationale for working underscores the shrinking job market. "I dont eat during the day, and I only have one meal after I get home at night," said the 45-year-old, who drives from 6.30 am to 1 am to take home around 500 yuan. "But I must persist, because there is no other job." ($1 = 7.2761 Chinese yuan renminbi) (Reporting by Casey Hall and Xihao Jiang in Shanghai and the Beijing and Shanghai newsrooms; editing by Marius Zaharia and Miral Fahmy) Key Insights Marco Holdings Berhad's estimated fair value is RM0.17 based on 2 Stage Free Cash Flow to Equity Marco Holdings Berhad's RM0.20 share price indicates it is trading at similar levels as its fair value estimate When compared to theindustry average discount of -63%, Marco Holdings Berhad's competitors seem to be trading at a greater premium to fair value How far off is Marco Holdings Berhad (KLSE:MARCO) from its intrinsic value? Using the most recent financial data, we'll take a look at whether the stock is fairly priced by projecting its future cash flows and then discounting them to today's value. Our analysis will employ the Discounted Cash Flow (DCF) model. Believe it or not, it's not too difficult to follow, as you'll see from our example! We generally believe that a company's value is the present value of all of the cash it will generate in the future. However, a DCF is just one valuation metric among many, and it is not without flaws. Anyone interested in learning a bit more about intrinsic value should have a read of the Simply Wall St analysis model. Check out our latest analysis for Marco Holdings Berhad The Model We're using the 2-stage growth model, which simply means we take in account two stages of company's growth. In the initial period the company may have a higher growth rate and the second stage is usually assumed to have a stable growth rate. To start off with, we need to estimate the next ten years of cash flows. Seeing as no analyst estimates of free cash flow are available to us, we have extrapolate the previous free cash flow (FCF) from the company's last reported value. We assume companies with shrinking free cash flow will slow their rate of shrinkage, and that companies with growing free cash flow will see their growth rate slow, over this period. We do this to reflect that growth tends to slow more in the early years than it does in later years. A DCF is all about the idea that a dollar in the future is less valuable than a dollar today, so we need to discount the sum of these future cash flows to arrive at a present value estimate: Story continues 10-year free cash flow (FCF) estimate 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 Levered FCF (MYR, Millions) RM16.6m RM15.0m RM14.2m RM13.8m RM13.7m RM13.7m RM13.9m RM14.2m RM14.6m RM15.0m Growth Rate Estimate Source Est @ -14.86% Est @ -9.34% Est @ -5.47% Est @ -2.76% Est @ -0.87% Est @ 0.46% Est @ 1.38% Est @ 2.03% Est @ 2.49% Est @ 2.81% Present Value (MYR, Millions) Discounted @ 10% RM15.1 RM12.4 RM10.7 RM9.4 RM8.5 RM7.7 RM7.1 RM6.6 RM6.2 RM5.8 ("Est" = FCF growth rate estimated by Simply Wall St) Present Value of 10-year Cash Flow (PVCF) = RM89m We now need to calculate the Terminal Value, which accounts for all the future cash flows after this ten year period. For a number of reasons a very conservative growth rate is used that cannot exceed that of a country's GDP growth. In this case we have used the 5-year average of the 10-year government bond yield (3.6%) to estimate future growth. In the same way as with the 10-year 'growth' period, we discount future cash flows to today's value, using a cost of equity of 10%. Terminal Value (TV)= FCF 2033 (1 + g) (r g) = RM15m (1 + 3.6%) (10% 3.6%) = RM240m Present Value of Terminal Value (PVTV)= TV / (1 + r)10= RM240m ( 1 + 10%)10= RM92m The total value is the sum of cash flows for the next ten years plus the discounted terminal value, which results in the Total Equity Value, which in this case is RM182m. The last step is to then divide the equity value by the number of shares outstanding. Compared to the current share price of RM0.2, the company appears around fair value at the time of writing. Remember though, that this is just an approximate valuation, and like any complex formula - garbage in, garbage out. dcf Important Assumptions We would point out that the most important inputs to a discounted cash flow are the discount rate and of course the actual cash flows. If you don't agree with these result, have a go at the calculation yourself and play with the assumptions. The DCF also does not consider the possible cyclicality of an industry, or a company's future capital requirements, so it does not give a full picture of a company's potential performance. Given that we are looking at Marco Holdings Berhad as potential shareholders, the cost of equity is used as the discount rate, rather than the cost of capital (or weighted average cost of capital, WACC) which accounts for debt. In this calculation we've used 10%, which is based on a levered beta of 0.949. Beta is a measure of a stock's volatility, compared to the market as a whole. We get our beta from the industry average beta of globally comparable companies, with an imposed limit between 0.8 and 2.0, which is a reasonable range for a stable business. SWOT Analysis for Marco Holdings Berhad Strength Earnings growth over the past year exceeded its 5-year average. Debt is not viewed as a risk. Dividend is in the top 25% of dividend payers in the market. Weakness Earnings growth over the past year underperformed the Retail Distributors industry. Current share price is above our estimate of fair value. Opportunity MARCO's financial characteristics indicate limited near-term opportunities for shareholders. Lack of analyst coverage makes it difficult to determine MARCO's earnings prospects. Threat Dividends are not covered by earnings and cashflows. Next Steps: Although the valuation of a company is important, it ideally won't be the sole piece of analysis you scrutinize for a company. DCF models are not the be-all and end-all of investment valuation. Preferably you'd apply different cases and assumptions and see how they would impact the company's valuation. If a company grows at a different rate, or if its cost of equity or risk free rate changes sharply, the output can look very different. For Marco Holdings Berhad, we've compiled three essential factors you should look at: Risks: Take risks, for example - Marco Holdings Berhad has 2 warning signs (and 1 which doesn't sit too well with us) we think you should know about. Other Solid Businesses: Low debt, high returns on equity and good past performance are fundamental to a strong business. Why not explore our interactive list of stocks with solid business fundamentals to see if there are other companies you may not have considered! Other Top Analyst Picks: Interested to see what the analysts are thinking? Take a look at our interactive list of analysts' top stock picks to find out what they feel might have an attractive future outlook! PS. Simply Wall St updates its DCF calculation for every Malaysian stock every day, so if you want to find the intrinsic value of any other stock just search here. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Key Insights The projected fair value for Eckert & Ziegler Strahlen- und Medizintechnik is 36.64 based on 2 Stage Free Cash Flow to Equity Eckert & Ziegler Strahlen- und Medizintechnik's 32.58 share price indicates it is trading at similar levels as its fair value estimate When compared to theindustry average discount to fair value of 51%, Eckert & Ziegler Strahlen- und Medizintechnik's competitors seem to be trading at a greater discount Does the August share price for Eckert & Ziegler Strahlen- und Medizintechnik AG (ETR:EUZ) reflect what it's really worth? Today, we will estimate the stock's intrinsic value by taking the expected future cash flows and discounting them to today's value. We will use the Discounted Cash Flow (DCF) model on this occasion. It may sound complicated, but actually it is quite simple! We generally believe that a company's value is the present value of all of the cash it will generate in the future. However, a DCF is just one valuation metric among many, and it is not without flaws. For those who are keen learners of equity analysis, the Simply Wall St analysis model here may be something of interest to you. Check out our latest analysis for Eckert & Ziegler Strahlen- und Medizintechnik The Method We use what is known as a 2-stage model, which simply means we have two different periods of growth rates for the company's cash flows. Generally the first stage is higher growth, and the second stage is a lower growth phase. In the first stage we need to estimate the cash flows to the business over the next ten years. Where possible we use analyst estimates, but when these aren't available we extrapolate the previous free cash flow (FCF) from the last estimate or reported value. We assume companies with shrinking free cash flow will slow their rate of shrinkage, and that companies with growing free cash flow will see their growth rate slow, over this period. We do this to reflect that growth tends to slow more in the early years than it does in later years. Story continues Generally we assume that a dollar today is more valuable than a dollar in the future, and so the sum of these future cash flows is then discounted to today's value: 10-year free cash flow (FCF) forecast 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 Levered FCF (, Millions) 5.00m 33.5m 34.8m 35.7m 36.4m 36.9m 37.3m 37.6m 37.9m 38.1m Growth Rate Estimate Source Analyst x1 Analyst x1 Analyst x1 Est @ 2.56% Est @ 1.90% Est @ 1.43% Est @ 1.11% Est @ 0.88% Est @ 0.72% Est @ 0.61% Present Value (, Millions) Discounted @ 5.0% 4.8 30.4 30.1 29.4 28.6 27.6 26.6 25.5 24.5 23.5 ("Est" = FCF growth rate estimated by Simply Wall St) Present Value of 10-year Cash Flow (PVCF) = 251m We now need to calculate the Terminal Value, which accounts for all the future cash flows after this ten year period. For a number of reasons a very conservative growth rate is used that cannot exceed that of a country's GDP growth. In this case we have used the 5-year average of the 10-year government bond yield (0.4%) to estimate future growth. In the same way as with the 10-year 'growth' period, we discount future cash flows to today's value, using a cost of equity of 5.0%. Terminal Value (TV)= FCF 2033 (1 + g) (r g) = 38m (1 + 0.4%) (5.0% 0.4%) = 830m Present Value of Terminal Value (PVTV)= TV / (1 + r)10= 830m ( 1 + 5.0%)10= 512m The total value is the sum of cash flows for the next ten years plus the discounted terminal value, which results in the Total Equity Value, which in this case is 763m. The last step is to then divide the equity value by the number of shares outstanding. Relative to the current share price of 32.6, the company appears about fair value at a 11% discount to where the stock price trades currently. The assumptions in any calculation have a big impact on the valuation, so it is better to view this as a rough estimate, not precise down to the last cent. dcf The Assumptions We would point out that the most important inputs to a discounted cash flow are the discount rate and of course the actual cash flows. If you don't agree with these result, have a go at the calculation yourself and play with the assumptions. The DCF also does not consider the possible cyclicality of an industry, or a company's future capital requirements, so it does not give a full picture of a company's potential performance. Given that we are looking at Eckert & Ziegler Strahlen- und Medizintechnik as potential shareholders, the cost of equity is used as the discount rate, rather than the cost of capital (or weighted average cost of capital, WACC) which accounts for debt. In this calculation we've used 5.0%, which is based on a levered beta of 0.922. Beta is a measure of a stock's volatility, compared to the market as a whole. We get our beta from the industry average beta of globally comparable companies, with an imposed limit between 0.8 and 2.0, which is a reasonable range for a stable business. SWOT Analysis for Eckert & Ziegler Strahlen- und Medizintechnik Strength Debt is not viewed as a risk. Dividends are covered by earnings and cash flows. Weakness Earnings declined over the past year. Dividend is low compared to the top 25% of dividend payers in the Medical Equipment market. Opportunity Annual revenue is forecast to grow faster than the German market. Current share price is below our estimate of fair value. Threat No apparent threats visible for EUZ. Next Steps: Although the valuation of a company is important, it ideally won't be the sole piece of analysis you scrutinize for a company. It's not possible to obtain a foolproof valuation with a DCF model. Rather it should be seen as a guide to "what assumptions need to be true for this stock to be under/overvalued?" For example, changes in the company's cost of equity or the risk free rate can significantly impact the valuation. For Eckert & Ziegler Strahlen- und Medizintechnik, there are three further elements you should look at: Financial Health: Does EUZ have a healthy balance sheet? Take a look at our free balance sheet analysis with six simple checks on key factors like leverage and risk. Future Earnings: How does EUZ's growth rate compare to its peers and the wider market? Dig deeper into the analyst consensus number for the upcoming years by interacting with our free analyst growth expectation chart. Other Solid Businesses: Low debt, high returns on equity and good past performance are fundamental to a strong business. Why not explore our interactive list of stocks with solid business fundamentals to see if there are other companies you may not have considered! PS. Simply Wall St updates its DCF calculation for every German stock every day, so if you want to find the intrinsic value of any other stock just search here. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. Key Insights The projected fair value for Energiedienst Holding is CHF38.38 based on 2 Stage Free Cash Flow to Equity Current share price of CHF42.80 suggests Energiedienst Holding is potentially trading close to its fair value Energiedienst Holding's peers seem to be trading at a higher premium to fair value based onthe industry average of -62% How far off is Energiedienst Holding AG (VTX:EDHN) from its intrinsic value? Using the most recent financial data, we'll take a look at whether the stock is fairly priced by projecting its future cash flows and then discounting them to today's value. One way to achieve this is by employing the Discounted Cash Flow (DCF) model. Don't get put off by the jargon, the math behind it is actually quite straightforward. We generally believe that a company's value is the present value of all of the cash it will generate in the future. However, a DCF is just one valuation metric among many, and it is not without flaws. If you want to learn more about discounted cash flow, the rationale behind this calculation can be read in detail in the Simply Wall St analysis model. Check out our latest analysis for Energiedienst Holding The Calculation We are going to use a two-stage DCF model, which, as the name states, takes into account two stages of growth. The first stage is generally a higher growth period which levels off heading towards the terminal value, captured in the second 'steady growth' period. To begin with, we have to get estimates of the next ten years of cash flows. Where possible we use analyst estimates, but when these aren't available we extrapolate the previous free cash flow (FCF) from the last estimate or reported value. We assume companies with shrinking free cash flow will slow their rate of shrinkage, and that companies with growing free cash flow will see their growth rate slow, over this period. We do this to reflect that growth tends to slow more in the early years than it does in later years. Story continues Generally we assume that a dollar today is more valuable than a dollar in the future, so we discount the value of these future cash flows to their estimated value in today's dollars: 10-year free cash flow (FCF) estimate 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 Levered FCF (, Millions) 40.7m 44.9m 47.7m 49.8m 51.4m 52.5m 53.3m 53.9m 54.3m 54.6m Growth Rate Estimate Source Analyst x1 Analyst x1 Est @ 6.33% Est @ 4.43% Est @ 3.10% Est @ 2.18% Est @ 1.53% Est @ 1.07% Est @ 0.75% Est @ 0.53% Present Value (, Millions) Discounted @ 4.0% 39.1 41.5 42.4 42.6 42.2 41.5 40.5 39.3 38.1 36.8 ("Est" = FCF growth rate estimated by Simply Wall St) Present Value of 10-year Cash Flow (PVCF) = 404m The second stage is also known as Terminal Value, this is the business's cash flow after the first stage. The Gordon Growth formula is used to calculate Terminal Value at a future annual growth rate equal to the 5-year average of the 10-year government bond yield of 0.01%. We discount the terminal cash flows to today's value at a cost of equity of 4.0%. Terminal Value (TV)= FCF 2033 (1 + g) (r g) = 55m (1 + 0.01%) (4.0% 0.01%) = 1.4b Present Value of Terminal Value (PVTV)= TV / (1 + r)10= 1.4b ( 1 + 4.0%)10= 921m The total value, or equity value, is then the sum of the present value of the future cash flows, which in this case is 1.3b. The last step is to then divide the equity value by the number of shares outstanding. Compared to the current share price of CHF42.8, the company appears around fair value at the time of writing. Remember though, that this is just an approximate valuation, and like any complex formula - garbage in, garbage out. dcf Important Assumptions The calculation above is very dependent on two assumptions. The first is the discount rate and the other is the cash flows. You don't have to agree with these inputs, I recommend redoing the calculations yourself and playing with them. The DCF also does not consider the possible cyclicality of an industry, or a company's future capital requirements, so it does not give a full picture of a company's potential performance. Given that we are looking at Energiedienst Holding as potential shareholders, the cost of equity is used as the discount rate, rather than the cost of capital (or weighted average cost of capital, WACC) which accounts for debt. In this calculation we've used 4.0%, which is based on a levered beta of 0.800. Beta is a measure of a stock's volatility, compared to the market as a whole. We get our beta from the industry average beta of globally comparable companies, with an imposed limit between 0.8 and 2.0, which is a reasonable range for a stable business. SWOT Analysis for Energiedienst Holding Strength Currently debt free. Weakness Earnings declined over the past year. Dividend is low compared to the top 25% of dividend payers in the Electric Utilities market. Expensive based on P/E ratio and estimated fair value. Opportunity Annual earnings are forecast to grow for the next 3 years. Threat Paying a dividend but company has no free cash flows. Moving On: Whilst important, the DCF calculation shouldn't be the only metric you look at when researching a company. It's not possible to obtain a foolproof valuation with a DCF model. Preferably you'd apply different cases and assumptions and see how they would impact the company's valuation. For example, changes in the company's cost of equity or the risk free rate can significantly impact the valuation. For Energiedienst Holding, we've put together three pertinent aspects you should assess: Risks: We feel that you should assess the 2 warning signs for Energiedienst Holding (1 is concerning!) we've flagged before making an investment in the company. Future Earnings: How does EDHN's growth rate compare to its peers and the wider market? Dig deeper into the analyst consensus number for the upcoming years by interacting with our free analyst growth expectation chart. Other Solid Businesses: Low debt, high returns on equity and good past performance are fundamental to a strong business. Why not explore our interactive list of stocks with solid business fundamentals to see if there are other companies you may not have considered! PS. Simply Wall St updates its DCF calculation for every Swiss stock every day, so if you want to find the intrinsic value of any other stock just search here. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. The Canton Regional Chamber of Commerce, Stark County Manufacturing Workforce Development Partnership and Steelworkers Local 1200 are hosting a job fair on Aug. 31 for steelworkers who are being laid off from Republic Steel. CANTON A job fair for furloughed Republic Steel workers will be held Aug. 31 at the Steelworkers Local 1200 Union Hall. The event will last from 8 a.m. to 4 p.m. at 733 Hamilton Ave NE. Barb Bennett, with the Canton Regional Chamber of Commerce, said the chamber and the Stark County Manufacturing Workforce Development Partnership are working with the steelworkers union to coordinate the job fair. There were 24 companies interested in participating as of Wednesday, Bennett said. One is a government agency and the rest are manufacturing employers. "So we're working together to make sure that we can get those ... displaced workers gainfully employed in Stark County," Bennett said. About 200 employees are affected. More on Republic Steel: Company owes millions in back taxes and to unpaid vendors, public records show Republic Steel parent company Grupo Simec announced last week that it was idling steelmaking operations indefinitely in Canton and Lackawanna, New York, ending leaded steel production in the United States. Republic Steel, which is headquartered in Canton, is a subsidiary of the Mexican company. They are leading suppliers of special bar quality steel (SBQ) and the only producers of leaded steel in North America. This article originally appeared on The Repository: Canton chamber, union host Aug. 31 job fair for Republic Steel workers Carlsberg boss Cees t Hart says the company was shocked by Russias decision to take control of its subsidiary business - Carsten Snejbjerg/Bloomberg Carlsberg has lost contact with employees in Russia after its brewery was seized by the Kremlin, the drinks giant has said. Cees t Hart, Carlsbergs chief executive, said on Tuesday that the Danish company was shocked by Russias decision to take control of its subsidiary Baltika Breweries last month. The brewer had struck a deal to sell the business, which employs around 8,400 people in the country, but it was seized under a presidential decree signed by Vladimir Putin. Mr t Hart said it had no indication why the Russian state had taken over the business, adding that Carlsberg had limited contact with local authorities. Carlsberg still technically owns the division but has no control over it. In June, we were pleased to announce the sale of the Russian business, said Mr t Hart. However, shortly afterwards, we were shocked that a presidential decree had temporarily transferred management of the business to a Russian federal agency. Mr Putin has granted the Russian state new powers to seize assets from Western businesses as the war in Ukraine rages on. Mr t Hart added: We have known from the beginning, since announcing the intention to leave Russia in March of last year, that there was huge interest in the business from people inside Russia. But still, this is an unprecedented development. Dmitry Peskov, Mr Putins spokesman, told the Financial Times in June: If a company doesnt fulfil its obligations, then, of course, it goes in the category of naughty companies. We say goodbye to those companies. And what we do with their assets after that is our business. Many well-known companies have continued to do business in Russia since its invasion of Ukraine in February 2022 despite criticism. Marmite and Dove owner Unilever was branded an international sponsor of war by the Ukrainian government last month for continuing to sell products in Russia. Drinks giant Bacardi was also added to the Ukrainian National Agency for Corruption Prevention (NACP)s list of alleged war sponsors this week for also failing to halt operations. It comes as Carlsberg said on Wednesday that organic revenues rose by 11.2pc across its global business in the six months to June 30 although the volume of beer it sold rose by under 1pc. Broaden your horizons with award-winning British journalism. Try The Telegraph free for 1 month, then enjoy 1 year for just $9 with our US-exclusive offer. (Bloomberg) -- Chinese imports of sanctioned Iranian oil are running at the highest level in at least a decade as rising global prices make the discounted crude more attractive, according to data intelligence firm Kpler. Most Read from Bloomberg Iran has been ramping up oil exports this year as it becomes more geopolitically assertive, with most of the shipments heading to China. The easing of a probe by Beijing into imports of bitumen mixture, which Iranian crude is sometimes disguised as, also appears to be speeding the passage of cargoes through customs, according to traders who are involved in the market. The worlds biggest oil importer will take about 1.5 million barrels a day of crude from Iran this month, according to an estimate from Kpler. That compares with an average of 917,000 barrels a day in the first seven months of the year and would be the highest in the Kpler figures that go back to 2013. A jump of around a fifth in global benchmark Brent crude since late June is raising demand for the cut-price oil, much of which is bought by independent refiners clustered in Shandong province. Irans two main grades are currently trading at discounts of more than $10 a barrel to Brent, traders said, and are considerably cheaper than Russian varieties. The higher flat prices go, the better risk-reward ratio for Shandong refiners to look for Iranian crude, said Homayoun Falakshahi, a senior oil analyst at Kpler. The oil is often classified as coming from Malaysia in Chinese government data and can be sometimes reported as diluted bitumen mixture if its mixed with heavier Venezuelan crude, he said. The independent refiners, or teapots, often disguise Iranian crude as feedstocks such as bitumen mixture to avoid using up their oil import quota. Story continues The government launched an investigation into imports of the feedstock in Shandong in April, which had been holding up flows of heavier crudes from Iran and Venezuela. The reasons for the probe are still unclear, but its recently been eased, according to traders. Meanwhile, Vortexa Ltd., another company that monitors flows of oil into China, said it sees the country taking about 1 million barrels a day of Iranian crude this month, compared with a record 1.3 million barrels in December. The contrasting estimates from the tanker-tracking firms may be due to different methods in analyzing the flows. Crude import quotas and uncertainty on non-crude import standards are holding Chinas imports in August in check, said Emma Li, an analyst at Vortexa. Some of the increase in Iranian oil exports may also go into floating storage, she said. The industry relies on figures from companies like Kpler and Vortexa as purchases of Iranian oil typically arent included in the Chinese customs data, which doesnt show any imports since June 2022. Iranian crude is often classified as diluted bitumen mixture and, more recently, other heavy oil. Imports of other heavy oil surged by around 88 times in June from May, while purchases of bitumen mixture quadrupled, according to the customs data. Malaysia is the biggest supplier of both categories. The Weeks Diary (All times Beijing unless noted.) Wednesday, Aug. 16: China new home prices for July, 09:30 CCTDs weekly online briefing on Chinese coal, 15:00 EARNINGS: HKEX, Yancoal Thursday, Aug. 17: China July output data for base metals and oil products EARNINGS: Cnooc Friday, Aug. 18: Chinas 2nd batch of July trade data, including agricultural imports; LNG & pipeline gas imports; oil products trade breakdown; alumina, copper and rare-earth product exports; bauxite, steel & aluminum product imports China weekly iron ore port stockpiles Shanghai exchange weekly commodities inventory, ~15:30 Cnooc earnings call, 17:00 EARNINGS: Hongqiao Saturday, Aug. 19 Nothing major scheduled Sunday, Aug. 20 Chinas 3rd batch of July trade data, including country breakdowns for energy and commodities On the Wire President Xi Jinping has resisted pulling the trigger on a major stimulus to revive the worlds second-biggest economy. The grim market reaction to a surprise rate cut shows investors want to see him take much bolder steps. From beauty products to beverage makers, some of Chinas top brands are turning cautious on the worlds second-biggest economy as a growing list of headwinds point to consumers tightening their belts. Whole milk powder auction prices have extended declines, slumping to a seven-year low as demand for dairy products, particularly from China, wanes. --With assistance from Jason Rogers. (Updates with diary and published items) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. 'Destined for rubble': This 36-year-old bought an abandoned house for $1 and transformed it into her 'dream home' how to invest in real estate (without the rats, asbestos or a leaky roof) While millions of Americans remain priced out of the housing market, one woman has managed to buy her very own little piece of paradise for close to $1. Don't miss Thanks to Jeff Bezos, you can now use $100 to cash in on prime real estate without the headache of being a landlord. Here's how 36% of millionaires say itll take a miracle to retire amid rising costs and a shaky market here are the best shock-proof assets to grow your nest egg Super-rich Americans are snatching up prime real estate abroad as US housing slumps but here's a sharp way to invest without having to move overseas Maxine Sharples a 36-year-old yoga teacher and project officer based in Liverpool, England bought her two-bedroom, 796-square-foot Victorian house for around $1.28 using the Homes for a Pound program run by Liverpool City Council. Selling homes for less than the price of a small coffee has become a last-ditch strategy for some towns and cities around the world fighting depopulation and decay. The catch, as Sharples discovered, is that the homes selling for token sums are in complete disrepair, and the local authorities selling properties once destined for rubble pass the costs of renovation on to the buyers. I knew I had my work cut out for me, Sharples wrote in an article for CNBC. The house had been abandoned for 15 years. The brickwork was crumbling, there was a leaky roof, a rat infestation, asbestos and no power or heating system. Hard work saved me a lot of money To qualify for the Homes for a Pound program, Sharples says she had to be a first-time homeowner and be able to renovate the home in her case, more of a crumbling shell within a 12-month period. The local council estimated the renovations would cost around $61,400. Sharples picked up the keys for her one-pound place in February 2020 five years after she applied for the special program as a graduate student (with very little money saved) and got straight to work. Story continues I paid an architect $1,064 to draw up the blueprints, she said. Many of the contractors I talked to laughed at my proposed reconfiguration of the house. The cheapest quote I got was still $37,600 over my budget, which was the entirety of my savings. But I was undeterred. To keep costs down and navigate challenges caused by the pandemic Sharples quit her job and set to work on the property herself, blogging about the renovation process every step of the way. And with the help of some friends (and a 12-month project extension due to the pandemic) she completed enough work to have the house signed over to her in May 2022. In total, Sharples says she paid $74,000 on labor and materials. Im glad I had the conviction and persistence to stick to my vision, she said. I learned how to lay brick, tile, install underfloor heating and refinish floors, and it saved me a lot of money. Managing a full renovation isnt for everyone. Having enough money is only the first hurdle; you need the right people in order to successfully see things through. This house is now worth much more than what I paid in renovations. A 2-bedroom home on my street recently sold for $137,000. But if I ever decided to leave, Id only rent it out. Ive invested too much in this place to sell it. For now, Sharples enjoys what she has built into her dream home. Read more: Here's how much money the average middle-class American household makes how do you stack up? How to invest in real estate (without the hassle) If the hassles associated with buying a physical property, maintaining it and possibly even renting it out dont appeal to you, but youre still interested in real estate investments, there are other options. You can invest in a residential real estate investment trust (REIT). REITs are publicly-traded companies that collect rent from tenants and pass that rent to shareholders in the form of regular dividend payments. As REITs are publicly traded, you can buy or sell shares any time and your investment can be as little or as large as you want. Its not like buying a house, which normally requires a hefty down payment followed by a mortgage (unless you get onto one of these $1 schemes). You may also want to consider crowdfunding platforms. These allow everyday investors to pool their money to purchase property (or a share of property) as a group. If you dont want to make investment decisions on your own, investing apps and online platforms can help you invest in diversified real estate portfolios that will maximize your returns while keeping your fees low. What to read next Are you ready for your first year of retirement? Here are 4 things you might not expect but definitely need to prepare for This janitor in Vermont built an $8M fortune without anyone around him knowing. Here are the 2 simple techniques that made Ronald Read rich and can do the same for you It makes an enormous difference: Warren Buffett says this simple trick is the key to earning a generous retirement nest egg This article provides information only and should not be construed as advice. It is provided without warranty of any kind. (Bloomberg) -- Europes heat wave is spreading, with wildfires raging on a Spanish island and people in southeast France told to stay indoors for most of the day. Most Read from Bloomberg Above-normal temperatures are forecast across the continent this week, with the hottest conditions seen at the end of the weekend, according to forecaster Maxar Technologies Inc. Such extreme weather is becoming more frequent from the US to China, bringing fires, floods and violent storms as climate change increases the intensity of heat waves. That comes after the worlds hottest ever month in July. On Tenerife in Spains Canary islands, 150 firefighters and 50 military personnel battled a wildfire that prompted the evacuation of five villages and could could take more than a day to bring under control. The outbreak comes weeks after the neighboring island of La Palma was hit by blazes that forced more than 4,000 residents from their homes. In France, rising temperatures prompted an orange warning for Lyon and Grenoble by Europes network of national meteorological services, which told people in those areas that everyone is in danger, even those in good health and warned them not to go out between 11am and 9pm. French nuclear production is also likely to be curbed from Saturday because of cooling water restrictions. Over the next few days, southern and central France will reach 5C to 9C (9F to 16F) above normal, according to Maxar. Marseille will hit 35C on Saturday with the mercury not dropping below 30.5C for more than a week, the forecaster said in a daily report. Paris is also poised to get hotter early next week. Read more: EDF Likely to Curb Nuclear Output From Saturday Amid Hot Weather Story continues In Germany, where the heat wave has caused panic as the nation isnt equipped for such hot conditions, temperatures in Frankfurt will peak at 31.5C on Tuesday, with a few degrees below that through this week. Further south, a sub-Saharan anti-cyclone is ramping up the heat in Italy, with temperatures set to peak at 42C in Sardinia and 38C in Rome, Florence and most of the Po Valley, according to Italys Il Meteo. The latest place to enjoy some heat after a prolonged period of cold and wet weather is Amsterdam, where temperatures will fluctuate from 2 to 4C above the norm, reaching 26.5C on Friday, according to Maxar. (Updates with Tenerife wildfires in first, third paragraphs, comment from Maxar in second.) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. By Sudarshan Varadhan and Lewis Jackson SINGAPORE/SYDNEY (Reuters) - Woodside Energy Group and U.S. major Chevron are locked in a dispute over pay and job security with about 700 workers at four facilities in Australia that produce more than a tenth of the world's liquefied natural gas (LNG). Here is what is expected in coming days, and what is at stake. WHAT'S THE LATEST? Nearly all workers at offshore platforms that supply gas to the Woodside facility have voted to authorise the union to strike, although unions have not made a call yet. Woodside was "well off the pace on key bargaining issues including job security and remuneration," said Offshore Alliance, which is negotiating with the company on workers' behalf. The group is comprised of the Maritime Union of Australia and Australian Workers' Union. Woodside, however, reported "positive progress" a day after the talks, adding that the parties had reached in-principle agreement on some issues, without elaborating. Last week, Australia's labour regulator cleared the way for possible action by Chevron's workers if they vote in favour of it. Chevron has not commented on the current status of talks, and the union did not comment on its talks with Chevron. WHAT'S NEXT? Further talks are set for next Wednesday between the unions and Woodside. In a social media post on Tuesday, the Offshore Alliance said members at the Chevron sites would begin voting "over the next week". Industrial action could range from stopping work for 30 minutes to an all-out strike. The unions have the final say on carrying out any action, even if members vote in favour, and could move to extend the validity of the strike votes if talks run beyond 30 days. Employers must be given seven days' notice ahead of any industrial action. WHAT'S THE LIKELIHOOD OF SUPPLY DISRUPTION? While any outcome, from agreement over wages and conditions to an all-out strike, is possible, some analysts downplayed the chance of significant supply disruptions. Story continues Woodside and Chevron have said they have alternative options to keep operations going in the event of a strike, but did not elaborate. Credit Suisse analyst Saul Kavonic said a prolonged outage across the three plants simultaneously was unlikely, as the government would not want "Australia's reputation for trade reliability to be tarnished." However, he added, "The LNG companies need to tread cautiously, given the support unions have from the Labor government, and this may not be a battle worth fighting too fiercely." "The unions have now created a further risk premium on gas prices. This alone may deliver enough additional revenue to the LNG companies to cover the union demands." WHAT IS AT STAKE FOR GLOBAL LNG MARKETS? Woodside's North West Shelf, along with Chevron's Gorgon and Wheatstone LNG offshore and onshore operations, supplies about 10% of the global LNG market and accounts for half of Australia's production, with exports mainly going to China, Japan and South Korea. Any strike could disrupt exports and send up prices of the super-chilled fuel used for electricity generation. The dispute pushed the weekly spot price for LNG delivery to North Asia up to $11.50 per million British thermal units (mmBtu) in the week ended Aug. 11, the highest in a month and up 5.5% from the prior week. Future swap prices for October to December deliveries have jumped to $15 to $18 per mmBtu, data on Refinitiv Eikon showed on Aug. 16. The strike threat sent Europe's benchmark Dutch natural gas prices soaring by as much as 40% from the close on Aug. 8, before they pared gains to close up 14% on Aug. 15. Volatility in global gas prices despite relatively high inventories across Asia and Europe underscores market sensitivity to potential disruptions, heightened across most commodities after Russia's invasion of Ukraine last year led to record prices. (Additional reporting by Renju Jose in Sydney; Editing by Clarence Fernandez) Stradigo, a strategy, consulting and management advising company, is announcing the launch of their business strategy workbook for business leaders. Helsinki, Finland, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Stradigo, a strategy, consulting and management advising company, is announcing the launch of their business strategy tool for CEOs, which can be completed in a matter of hours. The self guided course can be used as a tool to develop better business strategies and improve the growth of their company. The course has been designed for smaller companies with one to 25 employees who often cant afford the full gamut of services offered by Stradigo and other business consulting firms. The course is ideal for CEOs and people in business leadership positions who are dealing with a large workload and may not have the time to be involved in an entire face-to-face business consulting project. CEO Markus Westerlund, Founder of Stradigo. Markus Westerlund, Founder and CEO of Stradigo, says the workbook is perfect for young CEOs and can be used to continuously improve their business. A lot of young CEOs want to figure things out on their own, the workbook is the perfect tool to give them the ability to improve the entire business, and they can keep it with them to always be implementing new business strategies, says Westerlund. Westerlund says the workbook encourages CEOs and business leaders to have committed employees, satisfied customers, responsibility and sustainability in order to have a higher rate of profitable growth. In addition, one of the key elements of the course is showing people in company leadership roles that they should clarify the competitive advantage they have in their market. He says the workbook is also a great self reflection tool. Head of Stradigos English speaking division, Oskar Westerlund. Head of Stradigos English speaking division and son to CEO Markus, Oskar Westerlund credits the course with helping business leaders to crystalize their strategy going forward, with the help of videos alongside the guided workbook. Story continues The course really excels as a self reflection tool because it gives CEOs the ability to gain a clearer understanding on what they can do to grow the business in a clear and simplified way. The course has 14 simple tasks with six chapters that present questions, which when answered provide the foundation for building new winning strategies, beautifully crystalized on one page says Oskar. The one page template has been made available for trial alongside examples, for business leaders to get a sense of its potential. Case interviews can also be found on the companys website that explore the benefits experienced by some of Stradigos customers that have utilized the Strategy 1Pager. The team at Stradigo have made it one of their core values to help smaller companies be able to attain high level consulting at an affordable rate. Oskar says the workbook counters the misconception that business consulting and advising needs to be a long and complicated process, which by nature increases the necessary investment. The workbook has created a scalable model for businesses to reach new found levels of success. Media Contact Name: Oskar Westerlund Email: contact@stradigo.com First Spot Bitcoin ETF in Europe Listed on Euronext Amsterdam First Spot Bitcoin ETF on Euronext Amsterdam Jacobi Asset Management, headquartered in London, has introduced Europe's first spot Bitcoin exchange-traded fund (ETF) on Euronext Amsterdam, almost two years after receiving initial approval. Named the Jacobi FT Wilshere Bitcoin ETF and governed by the Guernsey Financial Services Commission (GFSC), the ETF will operate under the symbol "BCOIN." Fidelity Digital Assets serves as the custody provider for the fund, while Flow Traders, a trading firm, functions as the market maker, as confirmed by Jacobi. Initially granted approval for the fund in October 2021 with intentions to list in 2022, Jacobi opted to delay its plans due to adverse conditions in the digital asset market, including the collapse of the Terra ecosystem and the insolvency of crypto exchange FTX. While exchange-traded products (ETPs), which include exchange-traded notes (ETNs), are widely used in Europe, Jacobi's offering is the first ETF. Unlike holders of ETNs who own a debt product, ETF investors own shares in the underlying company. Jacobi emphasizes that their ETF does not use leverage or derivatives, in contrast to ETNs. Surprisingly, Europe will debut a spot Bitcoin ETF before the United States, despite numerous applications submitted to the Securities and Exchange Commission (SEC) over recent years, all of which were denied. There is renewed optimism, however, that the regulator may approve a spot Bitcoin fund, following the application by the largest asset manager, BlackRock. This led to a wave of new or amended applications featuring "surveillance-sharing" agreements aimed at preventing market manipulation. However, the decision on ARK Invest and 21Shares Bitcoin ETF was delayed recently, with some, like former SEC head John Reed Stark, believing the current SEC will not approve the applications. Gamelancer Media (TSE:GMNG) Second Quarter 2023 Results Key Financial Results Revenue: CA$1.42m (up 171% from 2Q 2022). Net loss: CA$4.67m (loss widened by 36% from 2Q 2022). CA$0.009 loss per share (in line with 2Q 2022). All figures shown in the chart above are for the trailing 12 month (TTM) period Gamelancer Media Earnings Insights Looking ahead, revenue is forecast to grow 80% p.a. on average during the next 3 years, compared to a 10% growth forecast for the Interactive Media and Services industry in Canada. Performance of the Canadian Interactive Media and Services industry. The company's shares are down 4.8% from a week ago. Risk Analysis What about risks? Every company has them, and we've spotted 5 warning signs for Gamelancer Media (of which 2 make us uncomfortable!) you should know about. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. The Indianapolis City-County Council approved development plans for a $175 million industrial park at County Line Road and South Arlington Avenue off I-65, as well as nearly $20 million in developer-backed bonds for Indianapolis-based Gershman Partners and Citimark, at its meeting Monday. Nearby development: A new Tesla facility is under construction in Indy. Find out where and what they'll do there. Funds from the bonds will go toward public road improvements, including road widening and improvements along Arlington Avenue, in addition to a roundabout at Arlington Avenue and County Line Road. In June, developers received approval for tax breaks for the project from the Metropolitan Development Commission that could save them over $7 million in five years. The area targeted for development is located at north of County Line Road and bisected by Arlington Avenue to the west and the east. The land is bordered to the west by I-65. Gershman Partners did not respond to IndyStar's request for comment about the project in time for the publication of this story. The developer will not use public money to work on the private land, Matt Carlstedt, general manager with Citimark, said at a July 17 meeting of the Metropolitan and Economic Development Committee. Citimark and Gershman Partners are committing to road improvements to accelerate the process due to the Department of Public Works' project backlog, said Carlstedt. "Based on the demand we see today, we want to move a little bit quicker and get this road started as soon as we close on the ground approximately in November," said Carlstedt. Construction on the approximately 150-acre project will take place in stages, with targeted final completion by 2030, according to city filings. Once completed, the plans include just under 2 million square feet in five industrial buildings ranging from 100,000 to 570,000 square feet. The buildings' uses include light manufacturing, commerce and warehouse space. Story continues Developers are also setting aside just over 6 acres dedicated to retail and mixed use, likely restaurants, as well as around 5 acres of wetlands and public park space. Carlstedt said the developer committed to bring approximately 400 jobs paying at least $18 per hour. Gershman Partners and Citimark's plans are designed to bolster economic development along County Line Road, an area which Carlstedt called the "front door into Franklin Township and into Marion County." Contact business reporter Claire Rafford at 317-617-3402 or by email crafford@gannett.com. This article originally appeared on Indianapolis Star: Indianapolis OKs $175M industrial park on south side (Bloomberg) -- The rally in equities is set to grind to a halt as investors come to grips with slowing US growth, and seasonal factors are likely to compound the selling pressure, according to Vantage Point Asset Management. Most Read from Bloomberg Tighter lending standards and fledgling signs of slack in the labor market suggest that the US economy is headed for a hard landing, said Nicholas Ferres, chief investment officer of the global macro fund. In July, the money manager took net long exposure for his firms main fund to 10% virtually flat, in his words. Its more likely toward September, October rather than August but it could be underway now, Ferres said, referring to when seasonal factors are likely to exert pressure. People will be panicking and selling thats the opportunity for us to scale up. Traders are grappling with the rising prospect of a correction in equities after a rally catapulted the S&P 500 Index to within 7% of an all-time high. Ferres forecast jives with the views of JPMorgan Chase & Co.s Marko Kolanovic whos urging investors to stay underweight on stocks on expectations that the global economy is likely to slip into a recession. Ferres was a portfolio manager at Eastspring Investments and Goldman Sachs asset management arm prior to Vantage, which has around $800 million in assets under management. He expects the effects of monetary tightening to exacerbate the impact of seasonal factors, with August and September historically registering as the worst months for US stocks. Vantage Point is priming for a pullback in risk markets that will open the door for it to build holdings in hard-hit assets, including Chinese equities, said Ferres. He also plans to replicate a formerly winning trade buying up large technology firms such as Baidu Inc and JD.com Inc while avoiding property developers. Story continues In the credit market, the fund managers favors the iShares USD Asia High Yield Bond Index ETF. Kristina Hooper, chief global market strategist for Invesco, is similarly skeptical of the soft-landing scenario and is preparing for a drawdown in risk assets. In terms of equities, I think that we could see some headwinds in the US and other developed markets in the near term, she said in an interview with Bloomberg Television last week. Theres no way the Fed can do the level of tightening it has done so aggressively and not have some damage thats why I think it will be a bumpy landing. Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. A top lawyer for Hunter Biden has asked to withdraw from the criminal case against the presidents son, according to a Tuesday court filing, which cited concerns that the attorney would need to serve as a witness in ongoing negotiations over his plea deal. The possible exit of the lawyer, Christopher Clark, appeared to mark another challenge for Hunter Biden, 53, who was on the cusp of pleading guilty in July before his plea agreement fell apart. Bidens legal team has claimed that the Department of Justice reneged on aspects of the plea deal in the case, which revolves around tax charges and a handgun possession charge. In a brief federal court filing, Bidens legal team said Clarks withdrawal was necessitated by recent developments in the matter and that Biden would maintain ample counsel in the case. The filing added that it appears that the negotiation and drafting of the plea agreement and diversion agreement will be contested, and Mr. Clark is a percipient witness to those issues. Clark did not immediately reply to requests for comment. The U.S. attorneys office in Delaware filed two misdemeanor tax charges and a felony firearm charge against Hunter Biden on June 20. The office asserted that the troubled Biden had failed to pay income tax in 2017 and 2018 despite earning more than $1.5 million in each year. At the time, the Justice Department said Hunter Biden had agreed to a plea deal and to enter a so-called pretrial diversion agreement. In a three-page court filing Sunday, Hunter Bidens lawyers said that their client had been prepared to waive certain rights, and to accept responsibility for his past mistakes but that the U.S. attorneys office had elected to renege on the previously agreed-upon plea agreement. Last week, Attorney General Merrick Garland elevated the federal prosecutor in the case, David Weiss, to the role of special counsel. _____ JAKARTA, Indonesia (AP) Indonesias president on Wednesday urged a continuation of his educational reforms to turn Southeast Asias largest economy into one of the worlds biggest by its hundredth anniversary in 2045. President Joko Widodo said in his annual state of the nation speech a day before Indonesia celebrates its 78th year of independence that it has a great chance of joining the globe's top five economies with a per capital income of $25,000. Indonesias per capita income was $4,580 in 2022, according to the World Bank. Indonesia proclaimed its independence from Dutch colonial rule on Aug. 17, 1945. To achieve its goal of 2045 Golden Indonesia will require a major reform of its education and vocational institutions, Widodo said. National elections are set for Feb. 14 and political speculation and gossip have been swirling ahead of the campaign, which begins in November. Campaigns are often marred by political smearing but are mostly peaceful. We are now entering a political year, the situation has mildly escalated, Widodo said, "To that end, I have reiterated that future leadership should set a path forward for Indonesias future. Widodo, popularly known by his nickname Jokowi, began his second and final five-year term in October 2019 and is not eligible to run again. The presidential election is expected to be a three-way race pitting the nominee of the governing Indonesian Democratic Party of Struggle, Ganjar Pranowo, against former general and Defense Minister Prabowo Subianto and former Jakarta Gov. Anies Baswedan. Widodo said a continuation of his policy of increasing domestic processing of the country's natural resource exports such as nickel, copper, bauxite, crude palm oil and seaweed could result in per capita income reaching $11,000 in the next few years and $25,000 by 2045. After his administration halted exports of nickel ore in 2020, investment in nickel processing expanded rapidly and there now are 43 processing plants that provide a large number of jobs, he said. Story continues This is just for one commodity, he said. Nickel is a key component of rechargeable batteries. Widodos administration also banned exports of bauxite, an aluminum ore, in June and plans to ban shipments of copper ore next May. He said the challenges ahead will be daunting and policy choices will be increasingly challenging. "It takes courage and trust to make difficult, unpopular decisions, he said. Widodo is widely popular in the archipelago nation of more than 270 million people with an over 70% public trust rating, according to reputable pollster Kompas. But he said being president is more challenging than many people think in a social media era in which every problem can reach the president, including anger, mockery, derision and slander. Everything can be easily conveyed, he said. It depends upon whether we wish to focus our energy to move forward or waste it on unproductive activities that may divide us or even cause us to step backward, Widodo said. On August 14, 2023, V Clemons, Chairman of the Board at CorVel Corp (NASDAQ:CRVL), sold 5,000 shares of the company's stock. This move is part of a broader trend of insider selling at CorVel Corp, which we will explore in this article. Who is V Clemons? V Clemons is the Chairman of the Board at CorVel Corp, a company that provides workers' compensation solutions for employers, third-party administrators, insurance companies, and government agencies seeking to control costs and promote positive outcomes. Clemons has been with the company for several years and has a deep understanding of the company's operations and market position. CorVel Corp's Business Description CorVel Corp is a provider of workers' compensation solutions for employers, third-party administrators, insurance companies, and government agencies. The company offers its clients a broad range of services, including claims management, network solutions, and pharmacy services. With its innovative technology and dedicated team, CorVel Corp aims to help its clients control costs and achieve positive outcomes. Insider Buy/Sell Analysis and Stock Price Relationship Over the past year, V Clemons has sold a total of 88,386 shares and has not purchased any shares. This trend is consistent with the overall insider activity at CorVel Corp, where there have been 40 insider sells and no insider buys over the same period. Insider Sell: Chairman V Clemons Sells 5,000 Shares of CorVel Corp The frequent insider selling could be a signal to investors about the insiders' perception of the company's future prospects. However, it's important to note that insider selling can occur for various reasons, including personal financial needs or portfolio diversification, and does not necessarily indicate a negative outlook on the company. On the day of V Clemons's recent sell, CorVel Corp's shares were trading at $220.43, giving the company a market cap of $3.897 billion. This price represents a price-earnings ratio of 57.36, which is higher than both the industry median of 12.18 and the company's historical median price-earnings ratio. Story continues Valuation Insider Sell: Chairman V Clemons Sells 5,000 Shares of CorVel Corp According to GuruFocus Value, which is calculated based on historical multiples, a GuruFocus adjustment factor, and future business performance estimates, CorVel Corp's stock is modestly overvalued. The stock's price-to-GF-Value ratio is 1.18, with a GF Value of $186.90 and a current price of $220.43. In conclusion, while the recent insider selling at CorVel Corp may raise some eyebrows, it's crucial for investors to consider the broader context, including the company's valuation and market position. As always, a comprehensive analysis is key when making investment decisions. This article first appeared on GuruFocus. Jill McCormick was awaiting her digital Kroger order to her suburban Cincinnati home recently when she got a startling message: Her bank account had been charged almost $2,800. She was quickly reassured the friendly Instacart shopper, assigned to pick up her yogurt, spaghetti sauce and other items, told her it was all a mistake and would be swiftly corrected. Yeah thats an error. Im getting it fixed, texted her shopper Danielle. She was so hands-on, just a little earlier, she had politely informed McCormick via text the store was out of her favorite flavor of energy drink and asked if she could swap out a different flavor. McCormick authorized a substitution via the text. They are canceling that error now Yes everything will be canceled. I think the system went crazy and I had an error, the shopper texted McCormick. But when the 39-year-old software test engineer and mother asked for an update a little later, there were no more replies. And then I freaked out, panicked Im like worried, Oh my gosh, theyre taking money out or somethings happening on my account. McCormick told The Enquirer. The Liberty Township, Ohio, resident reached out to both Kroger and Instacart, eventually reaching both after about an hour on online chats and toll-free hotlines. But the money was gone from her account. One expert says the incident may be the work of an organized retail theft ring. A simple web search reveals a similar incident involving a Kroger order using Instacart occurred in suburban Columbus, Ohio, this spring when a thief claimed to have stolen from "hundreds of victims." There was another incident this spring in Northern Texas. Cincinnati-based supermarket giant Kroger offers home delivery through its growing in-house service but also via outside delivery vendors, such as Instacart. Also this spring, one former Kroger worker claimed in TikTok posts that her old store was using Instacart shoppers that were a "bunch of scammers." Kroger: 'We take these incidents seriously' Neither company has explained how a scammer intercepted a Kroger order that began on Kroger's app. It appears either Kroger or Instacart unwittingly gave the order to a thief or the scammer exploited a security breach. Story continues An image of texts between an Instacart scam shopper and Kroger customer Jill McCormick who grew alarmed about the notice from her bank about a nearly $2,800 charge. McCormick's shopper canceled all the items of her original order and drained her bank account to purchase several items that she didn't order and never got: More than $600 worth of Tide and Gain laundry detergent. $250 worth of Downy fabric softener. $175 worth of Dawn dish soap. $155 worth of Dove beauty products. $110 worth of Clorox cleaning products. Nearly $500 in other household cleaning or personal items. Also almost $100 worth of bacon, $65 worth of produce, more than $50 worth of cookies, $50 worth of waffle mix and pancake syrup, $20 worth of Fritos chips, $10 worth of Pop Tarts, $7 of Kit Kat bars and a $4 toothbrush. McCormicks family had just returned from a vacation out of town after a particularly difficult summer: Her 9-year-old girl with cerebral palsy had an operation in May, followed by weeks of physical therapy. She just wanted to restock her kitchen so she could unpack and her family could rest before her youngster headed back to school. Liberty Township, Ohio, resident Jill McCormick. Kroger told The Enquirer it is refunding the money and will also provide a gift card to McCormick for the inconvenience. McCormick said Kroger has told her the money will be restored to her bank account in a matter of days but she hadn't received it as of Monday. On Thursday, McCormick also reported the theft to the Butler County Sheriffs Office. We acted swiftly once we were notified of the issue to make it right for the customer we take these incidents seriously, Kroger told The Enquirer in a statement. How secure is Instacart? While Kroger provides home deliveries in Cincinnati and other markets around the country using its delivery force sent from warehouses, it also augments services using San Francisco-based delivery service Instacart, which hires outside contractors or gig workers. McCormick said her order began on the Kroger app but was assigned to Instacart. To shop with Instacart, prospective shoppers must agree to and complete a thorough background check, Instacart told The Enquirer in a statement, adding the company checked driving, criminal and sex offender records before approving someone to be a driver. Further: "(Contracted) shoppers may be deactivated for reasons including fraudulent activity, violating applicable laws, failing to meet acceptable standards of service, or any other misuse of the platform." So far, neither Kroger nor Instacart has addressed how a thief could intercept a customer order and get away with nearly $2,800 of goods. Is it safe to use a bank debit card on Instacart? McCormick realizes she made one careless mistake with her online order: she used her debit card which experts note offers less legal protection in the event of fraud. She normally uses her credit card, but said she'd grown comfortable using the Kroger app. Kroger, the Cincinnati-based supermarket giant, said it was cooperating with Butler County law enforcement, but directed additional questions related to the Instacart shopper involved to Instacart. For its part, Instacart referred questions back to Kroger: If a customer has an issue with an order placed on Kroger.com, Krogers customer service team is available to quickly review the situation and issue appropriate refunds. Fake review fines? The FTC wants to ban fake reviews and fine people who write them Meanwhile, Robert Hanson, head of the Criminal Justice Department and Loss Prevention Coordinator at Northern Michigan University in Marquette, Michigan, said it appeared to be the work of an organized retail theft ring. He noted the stolen items: laundry detergent, cleaning and beauty products were popular theft items for such groups to grab and then resell. Ultimately, they will likely wind up for sale at flea markets, small independent stores, or online. The popular term is organized retail crime some of these are very enterprising thieves and will actually take orders from people online, Hanson told The Enquirer. A big retail theft item is Tide detergent also health and beauty aids, razor blades, anything cosmetics and baby formula. For the latest on Kroger, P&G, Fifth Third Bank and Cincinnati business, follow @alexcoolidge on Twitter. This article originally appeared on Cincinnati Enquirer: Instacart scam: Kroger shopper saw bank account drained of $2,800 (Reuters) - Intel said on Wednesday it has terminated its plans to acquire Israeli contract chipmaker Tower Semiconductor as it was unable to get timely regulatory approvals for the $5.4 billion deal. Intel, which signed a deal to buy Tower last year, will pay a termination fee of $353 million to the latter, according to a statement. Intel, however, did not mention the details about the regulatory approvals. Reuters had reported late on Tuesday citing sources that Intel did not secure approval from Chinese regulators for the acquisition on time as required under the contract. (Reporting by Anirudh Saligrama in Bengaluru; Editing by Savio D'Souza and Nivedita Bhattacharjee) By Anirban Sen NEW YORK (Reuters) -Intel Corp scrapped its $5.4 billion deal to buy Israeli contract chipmaker Tower Semiconductor Ltd after their merger agreement expired without regulatory approval from China. U.S.-listed shares of the Israeli company fell about 11% in premarket trading. Intel, which had decided to buy Tower last year, will pay a termination fee of $353 million to the latter, the company said in a statement. "After careful consideration and thorough discussions and having received no indications regarding certain required regulatory approval, both parties have agreed to terminate their merger agreement having passed the August 15, 2023 outside date," Tower Semiconductor said in a statement. The development underscores how tensions between the United States and China over issues including trade, intellectual property and the future of Taiwan are spilling over into corporate dealmaking, especially when it comes to technology companies. Last year, DuPont De Nemours Inc scrapped its $5.2 billion deal to buy electronics materials maker Rogers Corp after delays in securing approval from Chinese regulators. Intel Chief Executive Pat Gelsinger had said he was trying to get the Tower deal approved by Chinese regulators and had visited the country as recently as last month to meet with government officials. But Gelsinger also said Intel was investing in its foundry business, which makes chips for other companies, irrespective of the Tower deal. In June, Israeli Prime Minister Benjamin Netanyahu announced that Intel had agreed to spend $25 billion on a new factory in Israel, the largest-ever international investment in the country. Investors had given up hope on the Tower deal as a result. Tower's Nasdaq-listed shares ended trading at $33.78 on Tuesday, a steep discount to the $53 per share deal price. In the second quarter, Intel's foundry business reported revenue of $232 million, up from $57 million a year earlier, as it made advances on rivals such as industry leader Taiwan Semiconductor Manufacturing Co. Story continues The rise in foundry sales came from "advanced packaging," a process in which Intel can combine pieces of chips made by another company to create a more powerful chip. Demand for Intel's chips has cooled after two years of strong growth driven by remote work during the pandemic, leading the chipmaker to turn to cost cuts. It has committed to trimming $3 billion in costs this year, with an aim of saving between $8 billion and $10 billion by the end of 2025. (Reporting by Anirban Sen in New York; Additional reporting by Max Cherney in San Francisco, and Anirudh Saligrama and Chavi Mehta in Bengaluru; Editing by Jamie Freed and Nivedita Bhattacharjee) Mr. Jamaloddin Khanjani and daughter Maria Mr. Jamaloddin Khanjani, a 90-year-old Bahai in failing health who already served 10 years in prison for his Bahai beliefs, was arrested along with his daughter Maria on 13 August in Iran GENEVA, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Mr. Jamaloddin Khanjani, a 90-year-old Bahai in failing health who already served 10 years in prison for his Bahai beliefs was arrested on 13 August in Iran, in a new wave of repression targeting the Bahais in the country that has seen over 180 incidents of persecution against the community in recent weeks. Bahais are Irans largest non-Muslim religious minority and have been systematically persecuted since the 1979 Islamic Revolution. Mr. Khanjani was detained with his daughter Maria Khanjani. He had already spent a decade in prisonfrom 2008 to 2018because of his membership of an informal leadership group for the Bahais in Iran, known as the Yaran. The group was formed with full knowledge of the government as a result of the governments banning of formal Bahai institutions in Iran. The group tended to the pastoral needs of the Iranian Bahai community. The Yaran was disbanded in 2008 and all its seven members were detained and sentenced initially to 20 and later to 10 years in prison. All completed their 10 year sentences and were released in 2018. Mr. Khanjanis arrest comes days after two other Bahai women and former Yaran members, Mahvash Sabet and Fariba Kamalabadi, who were arrested on 31 July 2022, received confirmation of their 10-year prison terms which were upheld this week on appeal. Mrs. Sabet is 70 years old and suffers from significant health issues and has been transferred to the hospital from prison numerous times in the past year. A fourth member of the Yaran, Afif Naimi, also suffering health issues and who was also arrested a year ago, has recently received a seven-year jail term. The cruelty meted out to the Bahais in Iran has no limits. Arresting a 90-year-old and others with health issues who already spent 10 years in prison for their faith shows the governments desperate attempt to continue its fruitless efforts to destroy the Bahai community in Iran, says Simin Fahandej, the Bahai International Communitys (BIC) Representative to the United Nations in Geneva. No human being, bound by even the slightest principles of fairness, would regard it as anything other than a gross and outrageous miscarriage of justice to imprison, without a shred of evidence, proof or charge, a 90-year-old man who has already suffered profound persecution for his faith. Story continues Nine other Bahais were also arrested on 13 August. They are the owners and employees of a number of pharmacy businesses, which were sealed and shut down by the authorities, who have accused them of disrupting pharmaceutical supplies. In the face of growing solidarity between the Baha'is and the general population the government is trying to drive a wedge by calling these legitimate Bahai-owned trading businesses hoarders. These new arrests have taken the number of Bahais arrested or imprisoned in recent weeks to almost 60 incidents. During the same period, over 26 Bahais also received sentences for jail terms that could begin at any time. Further, 18 Bahais have faced interrogations. Fifty-nine Bahai-owned businesses were reported to have been sealed by the authorities and the homes of nine others were raided and searched. In addition, Bahais in Tehran continue to be prevented from burying their loved ones in the Bahai cemetery near Khavaran, being forcibly buried by intelligence agents in the location of the Khavaran mass grave, the burial site of hundreds of political prisoners executed in the 1980s. One individual, a mother of a five year old, who raised concerns with authorities about her grandmother being buried in the Khavaran cemetery was arrested and has been sentenced to five years in prison. The brutal crackdown is reminiscent of August last year, where over 300 incidents of persecution against the Bahais occurred in one month alone. The Iranian government has seen the unprecedented worldwide support for the Bahai community after the Our Story Is One campaign and the Bahai communitys efforts to call for unity among all groups and it is now manifesting its desperation to eradicate the communityby targeting and increasing its persecution on the elderly and the sick. Ms. Fahandej continues. If Iran can learn one thing from its past cruelty of over 40 years, it is that its continued persecution of the Bahais has proved to be counterproductive, raising awareness of the situation of the Bahais globally, creating stronger solidarity between the Bahai community and the wider population in Iran and proving to the international community the innocence of the Bahais in the face of relentless oppression. Wave upon wave of persecution The persecution against Bahais in recent weeks has been spread across Iran, in the cities of Tehran, Isfahan, Shiraz, Karaj, Kerman, Qaemshahr, Rasht and others. All of the Bahais arrested, sentenced, imprisoned or otherwise persecuted in the past several weeks were targeted for innocuous reasons such as running music schools or other cultural or educational activities, assisting the Bahai community with basic pastoral needs, or to disrupt their abilities to earn a living. Three Bahais have been jailed for assisting the community in Tehran with the burial of loved ones at a Bahai-owned cemetery in the city. The BIC has previously reported that Bahais in Tehran have been prevented from using their own cemetery after a Ministry of Intelligence agent commandeered it and blocked its use. Several Bahais in Gilan province were sentenced to jail, and the homes of others were invaded by security agents and their mobile phones and computers confiscated, on false charges of spreading propaganda against the regime through social media use. One young man, who issued a statement from within prison detailing physical and mental mistreatment in prison and deprivation of legal rights, was given a six-year jail term. Many of those arrested have endured long detentions in security facilities, often in solitary confinement, without due process. One young mother has spent six months behind bars without charge and has been denied her own choice of lawyer. A number of Bahais have also been given either exorbitant bail terms during their detention or have received heavy fines, travel bans, and internal exiles. For more information please contact Bani Dugal, Principal Representative, New York, bdugal@bic.org, +19143293020 (English) Simin Fahandej, Representative, Geneva, sfahandej@bic.org, +41788800759 (English & Persian) Attachment CONTACT: Anthony Vance US Baha'i Office of Public Affairs 202-251-4980 avance@usbnc.org Tschika McBean Okosi US Baha'i Office Public Affairs 202-833-8990 tmcbean@usbnc.org (Bloomberg) -- JD.com Inc.s revenue accelerated in the second quarter after its signature 6.18 festival scored with shoppers, helping the company defy worsening Chinese economic volatility. Most Read from Bloomberg Chinas No. 2 online retailer reported a 7.6% rise in revenue to 287.9 billion yuan ($39.5 billion) in the June quarter, versus the 279.1 billion yuan average of analysts estimates. Net income climbed 50% to 6.6 billion yuan. The report was the first under new Chief Executive Officer Sandy Xu, who took the helm in June and now shoulders the task of reviving one of Chinas largest and highest-profile public companies. JDs results, which followed better-than-anticipated numbers from larger rival Alibaba Group Holding Ltd., suggest online commerce held up during the key summer shopping season despite a patchy Chinese economy. Read more: Tencent Revenue Disappoints in Warning for China Tech Sector JDs performance remains a far cry from the double-digit percentage expansions of previous years, before Beijings 2021 clampdown on internet spheres from online commerce to ride-hailing chilled a once-free-wheeling tech sector. Alibaba and its smaller rival are struggling to regain momentum after years of punishing Covid Zero restrictions gutted the worlds No. 2 economy. JD itself is coming off its worst-ever quarterly revenue performance, for the January to March period. The company is now spending on incentives to ward off intensifying competition from upstarts such as PDD Holdings Inc. and ByteDance Ltd. It launched a 10 billion yuan discount campaign to capture new Chinese users in March even as it pulled away from Southeast Asian e-commerce, closing its Indonesian and Thailand e-commerce sites to try and shave costs elsewhere. Story continues Read more: Alibaba Takes Step Toward Comeback as Growth Finally Returns Chinas largest tech companies, including Alibaba and Tencent Holdings Ltd., have rallied since May in anticipation of a gradual return to consistent double-digit growth. Investors are hoping Beijing, driven by a need to rejuvenate the nation, will allow tech leaders like Alibaba and JD to again pursue aggressive growth initiatives after almost two years of stasis. JD avoided the worst of that years-long crackdown that hit Alibaba hard. In May, Xu said JD is pivoting toward offering consumers wider price ranges and product categories, diversifying from its traditional focus on bigger-ticket items such as smartphones, in an adjustment to more frugal post-pandemic shoppers. --With assistance from Mayumi Negishi. Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. SPRING LAKE HEIGHTS - Ever since she was a child, Monmouth County resident Joyce Pegler had a strong connection to her Catholic faith. And a decade ago, after raising eight children of her own, she felt the desire to help others celebrate their devotion to God through her launch of For Heaven Sake, a religious gift shop. Growing up in Rutherford (Bergen County) and Bradley Beach with 12 brothers and sisters, we were a Catholic family and we went to mass and made all the sacraments, said Pegler, 64. Church was a regular part of our upbringing and I loved and grabbed it. Following four years at Asbury Park High School, Pegler graduated with a degree in communications from West Chester University in Pennsylvania, where she met husband Kelcy. We got married in 1981 and I had our first son the following year, said Pegler, whose four sons and four daughters now range in age from 19 to 42. Motherhood was my primary vocation and I stayed at home and raised my children, she said. But just as in my own family growing up, religion was important in our household and all of my children attended Catholic school. Joyce Pegler, owner of For Heaven Sake, a ten-year-old religious gift shop, describes religious bracelets for sale at For Heaven Sake in Spring Lake Heights, NJ Friday, August 11, 2023. Religious history: Historic church could be saved from destruction with a surprising new use As Pegler describes it, her evolution from homemaker to business owner was the result of a divine twist of fate. In 2010, my husband sold his large construction company, and by 2013 he officially retired and the building we owned in Spring Lake Heights that had previously housed his business became empty, Pegler said. We were driving down the street one day and I said to him, Why dont we open a religious store there? The idea just came to me, and he agreed. I felt a calling to open this business, Pegler continued. Sometimes the Lord plants seeds in your life and you dont know why, but then it becomes clear. I love my religion and it was the right thing at the right time. Once her idea of opening a retail shop took root, Pegler received invaluable guidance on the products she should order from such organizations as The Catholic Marketing Network as well as fellow religious store owners in Florida and Boston with whom she connected.. Story continues One day during the daily Mass she attended, a friend of mine and I were discussing possible names for the store and she came up with For Heaven Sake, which we immediately knew was the one! Pegler said of the shop she opened in November 2013. 'Dear Lord, protect your house': How Manchester church survived close brush with wildfire 'They can find what they need' Joyce Pegler, owner of For Heaven Sake, a ten-year-old religious gift shop, features religious statues for sale at For Heaven Sake in Spring Lake Heights, NJ Friday, August 11, 2023. Today, in their 1,200-square-foot space, were in the Jesus business and offer everything from medals, statues, and figurines of saints to rosaries and rosary bracelets, crucifixes, attire for the different sacraments (including baptisms, reconciliations, communions, confirmations, marriages, holy orders for priests, and anointing of the sick), cards, books, childrens gifts, medals pets can wear on their collar, and gifts for those who are sick or in mourning, Pegler said. We also have products for the clergy, including albs for priests, books for priests and the laity, and chalices for the altar as well as some antique religious pieces," she continued. "And though were a Catholic store, we offer some Christian things as well, including generic cards, crosses without the crucifix, lotions made from the Lords healing water, and gifts in cardinal motifs for when someone passes. Pegler said that theres something for everyone and every budget at For Heaven Sake, which offers medals for as little as $2.95 up to items such as a $2,500 Blessed Mother statue made of marble imported from Italy. Among trends, Pegler said that shes witnessed an uptick in demand for religious products, especially since the pandemic. Power of faith: Pastor in prison, church burned to the ground during Revolutionary War in Matawan Joyce Pegler, owner of For Heaven Sake, a ten-year-old religious gift shop, features a statue of St. Michael the Archangel for sale at For Heaven Sake in Spring Lake Heights, NJ Friday, August 11, 2023. Bracelets, rosaries, and outdoor statues that help people represent their faith have been particularly popular recently, she said. Customers are often curious to see what we offer and people tend to have different devotions and come in looking for different products, such as items related to a particular saint (such as St. Christopher, the patron saint of safe travels, or St. Anthony, the patron saint of lost items) or items associated with the specific thing theyre praying for. Whatever their interest, they can find what they need here. Pegler noted that her customers hail from both local towns as well as from northern New Jersey, New York and surrounding states. We get a lot of day trippers who visit us when theyre in town for a beach day, and our customers are of all ages, especially at the holidays, she said. Among challenges, Pegler said that For Heaven Sake experienced shipping delays and product cost increases during the pandemic and she added that many online providers of religious gifts dont have her overhead costs and can make it difficult for her store to compete. Despite that, however, our business is doing really well, perhaps because there are so many churches in our surrounding towns and people like to see and feel products in a brick-and-mortar setting, she said. There arent a lot of stores like ours in the area, so we dont have too much local competition. Happily, Pegler said that the market for religious gifts has seasonal spikes that sustain For Heaven Sake year-round. We sell items for all of the sacraments; for example, baptisms occur all year, communions are often in May, many weddings occur in June through August, and we offer holiday items for Christmas, Valentines Day, St. Patricks Day and Easter, she said. Currently, some parochial school students will come in to get Bibles as they head back to school and well also have customers coming in for confirmation season, which is in September/October. Church and state: Religious symbolism on Ocean Grove beach badges challenged by locals Interior of For Heaven Sake, a ten-year-old religious gift shop, in Spring Lake Heights, NJ Friday, August 11, 2023. 'I get to do what I love' Supported by husband Kelcy, who covers back-office business functions, daughter Bridget, an accountant who oversees the shops bookkeeping needs, daughter Annie, who handles the stores website and social media activities, and long-term sales associate Lynn Johnson, I order and price all of our products and do the merchandising, Pegler said of the family-owned venture, which proudly supports local churches and charities. Our customers are wonderful and I cant believe that I get to do what I love, said Pegler of landing her dream job. We offer a relaxing and comfortable shopping experience and we hope that people will come in and look around, because we know theyll find something here that truly speaks to them. When youre called to this kind of work, you cant say no, Pegler confirmed. I feel so humbled and blessed to run For Heaven Sake, which is all for the glory of God. Im living in the moment and loving it. Exterior of For Heaven Sake, a ten-year-old religious gift shop, in Spring Lake Heights, NJ Friday, August 11, 2023. For Heaven Sake Location: 608 Brighton Ave., Spring Lake Heights Phone: 732-449-7254 Owner: Joyce Pegler Opened: 2013 Website: www.for-heaven-sake.com This article originally appeared on Asbury Park Press: For Heaven Sake Spring Lake Heights religious store grew on faith (Bloomberg) -- A local government financing vehicle from one of Chinas most indebted cities enjoyed overwhelming demand for a yuan bond sale, in a sign that Beijings fresh efforts to defuse debt risks among regional authorities are reviving demand for such securities. Most Read from Bloomberg Tianjin Infrastructure Construction & Investment Group Co. priced a 1.5 billion yuan ($206 million) note due in February 2024 at 4.5%, according to Bloomberg-compiled data. The bond fetched bids totaling more than 70 times its offering size, according to people familiar with the matter who requested anonymity discussing private matters. The so-called bid-to-cover ratio stands out as it was typically in the single digits for bonds sold by LGFVs from higher-risk areas earlier this year. Several calls to the companys representative went unanswered. The unusually strong appetite for the bond suggests investors are betting that LGFVs will for now remain a relatively safe group of state-linked borrowers as Beijing embarks on a 1 trillion yuan program to help provincial governments repay hidden local debt. While Chinas slowing economy and ongoing property crisis have renewed concerns about the health of LGFVs, which are mostly tasked to build infrastructure, the latter have yet to default on public bonds. Bloomberg News reported last week that China will allow provincial-level governments to use refinancing bonds to repay the debt of LGFVs and off-balance sheet issuers. Tianjin is among 12 provinces and cities that Chinese authorities identified as high-risk areas that will receive more support. A 1 billion yuan note issued by Tianjin Infrastructure Construction priced on Aug. 10 received 1.2 times of orders, according to a filing to the Shanghai Clearing House. Another bond of the same size from the issuer priced on Monday was 43 times oversubscribed, a separate filing shows. Story continues Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. Key Insights The considerable ownership by individual investors in Lithium Chile indicates that they collectively have a greater say in management and business strategy The top 11 shareholders own 49% of the company Insiders own 12% of Lithium Chile A look at the shareholders of Lithium Chile Inc. (CVE:LITH) can tell us which group is most powerful. The group holding the most number of shares in the company, around 51% to be precise, is individual investors. In other words, the group stands to gain the most (or lose the most) from their investment into the company. And public companies on the other hand have a 18% ownership in the company. Let's take a closer look to see what the different types of shareholders can tell us about Lithium Chile. Check out our latest analysis for Lithium Chile What Does The Lack Of Institutional Ownership Tell Us About Lithium Chile? Institutional investors often avoid companies that are too small, too illiquid or too risky for their tastes. But it's unusual to see larger companies without any institutional investors. There could be various reasons why no institutions own shares in a company. Typically, small, newly listed companies don't attract much attention from fund managers, because it would not be possible for large fund managers to build a meaningful position in the company. Alternatively, there might be something about the company that has kept institutional investors away. Institutional investors may not find the historic growth of the business impressive, or there might be other factors at play. You can see the past revenue performance of Lithium Chile, for yourself, below. Lithium Chile is not owned by hedge funds. Gator Capital Ltd. is currently the company's largest shareholder with 18% of shares outstanding. For context, the second largest shareholder holds about 18% of the shares outstanding, followed by an ownership of 4.7% by the third-largest shareholder. Albert Kroontje, who is the third-largest shareholder, also happens to hold the title of Chairman of the Board. In addition, we found that Steven Cochrane, the CEO has 1.6% of the shares allocated to their name. Story continues Our studies suggest that the top 11 shareholders collectively control less than half of the company's shares, meaning that the company's shares are widely disseminated and there is no dominant shareholder. While it makes sense to study institutional ownership data for a company, it also makes sense to study analyst sentiments to know which way the wind is blowing. Our information suggests that there isn't any analyst coverage of the stock, so it is probably little known. Insider Ownership Of Lithium Chile While the precise definition of an insider can be subjective, almost everyone considers board members to be insiders. Company management run the business, but the CEO will answer to the board, even if he or she is a member of it. I generally consider insider ownership to be a good thing. However, on some occasions it makes it more difficult for other shareholders to hold the board accountable for decisions. Our most recent data indicates that insiders own a reasonable proportion of Lithium Chile Inc.. It has a market capitalization of just CA$155m, and insiders have CA$19m worth of shares in their own names. It is great to see insiders so invested in the business. It might be worth checking if those insiders have been buying recently. General Public Ownership The general public, who are usually individual investors, hold a substantial 51% stake in Lithium Chile, suggesting it is a fairly popular stock. This level of ownership gives investors from the wider public some power to sway key policy decisions such as board composition, executive compensation, and the dividend payout ratio. Private Company Ownership Our data indicates that Private Companies hold 18%, of the company's shares. Private companies may be related parties. Sometimes insiders have an interest in a public company through a holding in a private company, rather than in their own capacity as an individual. While it's hard to draw any broad stroke conclusions, it is worth noting as an area for further research. Public Company Ownership We can see that public companies hold 18% of the Lithium Chile shares on issue. We can't be certain but it is quite possible this is a strategic stake. The businesses may be similar, or work together. Next Steps: I find it very interesting to look at who exactly owns a company. But to truly gain insight, we need to consider other information, too. Like risks, for instance. Every company has them, and we've spotted 3 warning signs for Lithium Chile (of which 2 don't sit too well with us!) you should know about. Of course this may not be the best stock to buy. Therefore, you may wish to see our free collection of interesting prospects boasting favorable financials. NB: Figures in this article are calculated using data from the last twelve months, which refer to the 12-month period ending on the last date of the month the financial statement is dated. This may not be consistent with full year annual report figures. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. (Bloomberg) -- Woodside Energy Group Ltd. and officials representing workers at some of its liquefied natural gas facilities are likely to hold more talks next week after failing to reach agreement on issues that could trigger strikes and disrupt global exports. Most Read from Bloomberg Discussions on Tuesday over demands around pay and other conditions by staff at the North West Shelf LNG operations in Western Australia failed to reach any consensus, the Offshore Alliance, which includes two key labor unions, said in a Facebook post on Wednesday. Woodside are well off the pace on key bargaining issues including job security and remuneration, the alliance said. The producers actions and bargaining position at yesterdays meeting will determine the destiny of our bargaining campaign. The sides intend to hold new talks next Wednesday, according to a person familiar with the matter who asked not to be named as the details are private. Union officials did not immediately respond to a request for comment on a date for fresh discussions. We continue to engage actively and constructively in the bargaining process, Woodside said Wednesday in a statement, without specifying any date for further negotiations. Positive progress is being made and the parties have reached an in-principle agreement on a number of issues that are key to the workforce. Woodsides shares fell 0.9% in Sydney trading, trimming an advance this year to about 8%. Read more: Key Dates for Australia Strikes That Threaten Global LNG Exports Benchmark European natural gas futures rose as much as 10% after gaining 13% on Tuesday as traders weigh the prospect of disruptions against weak demand and high storage levels in the region. Outages at the Woodside operation and at Chevron Corp. sites, where workers are also considering industrial action, could put about 10% of global LNG supply in jeopardy, according to Goldman Sachs Group Inc. Story continues While Europe rarely buys Australian LNG, it would need to compete with countries in Asia looking for replacement shipments. The Dutch benchmark is still more than 80% below the records reached last year in the wake of Russias invasion of Ukraine. Chevron will delay plans to sell some spot market cargoes from its Gorgon operation as a result of the strike risks, people familiar with the details said Tuesday. The producer declined to comment on the sales, and on details on any planned talks with union leaders. About 150 Woodside workers voted for potential action that would include stoppages of between 30 minutes and four hours, and actions such as refusing to unload cargo other than food, water or medical supplies. They would also stop restarting gas compressors or generators, and wouldnt facilitate helicopter landings. Read More: European Gas Spikes on Market Jitters Over LNG Strike Risk Workers at Chevrons Gorgon and Wheatstone downstream facilities must complete a separate vote on action by Aug. 24, while Australias Fair Work Commission on Monday authorized union officials to ballot members at the Wheatstone offshore platform. Votes need to be completed no later than 10 days after a ballot order is made. Industrial action must start within 30 days of a completed ballot, unless the commission grants a request for an extension. A union needs to give seven days notice before that industrial action begins. Timeline: Key Dates for Australia Strikes That Threaten Global LNG Exports Buy tenders: Sell tenders: --With assistance from Dan Murtaugh. (Updates prices in seventh paragraph; adds LNG market data after last paragraph) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. MarketAxess Holdings Inc (NASDAQ:MKTX) has experienced a daily gain of 4.41% and a 3-month loss of -16.99%. Despite this, the company's Earnings Per Share (EPS) (EPS) stands at a healthy 6.71. This raises the question: Is MarketAxess Holdings significantly undervalued? This article delves into a detailed valuation analysis of the company to provide an answer. Let's explore. Introduction to MarketAxess Holdings Inc (NASDAQ:MKTX) Founded in 2000, MarketAxess Holdings is a leading electronic fixed-income trading platform that connects broker/dealers and institutional investors. The company primarily focuses on credit-based fixed-income securities with its main trading products being U.S. investment-grade and high-yield bonds, Eurobonds, and Emerging Market corporate debt. Recently, the company has expanded more aggressively into Treasuries and municipal bonds with the acquisitions of LiquidityEdge and MuniBrokers in 2019 and 2021, respectively. The company also provides pre- and post-trade services with its acquisition of Regulatory Reporting Hub from Deutsche Borse Group in 2020 adding to its product offerings. The company's current stock price is $242.84, with a market cap of $9.10 billion. However, the GF Value, an estimation of fair value based on historical trading multiples, a GuruFocus adjustment factor, and future business performance estimates, stands at $396.92. This discrepancy suggests that MarketAxess Holdings might be significantly undervalued. Is MarketAxess Holdings Inc (MKTX) Significantly Undervalued? Understanding the GF Value The GF Value is a proprietary measure that represents the current intrinsic value of a stock. It is calculated based on historical multiples (PE Ratio, PS Ratio, PB Ratio, and Price-to-Free-Cash-Flow) that the stock has traded at, a GuruFocus adjustment factor based on the company's past returns and growth, and future estimates of the business performance. The GF Value Line gives an overview of the fair value that the stock should be traded at. Story continues According to the GF Value calculation, MarketAxess Holdings appears to be significantly undervalued. If the price of a stock is significantly above the GF Value Line, it is overvalued and its future return is likely to be poor. On the other hand, if it is significantly below the GF Value Line, its future return will likely be higher. Given its current price and market cap, MarketAxess Holdings indicates a clear undervaluation. Because MarketAxess Holdings is significantly undervalued, the long-term return of its stock is likely to be much higher than its business growth. Is MarketAxess Holdings Inc (MKTX) Significantly Undervalued? Financial Strength of MarketAxess Holdings Investing in companies with poor financial strength carries a higher risk of permanent loss of capital. Therefore, it's crucial to carefully review the financial strength of a company before deciding whether to buy its stock. A great starting point for understanding a company's financial strength is looking at the cash-to-debt ratio and interest coverage. MarketAxess Holdings has a cash-to-debt ratio of 5.21, which is better than 59.05% of companies in the Capital Markets industry. GuruFocus ranks the overall financial strength of MarketAxess Holdings at 9 out of 10, indicating strong financial health. Is MarketAxess Holdings Inc (MKTX) Significantly Undervalued? Profitability and Growth of MarketAxess Holdings Companies that have been consistently profitable over the long term offer less risk for investors. Higher profit margins usually dictate a better investment compared to a company with lower profit margins. MarketAxess Holdings has been profitable 10 years over the past decade. Over the past twelve months, the company had a revenue of $733 million and an EPS of $6.71. Its operating margin is 44.35%, which ranks better than 80.76% of companies in the Capital Markets industry. Overall, the profitability of MarketAxess Holdings is ranked 10 out of 10, indicating strong profitability. Growth is a crucial factor in the valuation of a company. GuruFocus research has found that growth is closely correlated with the long-term performance of a company's stock. The faster a company is growing, the more likely it is to be creating value for shareholders, especially if the growth is profitable. The 3-year average annual revenue growth rate of MarketAxess Holdings is 12.3%, which ranks better than 59.71% of companies in the Capital Markets industry. However, the 3-year average EBITDA growth rate is 12.2%, which ranks worse than 50.32% of companies in the Capital Markets industry. Return on Invested Capital vs. Weighted Average Cost of Capital Another way to assess a company's profitability is to compare its return on invested capital (ROIC) and the weighted cost of capital (WACC). ROIC measures how well a company generates cash flow relative to the capital it has invested in its business. WACC is the rate that a company is expected to pay on average to all its security holders to finance its assets. For the past 12 months, MarketAxess Holdings's ROIC is 31.56, and its WACC is 9.56. Is MarketAxess Holdings Inc (MKTX) Significantly Undervalued? Conclusion In conclusion, the stock of MarketAxess Holdings appears to be significantly undervalued. The company's financial condition is strong, and its profitability is robust. However, its growth ranks worse than 50.32% of companies in the Capital Markets industry. To learn more about MarketAxess Holdings stock, you can check out its 30-Year Financials here. To find out the high-quality companies that may deliver above-average returns, please check out the GuruFocus High Quality Low Capex Screener. This article first appeared on GuruFocus. Monetary Authority of Singapore Finalizes Stablecoin Regulations Issued Regulations On Stablecoins in Singapore The Monetary Authority of Singapore (MAS) has finalized new regulations concerning single-currency, non-government-issued stablecoins. Stablecoins linked to fiat currencies from countries like Belgium, Canada, France, and other G10 nations, as well as the Singapore dollar are covered by these regulations. Stablecoin issuers are required to maintain adequate reserve assets to ensure stability, as well as a minimum capital base and liquid assets. They also need to be able to return the par value of stablecoins to holders within five business days of a redemption request, and they must comply with disclosure rules. Private issuers back stablecoins largely 1:1 with cash, currency equivalents, and short-term treasuries. When a stablecoin is redeemed, the issuer destroys the stablecoin asset and grants the seller a fiat value in exchange. Stablecoins can serve as reliable cross-border transfer mediums by maintaining price stability through arbitrage trading on centralized exchanges. Frameworks for secure stablecoin use are being established in other jurisdictions as well. On July 27, the US Senate approved a bill that will make stablecoins subject to anti-money laundering laws. Similar to Singapore's strategy, the Clarity for Payment Stablecoins Act suggests a minimum capital requirement, redemption guidelines, and federal and state regulation for stablecoin issuance. A two-year restriction on algorithmic stablecoins like TerraUSD is also added. Montgomery Mayor Steven Reed proposed a new city budget Tuesday that would represent a $21.6 million increase from the previous year. The budget is for fiscal year 2024 beginning in October. It sits at $311,308,522. It would include a raise of at least 3% for all employees as well as merit raises. The budget will also up fringe benefits, such as such as FICA/Medicare, retirement and health insurance expenditures. Reed's administration decided to include the raises after a pay study in April. The departmental operating budgets is 9.1% more than the 2023 budget. The grants department, which the Reed administration established, has helped offset costs by millions through securing grants, he said. Montgomery Mayor Steven Reed speaks June 6 during the grand opening of the Premier Tech plant in Montgomery. The Montgomery City Council will have a public hearing about the budget Sept. 5 and will vote on it Sept. 19. This administration is moving ahead and building a better Montgomery, a new Montgomery, for all residents where everyone has a chance to live, learn and earn, Reed said. My plan is to stay the course and move forward until that plan becomes a reality. Reed said the city does not have any short-term debt. The city's long-term debt sits at $22 million, down from previous years. "We are proud to present another balanced, responsible budget that will enhance services, optimize operations, support the needs of our residents and businesses and ensure we take care of those who take care of our community," Reed said. "Our revenue streams remain strong, and the city continues to benefit from lowered total debt, elimination of all short-term debt and growing reserve savings." More: Last year's coverage Montgomery city council adopts $270M budget with raises for workers, new positions Alex Gladden is the Montgomery Advertiser's public safety reporter. She can be reached at agladden@gannett.com or on Twitter @gladlyalex. This article originally appeared on Montgomery Advertiser: Montgomery mayor proposes bigger city budget, raises for employees As shoppers prioritize costs over convenience, online grocery sales fell 7% year-over-year in July, survey shows. More shoppers in July chose to pick up their online grocery orders rather than have them delivered by a third party or shipped to their homes, according to a recent grocery shopping survey . Total sales in the online grocery market last month fell 7% to $7.2 billion, compared to July 2022, according to the Brick Meets Click and Mercatus survey, which was fielded July 29-30. Despite the decline, the base of monthly active users (MAUs) who bought groceries online grew by nearly 5% for July versus last year, the survey showed. The growth was driven by a more than 7% increase in the MAUs who opted to pick up their orders. The ship-to-home order base remained relatively flat, while the delivery base dipped 1% compared to the prior year. Order frequency dropped 10% year-over-year and was the main contributor to the monthly sales decline, the survey showed. Constrained spending partly to blame "Julys results reflect the growing financial challenges many consumers are facing today," said David Bishop, partner at Brick Meets Click. These challenges along with evolving expectations, driven by experience engaging with Mass online grocery sales at stores such as Target and Walmart, are contributing to the growing gap between conventional grocers and their Mass rivals, he said. The downward trend in July was driven by consumer pullback in order frequency and constrained spending per order, despite the larger base of active users per month than in the prior year, the survey shows. "Online grocery sales are likely to remain under pressure throughout 2023 as a variety of financial headwinds continue to push shoppers to prioritize costs over convenience," Bishop said. Mass grocery sales saw a surge in online orders compared to only modest gains at conventional grocers during July, which was the most significant gap since the survey started tracking this data in January 2021. Order frequency declined for both formats, however, and the average order value for conventional grocers dipped by more than 5% while Mass finished up slightly. (Bloomberg) -- Myanmar expects rice exports to surge in coming months as curbs on Indian sales and a spike in Thai and Vietnamese prices force buyers to hunt for other origins. Most Read from Bloomberg The tightening in global supply should help revive the Southeast Asian nations rice shipments, which slumped 56% in the first four months of the fiscal year, and bring it closer to its annual goal of earning $1 billion from exports of the grain, Ye Min Aung, president of the Myanmar Rice Federation, said in an interview last week. Myanmar exported about 320,000 tons from April to July, earning just $138 million, according to data from the federation, after the government decided to prioritize selling higher-grade rice. But prospects improved last month when India, the worlds top exporter, banned a substantial portion of its overseas sales to keep a lid on domestic prices ahead of a general election due early next year. Thats pushed up prices in some of Myanmars regional competitors. We hope to take advantage, even though well maintain our focus on exporting only higher-quality varieties, Ye Min Aung said. Myanmar is another nation troubled by food insecurity, a situation worsened by political instability since a military coup in 2021, and its export policy is designed to conserve domestic supplies. While sales of better-quality grain can reap as much as $700 a ton compared to $300 or $400 a ton for lower grades, according to Ye Min Aung, it also limits customers to relatively wealthy countries. Myanmar earned over $800 million from rice sales in each of the two previous fiscal years, according to the federation, and its biggest buyers include China, the Philippines and Belgium. The US Department of Agriculture ranked it as the worlds sixth-biggest exporter last year. Story continues Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. Newcore Gold Ltd. Drilling at Nyam Continues to Intersect High-Grade Mineralization and Metallurgical Testwork Returns 91.7% Average Gold Recoveries in the Upper Portions of the Sulphide Mineralization VANCOUVER, British Columbia, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Newcore Gold Ltd. ("Newcore" or the "Company") (TSX-V: NCAU, OTCQX: NCAUF) is pleased to announce an update on exploration and development activities underway at the Companys 100% owned Enchi Gold Project ("Enchi" or the "Project") in Ghana. Diamond Drilling ("DD") at the Nyam Gold Deposit ("Nyam"), targeting high-grade mineralization in the upper portions of the sulphide mineralization, intersected 3.28 grams per tonne gold ("g/t Au") over 11.0 metres ("m"), within a broader zone of 1.58 g/t Au over 26.3 m. Nyam is one of the currently identified deposits at Enchi where drilling continues to highlight the potential for longer-term resource growth from delineating high-grade underground resources in the sulphide mineralization. In addition to drilling, results of metallurgical testing on sulphide mineralization from Nyam confirmed excellent gold recoveries averaging 91.7%. Additional exploration work underway at Enchi includes trenching on several kilometre-scale gold anomalies which continues to advance early-stage targets towards the drill testing stage, and further metallurgical testwork of both the oxide and sulphide mineralization. Highlights from Diamond Drilling and Metallurgical Testwork at Nyam Drilling targeting high-grade mineralization in the upper portions of the sulphides continues to highlight the potential for resource growth at Enchi. Hole NBDD063 intersected 1.58 g/t Au over 26.3 metres, including 3.28 g/t Au over 11.0 m and 6.57 g/t Au over 4.0 m; and Hole NBDD064 intersected 1.16 g/t Au over 36.4 m, including 2.03 g/t Au over 17.4 m. Drilling followed-up on two northerly plunging high-grade zones identified at Nyam. Five holes tested the down dip and lateral extensions to high-grade gold mineralization previously identified at Nyam in two shoots (central and southern); and To date, the Nyam deposit has only been tested to a maximum vertical depth of 350 metres and remains open for further expansion to depth. Results of metallurgical testing on sulphide material from the Nyam Gold Deposit confirms excellent recoveries from cyanidation averaging 91.7%. 14 composite samples were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). Story continues Greg Smith, VP Exploration of Newcore stated, "This latest drilling at our Enchi Gold Project continues to prove out our geological model that includes resource growth from the higher-grade mineralization at depth. Drilling followed-up on two previously identified high-grade shoots at Nyam, which remain open to depth and along strike, and highlight the resource growth potential of the high-grade mineralization in the sulphides. We continue to advance our understanding of the significant size and scale potential at Enchi, which is located on a prolific gold belt in southwest Ghana that hosts a number of multi-million-ounce gold mines." Luke Alexander, President and CEO of Newcore stated, "We continue to advance and de-risk the development of our Enchi Gold Project in Ghana. Recently completed metallurgical testwork on sulphide mineralization at Nyam has confirmed strong recoveries averaging 91.7%, continuing to highlight the longer-term potential for resource growth from higher-grade sulphide mineralization at depth. We look forward to continuing to advance the development of Enchi with additional metallurgical testwork as we prioritize targets for our next phase of drilling to commence later this year." This news release reports results for 5 DD holes totalling 2,155 m (NBDD060 to NBDD064) targeting the Nyam Gold Deposit. All holes intersected gold mineralization. Select assay results from the 5 holes of the drill program reported in this release are below: Table 1 - Enchi Gold Project Drill Highlights Hole ID Zone/Deposit From (m) To (m) Length (m) Au (g/t) NBDD060 Nyam 359.2 368.6 9.4 1.30 including 359.2 361.0 1.8 2.28 NBDD063 Nyam 482.5 509.3 26.3 1.58 including 489.0 500.0 11.0 3.28 and incl. 496.0 500.0 4.0 6.57 NBDD064 Nyam 217.2 253.6 36.4 1.16 including 219.2 236.6 17.4 2.03 Notes: 1. See detailed table for complete results; 2. Intervals reported are hole lengths with true width estimated to be 75 - 85%; and 3. Length-weighted averages from uncut assays. A plan map showing the drill hole locations can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-enchi-nyam-plan-map.pdf A long section of the Nyam Gold Deposit can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-longsection-nyam.pdf A cross section showing drill results and highlights for hole NBDD063 can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-crosssection-nbdd063.pdf A complete list of the drill results in this release, including hole details, can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-enchi-2023-drill-results.pdf Drilling at Nyam Diamond drilling at Nyam consisted of five holes (2,155 metres) following-up on high-grade intercepts intersected in the upper portions of the sulphide mineralization. A series of holes tested the down dip and lateral extensions to the high-grade gold mineralization. Holes NBDD060 and NBDD061 tested the southern high-grade shoot. Holes NBDD062, NBDD063 and NBDD064 tested extensions to the central high-grade shoot located 200 to 500 metres to the north. To date, the deposit has only been tested to a maximum vertical depth of 350 metres and remains open to depth and along strike. Hole NBDD063, which tested the central high-grade shoot, stepped out 75 m down dip from previously drilled hole NBDD052 which intersected 3.21 g/t Au over 15.0 m from 321.0 m. NBDD063 intersected 1.58 g/t Au over 26.3 m from 482.5 m, including 3.28 g/t Au over 11.0 m from 489.0 m. Hole NBDD064 is a lateral step out extending the high-grade zone intersected in previously drilled hole NBRC045 (1.57 g/t Au over 40.0 m from 179.0 m), intersecting 1.16 g/t Au over 36.4 m from 217.2 m, including 2.03 g/t Au over 17.4 m from 219.2 m. Hole NBDD060 is a down dip step out on the southern high-grade shoot and was drilled 90 m down dip of previously drilled hole NBDD048 which intersected 4.51 g/t Au over 13.0 m from 236.0 m. NBDD060 intersected 0.70 g/t Au over 19.7 m from 358.3 m, including 1.30 g/t Au over 9.4 m from 359.2 m. Metallurgical Testwork on Sulphide Mineralization from Nyam A series of 14 composite samples of sulphide material drilled at the Nyam Gold Deposit were analysed by a series of bottle roll tests and returned an average gold recovery of 91.7% (ranging from 82.7% to 98.0%). This testwork was completed at Intertek Labs in Tarkwa, Ghana, located approximately four hours by paved road from the Enchi Gold Project. A graph showing the metallurgical testwork results can be viewed at: https://newcoregold.com/site/assets/files/5823/2023_08-ncau-nr-met-graph.pdf The 14 samples included gold mineralized material from each of the five diamond drill holes completed at Nyam, with gold contents ranging between 0.62 and 9.34 g/t Au and averaging 2.08 g/t Au. There is no relationship between recovery and gold grade. The head grade for each composite was determined with two 50-gram fire assays, with all samples analysed by 48 hour optimized leach bottle roll and assays completed on solutions and tails. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a target grind size of 85% less than 45m. Some composites were conditioned with 50 g/t lead nitrate and/or 20 ppm dissolved oxygen for two hours. After which the pH was conditioned with lime to a pH of 10.5 and sodium cyanide concentration adjusted to 1000 ppm with the addition of 1 g of sodium cyanide. The samples were rolled for 48 hours and 10 mls of solutions picked at 4, 8, 24 and 32 hours to check residual cyanide and pH and adjust accordingly to original levels. The 14 composite sample are from a larger set of 27 composite samples created from 73 original coarse reject samples which include samples from each of the five diamond drill holes recently completed on the Nyam Gold Deposit. The samples are a representative set of gold mineralized material with a total weight of 225 kg. The gold content for the composites ranged between 0.56 g/t Au and 11.14 g/t Au with an average of 2.10 g/t Au. Results of the initial bottle rolls on the larger set of 27 composite samples confirmed the amenability to direct cyanidation with an average recovery of 79% in a range between 63% and 90%. The crushed sub splits were milled in the mild steel laboratory rod mill at 50% solids at suitable milling times to achieve a coarser target grind of 85% less than 75m. The Kinetic Bottle Roll test included 30 mls solutions picked at 2, 4, 8, 12, 16, 20, 24, 32 and 48 hours. The solutions were analyzed for Au, cyanide and pH. Levels of cyanide concentration and pH were maintained throughout the test by being readjusted to their originals if below, after every pick and 30 mls of tap water was added after every pick to maintain the density throughout the test. The initial average recovery at the coarser grind for the samples selected for optimization testing was 81.4% increasing by +10.3% to 91.7% through the variable application of additional grinding, inclusion of lead nitrate, and oxygen. The 27 samples contain essentially no silver with only two samples reporting above the detection limit of 0.5 g/t Ag with 0.6 and 1.0 g/t Ag and showing no correlation with the gold grades. All samples reported low values for lead, zinc, and copper averaging 35, 66, 30 ppm respectively and mildly elevated arsenic averaging 233 ppm with no relationship with gold grade. Five samples were subjected to diagnostic leach and showed consistent results with total additive recoveries averaging 94.9%. The total gold that was leached by direct cyanidation with and without carbon averaged 71.0% and 69.8% respectively for the samples corresponding to a very low preg rob index of 1.2% with one outlier. Treatment via mild oxidative pre-leach averaged an additional 4.6%, with sulphuric acid treatment adding an average of a further 2.7%, and an additional 7.1% of the gold became soluble after pre-treatment with HNO3, and an average of a further 3.5% of the gold was extracted via complete oxidation by roasting. Another five representative samples (different samples than were tested by diagnostic leach) were selected for bond index determination. Samples were sent from Intertek to Jet-Com Engineering in Tarkwa, Ghana and included a range of recoveries and gold grades. The five as-received samples were crushed to 100% passing 3.35 mm and from this a 700 cm3 volume was measured and weighed to be used as feed for the bond mill. The grindability of the samples ranged from 1.78 g/rev to 2.04 g/rev with bond work indices between 9.54 KWh/t and 10.50 KWh/t indicative of low-medium hardness. Additional Metallurgical Testwork Underway Newcore continues to de-risk the Project with additional metallurgical testwork designed to optimize and improve the understanding of processing options available for Enchi. This additional metallurgical testwork for oxide and transition mineralization includes larger sized samples for column testing and a bulk-sized, bench-scale test with a pilot heap testing 15 tonne samples from the two largest deposits at Enchi, Boin and Sewum ("Pilot Tests"). Material for this testwork was sourced from trenches recently completed at Boin and Sewum, both of which encountered wide mineralized intervals. Table 2 - Enchi Gold Project Trenching Results Highlights Hole ID Deposit From (m) To (m) Length (m) Au (g/t) KBTR_MET_001 Boin 1.0 45.0 44.0 1.43 and 5.0 34.0 29.0 1.97 SWTR_MET_001 Sewum 15.0 107.0 92.0 1.18 including 27.0 40.0 13.0 2.70 including 58.0 81.0 23.0 1.78 Notes: 1. Intervals reported are trench lengths with true width estimated to be 75 - 85%; and 2. Length-weighted averages from uncut assays. Five 60 kg composite samples were collected and delivered to the independent commercial Intertek Laboratory in Tarkwa, Ghana four hours by road from the Project. The metallurgical work underway is comprised of bottle rolls as well as column tests following-up on recent positive recoveries from a series of column tests on oxide and transitional material from the Sewum and Boin Gold Deposits. The recent tests continued to have excellent recoveries with an average gold recovery of 92.4% and showed low reagent consumptions (see news release dated October 12, 2022). The additional tests will focus on further defining the optimal reagent level with a goal of lowering potential processing costs while maintaining high recoveries. The five 60 kg composites have been created from representative material sourced to reflect a range of gold grades with individual composites averaging 0.57 to 1.79 g/t Au. The Pilot Tests are being designed and overseen by the technical personnel from the University of Mines and Technology ("UMaT") located in Tarkwa, Ghana. The bulk-scale testing will be completed on 15 tonne composite samples from oxide material identified and sampled in the trenches completed for the 60 kg composites. The Pilot Tests will be completed on site at UMaT. The tests will use the optimized reagent levels as determined by the results of the additional, on-going column testwork at UMaT and Intertek. Enchi Gold Project Mineral Resource Estimate The Enchi Gold Project hosts an Indicated Mineral Resource of 41.7 million tonnes grading 0.55 g/t Au containing 743,500 ounces gold and an Inferred Mineral Resource of 46.6 million tonnes grading 0.65 g/t Au containing 972,000 ounces (see Newcore news release dated March 7, 2023). Mineral resource estimation practices are in accordance with CIM Estimation of Mineral Resource and Mineral Reserve Best Practice Guidelines (November 29, 2019) and follow CIM Definition Standards for Mineral Resources and Mineral Reserves (May 10, 2014), that are incorporated by reference into National Instrument 43-101 ("NI 43-101"). The Mineral Resource Estimate was prepared by independent qualified person Todd McCracken, P. Geo. of BBA E&C Inc. The technical report, titled "Mineral Resource Estimate for the Enchi Gold Project" has an effective date of January 25, 2023, and is available under the Companys profile on SEDAR at www.sedar.com. Nyam Gold Zone Nyam is one of the five deposits which comprise the Mineral Resource Estimate at Enchi (Indicated Mineral Resource of 7.8 million tonnes grading 0.65 g/t Au containing 162,000 ounces and Inferred Mineral Resource of 2.7 million tonnes grading 1.21 g/t Au containing 104,700 ounces). Nyam is located 15 kilometres east of the town of Enchi, with nearby roads and power and further access provided by a series of drill roads. An airborne geophysical anomaly coincident with the Nyam Gold Deposit shows a complex series of linear high conductivity trends, reflective of the multiple sub-parallel gold-bearing structures. To date, approximately 30% of the gold-in-soil anomaly is untested by drilling. Drill Hole Locations Table 3 - Enchi Gold Project Drill Hole Location Details Hole ID UTM East UTM North Elevation Azimuth Dip Length (m) NBDD060 530429 637176 99 300 -55 425.2 NBDD061 530496 637216 121 300 -50 469.8 NBDD062 530653 637504 120 300 -53 449.4 NBDD063 530818 637672 159 300 -62 532.5 NBDD064 530550 637597 124 300 -56 278.1 Table 4 - Enchi Gold Project Trench Location Details Hole ID UTM East UTM North Elevation Length (m) SWTR_MET_001 521264 627822 131 107.0 KBTR_MET_001 518939 633495 141 61.3 Newcore Gold Best Practice Newcore is committed to best practice standards for all exploration, sampling and drilling activities. Drilling was completed by an independent drilling firm using industry standard RC and Diamond Drill equipment. Analytical quality assurance and quality control procedures include the systematic insertion of blanks, standards and duplicates into the sample strings. Samples are placed in sealed bags and shipped directly to Intertek Labs located in Tarkwa, Ghana for 50 gram gold fire assay. Qualified Person Mr. Gregory Smith, P. Geo, Vice President of Exploration at Newcore, is a Qualified Person as defined by NI 43-101, and has reviewed and approved the technical data and information contained in this news release. Mr. Smith has verified the technical and scientific data disclosed herein and has conducted appropriate verification on the underlying data including confirmation of the drillhole data files against the original drillhole logs and assay certificates. About Newcore Gold Ltd. Newcore Gold is advancing its Enchi Gold Project located in Ghana, Africas largest gold producer(1). The Project currently hosts an Indicated Mineral Resource of 743,500 ounces of gold at 0.55 g/t and an Inferred Mineral Resource of 972,000 ounces of gold at 0.65 g/t(2). Newcore Gold offers investors a unique combination of top-tier leadership, who are aligned with shareholders through their 20% equity ownership, and prime district scale exploration opportunities. Enchis 216 km2 land package covers 40 kilometres of Ghanas prolific Bibiani Shear Zone, a gold belt which hosts several 5 million-ounce gold deposits, including the Chirano mine 50 kilometers to the north. Newcores vision is to build a responsive, creative and powerful gold enterprise that maximizes returns for shareholders. On Behalf of the Board of Directors of Newcore Gold Ltd. Luke Alexander President, CEO & Director For further information, please contact: Mal Karwowska | Vice President, Corporate Development and Investor Relations +1 604 484 4399 info@newcoregold.com www.newcoregold.com (1) Source: Production volumes for 2022 as sourced from the World Gold Council (2) Notes for Mineral Resource Estimate: 1. Canadian Institute of Mining Metallurgy and Petroleum ("CIM") definition standards were followed for the resource estimate. 2. The 2023 resource models used ordinary kriging (OK) grade estimation within a three-dimensional block model with mineralized zones defined by wireframed solids and constrained by pits shell for Sewum, Boin and Nyam. Kwakyekrom and Tokosea used Inverse Distance squared (ID2). 3. Open pit cut-off grades varied from 0.14 g/t to 0.25 g/t Au based on mining and processing costs as well as the recoveries in different weathered material. 4. Heap leach cut-off grade varied from 0.14 g/t to 0.19 g/t in the pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs. 5. CIL cut off grade varied from 0.25 g/t to 0.27 g/t in a pit shell and 1.50 g/t for underground based on mining costs, metallurgical recovery, milling costs and G&A costs. 6. A US$1,650/ounce gold price was used to determine the cut-off grade. 7. Metallurgical recoveries have been applied to five individual deposits and in each case three material types (oxide, transition, and fresh rock). 8. A density of 2.19 g/cm3 for oxide, 2.45 g/cm3 for transition, and 2.72 g/cm3 for fresh rock was applied. 9. Optimization pit slope angles varied based on the rock types. 10. Reasonable mining shapes constrain the mineral resource in close proximity to the pit shell. 11. Mineral Resources that are not mineral reserves do not have economic viability. Numbers may not add due to rounding. 12. The resource estimate was prepared by Todd McCracken, P. Geo, of BBA E&C Inc. in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects. Todd McCracken is an independent qualified person ("QP") as defined by National Instrument 43-101. A full technical report, prepared in accordance with National Instrument 43-101 Standards of Disclosure for Mineral Projects and is available under Newcores SEDAR profile at www.sedar.com. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Cautionary Note Regarding Forward-Looking Statements This news release includes statements that contain "forward-looking information" within the meaning of the applicable Canadian securities legislation ("forward-looking statements"). All statements, other than statements of historical fact, are forward-looking statements and are based on expectations, estimates and projections as at the date of this news release. Any statement that involves discussion with respect to predictions, expectations, beliefs, plans, projections, objectives, assumptions, future events or performance (often, but not always using phrases such as "plans", "expects", "is expected", "budget", "scheduled", "estimates", "forecasts", "intends", "anticipates", or "believes" or variations (including negative variations) of such words and phrases, or state that certain actions, events or results "may", "could", "would", "might" or "will" be taken, occur or be achieved) are not statements of historical fact and may be forward-looking statements. In this news release, forward-looking statements relate, among other things, to: statements about the estimation of mineral resources; results of metallurgical testwork, results of drilling, magnitude or quality of mineral deposits; anticipated advancement of mineral properties or programs; and future exploration prospects. These forward-looking statements, and any assumptions upon which they are based, are made in good faith and reflect our current judgment regarding the direction of our business. The assumptions underlying the forward-looking statements are based on information currently available to Newcore. Although the forward-looking statements contained in this news release are based upon what management of Newcore believes, or believed at the time, to be reasonable assumptions, Newcore cannot assure its shareholders that actual results will be consistent with such forward-looking statements, as there may be other factors that cause results not to be as anticipated, estimated or intended. Forward-looking information also involves known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to be materially different from any future results, performance or achievements expressed or implied by the forward-looking information. Such factors include, among others: risks related to the speculative nature of the Companys business; the Companys formative stage of development; the Companys financial position; possible variations in mineralization, grade or recovery rates; actual results of current exploration activities; fluctuations in general macroeconomic conditions; fluctuations in securities markets; fluctuations in spot and forward prices of gold and other commodities; fluctuations in currency markets (such as the Canadian dollar to United States dollar exchange rate); change in national and local government, legislation, taxation, controls, regulations and political or economic developments; risks and hazards associated with the business of mineral exploration, development and mining (including environmental hazards, unusual or unexpected geological formations); the presence of laws and regulations that may impose restrictions on mining; employee relations; relationships with and claims by local communities; the speculative nature of mineral exploration and development (including the risks of obtaining necessary licenses, permits and approvals from government authorities); and title to properties. Forward-looking statements contained herein are made as of the date of this news release and the Company disclaims any obligation to update any forward-looking statements, whether as a result of new information, future events or results, except as may be required by applicable securities laws. There can be no assurance that forward-looking information will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, readers should not place undue reliance on forward-looking information. This article was first featured in Yahoo Finance Tech, a weekly newsletter highlighting our original content on the industry. Get it sent directly to your inbox every Wednesday. Subscribe. Nvidia (NVDA) will report its second quarter earnings after the closing bell next Wednesday, setting up what will be the AI hype cycles biggest test yet. During this AI gold rush, companies around the world looking to profit have turned to Nvidias graphics processors to power new AI software and platforms. Currently, tech firms of all sizes are doing everything they can to get their hands on Nvidia chips. During Teslas (TSLA) Q2 earnings call, CEO Elon Musk told analysts that the automaker will take as many Nvidia graphics processors as the company can produce. That kind of demand is also why Nvidia blew away Wall Streets expectations for its Q2 guidance during its last earnings call. At the time, analysts were anticipating revenue of $7.2 billion, but Nvidia said it will bring in around $11 billion in the quarter a 64% jump over a year ago which Wall Street analysts now expect next week. The Street also expects earnings of $2.07 per share, a 306% year-over-year increase. Nvidia is used to enormous numbers, but these are putting high expectations on next weeks report, and the stock is already rising. Jensen Huang, CEO of NVIDIA, speaks during a press conference at the Computex 2023 in Taipei on May 30, 2023. (Photo by Sam Yeh / AFP) (Sam Yeh/AFP via Getty Images) What Nvidia reports in its upcoming earnings release is going to be a barometer for the whole AI hype, explained Forrester analyst Glenn ODonnell. I anticipate that the results are going to look really outstanding because demand is so high, and that means Nvidia is able to command even higher margins than it would otherwise. But those sky-high expectations mean if the company doesnt deliver, it could put a serious damper on the AI explosion and send a shock through AI trades ranging from Microsoft and Google to Meta and AMD. Wall Street is all-in on Nvidia At the start of trading in New York on Tuesday, Nvidias stock hit $445. Year to date, shares of the company are up a staggering 204%. And Wall Street analysts are still upgrading the stock. Story continues In a research note Tuesday, Aaron Rakers at Wells Fargo increased his price target on Nvidia from $450 to $500. Bairds Tristan Gerra moved his price target on the stock from $475 to $570, adding that AI demand is surging at all levels: individuals, enterprise, hyperscalers. Morgan Stanleys Joseph Moore offered a similarly rosy view of the company, writing in a research note that NVIDIA remains our Top Pick, with a backdrop of the massive shift in spending towards AI, and a fairly exceptional supply demand imbalance that should persist for the next several quarters; we think the recent selloff is a good entry point. UBSs Timothy Arcuri also raised his price target on Nvidia, writing in a note that the company is quite literally serving as kingmaker as a huge wave of capital and new financing vehicles are chasing new AI software and specialized cloud infrastructure models. Nvidia is the preeminent maker of both the high-powered graphics chips needed to run AI programs and the software needed to develop those AI platforms. And the company has been preparing for this moment for years, researching and working on its AI capabilities well before Wall Street jumped into the AI craze with the launch of OpenAIs ChatGPT generative AI platform in late 2022. Since then Microsoft (MSFT), Google parent Alphabet (GOOG, GOOGL), Meta (META), Amazon (AMZN), Intel (INTC), AMD (AMD), and a cadre of AI-adjacent companies have positioned themselves as AI powerhouses. Nvidia is mighty, but not invincible While Nvidia is helping to drive the AI train, its facing a major problem at the moment. It simply cant deliver as many chips as its customers want. Taiwan Semiconductor Manufacturing Company (TSM) is Nvidias go-to for building its chips, but the manufacturers capacity is stretched as orders continue to pile in from Nvidia. According to Arcuris note, the recent demand spikes have pushed lead times for Nvidias critical H100 chips to six to nine months. During Teslas earnings call Musk said Nvidia has too many customers vying for its products. And while the company has prioritized some of Teslas orders, Nvidia cant provide as many chips as the electric automaker requires. As a result, Tesla is building its own supercomputer using its own AI chips to meet its needs. Nvidia isnt just facing increased lead times for its AI products, but its also looking to keep competitors Intel and AMD off its back. While the company has built up a lead thanks to its early investments in AI, the semiconductor business is notoriously competitive, and, as Intel has shown, no industry lead is safe. Nvidia is not invincible, ODonnell said. Other companies like AMD and Intel and so on could come along and will come along and steal some of that share. But Nvidia has so much momentum right now that it is going to be hard to stop them. Not impossible, but hard. Now we just have to see what Nvidia has up its sleeve when it reports its earnings on Aug. 23. Daniel Howley is the tech editor at Yahoo Finance. Follow him @DanielHowley. Click here for the latest technology business news, reviews, and useful articles on tech and gadgets Read the latest financial and business news from Yahoo Finance This undated picture released by TotalEnergies shows the drilling rig, Transocean Barents, which arrived at its location in the Mediterranean Sea on Wednesday, Aug. 16, 2023. The rig is expected to begin drilling in September in Lebanese waters near the border with Israel after the two countries reached a deal last year on their maritime border. Lebanon and Israel have formally been at war since Israel's creation in 1948. (TotalEnergies via AP) BEIRUT (AP) An offshore drilling rig arrived at its destination in the Mediterranean Sea off Lebanons coast and will start operations in the coming weeks to search for gas, cabinet ministers said Wednesday. The rig is expected to begin drilling this month in Lebanese waters near the border with Israel after the two countries reached a deal last year on their maritime border. Lebanon and Israel have formally been at war since Israels creation in 1948. Cash-strapped Lebanon hopes that future gas discoveries will help the small Mideast nation pull itself out of the worst economic and financial crisis in its modern history. Caretaker Minister of Transport Ali Hamie wrote on X, the platform formerly known as Twitter, that the rig arrived Wednesday morning at the location where it is scheduled to begin work. The rig faces the southern port city of Tyre. We hope that Lebanon will become an oil state, Lebanons Energy Minister Walid Fayyad told reporters in Beirut, adding that the results of the drilling are expected in two or three months. TotalEnergies said in a statement that the rig, Transocean Barents, is now at around 120 kilometers (75 miles) off the coast of Beirut, and the first helicopter that will transport teams to and from the rig is at Beirut's Rafik Hariri International Airport. The arrival of the equipment marks an important step in the preparation of the drilling of the exploration well" this month, TotalEnergies said. In 2017, Lebanon approved licenses for an international consortium including Frances TotalEnergies, Italys ENI and Russias Novatek to move forward with offshore oil and gas development for two of 10 blocks in the Mediterranean. The borders of one of the two blocks were disputed by neighboring Israel until the maritime border deal was reached last year. In January, Lebanon, ENI, TotalEnergies and state-owned oil and gas company Qatar Energy signed an agreement in which the Qatari firm replaced Novatek. Under the deal, Qatar Energy will take Novatek's 20% stake in addition to 5% each from ENI and TotalEnergies, leaving the Arab company with a total stake of 30%. Total and ENI will each have 35% stakes. Under the U.S.-mediated deal between Lebanon and Israel that was signed in October, the disputed waters would be divided along a line straddling the Qana natural gas field in the Mediterranean. Gas production would be based on the Lebanese side, but Israel would be compensated for gas extracted from its side of the line under a separately signed deal between TotalEnergies and Israel. The Monetary Authority of Singapore (MAS) unveiled a regulatory framework for stablecoins on Tuesday as the Southeast Asian city-state aims to become a global hub for the crypto industry. See related article: Is PayPals new stablecoin a watershed moment for crypto? A MAS announcement on Tuesday said the proposed regulation will apply to Singapore-issued single-currency stablecoins (SCS). The SCS will be pegged to the Singapore Dollar or Group of 10 currencies, including the U.S. dollar, the euro and the U.K. pound. Under the framework, key requirements for stablecoin issuers include value stability, a minimum base capital level, guarantees of redemption at par value and guarantees of user disclosure related to issues including holder rights and auditing results. When well-regulated to preserve such value stability, stablecoins can serve as a trusted medium of exchange to support innovation, including the on-chain purchase and sale of digital assets, MAS wrote in the announcement. Stablecoins that meet all the requirements can be recognized and labelled as MAS-regulated stablecoins to distinguish them from other tokens that are not subject to the regulatory framework. The MAS stablecoin regulatory framework aims to facilitate the use of stablecoins as a credible digital medium of exchange, and as a bridge between the fiat and digital asset ecosystems, said MAS Deputy Managing Director Ho Hern Shin in the announcement. Singapore is not the only economy seeking to regulate stablecoins. Hong Kong, which launched its regulatory framework for crypto exchanges in June, is working on a licensing regime for stablecoin issuers that could be unveiled as early as this year. The U.S. Congress is also processing a stablecoin bill that was proposed in April 2023. Together with Paypals recent announcement of its stablecoin, PYUSD, the MAS announcement puts additional pressure on the U.S. Congress to act, said Chris Perkins, president and managing partner at investment firm CoinFund in an emailed comment. While companies like Paypal and Circle have found a roadmap to issue stablecoins despite a lack of coherent, nuanced legislation in the U.S., we expect to see stablecoin activity and innovation move offshore if thoughtful policies are not passed in the U.S. immediately, Perkins added. Last week, private wealth management firm Bernstein said it expects regulation to help grow tokenized stablecoins into a US$2.8 trillion market over the next five years. jetcityimage / Getty Images The Supplemental Nutrition Assistance Program (SNAP) the largest federal nutrition assistance program, which provides benefits to eligible low-income individuals and families via an Electronic Benefits Transfer (EBT) card has been subject to several scams. See: New SNAP Work Requirements Go Into Effect Sept. 1 Heres Who Qualifies Find Out: What To Do If You Owe Back Taxes to the IRS Indeed, just like other debit or credit cards, these cards are not immune to fraudsters. Now, authorities are warning Americans to be cautious and on the lookout for several of these scams. Targeting the vulnerable exposes the worst in humanity, said Rafal Los, head of services GTM at cybersecurity company ExtraHop. Digitization of financial services brings both a benefit to recipients but creates opportunity for criminals. In this case, those who need it most have the most to lose. The challenge is building awareness and educating a vulnerable segment of the population that doesnt typically have consistent access to technology. Here are three scams to beware of and ways you can protect yourself. Skimming Scams Skimming is the use of an electronic device to steal card information from a card reader and create a fake card to steal money or benefits. Last year, for example, the Connecticut Department of Social Services issued a warning to residents who receive SNAP and/or cash EBT benefits to protect their cards from skimming scams that have impacted some retail and grocery stores around Connecticut. These skimming scams intend to get credit, debit and EBT card information, as well as personal identification numbers (PINs). Skimming can happen anywhere you swipe your EBT card, Massachusetts.gov notes. Most of the time, that means EBT or credit card machines and ATMs. In EBT and credit card machines, it recommends looking for overlays that are bigger than the original machine. As for ATM machines, overlay devices also can be attached to the card reader or the keypad. Story continues The DSS says it recommends changing the PIN for EBT cards periodically to prevent theft. Food Stamps: Surprising Things You Can Buy Phishing Another type of scam is phishing. According to the Federal Trade Commission (FTC), imposter or phishing scams are on the rise nationwide. The most common attacks start with a phone call, text or email, said Chris Kearney, chief information officer at TruWest Credit Union. The FTC explains that in phishing scams fraudsters usually ask consumers to provide personal identifying information, which is then used to open new accounts or invade consumers existing accounts. For example, in these types of scams, people may receive scam text messages regarding their DSS benefits. In addition, the Food and Nutrition division of the Department of Agriculture notes that the text might say you were chosen to receive food stamps or SNAP. Generally, the text message directs the person to call a number where they are asked to provide their EBT card number and PIN, according to the DSS notice, which added, These messages are not coming from DSS. DSS does not currently send messages to clients via text message. DSS recommends deleting the text and blocking the sender. In case you already provided EBT information, DSS says to immediately call 888-328-2666 to cancel your card and request a new one. Website Scams The Food and Nutrition division of the Department of Agriculture warns of website scams. The department says to be aware of some websites mentioning food stamps and promising some kind of monthly payments. It warns you to not share your personal information with links provided through email. Instead, if you have questions about SNAP, visit the departments website or contact your local SNAP office. Last year, for example, the Orange County, California, Social Services Agency (SSA) warned EBT card users to be cautious about potential scammers trying to obtain confidential information through fake websites. Counterfeit websites that look similar to the states EBT website attempt to obtain personal information, including but not limited to an EBT card number and/or PIN, Social Security number, bank information and/or date of birth, the SSA said. Please be advised this information may be used to withdraw funds from recipient accounts. The Orange County SSA reminded customers that it never asks for personal information in a text message or via email. How Can You Further Protect Yourself? Congressman Andrew Garbarino chairman of the Subcommittee on Cybersecurity and Infrastructure Protection said cybercriminals have grown increasingly sophisticated in their methods for launching incursions. Absolute vigilance is necessary to reduce system vulnerabilities, which is why I would encourage everyone to visit CISA.gov [cybersecurity and infrastructure security agency] to view free resources and guidance available for individuals, businesses, local governments and more on how to protect against these kinds of attacks, Garbarino said. According to TruWest Credit Unions Kearney, beware of phone calls, texts or emails asking for your EBT information. Take a breath before you click, tap or respond in any way, he said. Were you expecting a message? Is there an artificial sense of urgency? Rather than respond, go directly to the source. Visit ebtedge.com or contact customer service over the phone. Then, Kearney recommends downloading the ebtEDGE app on your smartphone to monitor your account activity, set up alerts and freeze your card when you do not need it. Unlock your account when you are headed to the store, then freeze it again until your next trip. Staying engaged and in control of your EBT account reduces your risk of being a fraud victim, he said. He also recommends setting a reminder to change your PIN every month before your benefits arrive. This ensures that only you have the PIN and can access your funds, Kearney said. If your card number has been stolen in the past month, they will not be able to use it and access funds without the new PIN. More From GOBankingRates This article originally appeared on GOBankingRates.com: SNAP Benefits 2023: Beware of These Scams Ridofranz / Getty Images/iStockphoto Given the toxic nature of political debate in the United States ahead of the 2024 presidential election, its easy to lose sight of the fact that some issues will affect all Americans, regardless of political persuasion. One of those issues in Social Security and the 2024 election outcome could have a major impact on the future of the program and those who depend on it. Im a Social Security Expert: Heres What Your Benefit Should Be in 5 Years Discover: 3 Ways To Recession-Proof Your Retirement Much of the focus will be on a looming funding shortfall involving the Social Security Old Age and Survivors Insurance (OASI) Trust Fund. The fund is expected to run out of money in about a decade. When it does, payroll taxes will cover only about 77% of current benefits and some retirees will face a massive reduction in benefits. Social Security Cuts: These States Would Be Impacted the Least In an Aug. 8 report, the nonprofit Committee for a Responsible Federal Budget estimated that when the OASI fund becomes insolvent likely by 2033 annual benefits would be cut by $17,400 for a typical newly retired dual-income couple. A typical single-income family would face an annual cut of $13,100. Those reductions have put Social Security front-and-center in policy debates ahead of the 2024 election, with some candidates proposing across-the-board cuts, some advocating for privatization and others calling for an increase in payroll taxes. An AARP survey of 1,200 likely voters in competitive Congressional districts found that voters 50 and older will be the deciding voter bloc in 2024. These voters are expected to represent the majority in battleground districts next year. Whats more, 50-plus voters are much more motivated to vote, according to AARP. More than a year ahead of the election, leading presidential candidates have already laid out their plans for Social Security should they end up in the White House. President Joe Biden, who seeks re-election in 2024, unveiled a 4-point plan to fix Social Security that would do the following: Story continues Tax earned income above $400,000, leaving wages between $160,200 and $400,000 untaxed. Currently, any wages above $160,200 are not taxed Change the calculation for determining annual Social Security cost-of-living adjustments (COLAs) so they are no longer based on the Consumer Price Index for Urban Wage Earners and Clerical Workers (CPI-W). Biden favors basing the COLA on the Consumer Price Index for the Elderly (CPI-E) Raise the primary insurance amount (PIA) that determines how much money youll receive in Social Security benefits Raise the special minimum benefit for lifetime lower-wage workers to 125% of the federal poverty level for Social Security beneficiaries Former President Donald Trump, the current frontrunner for the 2024 Republican nomination, has made it clear that he has no plans to cut Social Security benefits. Under no circumstances should Republicans vote to cut a single penny from Medicare or Social Security, Trump said in a January video. Cut waste, fraud and abuse everywhere that we can find it, and there is plenty of it. But do not cut the benefits our seniors worked for and paid for their entire lives. Save Social Security, dont destroy it. Other Republican candidates have floated different ideas. As The Washington Post reported, Florida Gov. Ron DeSantis, another GOP candidate, has proposed cutting benefits for younger people but not for those who currently or will soon claim Social Security. When people say that were going to somehow cut seniors, that is totally not true, DeSantis recently told Fox News. Talking about making changes for people in their 30s and their 40s so the programs viable thats a much different thing, and something I think theres going to need to be discussion on. Former vice president and 2024 GOP candidate Mike Pence favors privatizing Social Security by giving younger Americans the ability to take a portion of their Social Security withholdings and put that into a private savings account. Meanwhile, Republican candidate and former South Carolina Gov. Nikki Haley has proposed raising the Social Security full retirement age for workers currently in their 20s and limiting Social Security and Medicare benefits for the wealthy. More From GOBankingRates This article originally appeared on GOBankingRates.com: Social Security: How the Outcome of the 2024 Election Can Impact Your Social Security Benefits HALIFAX, NS, Aug. 16, 2023 /CNW/ - In 2022 The Salvation Army in the HRM saw demand for school supplies double as over 800 children throughout the HRM received back-to-school support, but with the costs of both school supplies and everyday living remaining high, The Salvation Army is preparing for another increase in demand for back-to-school support. The Salvation Army's Red Shield logo (CNW Group/The Salvation Army Maritime Division) "As families face heightened financial pressure, the need for back-to-school support takes on even greater significance," says Major Jennifer Hale, Executive Director of The Salvation Army's Center of Hope. "Every child deserves access to quality education, and it is The Salvation Army's mission to ensure they get it." Parents often spend a minimum of $100 on back-to-school provisions per child. This includes backpacks, clothing, shoes, school supplies and more, but for many families these additional costs simply are not feasible, and some children are left with no choice but to go without for the school season. "For some families, the extra expense of the back-to-school season means having to decide between putting food on the table or giving their children the tools they need to succeed in school." Says Major Mark Hall, leader of The Salvation Army in Halifax West. As part of The Salvation Army's national back-to-school campaign, Salvation Army branches located throughout Halifax are collecting school supplies and backpack donations to distribute to families in need. Unfortunately, the increased demand means the current level of back-to-school donations will not be enough as things stand. "Already we have over 500 children registered for back-to-school support, and historically we always see a last-minute surge in numbers as the school season approached," says Captain Brent Haas, leader of The Salvation Army in Bedford, Sackville and Dartmouth. "We are working with community partners to do all we can, but in the face of the demand we are seeing, we need the HRM community to step up to the plate too." Story continues Please donate today by dropping off schools supplies at one of the following locations: Sackville at 51 Metropolitan Avenue, Dartmouth at 946 Main Street, or Halifax at 2038 Gottingen Street. About The Salvation Army: The Salvation Army is an international Christian organization that began its work in Canada in 1882 and has grown to become one of the largest direct providers of social services in the country. The Salvation Army gives hope and support to vulnerable people in 400 communities across Canada and in more than 130 countries around the world. Its community and social service activities include: hunger relief for individuals and families through food banks and feeding programs; shelter for people experiencing homelessness and support for those needing housing; rehabilitation for those struggling with substance-use recovery; long-term care and palliative care; Christmas assistance such as food hampers and toys; after-school programs, camps and school nutrition programs for children and youth; and life-skills classes, such as budgeting, cooking for a family, and anger management. When you give to The Salvation Army, you are investing in the future of marginalized and overlooked people in your community. SOURCE The Salvation Army Maritime Division Cision View original content to download multimedia: http://www.newswire.ca/en/releases/archive/August2023/16/c8378.html (Bloomberg) -- Target Corp. shares clawed back some of their recent losses after a surprising profit surge in the second quarter overshadowed the companys increasingly cautious outlook on the rest of the year. Most Read from Bloomberg Adjusted earnings more than quadrupled during the quarter ended in late July, Target said in a statement Wednesday, reflecting the retailers progress in paring the bloated inventories that forced deep markdowns a year ago. The profit gain crushed Wall Streets estimates and took the sting out of a sales decline, Targets first in four years, and a cut to its annual profit forecast. The upbeat second-quarter performance underscored Targets ability to navigate a slump in discretionary-goods purchases as consumers channel more spending to services and essentials. For a company that has been getting hammered in the stock market since early 2022, that counted as good news even as Target cautioned that it still faced significant headwinds. The results fit a common recent pattern in retail, showing continued consumer resilience in reported quarters even as companies express caution about the future. Home Depot Inc. on Tuesday reported a second-quarter comparable-sales decline that was better than analysts had feared, but the hardware giant maintained the full-year outlook it had lowered in May. The positives for Target are the margin outperformance and inventory reduction, coupled with the fact that this is one of the most hated companies in all of retail (which means the bar is very low), Vital Knowledge analyst Adam Crisafulli wrote in a note to clients. The shares jumped as much as 8.2% in New York trading Wednesday, the most intraday since March 2022. Target sank 16% this year through Tuesday. For comparison, an S&P index of US consumer-staples companies fell less than 1% over the same period, while the S&P 500 Index rose 16%. Shares of Walmart Inc. a key competitor that will report quarterly results on Thursday gained 12%. Story continues Fewer than half of analysts tracked by Bloomberg recommend buying Target shares, compared with 81% of analysts who recommend buying Walmarts stock. Targets report was clearly better than the extremely negative sentiment toward the story lately, Rupesh Parikh, an analyst at Oppenheimer & Co., said in a note to clients. We expect a relief rally today followed by a likely volatile trade for the balance of the year. Pride Controversy Revenue during the latest quarter took an extra hit from a controversy that began in late May around Targets Pride Month collection of LGBTQ-themed goods, which sparked protests from conservative activists and threatening behavior by some customers. While comparable sales slipped 3% in May, the metric tumbled 7% in June, Target said on a conference call to discuss the results. The drop eased to 5% in July, and Chief Executive Officer Brian Cornell said he was very pleased with sales trends in early August. The recent recovery is consistent with the resilience in US retail sales, which rose in July by more than economists had projected. New headwinds such as the resumption of student-loan repayments are likely to materialize in the coming months, Chief Financial Officer Michael Fiddelke said in a briefing with reporters. But the company is showcasing its food and beauty offerings, which are still selling briskly. Its prudent to be cautious right now, he said. Student-loan payments will cause additional pressure on already strained consumer budgets. Adjusted earnings for the current fiscal year will be between $7 and $8 a share, Target said. The midpoint of $7.50 is 75 cents less than the average of the previous forecast range and is below the average analyst estimate of $7.81. In the fiscal second quarter, adjusted earnings jumped $1.80 a share, compared with the $1.40 average of analyst estimates compiled by Bloomberg. Targets gross margin, a broad measure of profitability, rose to 27%, while analyst had estimated 25.6%. Easing freight costs and stepped-up expense management provided a boost, the Minneapolis-based retailer said. Comparable sales dropped 5.4% during the quarter, worse than the 3.8% fall projected by analysts. The rise in demand for beauty products and food and beverages wasnt enough to offset the weakness in many discretionary categories. (Updates with details from conference call in 10th paragraph.) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. (Bloomberg) -- Tencent Holdings Ltd.s revenue missed estimates, signaling an uneven recovery for the worlds biggest internet arena as it grapples with rising Chinese economic turbulence and anemic consumer sentiment. Most Read from Bloomberg The countrys largest company reported a less-than-expected 11% rise in revenue after sales from major divisions including gaming and cloud services fell well short of projections. Online advertising surged 34% the fastest in almost five years in part because of algorithmic tweaks and a favorable comparison with last years Covid trough. Its shares slid as much as 2.5% in Hong Kong, their biggest fall in about two weeks. The lackluster results cast doubt over a long-awaited comeback for an embattled sector whose leaders from Tencent to Alibaba Group Holding Ltd. barely grew in 2022. Tencent Falls on Lower Game Sales Home and Abroad: Street Wrap Signs are mounting that the Chinese economy is faltering, a potent risk for tech leaders that traditionally rely heavily on the domestic market. Executives played down the potential fallout from stubbornly weak consumption, arguing that improvements in advertising technology targeting as well as Tencents breadth of data and services will help it grow faster than rivals. The WeChat operator, a barometer for the economy and industry through a business portfolio that spans entertainment and social media to finance, sustained double-digit sales growth for the second straight quarter. Yes the economy is uncertain, but its been uncertain for the past couple of years, Chief Strategy Officer James Mitchell told analysts on a conference call. It was uncertain in the first half of this year, and weve been able to grow through that and we believe we will keep growing and going forward as we enhance the return on investment to advertisers. Story continues Read more: Tencent Says AI Model Coming This Year Is Among Chinas Best Read more: China Is Hiding More and More Data From the Rest of the World Tencents net income rose 41% to 26.2 billion yuan ($3.6 billion) in the second quarter, lagging the 32.3 billion yuan average estimate in part because of a 2.99 billion yuan fine levied on its fintech business by regulators. Revenue rose to 149.2 billion yuan for the three months ended June, also missing projections. Tencent and Alibaba, the twin stars of a once-freewheeling industry, have gained some $50 billion of market value since Mays end, propelled by expectations of a gradual return to the consistent growth they enjoyed before Beijing clamped down on its biggest private corporations and richest entrepreneurs from 2020. Keen to rejuvenate the worlds No. 2 economy, the government has in recent months signaled its ready to unfetter the sector and conclusively end an era of unpredictable diktats. Thats yet to translate into major policies, while Chinese consumer spending remains muted because of cloudy prospects for growth and employment. Alibaba last week reported a better-than-expected 14% revenue rise for the June quarter as all its main divisions returned to growth, but warned of economic volatility ahead. JD.com Inc. on Wednesday also reported faster-than-anticipated growth after a successful 6.18 summer shopping festival. But retail margins slid, reflecting intensifying competition. Its shares fell more than 4% in early trading in New York. What Bloomberg Intelligence Says Tencent beat 2Q adjusted operating-profit estimates by 5.4%, though its top-line miss raises further questions about the sustainability of the current growth trajectory. The profit beat was driven by a 430-bp across-the-board improvement in gross margins, with video accounts boosting advertising margins and Cloud margins likely improving as well. Although the weakness in games seems partially transitory, we still expect Tencents broader business to encounter rising headwinds as the year progresses. - Robert Lea and Tiffany Tam, analysts Click here for the research. Like Alibaba and JD, Tencent faces foes on multiple fronts: old-time rivals like Baidu Inc. and Meituan are vying for dominance of the Chinese internet thanks to the emergence of generative AI. Baidu has so far stolen much of the limelight of the post-ChatGPT race, debuting Ernie Bot in March. Tencents testing its own large language model among employees and said Wednesday it plans to unveil a proprietary artificial-intelligence model later this year that it believes will be among the countrys best. Its among the top leading foundation models produced in China, said Martin Lau, the companys president, on the second-quarter earnings call. We are very relentlessly working on the upgrade and iteration to prepare it for launch at some point of time in the latter part of this year. Abroad, ByteDance Ltd. and PDD Holdings Inc. continue to make strides, building on expansions that began when Alibaba and Tencent were forced to show restraint. Despite rising geopolitical tensions, apps like TikTok and Temu offer a template for older peers seeking to regain pre-crackdown heights. At home, the Chinese economy is worsening as Beijing grapples with property sector turmoil, rising debt and flagging domestic consumption. To tide it over, Tencent is intent on reviving mainstay businesses like gaming and advertising, while continuing to push cost cuts. This summer, the worlds biggest game publisher debuted blockbusters Valorant and Lost Ark in its home market, filling a long-empty pipeline after censors resumed licensing approvals last year. Executives have declared Valorant its most important game of the year, as Tencent set aside more than $100 million for content and esports for the Riot Games shooter over the next three years. Such new launches will test a rapidly saturating market, where younger players are increasingly drawn to anime games created by up-and-comers like Mihoyo Co. The maker of Genshin Impact just scored another hit with its April release of Honkai: Star Rail, which topped download charts in countries from China to Japan and the US. Tencents international gaming revenue rose in the quarter while domestic sales plateaued, reflecting healthier spending abroad. Beijing this year moved to further limit time spent online by minors, adding to already strict gaming curbs. For the first quarter, Honor of Kings offered a load of in-game items to players, a momentum that was hard to keep up in the second quarter, said Shawn Yang, managing director at Blue Lotus Capital Advisors, speaking of Tencents mainstay mobile title. New releases, in the meantime, are not as competitive as those from NetEase and Mihoyo. --With assistance from Jane Zhang, Sarah Zheng, Henry Ren, Mayumi Negishi, Peter Elstrom and Debby Wu. (Updates with share action in the third paragraph) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. (Bloomberg) -- Thailands parliament will meet next week to select a new prime minister after a court ruling cleared a legal hurdle that had held up the selection process for nearly a month. Most Read from Bloomberg A joint session of the elected House of Representatives and the military-appointed Senate will be held on Aug. 22, parliament speaker Wan Muhamad Noor Matha told reporters on Wednesday. It will be the second vote to select a prime minister since the May 14 general election that saw the defeat of pro-royalist and military-backed parties. The announcement of the new schedule came after the constitutional court dismissed a petition challenging a parliament decision last month to deny pro-democracy leader Pita Limjaroenrat a second shot at the prime ministers job. The nine-member court unanimously rejected the plea, saying the plaintiffs were not eligible to challenge the parliament move as their rights were not directly violated. Srettha Thavisin, a property tycoon, is set to seek parliamentary approval as the prime ministerial candidate of a new coalition headed by Pheu Thai, a party linked to former prime minister Thaksin Shinawatra. The party has tied up with some conservative outfits after it broke away from a Pita-led coalition. Investors are betting that the realignment of political parties with Pheu Thai at the helm will help install a new government more than three months after a general election. With the gridlock sending Thai stocks almost 10% lower this year, theres growing pressure for the new administration to support the economys fragile recovery, curb high household debt and keep costs of living in check. Story continues The Pheu Thai-led alliance, which is now backed by 238 lawmakers in the House of Representatives, needs to drum up support from the Senate and military-backed parties that it had opposed in the past. Senate Role Srettha, 60, will need the support of the majority of 750 lawmakers in the joint National Assembly, which combines the elected lower house and the Senate thats stacked with allies of the pro-military royalist establishment. Move Forward, which won 151 seats in the elected house, has ruled out support for Srettha, saying Pheu Thais efforts to form the government with the backing of conservative parties are against the results of the May 14 election. The new premier vote schedule is a welcome relief as it puts Thailand closer to a government formation, said Euben Paracuelles, an analyst at Nomura Holdings Inc. But clearly the road to resolution has not been easy and people will likely still expect some bumps from here, he said. The baht erased losses of as much as 0.3% after the court decision, while the main stock index closed 0.1% lower, sliding for a second straight day. The court decision ended Move Forwards chances of leading the government though it had emerged as the single largest party in the election. Pitas supporters had moved the court after conservative lawmakers and military-appointed senators blocked him from seeking a second vote to become premier. The Harvard-educated Pita had failed to secure enough support in his first attempt. Pita said he will not move the court against the parliament decision, as the issue should be settled within the legislature. Move Forward spokesman Rangsiman Rome said the party will propose a motion seeking a review of the move to deny Pitas renomination. --With assistance from Pathom Sangwongwanich. (Updates with comment from analyst in ninth paragraph.) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. If we want to find a potential multi-bagger, often there are underlying trends that can provide clues. Firstly, we'll want to see a proven return on capital employed (ROCE) that is increasing, and secondly, an expanding base of capital employed. Ultimately, this demonstrates that it's a business that is reinvesting profits at increasing rates of return. With that in mind, we've noticed some promising trends at Domain Holdings Australia (ASX:DHG) so let's look a bit deeper. Understanding Return On Capital Employed (ROCE) For those that aren't sure what ROCE is, it measures the amount of pre-tax profits a company can generate from the capital employed in its business. To calculate this metric for Domain Holdings Australia, this is the formula: Return on Capital Employed = Earnings Before Interest and Tax (EBIT) (Total Assets - Current Liabilities) 0.038 = AU$54m (AU$1.5b - AU$68m) (Based on the trailing twelve months to December 2022). So, Domain Holdings Australia has an ROCE of 3.8%. In absolute terms, that's a low return and it also under-performs the Interactive Media and Services industry average of 8.8%. See our latest analysis for Domain Holdings Australia roce Above you can see how the current ROCE for Domain Holdings Australia compares to its prior returns on capital, but there's only so much you can tell from the past. If you're interested, you can view the analysts predictions in our free report on analyst forecasts for the company. What Can We Tell From Domain Holdings Australia's ROCE Trend? While the ROCE isn't as high as some other companies out there, it's great to see it's on the up. More specifically, while the company has kept capital employed relatively flat over the last five years, the ROCE has climbed 107% in that same time. Basically the business is generating higher returns from the same amount of capital and that is proof that there are improvements in the company's efficiencies. It's worth looking deeper into this though because while it's great that the business is more efficient, it might also mean that going forward the areas to invest internally for the organic growth are lacking. Story continues The Bottom Line On Domain Holdings Australia's ROCE In summary, we're delighted to see that Domain Holdings Australia has been able to increase efficiencies and earn higher rates of return on the same amount of capital. Considering the stock has delivered 23% to its stockholders over the last five years, it may be fair to think that investors aren't fully aware of the promising trends yet. So with that in mind, we think the stock deserves further research. Before jumping to any conclusions though, we need to know what value we're getting for the current share price. That's where you can check out our FREE intrinsic value estimation that compares the share price and estimated value. While Domain Holdings Australia isn't earning the highest return, check out this free list of companies that are earning high returns on equity with solid balance sheets. Have feedback on this article? Concerned about the content? Get in touch with us directly. Alternatively, email editorial-team (at) simplywallst.com. This article by Simply Wall St is general in nature. We provide commentary based on historical data and analyst forecasts only using an unbiased methodology and our articles are not intended to be financial advice. It does not constitute a recommendation to buy or sell any stock, and does not take account of your objectives, or your financial situation. We aim to bring you long-term focused analysis driven by fundamental data. Note that our analysis may not factor in the latest price-sensitive company announcements or qualitative material. Simply Wall St has no position in any stocks mentioned. TruckParkingClub.com has expanded into eight states. (Photo: Jim Allen/FreightWaves) TruckParkingClub.com announced Wednesday its customers now have the ability to view available parking spaces in real-time across eight states including Indiana, Iowa, Kansas, Kentucky, Minnesota, Michigan, Ohio and Wisconsin. The scarcity of truck parking spots has been a persistent issue nationwide. Currently, theres just one parking space for every 11 trucks, causing drivers to waste about an hour daily looking for a place to park, according to the Owner-Operator Independent Drivers Association. The free-to-use TruckParkingClub.com application, available both online or through its mobile app, enables drivers to find safe, clean parking locations, often in areas that lack large enterprise truck stops. TruckParkingClub.com launched in November when its co-founders, with backgrounds in real estate, found that a number of industrial and commercially zoned sites could be used to help solve truck parking shortages. With its expansion, TruckParkingClub.com now provides real-time reservable parking locations at 143 rest stops and 120 premium parking locations for overnight, multi-night and up to monthly stays. To build this network, TruckParkingClub.com has been working with property owners throughout these eight states to list their unused space for the driver community. These locations range from extra yard space at trucking companies to storage facilities, CDL schools, towing yards and private vacant properties. The company anticipates enlarging its accessible parking sites thanks to federal legislation aimed at addressing the truck parking problem. If approved, the Truck Parking Safety Improvement Act would allocate $755 million over three years to create more truck parking spaces, focusing on constructing new facilities and converting existing rest areas and weigh stations. Meanwhile, TruckParkingClub.com executives have been proactive in building relationships with the trucking community as well as property owners. Story continues Evan Shelley, co-founder and chief executive officer of TruckParkingClub.com, told FreightWaves that traveling to industry events across the country allows his team to hear what drivers need from the application and what services and amenities they need at their parking locations. The main lesson we have learned is to make the experience as simple as possible. Dont overcomplicate it. Remove the nonsense, he said. We keep track of location requests to determine where we need more properties too. Providing real-time visibility into available parking spots was one of the main concerns that drivers had for Shelley. Other applications in the past have offered up parking but often the available spot count was wrong. For Shelley, addressing this matter was imperative. This feature is an easy replacement to using road signs on the interstate to showcase how many spots are available too, he told FreightWaves. Shelley will continue to use his travels to gain further insights from the trucking community. What do our members need to make their lives easier? Thats what we look for to implement next, he said. Articles by Grace Sharkey Read more TruckParkingClub.com launches parking marketplace platform Convoy releases Just-In-Time service OTR Solutions launches Clutch debit card service The post TruckParkingClub.com unveils real-time parking availability in 8 states appeared first on FreightWaves. FILE PHOTO: The seal of the U.S. Securities and Exchange Commission (SEC) is seen at their headquarters in Washington, D.C. WASHINGTON (Reuters) - The U.S. securities regulator will continue to carefully review issuer filings, it said on Wednesday in response to a Reuters report that Chinese offshore issuers are toning down China-related business risks in their listing documents. "Any issuer who chooses to access the U.S. capital markets must comply with our federal securities laws and regulations," a Securities and Exchange Commission (SEC) spokesperson said in a statement to Reuters on Wednesday. "Our staff will continue its long-standing practice of carefully reviewing issuer filings and commenting to the issuer when appropriate." China's securities regulator last month asked domestic law firms to refrain from including negative descriptions of China's policies or its business and legal environment in initial public offering prospectuses, Reuters previously reported. China law firms are now scrambling to comply with that guidance. In response to the Reuters Tuesday report, U.S. Senator Marco Rubio, a senior member of the Senate Committee on Foreign Relations, urged SEC chair Gary Gensler to "take action to protect American retirees and investors from these deceptive Chinese firms." (This story has been corrected to fix a spelling error in the headline) (Reporting by Michelle Price) (Bloomberg) -- The first trade ship to leave Ukraines seaports since the collapse of a safe-corridor grain deal with Russia reached Romanian waters, according to Bloomberg ship-tracking data. The Hong Kong-flagged container ship Joseph Schulte left Odesa Wednesday. It was the first vessel to sail via a new temporary Black Sea route established by Kyiv as it seeks to reclaim control over its maritime trade. Most Read from Bloomberg Russia launched drone attacks in Odesa in southern Ukraine overnight, damaging warehouses and grain storage facilities at a port on the Danube river, according to Oleh Kiper, the regional governor. A deputy Ukrainian defense minister said troops have liberated the strategically important village of Urozhayne in the south, which is on the main path of the offensive to cut off Russias land bridge to the Crimea region. Latest Coverage Russia Discusses Return to Capital Controls to Stem Ruble Slump Russias Emergency Rate Hike Fails to Lift Ruble After Crash Ex-FBI Agent Pleads Guilty to Work for Russian Billionaire Latvia Tightens Border Security as Belarus Crossings Rise Markets Wheat advanced from the lowest level since early June after the Russian attack on the Danube port. Futures in Chicago rose as much as 1.3% after tumbling 6% over the past three sessions. Ukrainian river channels have become increasingly important to circumvent Moscows blockade of transporting grain via the Black Sea. Coming Up Russian President Vladimir Putin meets government on economy, investments in coal regions Chinese Defense Minister Li Shangfu begins three-day visit to Belarus Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. Researchers will share findings from the first surgical study using a novel, tumor-targeted fluorescent imaging agent designed to make lung cancer surgery more successful by improving the intraoperative visualization of tumors MINNEAPOLIS, August 16, 2023--(BUSINESS WIRE)--Vergent Bioscience, a clinical-stage biotechnology company developing tumor-targeted imaging agents, and its wholly owned subsidiary Vergent Bioscience Australia Pty Ltd, announced that new clinical data from a Phase 2 study of the companys investigational agent VGT-309 will be presented at the 2023 World Conference on Lung Cancer (#WCLC23) hosted by the International Association for the Study of Lung Cancer (IASLC), taking place September 9-12, 2023, in Singapore. "Weve steadily advanced the VGT-309 clinical program and look forward to sharing the latest results from our Phase 2 study evaluating the safety, efficacy, and dosing of this novel compound," said John Santini, Ph.D., president and chief executive officer at Vergent Bioscience. "VGT-309 has the potential to fill deficits in tumor visualization during surgery to optimize outcomes for patients." Early Phase 1 and 2 clinical trials evaluating VGT-309 in lung cancer yielded compelling safety and efficacy data that support the agents ability to help surgeons see difficult-to-find or previously undetected tumors in real-time, ensuring all tumor tissue is removed during minimally invasive (MIS) and robotic-assisted surgical procedures. Following are details about the VGT-309 presentation at the 2023 WCLC: Title: Results from a Phase II trial of a Tumor-Activated Fluorescent Molecule for the Intra-Operative Identification of Lung Cancer Presenter: Professor Gavin M. Wright, Ph.D., director of Surgical Oncology, St. Vincents Hospital, Melbourne, Australia Abstract/Session: #844; MA11.06 New Technology and Innovations in Early-Stage Lung Cancer Date/Time: 2:30 - 3:30 PM Singapore/2:30 3:30 AM ET, September 11, 2023 Location: Suntec Singapore Convention & Exhibition Centre, Room 405C Story continues About VGT-309 VGT-309 is a tumor-targeted imaging agent designed to enable a complete solution for optimal tumor visualization during open, MIS, and robotic-assisted surgical procedures. VGT-309 is delivered to patients via a short infusion several hours before surgery. Invented in Professor Matt Bogyos Lab at Stanford University School of Medicine, the molecule binds tightly (i.e., covalently) to cathepsins, a family of proteases that are overexpressed across a broad range of solid tumors. This approach provides distinct clinical advantages and positions VGT-309 as an ideal tumor imaging agent. VGT-309s imaging component is the near infrared (NIR) dye indocyanine green (ICG), which is compatible with all commercially available NIR intraoperative imaging systems that support MIS technologies and is the preferred dye to minimize confounding background autofluorescence. About Vergent Bioscience Vergent Bioscience is a clinical-stage biotechnology company that is helping surgeons realize the full potential of minimally invasive and robotic surgery by significantly improving the visibility of tumors. Vergents lead compound, VGT-309, is a tumor-targeted fluorescent imaging agent designed to enable surgeons to see difficult-to-find or previously undetected tumors in real-time during surgery, ensuring all tumor tissue is removed. The company is first evaluating VGT-309 for cancer in the lung, with the potential to expand its application to a wide range of solid tumors. Vergent Bioscience is a privately held company based in Minneapolis, MN. Vergent Bioscience Australia Pty Ltd is a wholly owned subsidiary of Vergent Bioscience. For more information, visit vergentbio.com View source version on businesswire.com: https://www.businesswire.com/news/home/20230816341391/en/ Contacts Media Laura Morgan Sam Brown, Inc. 1-951-333-9110 lauramorgan@sambrown.com (Bloomberg) -- Mexican Coca-Cola bottler Femsa is in talks to sell a US packaging and food services business to private equity firms Warburg Pincus and Kelso & Co. for about $5 billion, according to people with knowledge of the matter. Most Read from Bloomberg Kelso would do the deal through portfolio company BradyIFS, said the people, who asked not to be identified discussing private information. Femsa shares led gains on the Mexbol index on Wednesday following Bloombergs report. The stock rose as much as 3.5% in trading in Mexico City in their biggest intraday gain since the end of May, on pace for a record high. Representatives for Femsa, Warburg Pincus and Kelso declined to comment. Monterrey, Mexico-based Femsa announced a strategic review in February for some non-core units, including its stake in Dutch brewer Heineken NV as well as Envoy Solutions, according to a statement. Envoy Solutions, based in Glenview, Illinois, provides a range of packaging and food services, from corrugated boxes to facilities cleaning, according to its website. Read more: Mexico Coke Bottler Femsa Sells 3.3 Billion Heineken Stake (2) Femsa, which distributes and bottles beverages and operates convenience stores, acquired 20% of Heineken in 2010 before trimming its holding. It acquired Switzerlands Valora, which operates about 2,700 cafes and convenience stores, for as much as $1.2 billion last year to expand in Europe. Femsa is shorthand for Fomento Economico Mexicano SAB. --With assistance from Michelle F. Davis and Andrea Navarro. (Updates third paragraph with share move, adds deck heads) Most Read from Bloomberg Businessweek 2023 Bloomberg L.P. While nationwide gas prices keep stabilizing as price leaps slow down, Arizona markets continue to soar at a faster rate, with the state average price per gallon surpassing the $4 mark for the first time in nearly two months. On Wednesday, Arizona led a ranking of U.S. states with the biggest increase in average gas prices since last week, with an increase of about 20 cents, according to AAA. As of Wednesday, the average price at the pump across the U.S. increased by about five cents since last week, bringing the average up to $3.87, according to AAA. The current U.S. average of $3.87 is 30 cents more than last month and seven cents less than it was a year ago. Meanwhile, the Arizona average of $4.17 is nearly 20 cents more than last week, 41 cents more than a month ago and seven pennies less than a year ago. On another AAA ranking of U.S. metro areas with the biggest change in gas prices since last week, six Arizona metros placed within the top 10. The east Valley led the list, with a change of more than 30 cents per gallon since last Wednesday. Peoria, Phoenix, Mesa, West Valley and Glendale were also in the list. Here's all you need to know about skyrocketing Arizona gas prices. QuickTrip marquee advertises both unleaded gasoline and diesel for $3.89 per gallon on July 28, 2023, near North Scottsdale Road and East McKellips Road in Tempe, AZ. Why is gas going up again? Patrick De Haan, head of petroleum analysis at GasBuddy, listed two main reasons: Oil prices are going up: According to De Haan, oil prices have increased for seven weeks straight, putting pressure on gas prices. Updated data released by the Energy Information Administration showed the demand for oil rose from 8.84 million barrels per day to 9.30 million. In response, total domestic stocks fell from 219.1 million barrels of crude oil to 216.4 million. Last Wednesday's close to the formal trading session had West Texas Intermediate grow by $1.48, bringing its total to $84.40. Heat conditions are causing fuel refinery outages: Some of the largest refineries in Texas, which supply Arizona, have suffered from outages because extreme heat conditions this summer have resulted in failure and shutting down of equipment units, according to De Haan. Story continues Why is gas so expensive in Arizona? According to Julian Paredes, AAA Mountain West Group spokesperson, there are multiple factors involved: This summer has been hot: "Arizona was kind of at the center of that extreme heat," Paredes said. Arizona has no gasoline production, but fuel refineries in California, Texas and New Mexico, all of which supply Arizona, were all impacted by extreme heat conditions more than other parts of the country, Paredes said. The state has unique fuel requirements: Arizona requires a particular oil blend of gasoline to meet air quality regulations, making gasoline more expensive. There is a shortage of pipelines: Refineries in New Mexico and Texas pipe fuel to Arizona via a pipeline to the east, and refineries in California send gas to Phoenix via one to the west. The west pipeline didnt have enough room for additional fuel, HF Sinclair, the day fuel company, said, and trucking additional fuel to Phoenix from California not only is expensive but would require 50 to 70 trucks a day. Some parts of Arizona are supplied by California refineries: De Haan said some parts of Arizona obtain their gas from refineries in Southern California, which have much more expensive gasoline because California's regulations on refineries add to the cost, De Haan said. "There was a cascading of issues in Arizona," De Haan said. "Basically Arizona, much of the West Coast, is the area where we see the highest prices." All of these circumstances, added to the state's growing population, have played a role in Arizona gas prices surpassing most states. Yet, they're only part of the story. Skyrocketing gas prices could have been prevented An Arizona Republic investigation last month found that oil companies warned state officials in early March that the state was heading for trouble and urged the governor to seek a waiver from federal regulators to get more fuel to the state and avoid catastrophe. However, state officials rejected the waiver, saying the Environmental Protection Agency wouldn't go along with the plan to seek the waiver and temporarily use a fuel different than the unique blend Arizona requires. State officials who couldnt get a waiver this year warned state lawmakers three years ago that the unique fuel blend in Arizona could cause fuel shortages in the future. Back then, lawmakers only got a solution halfway through the Legislature before the bill died in 2020. While it's impossible to know exactly how much of the increase could have been prevented with a fuel waiver, the Republic found that by early April, a month after fuel companies asked Governor Hobbs to request the waiver, gas prices were rising four times as much as the national average, and kept skyrocketing. Phoenix prices even topped LA's for the first time in at least a decade when the city's average price per gallon reached $5 in early May. How can I save money on gas? With the amount of factors involved, it's hard to predict whether gas prices in the state will see a dip any time soon. Relief in gas prices usually comes every year around mid-September with a switch to cheaper winter gasoline, De Haan said. Paredes also said that once temperatures cool down and refineries go back to normal, gas prices should stabilize more. Meanwhile, here are some ways you can save some money at the pump: Gasbuddy.com shows local gas station prices online or on its app and tells you where to find the cheapest. Geico.com is another source, with their search for "local gas prices." You can take advantage of this service whether or not you're a customer of the insurer. You can also search local gas prices on Google Maps and Wze, which also offers tips on carpooling. Mapquest has a gasoline icon that you can tap to see prices in your area. Driving habits like going slower on highways, using cruise control if you have it and accelerating and braking gradually can improve fuel economy. Keeping your tires properly inflated, replacing dirty air filters, removing excess cargo from your vehicle, making sure the gasoline cap is on tight and reducing idling if possible can all help you save some gas. Use gas rewards programs. Circle K Easy Pay, grocery store discount programs, or using a GasBuddy gas savings card are some of the most popular options. Fry's Food Store customers can redeem points at Frys or participating Shell gas stations. A similar program is offered at Albertsons and Safeway stores in Arizona, where rewards can be redeemed for savings at Safeway, Chevron and Texaco gas stations. County by county breakdown All counties in the state recorded gas price increases since last week. Here is a county by county break down: Maricopa: $4.42 Pinal: $4.23 Santa Cruz: $4.07 Coconino: $4.04 Mohave: $4.01 Yavapai: $3.96 Apache: $3.90 La Paz: $3.94 Navajo: $3.82 Yuma: $3.82 Gila: $3.80 Pima: $3.87 Cochise: $3.70 Greenlee: $3.66 Graham: $3.60 Republic reporters Kye Graves and Ryan Randazzo contributed to this article. This article originally appeared on Arizona Republic: Why are Arizona gas prices rising again? ReportLinker The Wire and Cable Market size is expected to grow from USD 216.51 billion in 2023 to USD 282.97 billion by 2028, at a CAGR of 5.50% during the forecast period (2023-2028). The growing renewable energy production, increasing reserves in smart grid technology, and government initiatives globally for upgrading distribution and transmission systems are responsible for market proliferation. New York, Aug. 16, 2023 (GLOBE NEWSWIRE) -- Reportlinker.com announces the release of the report "Wires And Cables Market Size & Share Analysis - Growth Trends & Forecasts (2023 - 2028)" - https://www.reportlinker.com/p06484030/?utm_source=GNW The Wire and Cable Market size is expected to grow from USD 216.51 billion in 2023 to USD 282.97 billion by 2028, at a CAGR of 5.50% during the forecast period (2023-2028). The growing renewable energy production, increasing reserves in smart grid technology, and government initiatives globally for upgrading distribution and transmission systems are responsible for market proliferation. In the past few years, content and cloud providers have attempted to attract more customers and offer bandwidth-intensive services, increasing submarine infrastructure popularity. The lifespan of a submarine cable is expected to be 25 years.? Key Highlights Cable manufacturing companies are also significantly increasing fire-resistant cable production capacities to keep pace with the increasing need for construction products. Fire-resistant cables are designed to limit the propagation of flames. They have a sheet to determine the smoke and other toxic gases released. Such wires are designed for commercial buildings and wiring in large residential and manufacturing units. The major players offering fire-resistant cables include Nexans, TPC Wire & Cable Corp., Prysmian Group, Cavicel, Cleveland Cable, and others. In July 2022, US communications infrastructure provider launched the Zeus subsea route connecting the United Kingdom and continental Europe as cloud service providers desire faster internet connection. Undersea cables transmit almost all internet data traffic. Numerous technology companies, including Alphabets Google and Meta, have also invested in building subsea cables.?Using telecom connectors, extensive data can be transmitted over long distances via electronic or electrical means. They could be seen at the end of telecom cables that transmit data and offer telephony services. ?Growing demand for connectivity and internet access positively drives the markets growth. The growing need for higher internet speed and better connectivity eventually requires robust and efficient cable connectivity, which fiber optic technology fulfills. The connectors help protect optic fiber, positively boosting the markets growth.? 5G network applications are rapidly gaining momentum, and IT connector systems play a crucial role. Due to ever-higher signal frequencies, data rates, packing density, and signal integrity requirements, the need for high-performance and high-quality board-to-board connection solutions is also growing.? The growing demand for connectivity in developing nations for cable producers presents significant business prospects. Yet factors such as installing fiber optic cables provide several operational difficulties for market growth. The COVID-19 pandemic had a remarkable impact on the market studied, with several end-user industries that deploy wire and cables facing several difficulties. The spread of COVID-19 across the United States and Europe has forced telecom regulators to postpone 5G spectrum auctions. For instance, in Portugal, Vodafone, NOS, and MEO had to wait for frequency rights in various frequency bands such as 700 MHz, 1800 MHz, 900 MHz, 2.6 GHz, 2.1 GHz, and 3.6 GHz bands. Wires and Cables Market Trends Fiber Optic Cable to Witness Major Growth Fiber-optic cable spans long distances between local phones and provides the backbone for network systems. Other system users include cable television services, office buildings, university campuses, industrial plants, and electric utility companies. Fiber cables travel between 984.2 feet and 24.8 miles, while the maximum transmission distance is 9,328. Fiber optic cables are less susceptible to interference. The government programs to support 5G deployment across the globe drive market growth. For instance, the European Commission recognized the importance of the 5G network early and established a public-private partnership to develop and research 5G technology. As a result, the European Commission announced public funding of over GBP 700 million to support 5G deployment across Europe through the Horizon 2020 Program. In Industry 4.0, the fiber optic cable network enables the upgrade of telecom networks, industrial data communication, and real-time monitoring in traditional industries with high-speed M2M/M2S networks. Thus, manufacturers of optical fiber cable focus on improving production to keep up with the growing demand for fiber-to-the-home (FTTH) broadband and power 5G services in the global market. According to GSMA, the Gulf Cooperation Council (GCC) states of Bahrain, Kuwait, Oman, Qatar, Saudi Arabia, and the United Arab Emirates are forecast to have the highest 5G adoption rates of any region worldwide in 2030. In the past few years, cloud and content providers have attempted to attract more users and offer reliable bandwidth-intensive services, increasing submarine infrastructure popularity. According to Telegeography, as of 2023, nearly 1.4 million km of submarine cable are in service worldwide. These cables are used for short and long-range data transmission. For instance, the submarine CeltixConnect cable connecting Ireland and the United Kingdom is 131 km. A 20,000 km long submarine cable is used in the Asia America Gateway. The growing trend of submarine cables attracts investors and fuels the optic fiber network. Asia Pacific to Dominate the Market Asia-Pacific held the largest market share in the previous year and is expected to register the highest CAGR over the forecast period due to various regional developments. For instance, according to the National Bureau of Statistics of China, the Chinese telecommunications industrys business volume was roughly CNY 154 billion (USD 21.63 trillion) in April 2023, an 18% rise over the same period the previous year. The rise in telecom business volume would push telecom players to establish new telecom towers, driving the demand for the studied market. Chinas development toward renewable energy is pushing the construction of solar panels in the region, which would proportionately drive the market studied. For instance, in December 2022, Chinas Three Gorges New Energy began construction on the first 1 GW phase of a proposed 16 GW mega-project in Inner Mongolias Kubuqi Desert. The gigantic facility, when completed, would feature 8 GW of solar, 4 GW of wind, and 4 GW of improved coal capacity. Rising energy demand and implementing smart grid networks in Japan are the primary drivers driving market expansion. The increasing demand for continuous power supply in many industries has expanded power generation, distribution, and transmission across various locations, resulting in greater use of LV cables. Indias low-voltage cable market is expected to see significant growth shortly due to the governments Housing For All plan and the construction of new residential buildings. Low voltage overhead lines, which may use bare conductors carried on glass or ceramic insulators or an aerial bundled cable system, are often used to connect a residential or small commercial customer and the utility. Several other Asian countries are investing heavily to strengthen connectivity through undersea cable networks, thus boosting the markets growth. Wires and Cables Industry Overview The Wire and Cable Market is very competitive. Some of the significant players in the market are Nexans, LS Cable & System Limited, Prysmian S.p.A, Southwire Company LLC, Fujikura Limited, Furukawa Electric Co., Ltd, Leoni, Belden Incorporated, TE Connectivity, Wilms Group, among others. The companies are increasing the market share by forming multiple partnerships and investing in introducing new products, earning a competitive edge during the forecast period. In May 2023, LibanCables, a Nexans company, launched the extension of its 600kW peak solar power system at its NahrIbrahim industrial facility, leading to a total output power of 1.2 MW at peak with a first-of-its-kind 500kW containerized battery solution. The project will allow LibanCables to reduce greenhouse gas emissions by 1,500 tons per year, equivalent to the weight of 750 cars, by replacing two of its six electric generators with photovoltaic panels. In April 2023, Swissgrid, the national company in charge of Switzerlands electricity transmission grid, partnered with Nexans to bury the Very High Voltage (VHV) overhead power lines along the southern side of the Geneva-Cointrin airport. Replacing the overhead cables with underground cables will free up large tracts of land destined for urban development in the greater Geneva area. Additional Benefits: The market estimate (ME) sheet in Excel format 3 months of analyst support Read the full report: https://www.reportlinker.com/p06484030/?utm_source=GNW About Reportlinker ReportLinker is an award-winning market research solution. Reportlinker finds and organizes the latest industry data so you get all the market research you need - instantly, in one place. __________________________ Story continues CONTACT: Clare: clare@reportlinker.com US: (339)-368-6001 Intl: +1 339-368-6001 Norways $1.4 trillion sovereign wealth fund saw a huge boost from the A.I.-driven tech surge in the first six months of this year, putting the investment titan back on track after one of its worst years ever. The fundthe worlds biggest single investor in the stock marketannounced on Wednesday that it had achieved a 10% return for the first half of the year, amounting to 1.5 billion Norwegian kroner ($143 billion). In comparison, the S&P 500 logged a return of around 16% in the first half of 2023. The Norwegian sovereign wealth fund, which manages the wealth derived from Norways oil and gas resources, gained almost 14% from its stock holdings in the six months to June 30, with its fixed income assets returning just over 2%. The funds return was stunted, however, by a 4.6% loss on unlisted real estate investments and a 6.5% loss on unlisted renewable energy investments. By the end of the first half of the year, the fund was valued at 15.3 billion kroner ($1.4 trillion), with 71% of that value coming from equities. According to news agency Reuters, the fund, which is almost three times the size of Norways economy, owns around 1.5% of the worlds stocks. Between 1998 and June 2023, the fund generated an annualized return of just under 6%. However, last yearwhich saw stocks take their worst battering since the financial crisisNorways wealth fund suffered a 14% loss, the second-worst return in its history after a 23% loss at the height of the 2008 crash. View this interactive chart on Fortune.com The fund cited a strong equity market for its boosted returns for the first six months of the year, noting that the A.I. gold rush had driven a boom in tech stockswhich make up a sizable proportion of its portfolio. Apple was the funds biggest equity holding by June 30, while Microsoft, Google parent firm Alphabet, Amazon, and Nvidiaall of which have seen their market caps boosted by their focus on generative A.I.rounded out the funds top five stock investments. It also held large stakes in Facebook parent Meta, Elon Musks Tesla, and U.S. banking giant JPMorgan. Story continues View this interactive chart on Fortune.com Meanwhile, its biggest fixed-income holdings were U.S. Treasuries, followed by Japanese, German, and U.K. government bonds. The stock market has been very strong in the first half of the year, following a weak year in 2022, Nicolai Tangen, CEO of Norges Bank Investment Managementwhich manages the fundsaid in a statement on Wednesday. Technology stocks especially have seen significant growth, largely driven by the increased demand for new solutions in artificial intelligence. Tech stocks returned 38.6% for the fund in the first half, with consumer discretionary stocks coming in as the funds second-strongest holdings with a return of more than 20%. In a separate statement on A.I., the fund said it believed the responsible development and use of the technology will be important for well-functioning markets. [It] has the potential to affect the financial return on our investments over time, it said. We support the development of a comprehensive and cohesive regulatory framework for A.I. that facilitates safe innovation and mitigation of adverse impacts. This story was originally featured on Fortune.com More from Fortune: 5 side hustles where you may earn over $20,000 per yearall while working from home Looking to make extra cash? This CD has a 5.15% APY right now Buying a house? Here's how much to save This is how much money you need to earn annually to comfortably buy a $600,000 home President Joe Biden with Mark Liu, Taiwan Semiconductor Manufacturing Co.'s chair. AP Photo/Ross D. Franklin TSMC says the opening of its Arizona factory has been delayed over a shortage of skilled workers. Differences in US and Taiwanese work culture could pose another challenge. Some TSMC workers are doubtful that Americans can adjust to the challenging work environment. The world's leading chipmaker says a lack of skills among American workers is why the opening of its Phoenix semiconductor factory has been pushed back to 2025. It's why the company, Taiwan Semiconductor Manufacturing Co., wants to get the US government to approve visas for up to 500 additional Taiwanese workers a development that an Arizona labor union is trying to stop. It's not just a disagreement over expertise that poses risks to TSMC's Arizona chip plant. Differences in work culture between the US and Taiwan where employees say extended shifts and worker obedience are expected could bring challenges to not only the construction of the factory but also its operations after opening. In March, Morris Chang, TSMC's founder, spoke at a panel in Taipei about what he considered a significant gap in work cultures. "If an engineer [in Taiwan] gets a call when he is asleep, he will wake up and start dressing," he said. "His wife will ask: 'What's the matter?' He would say: 'I need to go to the factory.' The wife will go back to sleep without saying another word. This is the work culture." TSMC employees told The New York Times in February they were skeptical that American workers would be willing to make the same sacrifices as workers in Taiwan and said Taiwanese workers in Arizona would likely be forced to pick up the slack for their American colleagues. "The most difficult thing about wafer manufacturing is not technology," Wayne Chiu, a former TSMC engineer who said he considered relocating to the US before deciding against it, told the Times. "The most difficult thing is personnel management. Americans are the worst at this because Americans are the most difficult to manage." Story continues Current and former US TSMC employees have also raised concerns on the careers site Glassdoor. "My time at TSMC Phoenix was a nightmare," an Arizona engineer wrote in July. "The unmanageable workload, toxic office environment, and lack of resources made it an unbearable experience." An anonymous Phoenix employee wrote in July: "12-hour working is normal, it's not just on the news, even if you work on weekends, still can't meet the deadline." In June, Fortune reported a Taiwan engineer of five years said TSMC workers were discouraged from applying for overtime pay and expected to do what they're told. "It's impossible for managers to express their opinions to upper-level management. This simply cannot be done," he said. On July 24, a Taiwanese YouTube channel with nearly 3 million subscribers posted a video accusing the Arizona workers of being lazy and using their phones too much on the job, a bilingual newsletter on tech, business, and US-Asia relations reported. Insider was unable to contact the administrator of the YouTube channel. But Focus Taiwan reported in June that when he was asked about US workers' concerns about the company's culture, Mark Liu, TSMC's chair, said: "Those who are unwilling to take shifts should not enter semiconductor manufacturing." Liu added: "This field isn't just about lucrative wages but rather a passion for it." Liu also said that TSMC's US workers would not be expected to adopt the same work culture as those in Taiwan and that he'd be open to changes as long as the company's core values were upheld. The fierce debate over whether US workers can cut it at the world's leading chipmaker Chang, TSMC's founder, was blunt in his assessment of the US workforce in a 2022 podcast, saying: "There's a lack of manufacturing talents to begin with." He cited TSMC's experience at its Oregon manufacturing plant, where he said the cost of production was about 50% higher than in Taiwan. "And we send all kinds of people. We change the managers, change the engineers. We use both America, local engineers. We also send engineers from Taiwan to Oregon to try to improve the performance," he said in the podcast, hosted by the Brookings Institute. He said performance had improved but that the 50% cost difference remained the same. But in claiming a skills shortage in Phoenix, TSMC has deliberately misrepresented the skillset of Arizona's workforce, Arizona Pipe Trades 469 Union said. It says it represents over 4,000 pipe fitters, plumbers, welders, and heating, ventilation, and air-conditioning technicians. It started a petition to urge US lawmakers to deny the Taiwanese worker visas the company was seeking. By approving TSMC's visa requests, the union said, lawmakers would be laying the groundwork for "cheap labor" to replace American workers. "Replacing Arizona's construction workers with foreign construction workers directly contradicts the very purpose for which the CHIPS Act was enacted to create jobs for American workers," the petition said. The union did not respond to a request for comment, and TSMC did not respond to Insider's questions about its culture and expectations for US workers. However, the company did say that the incoming Taiwanese workers will not be a threat to any US jobs and be there for only a limited time to support the construction process. "The TSMC Arizona fab is now in a critical phase of handling and installing all of the most advanced and dedicated equipment in a sophisticated facility," the company told Insider, citing the deployment of giant and complex tools. "Our vendors need to mobilize specialized workers with strong experience and related skillsets to support this work and share the knowledge with the local workers." It added: "We have not replaced any of our local workers with foreign workers and continue to prioritize the hiring of local workers in Arizona." Adam Ozimek, an Economic Innovation Group economist, recently said on X, the platform formerly known as Twitter, that Americans might be able to do the work but at a slower pace. "What I have heard from semiconductor industry people is that it isn't that US plumbers and pipe-fitters literally can't do the work," he wrote. "It's that it takes them an order of magnitude longer because of experience differences." Do you work in the semiconductor chip industry and have a story to tell? Reach out to this reporter at jzinkula@insider.com. Read the original article on Business Insider The Spotsylvania School Board on Monday night became the first division in the state to approve a new policy on the treatment of transgender students that is based on controversial model policies released last month by the Virginia Department of Education under the direction of Gov. Glenn Youngkin. The model policies and the Spotsylvania policy require school personnel to refer to a child using only the name on the childs official record and only the pronouns related to the sex listed on the official record. School personnel can use a different name or set of pronouns only with written permission from the students parent. Parents must be informed and given an opportunity to object before a student is offered counseling pertaining to gender issues. In a statement, the ACLU of Virginia called the policies hateful and dangerous. At best, the (VDOE)s new model policies for the treatment of transgender and nonbinary students invite discrimination that violates state and federal law. At worst, they require it, said Breanna Diaz, the organizations policy and legislative counsel. It is shameful that the VDOE has chosen to advance a divisive political agenda rather than listen to the record-breaking number of Virginia parents, teachers, and young people who submitted comments opposing these policies. And its disappointing that Spotsylvania followed suit by rushing this weeks vote, much as it did when it passed an overzealous book ban policy this spring. Spotsylvanias new policy JA, Ensuring Privacy, Dignity, and Respect for All Students, passed by a 42 vote, with one School Board member, Dawn Shelley, abstaining. Shelley said she would not vote against the policy because state code does require School Boards to adopt policies concerning the treatment of transgender students, but that she also would not vote for it. This scares me, Shelley said. Not all families are supportive. If a parent is called about their child and the child comes to school next day with black eye or worse, is the school division going to be blamed? Because our phone call is what harmed the child. Thats the main issue with this. Board member Lorita Daniels said she would not support the policy because it goes against federal policy. The U.S. Department of Education in 2021 indicated that it will enforce Title IXs prohibition on discrimination on the basis of sex to include discrimination based on sexual orientation and discrimination based on gender identity. And board member Nicole Cole said she sees the policy as showing a lack of concern for the welfare of all students. Board members in support of the policy said they see it as protecting parents rights. Either you support parents rights or not. Thats how simple it is for me, Chair Lisa Phelps said. If you support parents rights or not, this vote is very telling. She called for a voice vote and when board members in the minority attempted to explain their understanding of the matter, she had their microphones silenced. Kirk Twigg made the motion to approve the new policy. The parents in my Livingston District insist on keeping us safe, he said. They deserve a school division that will have their backs when it comes to their childrens education and a school division who will refer to their children by their birth name and sex. Further, we should not be keeping secrets from parents. Rabih Abuismail said he whole-heartedly cares about all Spotsylvania students, but also loves the fact that being a parent is the most beautiful thing in the world. When I send (my first) child to school, Im hoping that child is being referred to by the name I gave them at birth, he said. At the heart of this policy, as has been stated, is parents. This is against no set group of people. As Christians, we love everyone. Some school divisions have indicated that they plan to reject the new model policies. Fairfax County Public Schools superintendent Michelle Reid announced this week that the division would keep in place its old policies protecting students right to privacy and permitting transgender students to choose their pronouns, according to WTOP. Our existing policies still stand, Reid said in a message to the school community. We have concluded our detailed legal review and determined that our current Fairfax County Public School policies are consistent with federal and state anti-discrimination laws as required by the new model policies. One speaker after another shared stories of being stuck in traffic along Interstate 95 and how the new project theyd gathered to celebrate the 10-mile extension of express lanes in Stafford County would alleviate some of the mess. Sen. Tim Kaine (D-VA) referenced the time he camped out on I-95. He was stuck in his car for more than 27 hours in January 2022, after a brutal snowstorm crippled the region and left hundreds stranded. Mike Discenza, acting president of Transurban, the company that operates the express lanes, had barely started talking when people applauded. When he said the FredEx project addresses one of the most congested stretches of highway in the nation, some of the audience, which included several hundred people, applauded loudly. Gov. Glenn Youngkin mentioned times hed uttered a few choice words while being stuck in traffic jams, instances that he had to ask forgiveness for, come Sunday. But today we are collectively saying an amen and hallelujah, and thats whats so exciting, the governor said. This collective effort is going to literally get Virginia moving. Workers involved with the project from Transurban, the Virginia Department of Transportation, Branch Civil and Flat Iron Construction joined elected officials from local, state and federal governments Wednesday for a ribbon-cutting on the new express lanes. The official opening of the extended lanes, from State Route 610 to U.S. 17 in Stafford, is expected at 10 p.m. Thursday for southbound traffic and Friday morning for northbound traffic. The opening comes four years after work began on the $670 million, 10-mile extension of reversible lanes, designed to address congestion issues at the merge area in North Stafford. The lanes will run on the same schedule as the overall express lanes network. Speakers hailed the change that the extended lanes would bring to the region. Sheppard Shep Miller III, Virginias secretary of transportation, called the project nothing short of transformational. This 10-mile extension is the biggest investment in I-95 and the region since the interstate was widened to three lanes in the 1980s, he said. Others cited the way the extended lanes will add 66% more capacity during peak times and move 30% more traffic along the corridor, according to Transurban. The reality is this expansion will provide so many opportunities to the people I represent who commute back and forth to parts of Northern Virginia, who head south to see family, who head north for business or vice versa, said Rep. Abigail Spanberger (D-7th District). This is such an important day for Virginians. Pamela Yeung, chair of the Stafford Board of Supervisors, said how much she and fellow board members and residents appreciated the state investing more than $1 billion in transportation infrastructure within the Fredericksburg region. We look forward to when Stafford is no longer synonymous with an interstate bottleneck or gridlock, she said, adding that traffic on I-95 often spills over to Garrisonville Road, Mine Road and Courthouse Road. Kaine also shared a story about a fellow passenger on a flight he took last week. The flight attendant and pilot came to congratulate the passenger on flying his millionth mile with the airline. Both asked if there was anything they could do to commemorate the moment, and he said, Just get me to Chicago, Kaine recalled. I think Im the I-95 version of that guy, Kaine said. But then, he stressed that his weekly treks he goes to Washington on Mondays and returns to Richmond on Fridays pale in comparison to other commuters. Im a minor leaguer compared to most of you, because if you live in Stafford and Fredericksburg, its up and back to work everyday, he said. While the extended corridor will open Thursday, construction will continue on new ramps. That work is slated to wrap up later this year. Once all of the new ramps open, traffic will have several access and exit options to the extended section of express lanes, along with new entry/exit points to get to Marine Corps Base Quantico, north of the new toll lane section. Youngkin said thats particularly important because folks, theres 28,000 workers in this region who need access to this extraordinary center of our national security and defense. Work on the extension started in 2019, five years after the opening of the express lanes, which replaced the formerly free HOV lanes to create an electronically tolled network running to the Capital Beltway. This extension is the second addition since the toll lanes opened. The first, shorter extension was added after traffic problems emerged at the Route 610 merge area soon after the express lanes opened. Work toll lanes extension has been part of a larger I-95 construction zone that includes the Rappahannock River crossing project. The crossing project added three new lanes to southbound I-95 between U.S. 17 and State Route 3, with the northbound expansion expected to be completed in 2024. The University of Colorado Colorado Springs will start bridging the tuition gap for active-duty military students this fall. Active duty military students receive $250 per credit hour of assistance from the Department of Defense, which can leave them about $1,500 to $3,000 short on what's needed to cover tuition, fees and materials, said Crista Hill, director of veteran and military affairs. So the university will be covering the financial gap for active-duty undergraduate students with left-over institutional aid that would otherwise go unawarded and help remove financial barriers to school for active duty service members, said Hill, an Air Force veteran. This is a program UCCS has wanted to start for a long time and Hill said she believes it can be sustained well into the future. "Its just a passion for me and it can change the trajectory for someones life to get their bachelor's," she said. A degree can assist active duty military members with promotions and allow them to use their GI Bill education benefits after they leave the military for continuing education such as a master's degree, she said. Sign Up for free: Military Brief Your weekly local update on local military news and events, sent straight to your inbox. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. This coming semester about 50 active-duty military students will receive the aid. The school does not have fall enrollment data to release yet, but expects the undergraduate student body will be about 10,000 students, said Chris Valentine, a spokesman for the school. Military affiliated students, including veterans and reservists, represent about 20% of the student body, with active duty members representing the smallest percentage of that group, in part, because of financial barriers, Hill said. Active duty members tend to average about 10 credit hours, a bit shy of full time. As long as military members are using DOD tuition assistance for school, they qualify for assistance through UCCS. No application is necessary. Other schools within the University of Colorado system are not offering the same assistance to active duty members. Many 2024 Republican presidential candidates premised their campaigns on former President Donald Trump's political demise. But with Trump's latest indictment poised to consolidate his support among the Republican base, that may have been a bad bet one week before their first primary debate. BIDEN HEADS TO WISCONSIN NECK AND NECK WITH TRUMP With the publication of Fulton County District Attorney Fani Willis's 98-page indictment in Georgia, the public has a better understanding of Trump's legal jeopardy amid the primary and before next year's general election. But that understanding is unlikely to change the dynamics of the race, at least before more trials start, according to Republican strategist Doug Heye. "We haven't really seen any of the Republicans running against Trump change anything," Heye told the Washington Examiner. "Whenever Donald Trump has been indicted, it reinforces his messaging, which I'd say is false, but that 'the system is rigged and a two-tier system of justice' and all of that. "But what we also see are that the people running against him don't then use that against him as they would with any other opponent in any other campaign," the former Republican National Committee spokesman said. "Not only do they not use it against him, they back his messaging up. They, ultimately, say, 'My opponent is completely right and is being victimized.' So what would change?" Former Democratic operative Sandy Maisel agreed, noting the exception of Trump critics former Govs. Chris Christie of New Jersey and Asa Hutchinson of Arkansas, in addition to former Texas Rep. Will Hurd. "They are afraid of the GOP base, not leading it," the Colby College visiting politics instructor and one-time candidate said. "In so doing, they are making Trump stronger in the primary contest and giving themselves less of a chance." Candidates who are positioning themselves for Trump's 35% of the party should he implode cannot depend on hope alone, per Heye, though he contended Gov. Ron DeSantis (R-FL)'s campaign problems are due to "artificial" name identification, the former president's attacks against him, and "outsized expectations in the media" instead of the indictments. "Hope has never been a political strategy," Heye said. "Again, in any other campaign, if your opponent, primary or general, gets indicted, you don't hope something happens, you help make that happen. And what we've seen from those who are running against Trump, they're more running against him in theory because they're loathe to be critical of him." At the same time, President Joe Biden's polling against Trump has not improved, remaining inside the margin of error. In a still hypothetical head-to-head matchup, the pair are neck and neck, with Biden leading by an average of less than a percentage point, 45%-44%. "They're both flawed and damaged candidates, even within their parties," Heye said. "You have Democrats who support Biden but are not enthusiastic about him, and then you have candidates who otherwise would be completely marginal, certainly [Robert F. Kennedy Jr.] as the best example, getting more than they otherwise would." Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. But Maisel was adamant Trump's indictments will impede him in the general election, particularly among independent voters, "even if trials are not held until after the election, as some surely will not be." "The cases against him ... are strong, and his defenses are weak," he said. "Again, why don't more Republicans see this baffles me." Trump, who denies any wrongdoing, now must defend himself against a combined 91 federal and state criminal counts after an Atlanta grand jury indicted him and 18 co-defendants, including former White House chief of staff Mark Meadows and former New York City Mayor Rudy Giuliani, as part of a two-year investigation into his efforts to overturn Georgia's 2020 election results. Trump faces 13 counts for violating the Racketeer Influenced and Corrupt Organizations Act, conspiring to impersonate a public officer, soliciting a public official to violate an oath, and filing false documents, such as by asking Georgia Secretary of State Brad Raffensperger to "find" almost 12,000 votes and his criticism of poll worker Ruby Freeman, with the former president unable to pressure the Justice Department to dismiss the state case or pardon himself if he is reelected. "I don't have any desire to be first or last," Willis said. "We do want to move this case along, so we will be asking for a proposed order that occurs a trial date within the next six months." Trump has five trials tentatively set between now and May, with his E. Jean Carroll civil defamation lawsuit to start the same day as the Jan. 15 Iowa caucuses. His criminal cases will require his presence in the courtroom, drawing him away from the campaign trail, but his opponents have mostly defended him, promising to pardon him if he is found guilty of any federal charges. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER "As someone who's running for President against Trump, I'd volunteer to write the amicus brief to the court myself: prosecutors should not be deciding U.S. presidential elections, and if they're so overzealous that they commit constitutional violations, then the cases should be thrown out & they should be held accountable," biotechnology entrepreneur Vivek Ramaswamy wrote on social media. Trump and his Fulton County co-defendants have until noon Aug. 25 to surrender, with the former president scheduled to hold a press conference at Bedminster, New Jersey, next Monday. The debate is next Wednesday. Original Location: Trump looks to consolidate primary poll position after Fulton County indictment Washington Examiner Videos By Jonathan Allen LAHAINA, Hawaii (Reuters) -Maui's emergency management chief on Wednesday defended his agency's decision against sounding sirens during last week's deadly wildfire amid questions about whether doing so might have saved lives. Herman Andaya, administrator of the Maui County Emergency Management Agency, said sirens in Hawaii are used to alert people to tsunamis. Using it during the fire might have led people to evacuate toward the danger, he told reporters. The grassland fire on Aug. 8 raced down the base of a volcano sloping into the tourist resort town of Lahaina, killing at least 110 people and destroying or damaging some 2,200 buildings. "The public is trained to seek higher ground in the event that the siren is sounded," Andaya said during a press conference, which grew tense at times as reporters questioned the government response during the fire. "Had we sounded the siren that night, we're afraid that people would have gone mauka (to the mountainside) and if that was the case then they would have gone into the fire," Andaya said. Maui instead relied on two different alert systems, one that sent text messages to phones and another that broadcast emergency messages on television and radio, Andaya said. Because the sirens are primarily located on the waterfront, they would have been useless to people on higher ground, he said. Hawaii Governor Josh Green also defended the decision not to sound sirens. Green has ordered the state attorney general to conduct a comprehensive review of the emergency response that would bring in outside investigators and experts, clarifying on Wednesday that the review is "not a criminal investigation in any way." "The most important thing we can do at this point is to learn how to keep ourselves safer going forward," Green said. In other developments: -- U.S. President Joe Biden and first lady Jill Biden will travel to Hawaii on Monday to survey the devastation and meet with first responders, survivors and federal, state and local officials, the White House said in a statement. -- Officials on Wednesday reopened a main road through town for the first time in days, responding to frustration from residents. The highway, which bypasses the charred waterfront and town center, was previously closed to all but residents of the surrounding area, first responders and people who work in local businesses. -- Hundreds of people are still unaccounted for. Twenty cadaver dogs have led teams on a block-by-block search that have covered 38% of the disaster area as of Wednesday. The number of dogs would soon double to 40, Green said at Wednesday's press conference, where he also announced the death toll had risen to 110. -- Identification of the remains has been slow, in part because of the intensity of the fire. Maui County released the first two names on Tuesday: Robert Dyckman, 74, and Buddy Jantoc, 79, both of Lahaina. Three other individuals have been identified but their names have been withheld pending family notification. The other remains await identification, Maui County said. -- As officials work to identify the deceased, stories about those injured or killed in the flames have emerged from loved ones. Laurie Allen was burned over 70% of her body when the car she was escaping in was blocked by a downed tree, forcing her to flee across a burning field, according to a GoFundMe post by her family. She is burned to the bone in some places, but doctors hope she will regain partial use of her arms, the post said. "The Burn Team has expressed more than once that she shouldn't be alive!" a relative wrote on the page. Allen is now at a burn center in Oahu, according to the fundraiser post. -- The incongruous sight of tourists enjoying Maui's tropical beaches while search-and-rescue teams trawl building ruins and waters for victims of the deadliest U.S. wildfire in more than a century has outraged some residents. (Reporting by Jonathan Allen, Jorge Garcia and Sandra Stojanovic in Maui; additional reporting by Brendan O'Brien in Chicago, Julia Harte in New York, Eric Beech in Washington and Daniel Trotta in Carlsbad, California; Writing by Daniel Trotta; editing by Colleen Jenkins and Stephen Coates) TAIPEI (Reuters) - Any Chinese military action in response to stopovers in the U.S. by Taiwan Vice President William Lai would be an attempt by China to interfere in the island's elections, Lai said during a trip to Paraguay. Taiwanese officials say China could launch military drills this week, using Lai's stopovers in the United States as a pretext to intimidate voters ahead of an election next year and make them "fear war". China, which claims Taiwan as its own territory, has a particular dislike of Lai who has in the past described himself as a "practical worker for Taiwan independence". He is the front-runner to become the next president in January's election. Speaking to reporters on Tuesday in Paraguay, where he arrived via New York, Lai said such U.S. transits were routine and China had no cause to use them as an excuse to "verbally and militarily intimidate Taiwan", the island's official Central News Agency reported. "If China uses the transits as an excuse to again launch verbal and military intimidation or other threatening methods, it just confirms international media reports that China is attempting to intervene in Taiwan's election with military threats," the news agency cited Lai as saying. Lai, however, said he had confidence in Taiwan's people. Taiwan's defence ministry said on Tuesday it had yet to see any large-scale Chinese manoeuvres near the island. In April, China held war games around Taiwan after President Tsai Ing-wen returned from California where she met U.S. House Speaker Kevin McCarthy on her way back from Central America. China has denounced Lai's New York stop - he is due in San Francisco on Wednesday on his way back to Taipei - and said he is a separatist "troublemaker". Both Taiwan and the United States have sought to keep Lai's U.S. stopovers low key, and Lai said there were "no special arrangements" to meet with U.S. officials. China considers Taiwan to be its most sensitive and important political and diplomatic issue, and it is a constant source of Sino-U.S. friction. Speaking at a conference in Moscow on Tuesday, Chinese Defence Minister Li Shangfu said "playing with fire on the Taiwan issue and vainly trying to 'control China with Taiwan' is bound to end in failure". Lai has been in Paraguay for the inauguration of its new president. It is one of only 13 countries to maintain formal diplomatic ties with Taiwan. Lai posted on his Facebook pages pictures of him in Asuncion shaking hands with and chatting to U.S. Interior Secretary Deb Haaland, as well as Spain's King Felipe VI and Brazilian President Luiz Inacio Lula da Silva, who were there for the same event. China says Taiwan has no right to state-to-state ties and has been trying to pick off Taiwan's remaining diplomatic allies. Honduras, once a stalwart friend of Taipei's, switched ties to Beijing in March. (This story has been refiled to correct a typographical error in paragraph 3) (Reporting by Ben Blanchard; Editing by Lincoln Feast) By Soo-hyang Choi and Josh Smith SEOUL (Reuters) -North Korea has concluded that U.S. soldier Travis King wants refuge there or elsewhere because of "inhuman maltreatment and racial discrimination" in the U.S. and the military, state media said on Wednesday. It was the North's first public acknowledgement of the army private's crossing from South Korea on July 18 while on a civilian tour of the Joint Security Area (JSA) on the heavily fortified border between the neighbours. U.S. officials have said they believe King crossed the border intentionally, and have declined so far to classify him as a prisoner of war. North Korean investigators have also concluded that King crossed deliberately and illegally, with the intent to stay in the North or in a third country, state news agency KCNA said. "During the investigation, Travis King confessed that he had decided to come over to the DPRK as he harbored ill feeling against inhuman maltreatment and racial discrimination within the U.S. Army," KCNA said, using the North's official name. "He also expressed his willingness to seek refugee in the DPRK or a third country, saying that he was disillusioned at the unequal American society." King was "kept under control by soldiers of the Korean People's Army" after his crossing and the investigation was still active, the agency added. In August, King's uncle, Myron Gates, told ABC News that his nephew, who is Black, was experiencing racism during his military deployment, and that after he spent time in a South Korean jail, he did not sound like himself. The KCNA report comes as North Korean officials cite racism and other social problems in America to push back against U.S. criticism, including a United Nations Security Council meeting to discuss human rights in North Korea on Thursday. UNCERTAIN FUTURE U.S. officials have said the North had not provided substantive responses to requests for information on King. The Pentagon said it could not verify King's comments as reported by KCNA, and remains focused on his safe return. It did not address whether it had heard more details from North Korea. King's mother is concerned about his safety and appealed to North Korea to treat him humanely, a spokesperson for his family said. "Ms. Gates is aware of todays 'report' from KCNA," the spokesperson, Jonathan Franks said in a statement, referring to King's mother. "DPRK authorities are responsible for Travis King's well-being, and she continues to appeal to them to treat him humanely" It added that King's mother had been in touch with the Army and appreciated a statement by the U.S. Department of Defense that it remained focused on bringing him home. The White House did not immediately respond to a request for comment. A spokesman for the United Nations Command (UNC), which oversees the border village where King crossed, said he did not have anything to add to previous statements. "Mentioning King's willingness to seek refuge in North Korea or a third country shows that it's still unclear where he wants to go," said Yang Moo-jin, a professor at the University of North Korean Studies in Seoul. Tae Yong-ho, a former North Korean diplomat and now a South Korean lawmaker, said state media's description of King as an "illegal intruder" rather than voluntary defector, as well as its mention of a third country, could suggest that North Korea might not be willing to hold him for long. "It raises the possibility for North Korea to send him to a third country, where U.S. officials can pick up and bring him home if he wishes," he said in a statement. How to classify the 23-year-old has been an open question for the U.S. military. Although he is an active-duty soldier and the United States and Korea technically remain at war, factors including King's decision to cross into North Korea of his own free will, in civilian attire, appear to have disqualified him from POW status, U.S. officials have said. King, who joined the U.S. Army in January 2021, faced two allegations of assault in South Korea, and eventually pleaded guilty to one instance of assault and destroying public property for damaging a police car during a profanity-laced tirade against Koreans, according to court documents. He was due to face more disciplinary measures when he arrived back in the United States. King had finished serving military detention and had been transported by the U.S. military to the airport to return to his home unit in the United States. Instead, he left the airport and joined a tour of the border area, where he ran across despite attempts by South Korean and U.S. guards to stop him. (Reporting by Soo-hyang Choi and Josh Smith; Additional reporting by Hyonhee Shin, Ju-min Park in Seoul and Idrees Ali in Washington; Writing by Josh Smith; Editing by Gerry Doyle and Clarence Fernandez) Gov. Ron DeSantis (R-FL) says "the swamp" of the federal bureaucracy in Washington, D.C., got worse under former President Donald Trump's administration. Speaking on Fox News's The Ingraham Angle on Tuesday night, the Florida governor hit at the former president for not doing more to fire officials like FBI director Christopher Wray when he was in power from 2017 to 2021. UP FOR DEBATE: TRUMP, DESANTIS, AND 2024 GOP HOPEFULS' STANCES ON EDUCATION "He had three years to fire Christopher Wray and he didn't fire him. And remember, I went to the rallies in 2016. You remember them? 'Lock her up, lock her up,' about holding Hillary accountable. And then two weeks after the election he said 'nevermind that I said that' and let her off the hook. I think if you look at, and I give him credit even though we are competing, for the great things he did do," DeSantis said. "One of the things he did not do was drain the swamp. The swamp got worse in his four years. You had people like Wray and you had people in power who were not getting the job done. You've got to take very swift action and make it happen," he added. DeSantis also said that in order to "drain the swamp," a leader needs to be "disciplined and focused," drawing a contrast between how he has handled the governorship in the Sunshine State versus Trump's handling of the presidency. Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. "Our country is in decline for a number of different reasons, economic and cultural. Part of the reason we are in decline is the constitutional system is totally out of whack. We need to get it back in order. I'm the guy that knows how to do it. You have to be disciplined, focused, and you have to have attention to detail because the swamp is not going to give up its power voluntarily," DeSantis said. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER The Florida governor trails Trump in the Republican primary, according to the RealClearPolitics polling average, but DeSantis is widely viewed as the chief opponent to Trump among GOP candidates. DeSantis, along with most other top GOP presidential candidates, is set to participate in the first Republican debate next week in Milwaukee, Wisconsin, while Trump has not said if he will participate. Original Location: DeSantis claims 'the swamp' got worse under Trump's administration Washington Examiner Videos Following the indictment of former President Donald Trump and 18 others by a Georgia grand jury regarding efforts to overturn the 2020 presidential election, a state agency said it would appoint a special prosecutor to investigate Georgia Lt. Gov. Burt Jones. District Attorney Fani Willis was barred from subpoenaing or criminally investigating Jones due to a conflict of interest in July. Prosecuting Attorneys' Council of Georgia Executive Director Pete Skandalakis revealed on Tuesday that he would begin the search for a prosecutor to look into Jones's actions following Trump's loss in the 2020 election. DONALD TRUMP INDICTED: THREE THINGS THE GEORGIA INDICTMENT REVEALS ABOUT FORMER PRESIDENT'S FUTURE "Ultimately, the special prosecutor will make the decision about whether or not to file any charges," he said in an interview with the Associated Press. However, Skandalakis did not indicate the likelihood of an indictment in Jones's case, saying he does not "even know what's in the investigation." On Monday evening, Willis announced the indictment of Trump and 18 others for violations of the Racketeer Influenced and Corrupt Organizations Act, or RICO. Trump was charged with 13 counts of violating RICO, conspiring to impersonate a public officer, filing false documents, and soliciting a public official to violate an oath. There are 30 unindicted co-conspirators listed in the 98-page Georgia indictment. Jones has been identified as "unindicted co-conspirator individual 8." The document noted he participated in various state Senate committee meetings while he was a state senator, was the recipient of emails from co-conspirators regarding efforts to stop certification of a President Joe Biden win in 2020, directed people on social media to push Georgia lawmakers to convene a special session, and acted as a false elector for Trump. Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. However, Willis was deemed unable to indict or question Jones due to her hosting a fundraiser for his Democratic opponent for lieutenant governor in 2022. According to Superior Court Judge Robert McBurney, it was "a What are you thinking?'" moment for the district attorney, adding that it looked "horrible" for her. She was permitted to question witnesses regarding Jones, though. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER On Tuesday, Jones called Willis's indictment of 19 "a constant media and PR campaign for the sole purpose of furthering her own political career." He further claimed she should have prioritized taking on criminals in Atlanta, whom he says have gone unchecked. Democratic state Senate Minority Leader Gloria Butler was happy with Skandalakis's plan. "He doesn't get a pass simply because the Fulton County DA wasn't permitted to bring charges," she said. Original Location: Donald Trump indictment: Georgia Lt. Gov. Burt Jones to be investigated by special prosecutor Washington Examiner Videos A new study from the University of Pittsburgh has found a correlation between hydraulic fracturing, or fracking, and certain adverse health outcomes, including childhood lymphoma, asthma, and birth complications. The three-year study commissioned by former Gov. Tom Wolf and funded by $2.5 million from the Pennsylvania Department of Health utilized health data from across southwestern Pennsylvania, which has most of the state's nearly 219,000 active fracking wells. AMAZON PHARMACY ANNOUNCES AGGRESSIVE MOVE TO MAKE INSULIN MORE AFFORDABLE Since former Gov. Ed Rendell opened state-owned lands for drilling, the commonwealth of Pennsylvania has generated over $1 billion in revenue from fracking, which involves horizontal drilling into shale rock and pumping in water to extract natural gas. Researchers found that "children who lived within one mile of one or more wells had a 5 to 7 times chance of developing lymphoma," which is a cancer that originates in the lymphatic system. The risk of children contracting the disease, however, is tremendously small, with children living near fracking sites at a 0.006% risk compared to the 0.0012% risk in the general youth population. The results of adverse consequences were stronger for those with asthma, with the study finding those living near fracking wells having a four to five times greater chance of a severe asthma attack, including hospitalization, during the production phase of well operations. The risks of severe asthma consequences were lower for other phases during the hydraulic fracturing process. Pregnant mothers also demonstrated a higher risk of adverse birth outcomes based on their proximity to fracking wells. Babies born to mothers living within one mile of an active fracking well were more likely to be one ounce smaller than average or were diagnosed as small for gestational age, neither of which typically poses significant health risks to the infant. Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. "The chance of being born prematurely was not specifically associated with unconventional natural gas development, but high levels of particulate air pollution from any source were associated with being born prematurely," researchers noted. A recent study from the National Institutes of Health also found that particulate matter air pollution in general is linked to higher rates of dementia as well as the already documented strong links between air pollution and cardiopulmonary health risks, such as heart attacks and lung disease. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER Researchers from the University of Pittsburgh clarified that their study was not designed to prove a causal link between fracking and adverse health outcomes but to examine the correlation between the controversial form of energy production and several categories of health problems. The Pennsylvania Department of Health has not yet issued a statement on the findings. Original Location: Fracking linked to cancer, asthma, and prenatal problems, study finds Washington Examiner Videos Former Republican Arkansas Gov. Mike Huckabee blasted President Joe Biden for not visiting Hawaii following its recent deadly wildfires. The fires on the state's multiple islands reportedly killed 106 people as of early Wednesday. Gov. Josh Green (D-HI) said the casualty count could double in the coming days as the state continues its cleanup and rescue efforts. BIDEN HEADS TO WISCONSIN NECK AND NECK WITH TRUMP On Tuesday, Biden defended his lack of making a trip to Hawaii, saying, "I don't want to get in the way." "The president doesn't get in the way when he goes to a natural disaster or any kind of disaster," Huckabee told Hannity. "In the same way that presidents and governors go to the point where people are hurting in mass." "You show up for two reasons. One, because you legitimately want to put your arms around those people who are left and hurting, and show on behalf of all of the people that there is genuine concern," the former governor continued. "And the second reason, when the chief executive shows up, you know who else shows up? Everybody in the press. They keep attention focused on those most in need." Huckabee further called Biden's reasoning for not visiting Hawaii "nonsense" and a "pathetic excuse." "He's been in office long enough to know that that just doesn't wash," he added. Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. Over the weekend, Biden vacationed in Rehoboth Beach, Delaware, and avoided answering questions regarding his plans for visiting Hawaii, telling reporters during a brief exchange: "No comment." CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER Additionally, the White House has faced numerous questions about the president's failure to publicly address the wildfires. "The president first addressed the wildfires in public remarks last Thursday in Salt Lake City," White House principal deputy press secretary Olivia Dalton said Tuesday morning. "He spoke to this. He put out a statement last week, and he began marshaling a whole-of-government response from day one." Original Location: Huckabee on Bidens lack of leadership in crisis: Presidents go to the point where people are hurting Washington Examiner Videos North Korea has released its first statement since United States Army Pvt. Travis King crossed over from South Korea into its territory, confirming what was long suspected and alleging why King made the much-questioned decision. During its investigation, North Korea claims "he confessed that he decided to come over to the DPRK, opposed to inhuman maltreatment and racial discrimination in the US army," according to the state-run Korean Central News Agency. DONALD TRUMP INDICTED: THREE THINGS THE GEORGIA INDICTMENT REVEALS ABOUT FORMER PRESIDENT'S FUTURE A portrait of American soldier Travis King is displayed as his grandfather, Carl Gates, talks about his grandson on July 19, 2023, in Kenosha, Wis. North Korea asserted Wednesday, Aug. 15, 2023, that Gates, a U.S. soldier who bolted into the North across the heavily armed Korean border last month, did so after being disillusioned with the inequality of American society and racial discrimination in its Army. (AP Photo/Morry Gash, File) Morry Gash/AP "Saying he was disillusioned at the unequal American society, he expressed his will to seek political asylum either in the DPRK or in third country," the statement continued. "The investigation continues." It was July 18 when King bolted across the border while taking a tour of the demilitarized zone that separates the two Koreas. King, who has been a cavalry scout with the Army since January 2021, was supposed to fly home to the U.S. after serving two months in a South Korean prison on assault charges, but he didn't get on the flight, choosing to cross into North Korea, seemingly on his own volition. North Korea, well known for its hostile attitude and unresponsiveness toward the U.S., would not respond to American inquiries, though the United Nations did say it was communicating with the East Asian country about King's status. The private's action shocked many, including military members and King's own family. "... he's not the type to just disappear," Jaqueda Gates, King's sister, said on CNN earlier this month. A TV screen shows a file image of American soldier Travis King during a news program at the Seoul Railway Station in Seoul, South Korea, Wednesday, Aug. 16, 2023. North Korea asserted Wednesday that the U.S. soldier who bolted into the North across the heavily armed Korean border last month did so after being disillusioned with the inequality of American society and racial discrimination in its Army. (AP Photo/Ahn Young-joon) Ahn Young-joon/AP Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. Whether his comments are real or not, King's ostensible willingness to run into North Korea offers the country an opportunity to bolster its propaganda. For Kim Jong Un and company, their "truth" is clear, as evidenced by the statement released Tuesday: King preferred to be in North Korea than the U.S. or South Korea. North Korea said King "admitted that he illegally intruded into the territory of the DPRK." What this means for King's future remains unclear. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER Tensions are high on the Korean Peninsula as the U.S.-South Korea joint exercise "Ulchi Freedom Shield" begins next week. Kim denounces the annual exercises as "war games," but the U.S. insists they are purely defensive. The North Korean leader has ordered a ramp-up of the production of tactical missiles to prepare his country for war. Since the beginning of last year, North Korea has conducted more than 100 missile tests, and the U.S. is bracing for more during this month's U.S.-South Korean exercise. Original Location: North Korea confirms US Army private is in country and shares alleged reason he entered Washington Examiner Videos A New York City pet store is closing its doors as "failing policies" are not protecting small-business owners from rising crime. "On behalf of Wagging Tails we would like to thank all of our loyal customers for their support throughout the years. We also want to thank you for choosing to entrust us with your fur babies," Brooklyn's Wagging Tails Pet Shop/Salon said in a statement posted to social media. AMAZON PHARMACY ANNOUNCES AGGRESSIVE MOVE TO MAKE INSULIN MORE AFFORDABLE "Unfortunately we will be closing our doors August 21st. This was not a financial decision but rather a quality of life issue due to the failure of our politicians and [their] failing policies destroying our city and neighborhood." New York City's crime, filth, and state of squalor have made running a business untenable, said Laura Generoso, owner of Wagging Tails in Bay Ridge, according to a report. "There's no law and order," she said. "I never thought I was gonna leave." "I have wonderful clients, and I love what I do. But it's not worth getting shot or killed." Generoso's decision to shut down shop in Brooklyn was bolstered after an armed robbery spilled into Wagging Tails, she said. Sign up for free: Springs AM Update Your morning rundown of the latest news from Colorado Springs and around the country overnight and the stories to follow throughout the day delivered to your inbox each evening. Sign Up View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. With Brooklyn behind her, Generoso is headed to Boca Raton, Florida, she said. CLICK HERE TO READ MORE FROM THE WASHINGTON EXAMINER Brooklyn Councilman Ari Kagan responded to Generoso by chastising New York City Democrats, including Councilman Justin Brannan, and blaming their policies for causing an exodus of small businesses. "His voting record proves he puts criminals over law abiding, hard-working citizens in addition to the over taxation & over regulation of small businesses," Kagan said. Original Location: NYC pet store closing its doors due to rising crime and 'failing policies' Washington Examiner Videos The Colorado Libertarian Party is asking Republicans who want the third party to stand down in competitive congressional districts next year to sign a pledge agreeing to oppose American defense aid to Ukraine and work to abolish U.S. intelligence agencies. Republicans running for toss-up legislative seats can avoid worrying about potentially splitting the vote with Libertarian nominees if they agree to vote to eliminate the state income tax and support the legal right of Coloradans to purchase unpasteurized milk. The state Libertarians this month released lengthy pledges for Republican candidates to sign as part of a plan announced in June between the Colorado GOP and the state's Libertarians. The unprecedented pact is part of the Colorado Republicans' attempts to regain ground the party has lost in recent elections, which have left Democrats holding every statewide office and historic majorities in both chambers of the General Assembly. Republicans in Colorado have long maintained that Libertarian candidates divert votes from GOP nominees allowing Democrats to win with pluralities in extremely close races but Libertarians have also long warned Republicans against assuming that votes for Libertarians would have automatically gone to Republicans. The Libertarian Party is the largest of Colorado's officially recognized minor political parties, with 39,875 active, registered members as of Aug. 1. Although the party's members account for just over 1% of the state's 3.8 million active voters, its nominees routinely garner 2-3% of the vote, which is sometimes more than the difference between the two major party candidates in tight races. Under the agreement, negotiated between Colorado GOP Chairman Dave Williams his Libertarian counterpart, Hannah Goodman, the Libertarians will refrain from nominating candidates in races where a right-leaning, third-party candidate could siphon off votes from the Republican, potentially throwing the election to the Democrats. Colorado Libertarians ink pact with state Republicans to stand down next year in crucial races In order for the Libertarians to agree to stand down, however, the party wants assurances from the candidates the GOP puts forward. "If the Republican Party runs candidates who support individual liberties, we will not run competing candidates in those races," Goodman said of the agreement. That's where the pledges come in If you were to ask the average Republican voter what issues they believed the GOP stood for, most of them would list truly libertarian values," Goodman said in a statement. "This could be support of the Second Amendment, lower taxes, parental rights, and school choice to name a few. However, many elected Republicans fall short or abandon these values entirely. She added that the Libertarians intend to run candidates "against any Republican candidates who do not sign this pledge." Williams said Republicans had some suggestions for what to include "so both parties can focus on our common interests." Colorado Libertarians' State Candidate Pledge for Liberty Their questionnaire represents what they were willing to have us align with them on to not run spoiler candidates," Williams told Colorado Politics in a text message. "The ball is in our court now, and I dont think any serious candidate seeking our nomination in any competitive seat can ignore this. The questionnaires one for federal candidates, one for state-level candidates list more than a dozen points the Libertarians contend "are the best way to make America a freer and more prosperous country" and to make Colorado "a freer, more prosperous state," respectively. While the pledge for state-level candidates hews mostly to established conservative principles defend the Taxpayer Bill of Rights, stand up for parents' rights, increase government transparency and even support for "food freedom, such as raw milk and local meat processing" the pledge for federal candidates includes numerous items that could put Colorado's GOP candidates at odds with congressional leadership and national Republicans. Sign up for free: News Alerts Stay in the know on the stories that affect you the most. Sign Up For Free View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. "I will immediately work to pull funding for Ukrainian aid and push aggressively for peace negotiations with Russia," the congressional pledge begins. Another entry asks candidates to "recognize the egregious abuses by the US intelligence agencies, and work towards reducing their power with the end goal of abolishing them entirely." Colorado Libertarians' Federal Candidate Pledge for Liberty Republican candidates are also asked to agree to reduce foreign aid, audit the Federal Reserve, make it a priority to cut funding for "all three letter agencies" and to "abolish the Department of Education, returning education back to local control." In addition, the Libertarians want Republican congressional candidates to agree not to to object if the next president pardons Julian Assange, the fugitive founder of WikiLeaks; Edward Snowden, a computer consultant who defected to Russia after leaking highly classified U.S. intelligence; or, Ross Ulbrich, who is serving a life sentence for creating the Silk Road marketplace on the dark web, which was used to sell illegal goods and services. Colorado Springs Republican Eli Bremer, a former El Paso County GOP chairman and a 2022 candidate for the U.S. Senate, said he wouldn't sign the pledge, calling some of the items on the congressional candidates' questionnaire obvious deal-breakers. This is what happens when you turn your candidate vetting over to minor and fringe political parties they try to force you to extreme and untenable positions," Bremer told Colorado Politics in a text message. "As a federal candidate, I would never have signed this pledge as it undermines Americas military and national security positions. For instance, while I believe in reforming our intelligence agencies, its nothing short of irresponsible to say they should be disbanded." Added Bremer: "The Colorado GOP needs to get back to the fundamentals of winning elections and get out of business of trying to remake the Republican Party in the image of fringe elements who are continually rejected by Colorado voters." Author Ari Armstrong, a noted advocate for a small-l libertarian approach to government, on Tuesday took issue with the questionnaire's item concerning the U.S. response to Russia's invasion of Ukraine. "This is insane," Armstrong wrote in News Miner, his Substack newsletter. "Russia under Putin has waged a genocidal war against Ukraine. Russia is the obvious aggressor. Negotiating 'peace' with Russia means welcoming Russias mass murder in Ukraine." Jordan Marinovich, the Colorado Libertarians' communications director, told Colorado Politics that the questionnaire aren't necessarily all-or-nothing propositions, noting that candidates can decline to agree to individual items. If a candidate does decline to initial by one point, but agrees to all other points, this is an opportunity for the candidate to explain their position," Marinovich said in an email. "Ultimately, it is up to our delegation whether to step aside for said candidate, and we wanted to give the delegation more nuance vs a yes or no to the entire pledge." It's unclear whether the Libertarian party leadership will be able to prevent candidates who want to run under their banner from winning the party's nomination, though Williams said he's confident that giving delegates to the minor party's nominating assembly a "none of the above" choice will yield the desired results. Williams said he has forwarded the applicable pledges to Republican legislative leadership and the National Republican Congressional Committee, as well as to a handful of Republicans who have either declared or shown interest in challenging Democratic U.S. Rep. Yadira Caraveo in the toss-up 8th Congressional District. A spokesperson for the NRCC didn't respond to a request for comment on the questionnaire. Neither did a spokesperson for Scott James, the only Republican who is so far running in the 8th CD. Colorado Democrat Party Chairman Shad Murib, who dismissed the GOP's pact with the Libertarians and said it misdiagnosed why Republicans keep losing elections, told Colorado Politics that the pledges only underscore his earlier point. "The Colorado Democrats have reached out to over 4,000 voters across the state in the past few weeks and, frankly, no one cares about any of this," Murib said in a text message. "Were focused on addressing the biggest challenges facing working people of all parties, and the leadership of the Republican Party is focused on trending on Twitter. Its just weird nonsense." Nothing says comfort food louder than ooey-gooey mac and cheese. And now there is a new spot in the Springs dedicated to giving you your fill of cheese-y goodness. Kerry Mand has opened I Heart Mac and Cheese, 12229 Voyager Parkway, Suite 120, a Florida-based chain. Mand is not new to the fast-food business. Her family owned a Dominos pizza franchise for more than 40 years. Im excited to be (the) first franchise owner in the Springs for this new concept, she said on the first day I Heart Mac and Cheese opened. The restaurant is set up with a cafeteria-style food line to build your own mac bowl. You go down the counter to select a base (pasta, broccoli, cauliflower or quinoa), then a sauce, add a protein, mix in some veggies and select a cheese for the topping. Prices vary depending on the protein choice. You can also build your own grilled cheese sandwich. There are signature bowls and grilled cheese choices if youd rather not dream up your own adventure. When asked which was a best seller at the eatery, Mand instantly said, The Best of Both Worlds (signature bowl). For $14.50, this menu choice gets you a thick slice of buttery toasted sourdough bread, topped with mac and cheese, juicy braised short rib, barbecue sauce, white cheddar, aged cheddar and topped with another toasted sourdough bread slice. Tater Tots and tomato soup dipper included. Hours are 11 a.m.-9 p.m. daily. Details: 719-358-9054, iheartmacandcheese.com Bus rally Head to Bristol Brewing Co., 1604 S. Cascade Ave., for Buses at the Brewery 11 a.m.-3 p.m. Aug. 26 to see a lineup of iconic Volkswagen buses. Keep the German vibe going with the breweries Red Baron Octoberfest brew and a footlong brat special. There will be cue available from Bristols food truck, Spark Beer+BBQ, too. Festivities include the strong stein competition, a tie-dye station and live music. You can vote for your favorite bus in the Peoples Choice awards. Have your own classic VW bus to show off? Email [email protected] for entry information. Sign up for free: News Alerts Stay in the know on the stories that affect you the most. Sign Up For Free View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. Dinner series and sushi bar Ambli Global Cuisine, 5278 N. Nevada Ave., has announced two new dining options: Silk Road Owners Table Dinners and The Latino Sushi Bar. Where food & culture meet, and the conversations begin, is the Ambli tagline and co-owners Kelly Morrison and Pariza Mehta, with Ricardo Morffin, executive chef, intend to get those conversations underway with these two new dining experiences. Silk Road Owners Table Dinners are at 6:15 p.m. monthly starting Aug. 31. This is not a traditional tasting table, said Morrison. All 12 guests at the chefs table dine at the same time. Pariza and I will be engaged with the group throughout the dinner. They will talk about the history of the Silk Road and why they think its apropos for Ambli. The term Silk Road actually represents a network of trade routes across Eurasia. Merchants brought many varying cultures together to exchange goods, ideas and food traditions. The menu at Ambli is already like tasting your way along the Silk Road. These dinners take a deeper dive into these cultural flavors. Cost is $165 for 10-13 tastings prepared by Morffin. To make reservations email [email protected] The Latino Sushi Bar will be a weekly tasting 6-8 p.m. starting Aug. 30 at the restaurants chef table. There is a large influence of Asian culture in Latin America, primarily Peru and Brazil, said Morrison. We thought it would be fun to incorporate some of the Latin flavors in our sushi tasting menu. Cost is $120 for 10 tastings. For $50 add a premium sake, tequila or wine pairing. Details: 719-308-5579, amblidenver.com/ambli-colorado-springs Home, sweet home Early Connections Learning Centers is bringing back the popular Gingerbread and Jazz Gala 7 p.m. Nov. 11. The festivities will be held at The Colorado Springs Fine Arts Center, 30 W. Dale St. Cost is $125, which includes sweet and savory bites, complimentary drinks and signature cocktails, live musical performances, dancing and the Gingerbread Masterpiece challenge and showcase. New this year at the gala is a pre-party VIP Dinner in the Deco Lounge at 5 p.m. For $250 you get a five-course dinner with wine pairings and hear a special musical guest. Limited seating. Tickets at www.earlyconnections.org/gingerbread-jazz/ contact the writer: 636-0271. The life of an appellate judge revolves around reading and writing, but some members of Colorado's state and federal courts have published their musings off the bench in recent years, expanding upon the issues and initiatives they confront in their day jobs. Colorado Politics located five journal articles published in 2022 and 2023 whose authors sit on either the state Supreme Court or the U.S. Court of Appeals for the 10th Circuit, which has jurisdiction over federal cases arising in Colorado. The topics ranged from Colorado-specific case law and the treatment of civil rights claims to the behind-the-scenes workings of the judiciary. Guidance from executive agencies In June 2021, the Colorado Supreme Court acknowledged in Nieto v. Clarks Market, Inc. that state law did not require employers to provide vacation pay. But if they do choose to provide it, they must compensate workers for their earned time upon termination, the court ruled. The author of the decision, Justice Melissa Hart, published an article about the case in the spring 2023 edition of the Harvard Journal of Law & Public Policy. The publication describes itself as the nation's "leading forum for conservative and libertarian legal scholarship," whose alumni include Gov. Ron DeSantis, R-Fla., and U.S. Supreme Court Justice Neil M. Gorsuch, a Donald Trump appointee. Hart honed in on a specific aspect of the Nieto case: how the state Supreme Court treated the Colorado labor department's views about earned vacation pay. The court has "decided to chart its own path" when deciding how much weight to give the legal interpretations of executive agencies, Hart wrote. Referring to her opinion in Nieto, she explained the court found the department issued "persuasive" guidance about earned vacation time. But the justices did not automatically defer to the department's views on state wage law. "Colorado does not take a 'rigid' approach to deference in that the state courts will not bind themselves to accept an agency interpretation of an ambiguous statute," she wrote. Hart did not receive compensation for her article. Educational initiative in Colorado Last year, Justice Richard L. Gabriel wrote about a Colorado program designed to educate the public about the judiciary, known as Our Courts. "Our Courts was born in the aftermath of an unsuccessful 2006 ballot initiative that sought to term-limit Colorados appellate judges. Among its many lessons, the campaign regarding this initiative revealed how little many Coloradans knew about their courts generally," wrote Gabriel in Judicature, a publication of Duke Law School. As of the article's publication, 300 volunteers had spoken with 20,000 people about Colorado's judicial selection and retention system, immigration and bankruptcy courts, and how legal proceedings work, among other topics. Gabriel also noted that specific programming exists for Hispanic residents, high school students and state lawmakers. Gabriel did not receive compensation for his article. A rare type of proceeding In the federal appeals courts, the vast majority of cases are decided in three-judge panels. Occasionally, the courts will vote to have all judges hear a case, known as an "en banc" review. The April edition of the Denver Law Review, which focused on the 10th Circuit, featured an article from Senior Judge Bobby R. Baldock and Judge Joel M. Carson III, both Republican appointees from New Mexico. The men described the rarity of en banc hearings in the 10th Circuit, with the court receiving approximately 190 requests per year but granting fewer than one on average. Sign up for free: News Alerts Stay in the know on the stories that affect you the most. Sign Up For Free View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. "So, statistically speaking, litigants have a greater shot (the odds are roughly 1%) at having the Supreme Court grant certiorari in their case than the Tenth Circuit granting their petition for en banc consideration," wrote Baldock, Carson and attorney Bryston C. Gallegos, who was formerly Baldock's law clerk. In the 10th Circuit, three-judge panels cannot overrule each other's precedents, except in en banc hearings. The judges suggested successful requests for en banc hearings will show there is a conflict between decisions or that the 10th Circuit is an outlier on a specific issue with ramifications beyond the specific case being litigated. A panel "getting it wrong," even if the decision seems unjust, is not a compelling reason for full court review, the authors wrote. "Combative language or the use of hyperbole distracts from reasoned legal argument and obscures the point counsel is trying to make," they warned. Baldock, Carson and Gallegos did not receive compensation for their article. Liability for legal violations In the same edition of the Denver Law Review, Judge Timothy M. Tymkovich wrote about the concept of municipal liability, which involves holding a state government entity responsible for its own role in violating a person's legal rights. Tymkovich, a Republican appointee from Colorado, wrote that governmental policies as well as unwritten practices can subject a public entity to liability if one of its employees commits a constitutional violation, provided there is a link between the government's actions and the employee's actions. He stressed that in the 10th Circuit, a public employee who receives a commendation after violating someone's rights does not mean the government has liability, as the government's actions have to contribute to the violation in the first place. Tymkovich also pointed to a recent 10th Circuit decision out of New Mexico, in which he and two other judges disagreed about holding a local government liable for a jail assault when the alleged perpetrators were themselves immune as corrections officers. "Some opinions suggest there are limited and narrow circumstances where municipal liability can be avoided if an individual officer has qualified immunity," he wrote. Tymkovich did not receive compensation for his article. Malicious prosecution Tymkovich and his former law clerk, Hayley Stillwell, also published an article in the winter 2022 edition of The Georgetown Journal of Law & Public Policy, which describes itself as "exploring and critiquing conservative, libertarian, and natural law perspectives." Tymkovich and Stillwell wrote about malicious prosecution, which involves intentionally subjecting someone to legal proceedings without probable cause that ultimately end in the person's favor. The authors argued that while the U.S. Supreme Court has been inclined to link malicious prosecution claims to the Fourth Amendment which prohibits unreasonable searches and seizures doing so is "messy, confusing, and unnatural." "Malicious prosecution does not fit into the Fourth Amendment," Tymkovich and Stillwell wrote. "At its core, malicious prosecution has always been about vindicating a deprivation of a liberty interest caused by a wrongful criminal prosecution without probable cause." They advocated for malicious prosecution claims to be evaluated through the Fourteenth Amendment's requirement that states provide due process before depriving someone of their liberty. Colorado Politics could not verify whether Tymkovich and Stillwell received compensation for their article. Baron, a gray and black-spotted pot belly pig, bursts with excitement when he hears a car door open. He loves going on outings, said Erin Brinkley-Burgardt, co-owner of Hog Haven Farm. If hes out and about just here on the property and we open a car door, he wants to get in the car. A therapy pig, hes always ready to go on a trip. Sometimes its a trip to visit elderly residents or individuals with disabilities. But other times, hes going to yoga. Hog Haven Farm, a 38-acre pig rescue just outside of Denver, has been hosting pig yoga since 2019 at local breweries around the area and yes, its exactly what it sounds like. Its pretty similar to the concept of goat yoga, Brinkley-Burgardt said. While the attendees are actively doing yoga, we have pigs walking around and interacting with people. Each class consists of small groups of 15-20 attendees and about two to three pigs, Brinkley-Burgardt said. Pigs are such social creatures that its a rewarding experience for them, and its a lot of fun for the attendees as well, Brinkley-Burgardt said. Cheerios are sprinkled around the area of the yoga class to encourage the pigs to interact with attendees. The organization also provides a selection of bite-size fruits and veggies, like carrots and celery, to feed the pigs with at the event. We kind of let everyone know how to interact with the pigs before we get started, she said. Letting the pigs sniff their hands, the appropriate places to pet pigs and how to feed them treats, too, because some pigs can take your treats pretty quick. The pigs who attend the yoga classes are trained therapy animals from Hog Haven Farm, Brinkley-Burgardt said. To become a therapy pig, they must complete one to three months of instruction, where they learn potty training, leash training and how to remain calm in an unpredictable environment. The potty training is actually the easiest part. Pigs are very clean animals and very easy to work with on that behavior, she said. Harness and leash training can take some time and getting them to respect basic commands can take a little bit of time, but theyre smart animals and easy to work with, especially if you use food to motivate them. Sign up for free: News Alerts Stay in the know on the stories that affect you the most. Sign Up For Free View all of our newsletters. Success! Thank you for subscribing to our newsletter. View all of our newsletters. While the rescue is home to 151 pigs, only some are selected to pursue therapy training. We usually have a good idea of which pigs will be a good fit for the program to just based on their personalities, she said. Some are just pretty naturally disposed to being good therapy pigs. That includes Baron, who stood out immediately with his outgoing personality. Hes one of our most social pigs. He loves meeting new people, she said. He took barely any training at all, honestly. We kind of picked up on his personality early on and said, Hes going to be a good one for the therapy program. The therapy pigs at Hog Haven Farms are permanent residents, Brinkley-Burgardt said, but the rescue does have pigs available for adoption. As the largest of only three pig rescues in the state, Brinkley-Burgardt said theres plenty of room for their pink-nosed friends. We primarily work with pigs that have been abandoned, abused, neglected or have jumped from slaughter-bound truck, she said. We focus on rehabilitating them, providing a safe, happy, healthy home for them. Were located on 30 acres. So weve got ample space for pigs, and we adopt out as many as we can as pets. As for the beginnings of pig yoga, Burgardt thought of the concept in 2019, trying to find a way for the general public to interact with therapy pigs. We do have pigs that are very used to seeing the public and interacting with strangers and we wanted to have more of an inclusive environment for just the general public without having to have people come all the way to Hog Haven, she said. And it just so happened that a close friend of Brinkley-Burgardt, Mickey Freese, is a yoga instructor A dear friend of mine is longtime yoga instructor, so she and I collaborated to create this, Brinkley-Burgardt said. In addition to starting up pig yoga again, the farm is also re-opening its farm days this summer, allowing visitors to come by and meet the pigs. Brinkley-Burgardt and her husband, Andrew, opened the farm back in 2014 after adopting their first pig, Pipsqueak. Id always wanted to pig my entire life and the right opportunity came around, Brinkley-Burgardt said. She totally changed our lives. Interested in pig yoga? Brinkley-Burgardt says grab your tickets quickly, as the event sells out fast usually within 48 hours. Oh, and dont worry about hitting every pose it might be difficult. By the end of yoga, almost everybody is into it, she said. Its very popular. Everybody enjoys hanging out with the pigs. I dont think a lot of stretching gets done during yoga, because people will stop to take selfies and feed the pigs. Last spring, Crescent Valley High student Alex Gough, then a sophomore, shared his concerns with the Corvallis school board about artificial intelligence in the classroom. AI chatbots would weaken students' writing, he warned, and not just grammar and spelling. "It also destroys the humanity and individuality of writing," Gough said, worrying chatbots would also alter how students interact by encouraging them to rely heavily on algorithms to express themselves. ChatGPT relies on technology known as a large language model, which is trained to mimic how people write by processing a large database of digitized books and online writings and analyzing how words are sequenced together That's why Gough recommended the district strengthen polices on academic integrity. "You would be surprised by the amount of essays and assignments done completely with ChatGPT," he told the board on March 9. As of this moment, the district lacks a fleshed-out policy on chatbots, but that's not surprising considering everybody is racing to catch up with the new technology. AI seemingly advanced at warp-speeds this year when platforms like Chat GPT seized the public imagination for its astounding ability to write essays with a convincingly human voice and alarmed graders of English papers nationwide. State guidance? Locally, AI chatbots have sparked conversations among K-12 teachers, university faculty and administrators on how to integrate or curb its use, though there's been little guidance from the state. The Oregon School Board Association currently has no AI policy on the books, and neither does the Oregon Department of Education. However, the agency is working on resources to support school districts in crafting their own equity-centered AI polices, according to ODE spokesperson Marc Siegel. "Cheating and plagiarism are valid concerns that ODE continues to hear from educators and families across grade levels," Siegel wrote in a statement, suggesting districts in order to be proactive draft strong AI policies, employ cheat-checking software, and train teachers on its use. "It is critical that any new tools are used to assist students in their learning, not to avoid learning," he wrote. So, with the school year fast approaching, how are districts in the Mid-Valley region responding to the emergence of crafty chatbots? K-12 school districts The Corvallis School District's technology services department has had ongoing conversations with cybersecurity consultants around AI, according to district spokesperson Kelly Locey. The school district hopes to craft an AI policy this fall, she said. Additionally, Locey said the district would be employing the cheat-checking software Turnitin (think "turn it in") this year. That tool, which detects plagiarism in student assignments, can also spot AI-generated content. It's also one of the tools Gough recommended the district use back in March when he spoke before the Corvallis school board. According to Turnitin's AI webpage, however, the company's AI detection software isn't completely foolproof and shouldn't be the only measure of a student's potential tinkering with a chatbot. Greater Albany Public Schools also currently lacks policy on the use of AI chatbots in the classroom and software to detect it, according to district spokesperson Michelle Steinhebel. There have been staff-level conversations around its use, though, she said. The Lebanon Community School District also has had staff-level conversations around AI, according to district spokesperson Susanne Stefani. While there's currently no district policy on the matter, LCSD is already using Turnitin and is working on updating the district's handbook for teachers and staff, Stefani said. She likened catching up with AI to trying to jump on an already fast-spinning merry-go-round. "It's moving so quickly, so we're kind of already a step behind," she said. Oregon State University When AI began making its photo-realistic waves earlier this year, the OSU's Center for Teaching and Learning, along with other partners, spearheaded a taskforce to draft guidelines for faculty. Among other things, they encouraged staff to consider redesigning assignments and updating syllabi on when and how to use AI in the classroom. Regan Gurung, the CTL's associate vice provost and executive director, updated his own general psychology course to make assignment questions more specific to his class's expressed learning outcomes a harder task for an AI chatbot to complete. "If you have a general assignment, ChatGPT is pretty good at giving you a general answer," Gurung said. Those guidelines, drafted this spring, also don't endorse any specific detection software because none, so far, has proven to be 100% accurate. Instead, Gurung maintains the best tools teachers currently have at their disposal are their eyes. "Even with smart prompting, ChatGPT still writes like a computer," he said, adding that teachers can pick up on clues in a student's text. "The downside for us instructors is that we've got to read stuff much more closely," he said. This upcoming fall, OSU will continue work on drafting a university-wide policy on AI use, and this next year, a new CTL committee on AI will host panels and listening sessions on AI's impact on teaching, research and productivity, Gurung said. Quality journalism doesn't happen without your help (copy) Support local news coverage and the people who report it by subscribing to the Corvallis Gazette-Times. A useful tool? While it remains unclear exactly how AI will impact student writing, Gurung said chatbots will never be a substitute for "knowing how to write." He compares chatbots like ChatGPT to calculators in math class. You can add sums together on a calculator, but if you don't understand the process of adding, you're still lost. When Gough shared his concerns with the Corvallis school board this spring, he acknowledged the merits of chat bots in the writing process, saying he used AI himself to brainstorm ideas for essays. Gurung said chatbots can help students who are stuck on prompts; he thinks it's shortsighted for any educator to completely dismiss the technology's educational benefits. "The fear that AI will change how students write, will only come true if students solely use AI and turn their brains off," he said. This article was written by a human reporter. An Osage man accused of murdering a woman in Mitchell County may change his plea to guilty Thursday. According to court records, a change of plea hearing for 24-year-old Nathan Gilmore is set for Aug. 17. Court documents did not include a written change of plea as of Wednesday morning. They also did not include any information as to whether Gilmore will attempt to plead to a lesser charge. Court filings state a human skull was found at the Cedar River Greenbelt Trail Park near Mitchell on Feb. 4, 2022. A body was later located nearby April 5, 2022, and identified as Angela Bradbury, 29, of Mason City. Gilmore allegedly picked up Bradbury in Cerro Gordo County near the correctional facility April 6, 2021, and drove her to a home in Mason City. Bradbury later voluntarily left with Gilmore to travel to St. Ansgar where Gilmore lived. Bradbury was not heard from again. Department of Motor Vehicle records and cell phone evidence led officials to Gilmore, who also had been at the scene when the remains were discovered and had already given a statement to police. A forensic review of Gilmore's phone turned up disturbing messages in which Gilmore vaguely describe details of a stabbing death. Another search turned up what appeared to be a drawing of a satanic goat head along with a series of numbers. The drawing had blood droplets on it, and the numbers corresponded with the date Bradbury went missing as well as the latitude and longitude coordinates of the Green Belt trail. Gilmore was granted a change of venue from Mitchell County to Bremer County in March. The court is under no obligation to accept any terms set forth in a plea agreement. Today in history: Aug. 14 1935: The Social Security Act 1941: The Atlantic Charter 1945: Harry Truman 1948: Summer Olympics 1980: Lenin Shipyard 1997: Timothy McVeigh 2011: Keegan Bradley 2015: Cuba 2016: Ryan Lochte 2016: Usain Bolt 2020: James Thompson A pair of cadaver dogs alerted on two sites around Credit Island during the early stages of the July 2020 search for Breasia Terrell. Jim Peters testified Tuesday during Henry Dinkins trial that his human-remains-detection dogs reacted to the odor of decomposition or blood during a search of a wooded area near the entrance to Credit Island. The dogs then alerted on a camper trailer used by Dinkins that was located near the island. The testimony suggests investigators knew or suspected early in the case that Breasia was not alive. Breasia, 10, and her younger brother, who was 8 at the time, spent the night with Dinkins before she was reported missing on July 10, 2020. Dinkins is charged with first-degree kidnapping and murder. Answering direct questions from Scott County Attorney Kelly Cunningham, Peters described how his dogs, Rocky and JoJo, searched Credit Island and the vehicles owned by Dinkins on July 11, 2020. He told the court that the dogs also alerted on the maroon Chevy Impala that investigators say Dinkins drove the night Breasia disappeared. Peters explained that his dogs detect human remains through the scent of fluids and tissue. Now retired, he owned and operated Samaritan Detection Dogs at the time Breasia disappeared and was asked by the Davenport Police Department to aid in the search. During testimony Friday and Monday, Breasias brother identified in court by the initials D.L. said Dinkins took him to Credit Island on the morning of July 10, 2020 and cleaned a large bloody knife. Andrea Culberson, who lived with Dinkins in an apartment at the Jersey Meadow complex, testified Monday that the last time she saw Breasia the girl was standing beside Dinkins' maroon Impala at around 3:30 a.m. on July 10, 2020. Testimony continues Tuesday afternoon. DES MOINES Two Republican presidential candidates trailing Donald Trump in the polls one who is trailing even farther behind than the other said Tuesday they believe Trumps support is not yet etched in stone, and that another candidate can still be successful in the Iowa GOP caucuses. Trump holds commanding leads in polling on the Republican presidential primary campaign, both in Iowa and nationally. He is nearly 40 percentage points ahead of the field in Real Clear Politics rolling average of national polls, and was 24 points clear of the field in a recent poll from the New York Times and Siena College that surveyed Iowa Republicans. Despite those numbers, South Carolina U.S. Sen. Tim Scott was defiant Tuesday when a reporter asked whether he believes Trumps advantage is insurmountable. No, of course not. Thats why Im campaigning here, Scott said during a brief huddle with reporters after he appeared with Iowa Gov. Kim Reynolds. Because I believe that my optimistic, positive message is being rooted in Iowa. The good news is we have enough time to make this not into a two-horse race, and at the end of the day we look forward to being the nominee. Scott polled at 9% in that New York Times/Siena College poll, which was good for third but well behind Trump (44 %) and Florida Gov. Ron DeSantis (20%). Even farther down that poll of Iowa Republicans was former Arkansas Gov. Asa Hutchinson, who clocked in at less than half a percent. Hutchinson also was at the Iowa State Fair on Tuesday, and he, too, said he believes Trumps support here can be whittled. Hutchinson said he believes many Trump supporters are just expressing support for him now as a default while they consider other candidates. First of all, the overwhelming numbers (of Iowans who) are saying, Were looking at an alternative. We think that Trump did a good job for four years, but hes not going to be able to lead us to victory. Thats a big part of their decision making process, Hutchinson told reporters after his own appearance with Reynolds. I think Trumps numbers are a default position until (voters) decide on a good alternative. And right now, theyre not deciding until after the debate, until farther in. You dont jump from one candidate to another until you decide where youre jumping. Thats where (voters) havent decided. In that New York Times/Siena College poll, nearly half the respondents who said they are supporting Trump said they also are considering other candidates. Candidates on latest Trump indictments On the latest indictment of Trump involving his attempts to overturn the results of the 2020 presidential election in Georgia Hutchinson was much more critical than Scott. Hutchinson said the charges brought against Trump are serious and address fundamental issues of our democracy. So he ought to be held accountable, Hutchinson said of Trump. Ive said that hes morally accountable. The question now is whether hes criminally accountable. Scott, on the other hand, dismissed the latest charges against Trump, which follow previous indictments involving Trumps role in the Jan. 6, 2021, insurrection at the U.S. Capitol, his handling of classified documents after leaving the White House and an alleged hush money payment to a former porn star. Im just going to continue to say it as I see it, which is that we see the legal system being weaponized against political opponents, Scott said, even though the latest charges were brought by a county-level district attorneys office in Georgia and not a federal prosecutor. That is un-American and unacceptable. At the end of the day, we need a better system than that. Qualifying for the debate Scott has qualified for the Aug. 23 Republican primary debate, but Hutchinson has so far failed to reach the 40,000 required donors to be on the stage. Candidates have until Monday to qualify. I expect to be there, looking forward to that, Hutchinson said. And were actually planning and preparing for it. Beyond that, theres not a question. We intend to be there. Trump has not confirmed if he will attend the debate, and he frequently has suggested he will skip it. Ryan Binkley also joins Reynolds Ryan Binkley, a Texas pastor and long-shot candidate, also spoke with Reynolds at the State Fair, bringing a message that ties his Christian background with a pitch to deliver bipartisan economic reform. As president, I will no longer vilify every Democrat on Friday and then ask them to work with me on Tuesday, he said. I will try and lead the way in a bipartisan way because theres some problems we have, Republicans cant solve on our own. Those issues include the budget, securing the border and improving the health care system, Binkley said. He said Trump did a lot of good things but he put some of the blame of the high national debt on his shoulders. With a large unfunded tax cut and COVID-19 relief spending, Trumps presidency saw the national debt increase by $7.8 trillion. A look at the 19 people charged in the Georgia indictment connected to Trump's election scheme Intro Donald Trump Rudy Giuliani John Eastman Mark Meadows Sidney Powell Jeffrey Clark Jenna Ellis David Shafer Cathy Latham Kenneth Chesebro Prosecutors have said Kenneth Chesebro, an attorney, worked with Georgia Republicans in the weeks after the November 2020 election at the direction of Trump's campaign. Chesebro worked on the coordination and execution of a plan to have 16 Georgia Republicans sign a certificate declaring falsely that Trump won and declaring themselves the state's "duly elected and qualified" electors. Ray Smith A Georgia-based lawyer, Ray Smith was involved in multiple lawsuits challenging the results of the 2020 election in Georgia. He also gathered witnesses to provide testimony before Georgia legislative subcommittee hearings held in December 2020 on alleged issues with the state's election. Robert Cheeley A Georgia lawyer, Robert Cheeley presented video clips to legislators of election workers at the State Farm Arena in Atlanta and alleged the workers were counting votes twice or sometimes three times. He spoke to the lawmakers after Giuliani. Michael Roman A former White House aide who served as the director of Trump's election day operations, Michael Roman was involved in efforts to put forth a set of fake electors after the 2020 election. Shawn Still He was one of 16 Georgia Republicans who signed a certificate falsely stating that Trump had won the state and declaring themselves the state's "duly elected and qualified" electors. Shawn Still was the finance chairman for the state GOP in 2020 and served as a Georgia delegate to the Republican National Convention that year. He was elected to the Georgia state Senate in November 2022 and represents a district in Atlanta's suburbs. Stephen Cliffgard Lee Prosecutors say Stephen Cliffgard Lee, a pastor, worked with others to try to pressure Georgia election worker Ruby Freeman and her mother after Trump and his allies falsely accused them of pulling fraudulent ballots from a suitcase during the vote count. Lee allegedly knocked on Freeman's door, frightening her and causing her to call 911 three times, prosecutors said in a court filing last year. Harrison William Prescott Floyd Also known as Willie Lewis Floyd III, he served as director of Black Voices for Trump, and is accused of recruiting Lee to arrange a meeting with Freeman and Chicago-based publicist Trevian Kutti. Trevian C. Kutti Prosecutors allege publicist Trevian C. Kutti claimed to have high level law enforcement connections. They say Freeman met with Kutti at a police precinct, where she brought Floyd into the conversation on a speakerphone. Prosecutors say Kutti presented herself as someone who could help Freeman but then pressured her to falsely confess to election fraud. Scott Graham Hall An Atlanta-area bail bondsman, Scott Graham Hall was allegedly involved in commandeering voting information that was the property of Dominion Voting Systems from Coffee County, a small south Georgia jurisdiction. Also charged in the scheme were Powell, Latham and former county elections supervisor Misty Hampton. Misty Hampton She was the elections director in Coffee County. Misty Hampton was present in the county elections office on Jan. 7, 2021, when a computer forensics team copied software and data from the county's election equipment. She also allowed two other men who had been active in efforts to question the 2020 election results to access the elections office later that month and to spend hours inside with the equipment. Donald Trump indicted for the 4th time Read the Trump indictment in Georgia Your browser does not support the iframe HTML tag. Try viewing this in a modern browser like Chrome, Safari, Firefox or Internet Explorer 9 or later. Johnson County officials may follow Polk Countys lead in providing funding for emergency contraception and crisis services for victims of sexual assault and rape after the Iowa Attorney Generals Office halted at least for now covering those expenses. The Polk County Board of Supervisors last week voted unanimously to direct Polk County Crisis and Advocacy Services to pay for the services previously funded by the state office. Johnson County Attorney Rachel Zimmerman Smith is looking into the feasibility and potential hang-ups should the county follow suit, after two county supervisors inquired about the Polk County initiative. Once we hear back from her and once theres enough will on the board, and I think there will be, well have a discussion about that, Johnson County Supervisor Rod Sullivan said. While no formal proposal has been made, Sullivan said it is his intention to vote to provide the funding. I think this is just horrific, Sullivan said of victims of rape potentially being denied timely access to contraception to prevent unintended pregnancy and abortion care resulting from a crime. Cost, he said, should never be a barrier for rape victims seeking medical care. I think its unconscionable the attorney general has stopped providing payment for the medications, Sullivan said. What should be happening is the attorney general should be continuing to support these victims. Linn County officials have not said if theyre also considering funding. Iowa Attorney General Brenna Bird paused the funding as part of an audit of the victim services administered through her office. Bird announced the audit upon taking office in January. However, it was until early April that sexual assault response teams and other victim advocacy organizations first learned of the policy change after the Iowa Attorney General's Office confirmed it to the media, according to interviews and emails obtained by The Gazette under a public records request. As a part of her top-down, bottom-up audit of victim assistance, Attorney General Bird is carefully evaluating whether this is an appropriate use of public funds, Birds office said in a statement issued April 5. Until that review is complete, payment of these pending claims will be delayed. Sexual assault nurse examiners, hospitals and victim advocates say they have not received word on the timeline of the ongoing review. A spokeswoman for Bird told The Gazette late last month that a decision is expected soon. Bird said during an appearance last month on Iowa Press on Iowa PBS that policy decisions made as a result of that audit, including whether to stop state funding for emergency contraception and abortions for rape victims, will be permanent and will no require legislative action. We are working on that audit and what the outcome of that will be. But youre right, we did pause payments to entities like Planned Parenthood and others that were being reimbursed for abortions and for Plan B, Bird said. However, those services still remain available under Iowa law to victims. Its just whether public funds will pay for them. Are patients getting billed? Sexual assault nurse examiners who spoke to The Gazette say hospitals and pharmacies are continuing to dispense medications to victims who request them, and continue to submit invoices to the Attorney Generals Office seeking reimbursement. Patients are not being billed at this point. As of April, 67 reimbursement claims for emergency contraception for sexual assault survivors totaling about $2,600 had yet to be reimbursed since Bird took office in January. The office also put on hold one claim for an abortion from a rape victim, which cost about $730, according to records from the Attorney Generals Office obtained by Iowa Public Radio. The Attorney Generals Office did not respond to multiple request for an updated figure as of Friday. The office has also not disclosed how much it previously spent on emergency contraceptives and abortions. In one claim submitted by the University of Iowa Hospitals and Clinics to the Attorney General's Office in late March obtained by The Gazette, the cost for the emergency contraceptive pill Ulipristal sold under the brand name Ella is $77.50. At retail pharmacies, the typical cost for one dose of Plan B, a type of morning-after pill commonly be used after unprotected sex, is $50. For now, the UI is temporarily holding claims while it awaits the outcome of the attorney generals review. "University of Iowa Health Care is committed to supporting the health care needs of sexual assault victims," according to a statement from the Iowa City-based health system. "We are currently preparing for any potential operational changes that may be necessary in the event the Iowa Attorney Generals Office stops payment of emergency contraception for sexual assault victims." Though the payments are not explicitly required by law, it has been the states longtime policy to cover the cost of emergency contraception from the victim compensation fund. In rare cases, the fund also has paid for abortions for rape victims, Sandi Tibbetts Murphy, director of the victim assistance division under previous attorney general, Democrat Tom Miller, previously said. Bird, a Republican, defeated Miller in the 2022 November election. Why has the AG paused payments? The scope of the audit by the Attorney Generals Office remains unclear. Bird has not publicly said why she has opted to pause reimbursement for those services, and her office has not identified particular concerns. Bird has been a vocal opponent to abortion, and represented Gov. Kim Reynolds in her failed effort to get the Iowa Supreme Court to lift a lower courts block on abortion restrictions passed in 2018. Iowa Republican lawmakers subsequently passed and Reynolds signed into law a new abortion ban thats been temporary halted pending the outcome of a legal challenge. The Iowa Attorney General also joined other Republican-led states in a brief supporting a Texas judge's ruling that put a hold on government approval of mifepristone, a commonly used abortion pill. Iowa law requires the state to pay for many of the expenses facing assault survivors who seek medical help, including the cost of forensic examinations to collect evidence and treatment for sexually transmitted infections. That is funded through the state's victim compensation fund, which comes from fines, fees and penalties paid by criminals. No taxpayer dollars go into the fund. The decision to pause payments has caused anxiety and confusion for Iowa sexual assault nurses, response teams, victim advocates, hospitals and pharmacies, according to interviews and emails obtained by The Gazette. Sexual assault nurse examiners, along with other victim advocates, continue to emphasize that emergency contraceptives are not abortion pills. The medication delays or prevents ovulation, preventing a pregnancy from occurring, and does not prevent a fertilized egg from implanting in the womb, according to the U.S. Food and Drug Administration. Its unclear how many other states cover abortions as part of their victim compensation program. The Iowa Attorney General's Office Crime Victim Assistance Division asked that question of Dan Eddy, executive director of the National Association of Crime Victim Compensation Boards, according to emails obtained by The Gazette. Eddy replied that it often depends on state law. "The basic rule is this: If it's legal in the state to pay for abortion for rape and incest victims, then the program should be paying for it, and we assume it is, he wrote. And if it's not legal, or no longer legal, either for an abortion to be paid for, then the abortion can't be paid for. Regardless, the morning-after pill should not be affected, since it's "not an abortion drug, Eddy wrote. There are many states that pay for Plan B; these are mostly the ones that pay for forensic exams as a separate procedure, since that is when it generally comes up, he wrote. Plan B is not an abortion drug. It is emergency contraception. So it shouldn't caused any concerns it's perfectly legal, and perfectly ordinary for a comp program to pay for it. Escalating anxiety Shannon Knudsen has seen women and girls at their most vulnerable, including a terrified 12-year-old patient who sat on an exam table after being raped and asked whether she could become pregnant. Knudsen is the coordinator for sexual assault nurse examiners for Polk and Story counties. She stressed the importance of giving patients choices and autonomy over their health and body at a time when they feel powerless. Knudsen and Jacinda Bunch, a sexual assault nurse examiner for the Johnson County sexual assault response team, said they worry about the possible looming implications for victims in Iowa should the state permanently stop payments once the audit is completed. Free, confidential emergency contraception is critical, the forensic nurses said not only for those who cannot afford the medication and health services, but for victims assaulted by a partner or family members who could be endangered if they have a record they sought care after an assault. A bill to their insurance can be a safety risk if theyre on a parents insurance, or in an abusive relationship and on their partners insurance, and dont want them to know, said Katy Rasmussen, coordinator for the Johnson County Sexual Assault Response Team. Rasmussen, too, noted, Its not a significant charge. She said from April to June, eight patients seen by Johnson County sexual assault nurse examiners were prescribed emergency contraception. Last year, the Johnson County Sexual Assault Response Team saw 90 patients. Of those, 39 were prescribed emergency contraception. For the fiscal year that ended in June, the team saw 101 patients. Of those, 46 were prescribed morning-after pills. During the fiscal year that ended in June, the Mid-Iowa Sexual Assault Response Team responded to 270 sexual assaults to conduct a forensic medical examination. Of those, 105 survivors requested medication to prevent a pregnancy, said Eric Kool, director of Polk County Community, Family and Youth Services, which oversees the county's sexual assault response team. Both Kool and Bunch, the Johnson County nurse, said the Attorney Generals decision has created unnecessary confusion and anxiety among patients. Patients are often traumatized by their attack, and dont know if theres a possibility they could get pregnant. Getting free, confidential access to medications and other health care they need to heal is essential, she said. Patients should not have to worry about making decisions about a baby and raising a child that obviously wasnt planned and was the result of violence, Bunch said. By supporting emergency contraception, youre lowering the potential need for an abortion later from an unwanted pregnancy resulting from violence. The morning-after pills are effective at preventing pregnancy if taken within three to five days of unprotected sex. And that uncertainty escalates anxiety and fear survivors are already experiencing, Kool said. It was creating some anxiety in what was already one of the worst moments of their life. Knudsen and Rasmussen said they wish that emergency contraception could be under consideration separately from abortion. They worry that conflating the two could imply they serve the same purpose. Regardless of the outcome of the Attorney Generals review, the pair said they and other sexual assault nurse examiners will continue to provide the same level of care to all victims of sexual assault. And said they are committed to finding creative ways to continue to care for patients and provide emergency contraception free of charge going forward. Kool said Polk County's Mid-Iowa Sexual Assault Response Team is looking at raising money through foundations and private donations to cover expenses. Rasmussen, of the Johnson County Sexual Assault Response Team, said until we have a final answer from the Attorney Generals Office she doesnt want to waste time making alternate plans in case the office reinstates payments. The Supreme Court fight over an abortion pill: What's next? Intro What is mifepristone? How did the case get started? How did the case get to the Supreme Court? What could happen next? A closer look DES MOINES Central and southeast Iowa were the recipients of above-average precipitation that limited days suitable for fieldwork to 4.7 during the week ending Sunday, according to the U.S. Department of Agriculture's National Agricultural Statistics Service. Field activities included cutting and baling hay as well as harvesting oats. Continued precipitation has helped alleviate further crop stress although conditions remain relatively dry. Seasonal temperatures and generally dry conditions accompanied Iowa State Fairgoers as they enjoyed the first few days of their favorite fair activities and foods, said Iowa Secretary of Agriculture Mike Naig. Forecasts are indicating warmer and drier conditions for the rest of the fair, which is also favorable for Iowa farmers looking to bale hay, chop silage and prepare to seed cover crops. Topsoil moisture condition rated 13% very short, 34% short, 51% adequate and 2% surplus. Subsoil moisture condition rated 20% very short, 43% short, 36% adequate and 1% surplus. Corn in the dough stage reached 78% this week, five days ahead of both last year and the five-year average. Twenty-three percent of the corn crop was dented, five days ahead of last year and three days ahead of normal. Corn condition rated 58% good to excellent. Soybeans setting pods reached 87%, one week ahead of last year and six days ahead of the average. Some reports of soybeans starting to turn color were received. Soybean condition improved to 58% good to excellent. Oats harvested for grain reached 95%. The third cutting of alfalfa hay reached 68% complete, 17 days ahead of last year and 13 days ahead of the average. Pasture condition improved slightly to 24% good to excellent. Livestock producers have continued to supplement with hay in the prolonged dry conditions, but overall livestock conditions have been decent with the lower-than-average temperatures this week. Weather summary The Iowa State Fair kicked off amid sunshine and warmth. Temperatures last week were near to below average with the coolest conditions southwest; Iowas average temperature was 71.6 degrees, 0.4 degree below normal. Several weather systems brought widespread rainfall with near- to above-normal totals at more than half of Iowas stations. Weekly precipitation totals ranged from 0.10 inch at several stations to 6.90 inches in Maxwell (Story County). The statewide weekly average precipitation was 1.32 inches while the normal is 0.97 inch. Several stations across Iowa reported the weeks high temperature of 91 degrees on the 11th, on average eight degrees above normal. Chariton (Lucas County) reported the weeks low temperature of 50 degrees on the 9th, 10 degrees below normal. An 11-year-old Keeling boy who was reported missing Monday night was found safe Tuesday morning, according to a news release from the Pittsylvania County Sheriff's Office. The child, Gabriel Mitchell, was reported missing at about 9 p.m. Monday from 820 Mountain Lane in Keeling. He was believed to have walked away from the residence. Members of the sheriff's office, Pittsylvania County Public Safety, Virginia Department of Emergency Management and various fire-and-rescue agencies searched for Mitchell. He was found safe about 5 miles from his residence by a Pittsylvania County school bus driver and was returned to his home, according to the news release. The sheriffs office thanks its members, as well as Pittsylvania County Public Safety, Virginia Department of Emergency Management SAR Team (Search and Rescue), Virginia State Police, Canine Alert, Angel Search and Rescue, Pittsylvania County Schools and many volunteers that assisted. Danville Regional Airport is getting almost $3.7 million to improve its south ramp used for aircraft parking. The Federal Aviation Administration will provide nearly $3.3 million, while matching state money totaling $383,295 will come from the Virginia Aviation Board to cover the $3.76 million second phase of the south ramp project. The funds will be appropriated this fall and then paving, construction work will begin in April of 2024, said Danville Transportation Director Marc Adelman. The upgrade is needed to support future facility development at the airport, Adelman said. You cant build large hangar facilities without having an aircraft parking area, Adelman said during an interview at the site Monday morning. The city will pay $95,824 for its local share of the second phases total cost. Adelman wants the airport able to handle traffic and visitation from events at nearby Virginia International Raceway as well as that from the Caesars Virginia casino resort after its complete in late 2024. VIR has maxed out all of our terminal ramp space in the past, Adelman said. The second phase will include putting down new pavement at the ramp. Phase one of the south ramp improvement project was completed last summer and included rubblization of the ramp. That included breaking up the concrete into small pieces of stone so it can be used as a base for the new, improved ramp. The first phase also entailed rehabilitating the two taxiway connections that provide access to the south ramp. Total cost of the first phase was about $1.64 million. The south ramp improvement project is its first revamp since it was built more than 20 years ago, Adelman said. In addition to money for the south ramp project, the Virginia Aviation Board is also providing $32,648.47 to the airport to cover costs of replacing the roof at the terminal building. The $400,000 project was completed in June. The citys local match for the $32,648 was $17,759.95. The old 60-year-old roof was flat and had no slope, causing water to sit on top of the building, Adelman said. With the new roof, new insulation was installed in such a way to create a slope for drainage, he said. The roof was in very poor condition, Adelman said. U.S. still focused on diplomatic solution to attempted coup in Niger: Blinken Xinhua) 09:25, August 16, 2023 U.S. Secretary of State Antony Blinken testifies before the U.S. Senate Foreign Relations Committee on Capitol Hill in Washington, D.C., the United States, on April 26, 2022. (Photo by Ting Shen/Xinhua) Blinken said the United States still considers it "imperative" that the coup leaders in Niger release the country's ousted president, Mohamed Bazoum, and his family from detention, and that Niger should return to constitutional order. WASHINGTON, Aug. 15 (Xinhua) -- U.S. Secretary of State Antony Blinken said Tuesday that the United States is still "very focused on diplomacy" as the tool to solve the ongoing political crisis in Niger resulting from an attempted coup by a junta. "We remain very focused on diplomacy for achieving the results that we want, which is the return to the constitutional order," Blinken told reporters during a press briefing at the State Department, adding that he believed there continues to be space for diplomacy in achieving that result. Blinken's remarks came at a time when tensions continued to build up in and around the west African nation. A regional bloc announced on Thursday the activation and deployment of a "standby force to restore constitutional order" in Niger. The decision was made by the presidents and senior officials of member countries of the Economic Community of West African States (ECOWAS), as they concluded a summit meeting in Abuja, Nigeria, to address the situation in Niger. Blinken said the United States still considers it "imperative" that the coup leaders in Niger release the country's ousted president, Mohamed Bazoum, and his family from detention, and that Niger should return to constitutional order. To that end, the United States is "in strong support of what ECOWAS is doing," he said. As for whether Washington would support the use of force threatened by ECOWAS, Blinken said he would not "get ahead of where we are now or get into any hypotheticals about what may happen in the future." (Web editor: Zhang Kaiwei, Wu Chaolan) In what is being described as a "freak and unpredictable accident," a horse died and a rider fractured her skull at a polo match Sunday afternoon at King Family Vineyards in Crozet. The horse, Fortuna, died after experiencing an aortic aneurysm. She [the horse] collapsed just as she got onto the field, Ali King, a trainer at the Roseland Polo Club at King Family Vineyards, told The Daily Progress on Monday. It is so unlucky there was a rider on her when this happened. The player, a guest from a visiting Jamaican team, experienced a minor skull fracture, according to King. The player was released from the hospital Monday morning and is predicted to make a full recovery. The rider had been playing for a number of years, King said. This is a freak and unpredictable incident. Every year, Roseland Polo professional players face off against a team from Jamaica on the pitch at King Family Vineyards. Hundreds of spectators attend weekly polo matches in the summer, and this one was no different. According to King, Fortuna had just come onto the field when she collapsed. The teenage horse was said to be healthy aside from a preexisting condition. Fortuna was in good health as far as we know. It is so unfortunate, King said. She had a preexisting condition where her aorta would swell to a larger-than-normal size. A teenage horse is plenty young enough to be doing what she was doing. Plenty of horses play into their 20s. When a horses aorta ruptures, blood fills and compresses the horses heart, which oftentimes ends in sudden death. "It was not something that could have been avoided or predicted," Roseland Polo said in a statement. "Unfortunately, this condition typically has no warning signs until the aorta ruptures, as was the case this past Sunday." The chukker periods on Sunday were reduced from 7 1/2 minutes to six minutes each because of the heat. Temperatures reached nearly 100 degrees at their peak during the day. But heat was not a factor in the incident, said King. Even though there were high temperatures and humidity, there was still a good breeze and we reduced the playing times to keep the riders and animals safe, King said. The incident, which took place during the final chukker of the first match of the day, did not stop play for the rest of the day. About 98% of the crowd that had come to the matches stayed, King said. We all held a moment of silence, and then felt we needed to play. King emphasized that animal wellbeing is a top priority of Roseland Polo. Weve been around for 20 years, and we have many pros who put animal welfare at the forefront of what they and we do. Animal health comes first, King said. There is no way we could have predicted that would occur. It is unlucky there was a rider on the horse. Those eager to see Donald Trump and his allies brought to justice should welcome the Atlanta indictments of the former president and 18 others in what District Attorney Fani Willis called a criminal racketeering enterprise to overturn the 2020 Georgia election result. The sprawling indictment encompasses not only Trumps well-publicized direct efforts to pressure Georgia and federal elections officials to reverse the verdict of Georgias voters but such related events as the recruitment of phony electors, a smear campaign against voter registrars and the break-in to steal election equipment at a county voting office. However, the scope of Monday nights indictment, which follows more than two years of investigations, may demonstrate the wisdom of the U.S. Justice Departments special counsel, Jack Smith, in limiting charges against Trump in Washington to a narrowly drawn four-count indictment naming only the former president but including six unnamed co-conspirators. Both Willis and Smith say they are eager to bring their cases promptly to trial, presumably before voting starts in the Republican primaries in which polls show Trump is leading the GOP field. Smith has sought a Jan. 2 trial date, and Willis said she hoped to bring her case to trial within six months. But it would seem far easier to bring Smiths tightly drawn four-count case to trial against a single defendant, the former president, than Willis array of 41 counts against 19 defendants (13 against Trump) that are somewhat intertwined. And Willis statement that she plans to try all 19 defendants together prompted some skepticism in the legal community. Theres no courtroom that can hold that, CNN legal analyst Elie Honig said Tuesday, noting that each of the 19 defendants could have several lawyers allowed to cross-examine witnesses. The trial would take forever. The Washington indictment, which detailed Trumps efforts to overturn the election while knowing his claims of fraud were false, accused him of conspiracy to defraud the United States by dishonestly impeding the counting and certifying of election results, conspiracy to obstruct the Jan. 6 official proceeding at which the results were certified, and conspiracy against the rights of voters to have their votes counted. Two obstruction counts centered on Trumps efforts to pressure Vice President Mike Pence to violate the law that provides for him to supervise the counting of electoral votes by claiming falsely that Pence could reject them. In Georgia, the counts against Trump include two of conspiracy, three each of solicitation of violation of oath and making false statements, and one each of racketeering, forgery and impersonating a public officer. These counts include 10 other defendants, including former New York Mayor Rudy Giuliani, Trumps personal lawyer during the election challenges and former White House chief of staff Mark Meadows. All 19 defendants are accused of engaging in a criminal enterprise under Georgias Racketeer Influenced and Corruptions (RICO) Act, a state counterpart of the federal law often used against crime syndicates by federal attorneys, such as Giuliani was in the 1980s. The indictment cites 161 individual acts of which the best known is Trumps phone call to Georgia Secretary of State Brad Raffensperger seeking to change the Georgia result. Others in which Trump is involved include pressuring Georgias legislative leaders to call special sessions to change the states results, the organization of the false electors to replace the duly chosen ones, the court filing of false fraud claims and urging Raffensperger to violate his oath. One potential complication is the fact that, in all these charges, there are multiple defendants, sometimes including Trump and sometimes not. This could lead to inevitable complications, especially if any of the defendants chooses to cooperate with the prosecutors. The Georgia indictment is the last of four for which Trump faces trial on 91 federal and state charges over the next few months. Though Smith has asked the federal court that is hearing the Washington indictment to set the trial for Jan. 2, Trumps attorneys are certain to ask for a delay. In other cases, Trump faces a March 25 trial date in New York for the case in which he is accused of paying hush money during the 2016 campaign to a former porn star, and a May 20 trial date in Florida for the federal case accusing him of improper possession of classified documents and obstructing efforts by federal officers to get them back. The trial schedule will inevitably overlap with the GOP primary process, which starts with the Jan. 15 Iowa caucuses, prompting repeated claims from Trump that the Biden administration is trying to affect the GOPs choice of an opponent for President Joe Biden. The real impact if any will come if, and when, the former president actually goes on trial and, especially, if he is convicted. In one sense, the Atlanta indictment is important politically as well as legally in that like the earlier Washington indictment it provides a highly detailed factual account of Trumps efforts to overturn the election results, including some after he left office. But it may actually have complicated matters by producing a legal case that is so complicated and involves so many people that any trial will be difficult to conduct. This is a rare opportunity to own a 1903 Victorian masterpiece curated to its stately half-acre in the heart of Helenas historic Upper West Side. The main home contains 5 large bedrooms (+1 bonus), 3.5 bathrooms, and features original wood finishes, updated windows, and abundant natural light. With its timeless charm, ample living space, functional floor plan, and convenient amenities, you can showcase this Golden Era mansion as an integral part of your best Helena life. Looking for versatility as well? Housed above the detached garage youll find a cute 1 bedroom 1 bathroom apartment complete with laundry and a full kitchen. Use this space for guests or as an income-producing unit. Or, capitalize on investment potential by seeking a lot line adjustment with the City of Helena to split the seven-lot parcel into two, each with its own paved driveway access into this corner parcel. Call Ryan Stavnes 406-465-3830, Michelle Johnson 406-461-9685, or your real estate professional. As you step through the grand front entryway and pass through the antechamber, you are greeted by a classic Victorian foyer that opens across to a grand staircase. Three sets of French doors line the foyer, each leading to large inviting spaces. Nearest the entrance, the bright front room currently serves as an office. Additional French doors connect it to the expansive living room which walks through a small enclosed porch attached to a much larger sun porch which was added in 2006. Opposite the living room, the formal dining room sets the stage for memorable gatherings. The last door off the foyer discretely holds the main floor half bathroom. Moving further into the main level, youll pass into the back hall which accesses the kitchen, basement, or second entry to the large sun porch. The kitchen continues the functional layout with an adjoining butlers pantry complete with a formal swinging door connecting it to the dining room. From the kitchen, you can also pass through the large laundry room to a back door or ascend the back-of-house stairs to the second landing. The second floor is a haven of comfort and privacy. Four generously sized bedrooms provide ample accommodation for family and guests, while two full bathrooms offer convenience and style. This level lends itself to modern comforts featuring a new ceiling fan, updated windows, and large closets. A versatile bonus room can be customized to suit your needs, and a second sun porch provides a delightful spot to bask in the sunlight and enjoy the fresh air. The attic of this Victorian gem is complete with a wet bar and entertaining space, multiple storage areas, an enclosed porch, a large bedroom, and a full bathroom offering endless possibilities for personalization. With a full basement providing additional storage and utility space, this house caters to all your practical needs. Outside, the property spans half an acre and encompasses an impressive seven city lots. This property already has two access driveways and two separate dwellings making it simple to apply to the City to split this half-acre into two residences each on their own large lot. Mature landscaping includes shade trees, fruit trees, bushes, and flowers. Excellent location - close to shopping, amenities, schools, downtown, trails, and Hwy 12. 801 Stuart St. presents an exceptional opportunity to own a piece of history in one of Helena's most sought-after locations. View More Jozsef Mozer was in the Iron Front Hotel late Monday when the fire started and he heard the alarm. He said fellow tenants banged on doors to let neighbors know there was trouble. And on Wednesday morning, the 57-year-old Mozer sat inside a Red Cross shelter at the Helena First Church feeling grateful. He was among the seven of the hotels 30 residents who came to the shelter. I dont know what wed do without the Red Cross, the 57-year-old house painter said in a voice that gave a hint of his Hungarian accent. He said he had lived at the Iron Front for four years and was happy there. The managers are very nice people, he said. An electrical fire hit the historic building at 415 N. Last Chance Gulch at about 9:50 p.m. Monday, starting in a void area between the second and third floors. The fire displaced 30 people living in rooms that once served as a hotel and are now occupied as apartments for low-income renters. Helena Fire Department Chief Jon Campbell said crews reported smoke permeating throughout the four-story building, with concentrations on the second and third floors on the south side of the structure. The fire was under control by 11:30 p.m. BG Stumberg of Gotlieb's Five LLC, which owns the building, said they are planning to repair the damage and have some of the tenants back in as early as next week. He said two or three of the 37 rooms were totally damaged. He did not have a firm damage estimate, but expected it to be in the hundreds of thousands of dollars, at the very least. He said the building also houses three businesses: the General Mercantile and Jmacs Pottery on the ground floor and the Windsor Ballroom on the fourth. Stumberg said Jmacs Pottery on the ground floor sustained severe water damage to the ceiling and smoke damage as well. Jeremy McFarlane, owner of Jmacs, was on-site Wednesday afternoon. The business was closed. Im shut down for months, he said. I am revenue-less. Mid-August through the end of December is when he said he does half his sales. McFarlane said he was able to enter his building Monday night during the fire. He said he had to run through a wall of water to turn off his kilns. Had he not done that, he said it would have been a disaster. He said he has been at the North Last Chance Gulch location for about eight years. McFarlane said he has heard from a lot of people since the fire, the general public, customers and fellow business people. He plans on creating and selling some T-shirts online, and people can buy them at jmacspottery.com. McFarlane said he is not angry about the recent events, noting it was an accident and no one is to blame. Im kind of numb, he said. Lindsay Barnes of the General Mercantile, which also sustained damage, said in an email We'll be cleaning for the next 5 days hoping to open on Monday." She said staff's wages/tips are her priority. We know our staff runs our store and we'd never leave them high and dry, even if I have to out-of-pocket pay them myself, she said. Stumberg said the upstairs hallways are full of water on one side of the building. The worst part of a fire is the smoke damage and water damage in putting the fire out, he said. He said a few of the 30 residents who were displaced had renters insurance and others didnt. Stumberg said tenants were charged $400 a month for a room. Were the cheapest place in town, he said, adding all of the rooms were hotel rooms, some had a sink and tenants shared a common bathroom. He said there are 37 rooms, but about six of them aren't inhabitable due to age and other issues. He said his company has owned the building for a few years. It was our desire to fix up the rooms as we could and give people a place to live, he said. He some most of the tenants are lower-income and dont have a place to go. Were hoping we can get people back in part of the building within a week, Stumberg said, adding they are talking to restoration companies now about what can be done quickly. He expected it to take three to six months to fix the part of the building that sustained heavy damage. Stumberg said a good part of Tuesday was spent learning what the city is going to require and who could clean it for us. He said the Iron Front, built in 1888, is a piece of history, its unique. Its a little gawdy on the inside because it is old, Stumberg said. I like to revamp things. He said about half a dozen of the rooms have been refurbished since his group bought the building. If we had the money and have it restored to old splendor, it would be a beautiful building for downtown Helena, he said. Tina Zawada sat at a table at the Helena First Church early Wednesday, finishing her breakfast before going to work. She has lived at the Iron Front since February. "It was OK and a place to go, she said of the hotel, adding that prior to that she was sleeping in her van. She was across the street when the fire started. She heard an alarm and could see flames in the window. All I know is that it was an electrical fire and I wasnt there, she said. Bev Blokzyl, a shelter worker, said they set up cots and blankets for the displaced Iron Front tenants. She said the church provided them two meals Tuesday and also collected towels for the residents to use. Matt Ochsner, regional communications director for the American Red Cross of Idaho, Montana and East Oregon, said the Red Cross would work with the displaced residents to help them with housing, clothing and other tasks to help them meet their most immediate needs. He was at the shelter as it was being set up Tuesday. Its a nice facility and they are very good partners, he said of Helena First Church. People can make a donations to the Red Cross at redcross.org or 1-800-redcross. Helena First Church senior Pastor Paul Feuerstein said the church works hand in glove with the Red Cross. He said the 1,300-member congregation loves to see these kinds of opportunities to show their love for the community and be there for them. He said Helena First, an Assembly of God church, has an approach that may differ from other congregations. We dont put on events in the community as much as serve at events going on, Feuerstein said. Cindy Loacker of Sweet Bee Vintage Market, a member of the church, said several restaurants have helped in feeding the displaced residents. They either donated the food or gave it at a greatly discounted price. Im not surprised, she said of the generosity. I think we have an amazing business community. Everyone is so willing to help others. Loacker said Headwaters Crafthouse and Taste Catering brought dinner one night, Grateful Bread and Vanilla Bean also offered food. And residents would be treated to Stefanos on Wednesday night. Tuesday morning, large breakfast burritos from Uphill Grill were offered. Holli Meyer of Uphill Grill said they had been contacted by Loacker and they wanted to help. They brought over 15 large burritos. Shoot, its just another day in paradise, chef Michael Taylor, who co-owns the business with wife Joslyn Rose, said Wednesday morning as he worked the grill. It feels good to help people out. I have been there (myself). Staff photographer Thom Bridge and staff writer Nolan Lister contributed to this story. Loren Oelkers never got to thank the two men who saved his life that day. In the summer of 1973, he was a 17-year-old high school kid whod come within seconds of drowning in the murky waters of Canyon Ferry Reservoir. The men who saved him were complete strangers, two unfamiliar guys who just happened to be there that Fourth of July morning. Two men whom hed never met before and didnt see again. Loren learned their names only through the newspaper report that appeared in the Helena Independent Record later that week, but neither Rick Goff nor Jim Funk lived in his hometown. Nor had they reached out to contact him in the days that followed. Life moved on for all of them, first in weeks and months, then in years and decades. The knowledge of the debt he owed these two strangers was never far from Lorens thoughts, but the responsibilities of a career and raising a growing family were his first priorities. This past Friday, for the first time in half a century, Loren Oelkers got the chance to shake the hand of one of the men who plucked him from those deep waters and to thank him for saving his life. This is just such a beautiful story, said Christine Maillet, rescuer Rick Goffs daughter and one of the people who played a pivotal role in reuniting the two men. I call it a miracle come full circle 50 years later. A perfect day gone wrong It was morning on the 4th of July 1973, and Loren and his best friend Steve Miller were camping with Lorens parents at the National Guard Chalet Campground on Canyon Ferry Reservoir east of Helena. It was a place both young men knew well. We used to camp out there a lot, Loren said of the camping area just south of the dam and across from the Yacht Basin boat launch. As high school students wed go out there during the week and swim across the bay. Its a little cove probably 50 yards across if that. Id probably swam across it 40 or 50 times before. By 10:30 a.m. the sun was already warm. Just a slight breeze rippled across the small cove separating them from the boat launch. Loren and Steve had finished their breakfasts and decided it was a good time to take a swim. It was a perfect day, he remembered. We were just going to swim across the cove and go over to the docks to see if there any high school kids that we knew over there. Probably looking for girls more than anything else. His friend Steve jumped in first, paddling toward a rocky outcropping that young people commonly use as a diving platform into the water. It was a swim that both Loren and Steve had made dozens of times, but on this morning, something went terribly wrong. On this particular day on the Fourth of July I just didnt make it, Loren said with honest simplicity. I got very close to shore but I just couldnt continue. I dont know if I cramped up or got exhausted or what it was. I just panicked. Lorens friend Steve had already climbed out onto a rock ledge just a few yards ahead of Loren, then turned to see his friend struggling to keep his head above water. He was on the shore and jumped in to help me, Loren recalled. I grabbed ahold of him, and we both went down. Then we came up and I pushed Steve away and said, Get help. Steve started screaming and thats the last thing that I remember. Lorens head disappeared beneath the water. Bad day for fishing on the Missouri On July 4, 1973, Rick Goff and his family were camping at the National Guard Chalet Campground for a holiday getaway. Rick and his teenage son Mike had risen early to try their luck fishing on the downstream side of Canyon Ferry Dam. We got out of camp early, and the family was still asleep, Rick remembers clearly of that day. We didnt want to fish the reservoir, we wanted to go down to fast water to fish lures below the dam. Both of us are good fishermen, but we couldnt buy a fish that day. Discouraged, Rick and his son returned early to their camp to eat breakfast. Theyd barely finished eating when Rick heard screaming and yelling from women and children at the waters edge. He ran down to the shoreline to see what was going on. I saw his friend maybe 20 feet offshore, floundering and yelling, Rick said. I thought he was the one who was drowning, and I was about ready to throw him an empty cooler so he could use it as a flotation device, but he shouted No, no, no its not me. Down there, its my buddy. The waters of Canyon Ferry Reservoir are deep and murky. The only thing Rick could make out was Lorens panicked friend screaming for help. Rick was fully clothed and wearing heavy boots. Hed just eaten breakfast. For a few moments, he hesitated. Thoughts raced through my mind, he recalled. Im hesitating about whether Ive got to dive in there or not. What if I drown trying to save the victim, who will care for my family? Here I am this old guy at 43. Where are the other men?" In that moment of indecision, the second hero of this story arrived. Jim Funk was a 25-year-old enlisted man at home on leave for the Fourth of July holiday. Dressed only in a bathing suit, Funk raced down the hill, yelling toward Rick as he neared the shore. I heard a voice from behind that just called out, Where is he?' Rick said. I turned around and there was Jim of course I didnt know him from Adam. I pointed to the area in front of the ledge we were standing on. He was on the run and never even stopped to say hello or goodbye, he dove right in off the rock, never hesitated for a bit. Rick quickly lost sight of Jim in the murky water. I couldnt see either of them, theyd both disappeared, he said of the two young men. The next time I saw them they were coming up through that 6- or 8-foot depth of water and Jim had Loren in his left arm and was paddling up through the water with the other. He couldnt have been more than 6 or 8 feet offshore. He had an advantage because it wasnt a sand beach. It was about a 3- or 4-foot rock ledge that we were standing on and he didn't have to wade in to the shore. Newspaper reports published near the time of Loren Oelkers' rescue say that Funk found Loren's seemingly lifeless body lying across a ledge 12 to 15 feet below the waters surface. With limited visibility the thing that had caught Funk's attention was the sweep of Oelkers' long red hair. Had I been over a couple more feet I would have gone down significantly deeper because the rocks end there, Oelkers said. As the exhausted Jim Funk paddled toward the shore with Loren slung under one arm, Rick yelled to the two women that were standing with him on the rock ledge to, Get ready, he has him. Rick Goff earned his living as a full-time pipe-fitter for the Great Falls Gas Company, and as a part-time technical sergeant for the Montana Air National Guard. At the time of Oelkers' rescue Goff had recently completed a required multi-medic first aid course that included cardio-pulmonary resuscitation (CPR) training. Goff said that at the time it was a skill he was certain he would never use. With help from the two unidentified women on scene, Goff was able to drag the unconscious teenager onto the rock ledge the three of them were standing upon. Each woman had him by an arm, and I had him by the hair on his head and we just raked him across that rock ledge, Rick said of the rescue. I dont even know if his feet got out of the water before I started. His first impression was that things looked grim for the Helena teenager. When I saw him come out of the water, he had the appearance of death, Rick Goff said. His face was pure white, the white of ashes. His lips and fingernails were absolutely purple. Not blue, purple. I said to myself, Were too late, hes dead.' I figured he was gone. I really did. However, Ricks first aid training kicked in, a skill that no one else on the scene had at the time. Goff began applying mouth-to-mouth resuscitation, followed by repeated rounds of chest compressions. Within a few minutes one of the women on scene screamed we have a pulse. Then, Loren Oelkers coughed out some of the water that had filled his lungs and then began gasping for air. Throughout the whole ordeal, Lorens parents had no idea what had happened to their son. My mom said that somebody came up to their campsite and said, Theres two boys in the water down there and I think they belong to you,' Loren recounted. They came running down around the cove, and by the time they got there I had thrown up all the water and was already breathing. I heard somebody say an ambulance was on its way. I was in and out of consciousness. Id spent everything I had to get out of the water. Oelkers spent three days in a Helena hospital with an oxygen tube attached to his nose. He didnt suffer any permanent injury, but by the time he was released all who had been on that rocky shore at Canyon Ferry Reservoir had gone their separate ways. The missed opportunity to thank all those who had rescued him would haunt Loren Oelkers for the next 50 years. Finding his rescuers By his own admission, Loren Oelkers has led a blessed life. He's had a 33-year career in the Army National Guard in Helena, one that saw him rise to the rank of colonel. He married Maureen, his high school sweetheart, and together the couple has seen their three children grow to adulthood and bring 10 grandchildren into the world as the highlight of their lives. None of this would have been possible if Jim hadnt jumped into the water and pulled me out, and if Rick hadnt been at the Reservoir that day and knew how to do CPR, Loren said Friday. Ive thought a lot about those guys. They added 50 years to my life that I shouldnt have gotten. Thats a miracle. Still, there was a task left yet undone; to reach out personally and thank the men who had saved him. That quest took on more urgency after 2020, when Loren suffered a stroke. As part of his rehabilitation, Loren was asked to write stories about his life to help with his cognitive rehabilitation, motor skills and, perhaps most importantly, to share the recollections of his lifes experience with his family. On Fathers Day this year, his daughter, Erin, bought Loren a subscription to Storyworth, a digital platform that encourages people to write down the stories of their own lives to eventually be printed as a hardcover book. Each week you get a question and youve got to write a story based on the question that youve been given, Loren explained. One of the questions was, Have you ever been rescued figuratively or literally?' So of course, I wrote the story of when I drowned in 1973. When my daughter read the story she said, 'this is incredible,'" Loren recalled. 'I know, I said, but the story isnt finished. I need to find these people. Hopefully theyre both still alive. I want to meet them and thank them.' Storyworth's question could not have come at a more significant time. It had been almost exactly 50 years since Lorens near-death experience. He felt compelled to reach out to those who had saved him. Once he wrote that story, I think it kind of put something in motion, Lorens wife Maureen said. Hes thought about it for years, but just sitting down and taking the time to write about it and giving our kids that information I think it promoted him to think 'I need to do this.' Finding either of the men did not seem like an easy task. With Rick Goff, he had a small advantage in that hed saved the story published in the Helena newspaper a few days after his rescue. I had a picture of Mr. Goff with the Air National Guard, and I knew he had lived in Great Falls, Loren said. So, I went to the Montana cadastral and thats how I found his address. I wasnt confident at all, Loren said of the chances of connecting with Rick Goff 50 years later. I was thinking, well Ricks got to be in his late 80s or early 90s. I wasnt totally confident that I would ever hear from him. Still, he wrote Rick a letter, telling him in part; I am Loren Oelkers, the 17-year-old teenager you revived at Canyon Ferry on July 4th, 1973. Let me start by saying thank you so much for saving my life. It has been 50 years, but I have thought about you thousands of times over the years. I was truly one of the luckiest persons in the entire world that day and I thank God that he placed you and your family at the Reservoir on that day. Sir, you may not think of yourself as a hero, but I certainly do, and I cannot thank you enough. By that time Rick had moved out of his home of 67 years in Great Falls to take up residence at the Grandview Assisted Living center. However, his daughter, Christine, was still looking after the mail being sent to Rick's former home and passed the message on to her father. She called Loren a few days later. I had tears running down my cheeks when I was talking to her, Loren said of learning that Rick was alive and well. I told Chris that I didnt have any outside interest other than I wanted to meet her Dad. I wanted to give him a handshake and a hug and just say thank you for saving my life. I am not a hero, Rick responded to Loren's first letter, as all true heroes often do. Anyone with knowledge of CPR could have done the same thing. God was busy that day and he asked me to do Him a favor. So, I did. The miracle was in the timing of events. We were down to the last 60 seconds. I cannot wait to meet you in person to share some stories with you, Loren said in reply. I could hardly sleep after hearing from (Chris) because I was excited like a child that I would have the opportunity to meet you. After more than 50 years, that long awaited opportunity arrived on Friday. A lot of tears followed. I dont want this to be a one-and-done deal, Oelkers said. I want us to continue to correspond. I have some regrets that I didnt try and contact with him a long time ago, but Im so happy that I finally did and that weve been able to connect and share these stories. Hopefully I can talk to Jim Funk as well. What happened to Jim Funk? The advantage that Loren Oelkers had in finding Rick Goff has not been the same for rescuer Jim Funk. The newspaper stories of the time offer few clues helpful to identifying Jim Funk, other than he was referred to as a "resident of Helena." Loren believes that he was on active duty with the military at the time of the rescue, and likely returned to post assignment in the immediate days that followed. Ive been searching for him, but there are a lot of James Funks in the United States, Loren observed. I narrowed down my search to a Helena High graduates and his name came up as graduating in 1968; now living in Greybull, Wyoming. Sifting through county property tax records, Loren thinks hes found an address for Jim, and recently sent a letter off to the address in Big Horn County that Jim Funk is listed under. If alive today, Jim Funk would be 75 years old. Loren Oelkers has yet to hear back. It is hard to put a fine point on the significance of Lorens search for those who saved his life so many decades ago. We live, we die, and somewhere in the moments in between we hope that somehow, we made some small difference in the lives of the people who surround us. If there is a lesson to be learned from Lorens and Ricks journey, perhaps it is this: that it is never truly over until it is. The gratitude and heart of our lives can always be expressed, even if it comes half a century later. You just need to take the time to express it. While many embrace zoning legislation passed earlier this year with the goal of increasing housing stock in Montana, some say there's not necessarily a straight line to be drawn from reducing regulations to more places to live and affordable home or rental prices. Montana is an increasingly expensive place for those who arent wealthy. Home values in the state are 80% higher than five years ago, according to Alex Horowitz with Pew Charitable Trusts, who recently addressed a group of legislators, business owners and close watchers of economic policy. This is a highly unusual run-up That means that people are going to struggle, even if mortgage rates are not as high as they are right now, Horowitz said. When home prices rise that much, that makes buying tough. That is much, much faster than wages are rising. Especially for potential first-time homeowners, this is a difficult situation for buying. The inventory of homes for sale this year is at 59% of 2018 levels, and that lack of options means what's for sale costs a whole lot more, Horowitz said. Those who earn the least are hit the hardest, as data from the National Low Income Housing Coalition shows. The state has a shortage of 15,741 rental homes that are affordable and available for what's defined as extremely low-income renters, or those who earn 30% or less of the median area income. Montanas rate of those who are unhoused has grown at more than double the rest of the country between 2018-2023, Horowitz said, increasing 8.5% compared to 3.9% across the country. He drew ties between rapid rent increases and the unhoused population increasing elsewhere in the country. What the Legislature did Faced with those challenging data points, state lawmakers earlier this year passed a series of bills aimed at reforming land use policies and zoning regulations. Their idea was that making it easier to build would increase housing supply and drive down prices. Senate Bill 382 is one of the larger pieces of land use legislation that came out of the session, both in terms of scope and length, clocking in at 52 pages. This bill will change how land use planning works in Montana," said sponsor Sen. Forrest Mandeville, a Columbus Republican and land-use planning consultant with his own company, when the Senate heard the bill. "This will provide a quicker review process. It will provide more predictability, both for existing neighborhoods and existing residents, as well as developers and lead to a better overall result." Mandeville said Montana has done its planning "kind of backwards" over the years. "We backload the public participation process so the developer is on the hook to buy the land, to do the engineering, to put all of the information together and then the governing body tells them what they actually have to do, which creates an unpredictable process that's kind of done on an ad hoc basis. It's a very bad and inefficient way to do government. This bill creates that foundation to start to front-load that process, creating a better process from beginning to end. Senate Bill 382 only applies to cities with a population of more than 5,000 within a county of more than 70,000, which focuses it on Montanas more urban places. The communities that fit that bill now are Belgrade, Billings, Bozeman, Columbia Falls, Great Falls, Helena, Kalispell, Laurel, Missoula and Whitefish. The bill initially looped in counties as well, but was changed through amendments during the legislative process. Still, any local government can choose to opt in. What it requires Under the bill, communities must establish a planning commission to develop and review a land use plan and future land use map, as well as zoning regulations and associated maps, subdivision regulations and any other land use planning documents. The process must provide for continuous public participation. The planning commission will propose a land use plan and future land use map, which would be adopted, amended or rejected by the local governing body. Citizens can suggest amendments by a petition of 15% of electors or by property owners applying for a land use permit. If changes are made, they must be accompanied by data supporting the alteration. Plans must be reviewed every five years, though updates can happen at any time and must go through a public process. The bill comes with a years-long timeline to comply, depending on what progress a community had already made. Generally cities have three years to meet requirements in the bill, but if a local government had completed a growth policy within five years of the bills implementation, they can extend that deadline five years from when that policy was adopted. Any cities that newly meet the population threshold have three years to comply. What are the map and plan? A future land use map should identify preferred and anticipated development patterns for the next 20 years, including annexation possibilities and adequate areas to accommodate the housing units a city already needs and projects to need down the road. The land use plan must address the inventory of existing houses and whats projected to come in the next two decades, and it also must take into account demands on local services and facilities, natural resources, the environment, hazards and more. The legislation also prescribes things like reaching out to local schools to communicate about projected demands on their systems. The brunt of the whole bill is identify what you need, identify the challenges, and then make a plan for how you can either get around the challenges or come up with a solution, said Toni Henneman, a research analyst with the Legislature's Office of Research and Policy Analysis, during the meeting with legislators and other stakeholders. If a community cant meet the projected need, the commission must come up with a minimum number of units that can be built, either through rehabilitating existing housing or new development. Progress must be documented toward the goal every five years. Plans need to come with implementation schedules for when things will happen and include the projected costs and revenue sources for expanding public services. There must also be a plan to monitor progress. Its definitely not a light lift, Henneman said. Some places are already doing parts of the work required under the new law. Eran Pehan, the director of Community Planning, Development and Innovation for the city of Missoula, is excited about the potential that comes with SB 382. It starts to modernize Montanas outdated land-use and planning process and streamlines the zoning and planning processes for individual communities like Missoula, and that is something that is desperately needed on a statewide level and from community to community, Pehan said. Missoula is well-situated to take the bill and run with it, Pehan said, because the city was in the middle of a comprehensive code reform process. We are knee-deep in that work already, Pehan said, adding that the city is eager to implement things like bringing public participation into the process earlier and engaging the community authentically and with a lens of equity. Zoning to encourage housing The bills section that focuses on ways zoning can spur housing construction comes with 14 options for communities to meet their goals; cities are required to pick five to pursue. The strategies include things like allowing duplexes, triplexes or fourplexes where single units are permitted, higher density near urban centers, eliminating or reducing parking requirements, eliminating or reducing accessory dwelling unit (ADU) impact fees, allowing more ADUs, allowing single-room occupancy development and more. The idea here was to try to find a compromise between some local control and find out what works in their area, Henneman said. That menu is important for Missoula, Pehan said. Some of the selections are already being pursued or followed by the city, others will be considered going forward after public input. Not every option on the menu is going to work for Missoula, so its working with the community to figure out which ones are, Pehan said. I feel like that section was crafted with an acknowledgement that communities are unique and we have different geography, we have different goals, we have different values, so our solutions will look different." A need for more While Missoula sees potential in the legislation, Pehan also acknowledged its not a fix for everything. If you follow economics or get behind some of these market-based strategies for increasing the housing supply, the notion is as we increase supply and the market starts to self-regulate, we will see prices start to not necessarily come down but inflate at a less unhealthy rate, that the market will stabilize, Pehan said. Pointing to places like Oregon that passed statewide legislation similar to Montana's, Pehan noted home prices have still escalated at double-digit rates and markets have not stabilized. The average home price there was about $250,000 in 2016 and sits at nearly $500,000 now. Put another way, those market adjustments alone werent enough to impact affordability. Pehan said Missoula wants to provide every opportunity for the market to control itself, and some legislation will help that. But we also need to plan for a future where the market does not self-regulate." The city is looking at affordable housing incentive programs, either through code changes or investments or access to subsidies to help buy down the cost of constructing houses that are deed-restricted or protected as affordable through community land trust models. We know were also going to have to invest as a community both financially and through programs and policies in getting affordable housing on the ground, because the market wont do it alone, Pehan said. Through the legislative session, Pehan said there was robust conversation about putting money into the statewide affordable housing trust fund or subsidizing affordable housing developments, and those two things in combination would have been incredibly powerful in starting to see affordability stabilize in our community. Unfortunately we left the session with more of a robust reform around regulation and not much of an investment in true affordable housing, Pehan said. Pehan hopes next session will bring a look at more direct efforts at affordable housing. That would include bills that failed this time around, like moderate-income housing loans from the coal tax trust fund, property tax abatements for affordable housing, tax incentives for housing that promoted access, tax credits for owners who rent below market rates and a state tax credit for workforce housing. Additional new laws A slew of other bills came out of this legislative session aimed at addressing housing. That includes Senate Bill 245, which also applies only to cities with more than 5,000 in population. It says those cities must allow mixed-use developments in commercial zones and cant require more than one off-street parking space unless otherwise required in a parking agreement or under the Americans with Disabilities Act. A mixed-use development means non-residential uses are less than 50% of the total square footage and limited to the first floor, so that could be a business below apartments. Trying to get people to live where theyre possibly working is the intent there, Henneman said. The bill became effective this year. Senate Bill 323 also applies to cities of more than 5,000 and would require duplexes be allowed on any lot zoned for a single-family home. That bill becomes effective in 2024. By no means is Montana first to try some of these ideas, and earlier this month lawmakers and others heard about how measures enacted elsewhere have played out. Minneapolis was the first city to eliminate single-family zoning, and Libby Starling from the Federal Reserve Bank of Minneapolis gave lawmakers context about how its gone during a recent presentation. Change is slow in this process, Starling summed up. Looking at that key headline of the elimination of single-family zoning, we have seen very few duplexes and triplexes permitted since the adoption of the plan. The city changed its rules in 2019, and since then only 21 duplexes and triplexes have been permitted and ultimately built in areas where they were not previously allowed. The majority built since the adoption of the plan have been in places they were previously permitted, Starling said. And while Minneapolis saw a spike in 2019 of new units of multi-family housing, that fell off the following year and only slightly increased after that. A chart Starling provided noted the difference between whats estimated to have happened if not for the zoning changes is not statistically significant after 2020. We see ultimately that what is happening (is) there's some years where Minneapolis might have built less than what would have happened (without the zoning change) and more recently, in 2022, maybe building more. But at this point over the first few years, the key trend is that the new units of multi-family construction are emerging slowly, Starling said. Starling did note that new housing, even at the higher end of the market, can open up affordability down the road. But it comes with caveats. Some portion of the community will always want the newest option available, meaning their move causes vacancy in their previous home. "That then starts to cascade down in such a way that even building new luxury housing can create opportunities as people relocate into newer property," Starling said. But that might not apply in the Big Sky state the way it does elsewhere. This model is going to work differently in markets where there is significant in-migration or also in terms of the secondary home market, and both of those are certainly phenomenon that exist in Montana and may make the effect of increasing housing supply less continuous in terms of creating greater housing affordability for residents of all incomes, Starling added. Certainly the best way of ensuring more affordable housing opportunities to the lowest-income households would be in terms of income-restricted, publicly subsidized (options), but broadly adding housing supply does create more opportunities for housing affordability across all income levels. Another bill lawmakers passed related to zoning is Senate Bill 528, which does not have a population threshold and applies to any town or city with zoning regulations. It says that at least one accessory dwelling unity must be allowed on a lot with a single-family dwelling. Cities and towns can require reconstruction of streets damaged in the building process, along with an application fee of up to $250 and a letter from the local water or sewer system to say they can hook up to the unit and have the capacity to serve it. Another major housing bill not focused on zoning also passed this session. House Bill 819 ended up a "conglomeration" of other proposals and contains a $107 million revolving loan fund to help communities pay for expanding infrastructure. It also has a revolving loan fund to buy down mortgages for some households and increases in the amount of money from the coal trust fund for the Board of Housing to loan to people earning low and moderate incomes. The bill was a salvage of some of the governor's original housing proposals that legislators voted down. Local control questions Even though those zoning bills can bring positive changes, they do not come without tension, Pehan said. As the legislation moved through the session, Missoula didnt support some of the bills not because of the content itself but because of a lack of public participation in the process. Montana and specifically Missoulians didnt have an opportunity to engage very deeply about these solutions before they were passed, Pehan said, adding that there was concern about taking away a city's ability to steer their own ship in terms of land use. Changes in local zoning code should really be driven at the local level, Pehan said. But even with concerns about involvement, Pehan said the laws can have a positive impact on infill development, or the rebuilding of beloved neighborhoods like the University District. I do think that all three in combination will support the goal of more homes, which again if that stabilizes the market, it starts to support affordability. They all move us in the right direction, Pehan said. Horowitz, from Pew, praised the legislation passed in Montana, saying other states have gotten into deeper holes with more severe housing shortages. He also pointed to examples in places around the country that show data supports more housing supply does slow the growth of housing costs and leads to lower prices than in places with continued scarcity. Across the country, rent has increased 31% from 2017 to 2023, according to data Horowitz presented, while the housing stock grew just 3%. But in places like Portland, Oregon, for example, rent only grew 2% while housing increased 7%. He also offered up Colorado as a comparison, saying that state has seen median rent increases ranging from 22-53%, while in four other places New Rochelle, New York; Portland, Oregon; Tysons, Virginia; and Minneapolis; rent cost either stayed flat or grew just 5%. Another interim committee is looking at the topic of affordable rentals, with a bit of an acknowledgement that SB 382 isnt a silver bullet or quick fix. I think the idea here is that (SB) 382 is a large bill that probably will have long-term solutions and there was some thought that there are some people that are struggling with rental prices now and if there's any solutions that is a more of a fast-track kind of a thing, Henneman said. Community concerns Affordability is top of mind for Missoulians trying to figure out how they can stay in the place they call home and what their future looks like, Pehan said. Long-term community members also have a lot of questions about how the city adapts and if it remains a place where people can thrive. But they also wonder if that means they will live next to a big apartment complex that changes the scale of their neighborhood and how they can be a part of the solution without feeling like their area is altered dramatically. For them it means what does this look like in my neighborhood and how can my neighborhood still feel like my neighborhood, but make space for more homes so more people can stay in this community they love? Pehan said. And even success stories elsewhere don't cleanly translate to Montana. Different communities have different advantages and challenges, such as a place like Missoula that cant easily expand out because of the physical constraints of being in a valley with a river. Theres no template to follow, Pehan said. If there was an easy solution, we would have already done it a long time ago. But I think we are learning some powerful things. SPRINGFIELD By sheer numbers, Illinois Democrats are in their healthiest position in a generation: controlling all statewide elected offices, locking in legislative supermajorities, dominating the state Supreme Court and holding 14 of the state's 17 congressional seats. Much of this ascendancy can be chalked up to political realignment as diverse, highly-educated suburban areas gradually shifted blue while homogenous rural regions became more red. In a state where more than three-quarters of the population resides in the Chicago metro area, this math has favored Democrats. The dynamic only exacerbated with the backlash to former President Donald Trump's administration and with cultivation from Democratic Gov. J.B. Pritzker, a billionaire who has sunk hundreds of millions into his campaigns and those of others. And with Democrats in control of drawing the legislative and congressional maps in redistricting in 2021, their majorities were all-but-assured to be safe for another decade. It all sounds like a healthy Democratic Party of Illinois. However, many of these gains have actually been made in spite of the state party organization, which for years largely served as a vehicle for former House Speaker Michael Madigan party chair between 1998 and 2021 to send out campaign materials for state House Democrats. By law, state parties receive a significant postage discount. Many other Democrats have had to fend for themselves or rely on other organizations, such as the Illinois Democratic County Chair's Association, for party-building programs. But, there are signs that things are changing at DPI, with leaders touting a successful campaign in local races this past spring, a new push on fundraising and the "partnerships" being forged among various Democratic officeholders, unions and interest groups that will help the party build from the "bottom up" and win more elections. DPI chair Lisa Hernandez, a state representative from Cicero, is also amid a "listening tour" across the state, surveying key party stakeholders on what's needed from the state party. "It's not going to happen overnight," Hernandez acknowledged in a sit-down interview with Lee Enterprises at the party's offices in downtown Springfield on Tuesday afternoon. "For me, it's very important to be building the infrastructure," Hernandez said. "We're not done. We're a year in. We've met some accomplishments, but there's a lot of work still to do." Hernandez has been in the role for just over a year, ousting U.S. Rep. Robin Kelly, D-Matteson, who was elected after Madigan resigned the role in March 2021. She had the backing of Gov. J.B. Pritzker and House Speaker Chris Welch, D-Hillside, among others. Hernandez said there was too much going on to make wholesale changes before the election in November 2022. But in the months since, the party has been making moves, hiring Ben Hardin as executive director and building out a staff of about 15 people, the largest for an off-year in the organization's history. And earlier this year, the party sank more than $300,000 into local elections, most notably school board races where candidates backed by far-right organizations were running and appeared to have decent odds of winning. They boosted Democrats and ran ads against candidates they viewed as extreme. By and large, the investment appeared to pay off. More than 70% of the 119 candidates the party backed in local elections in April won. More than 70% of the 102 candidates the party communicated against lost, according to DPI spokeswoman Allison Janowski. Jared Ploger, an Oswego District 308 school board member who won his race with DPI's help, said the party's mailers helped bring out voters that typically don't vote in local races. "We took a gamble and we tried to reach out to people that don't normally vote in local elections, and it worked," Ploger said. "We saw more people show up." "I hate the fact that you have school board races (that are) partisan," he added. "I'm not a fan. But I'm also not a fan of just taking it on the chin and sitting there." Hernandez said there were many lessens to be taken from the party's effort to boost candidates in local races. Though she said the state party will still stay out of open partisan primaries, involvement in nonpartisan races will continue in future cycles. "I think moving forward, in particular, the spring elections has offered us ... insight as to the potential that we have," Hernandez said. "So I would say we will continue to be working and supporting those local races." Patrick Cortesi, chair of the McLean County Democrats, said the state party has been a lot more open since Madigan left office and his "now working as a cohesive unit" with local party organizations. "We have this real sense of team," Cortesi said. "And so, it comes from the top down and it's a lot easier to get that kind of attitude amongst our own party when you know the state party and the State Central Committee members are all involved in that process." Cortesi said it is not just financial support that's important, but infrastructure that local parties can rely on. For instance, he's asked the party if they could put together a team of election attorneys to be at the disposal of county parties. Believe it or not, it is not easy to find lawyers steeped in election law in many places, including McLean County. Kristina Zahorik, president of the IDCCA and a state central committeewoman, said that her organization "had been carrying a lot of what a lot of folks saw as kind of a state party function." But that has started to change. "I think certainly with the new leadership that we had with Robin Kelly, and now certainly with Chair Hernandez, you see kind of an opening up of the party and less of a siloing, which I think is really, really important," she said. The state party is also ramping up its fundraising operation, including hosting a big-money gala in October in what party leaders hope will become an annual event. It's also a reflection of the need to become self-sustaining. Right now, the party has largely been supported by the $12.5 million in contributions from Pritzker. But there will be a day when he is not governor and, by extension, the party's leader and main benefactor. "I'm trying to get there but it's still working on a way of sustaining what we have," Hernandez said of fundraising efforts. "And although it's been very helpful to get started with the governor's support, I think I've been doing pretty good in building up that ... structure." Some of this sounds like normal functions of state political parties. That's because they are. Illinois just hasn't had a normal state Democratic party in a long time, which makes moves towards normalcy newsworthy in ways they wouldn't be in other places. In some ways, a lot of it is happy talk. When she reflected on her time leading the party, Kelly expressed many of the same sentiments that Hernandez is sharing now. The question to be answered now is whether the state party can be effective in local races, execute its party-building directives and raise the money it needs to carry out those initiatives. "Now that I built this team and we have programming and tools in place that can be utilized, this tour that we're doing is sharing that information now with stakeholders to start building ... that bench that we've been talking about," Hernandez said. She said some "hard" conversations have been had in places the party has neglected in recent cycles, especially downstate. There will be more to come. "We'll get there, little by little," she said. "The Democratic presence is going to be felt." It certainly is different than it was a year or two ago. Photos: Illinois State Fair political days through the years 2012 State Fair 2012 State Fair 2015 State Fair 2015 State Fair 2015 State Fair 2007 State Fair 2008 State Fair 2008 State Fair 2009 State Fair 2012 State Fair 2012 State Fair 2005 State Fair 2004 State Fair 2003 State Fair 2003 State Fair 2006 State Fair 2007 State Fair 2012 State Fair 2018 State Fair 2012 State Fair 2021 State Fair 2021 State Fair If You Go Blue Ridge Opry featuring Kelley Breiding starts at 7:30 p.m. on Aug. 19 at the Carter Fold in Hiltons, Virginia. Tickets are $10 for adults, $3 for children age 6 to 11, and free for children younger than 6. Call 276-594-0676 or visit carterfamilyfold.org. Make way for the Blue Ridge Opry coming to the Carter Fold in the Maces Spring section of Hiltons, Virginia, at Scott County. The old time and country music variety show starts on Saturday, Aug. 19, at 7:30 p.m. Youll find all the fun just off U.S. Route 58 (Gate City Highway) in the shadow of Clinch Mountain. The Blue Ridge Opry features Kelley Breiding, who has performed many times at the Carter Fold with the Crooked Road Ramblers. Blue Ridge Opry performs in the tradition of the Grand Ole Opry. For this show, Breiding has assembled musicians to present a show reminiscent of that famous Nashville scene. And it fits: The Carter Fold resembles an old barn. And this is like an old barn dance. The Blue Ridge Opry is a retro-country music variety show with tributes to the likes of Patsy cline, Hank Williams, Uncle Dave Macon and Loretta Lynn. And, of course, youll also hear tunes by the Carter Family, the trio known for Keep on the Sunny Side and Wildwood Flower. The affable Breiding serves as host, playing banjo and adding her vocal talent to the mix. A versatile musician, Breiding also leads a rockabilly band called Kelley and the Cowboys. The Blue Ridge Opry features a mountain of musicians, including Rural Retreat barber Jim Lloyd plus Kris Truelsen, Ashlee Watkins, Andrew Small, Wayne Dye and Asa Wilson. While the calendar says its August, backers of the Santa Train have set the date for this Appalachian holiday traditions annual trek through Southwest Virginia. The 81st annual Santa Train will occur on Saturday Nov. 18 its traditional last Saturday before Thanksgiving, according to a written statement. The trains longtime sponsors remain CSX, Appalachian Power, Food City, Kingsport Chamber of Commerce and Soles4Souls. The train will follow its traditional route from just south of Pikeville, Ky., across Southwest Virginia before ending its 110-mile journey in Kingsport. About 15 tons of toys, clothing, school supplies, gifts and winter accessories will be distributed to hundreds of families at 13 stops along the route. We are honored to once again bring the Santa Train to life and share in the joy of the holiday season, said Joe Hinrichs, president and chief executive officer of CSX. I had the privilege of riding last years Santa Train and was able to see firsthand how beloved this tradition is by CSX employees, community members and volunteers alike, and we are looking forward to another year of serving the Appalachia area. The route includes two stops in East Kentucky before crossing into Virginia with stops planned at Toms Bottom, Haysi, Clinchco, Fremont, Dante, St. Paul, Dungannon, Ft. Blackmore, Kermit and Waycross at the state line before arriving in Kingsport. None of this would be possible without the Santa Train partners and community, and we are grateful for their unwavering support, said Bryan Tucker, vice president of Corporate Communications at CSX. This is truly a passion project for Team Santa Train, and weve been hard at work creating an unforgettable experience for those along the tracks this November. People are encouraged to follow Team Santa Train on social media for updates, route information and additional announcements regarding this years event. A Durham woman was sentenced to serve at least six years in prison for multiple robbery charges linked to crimes committed in Catawba County. Taya McCathern, 29, pleaded guilty to three counts of robbery with a dangerous weapon and malicious conduct by a prisoner. She was given a prison sentence of six to nine years, District Attorney Scott Reillys office said in a news release. Judge William Long handed down the sentence during Catawba County Superior Court last week. Around 3:20 a.m. on June 13, 2022, McCathern and a male suspect approached Charlotte Henry in her driveway on 40th Avenue Drive NW in Hickory. The suspects pointed handguns at Henry. Henry told police the robbers were wearing dark clothes and ski masks. The suspects forced Henry to drive them to a different location, according to court documents. McCathern and the unidentified male suspect demanded money and Henrys phone. Henry handed over her phone and told the suspects she did not have any money. The suspects exited Henrys vehicle at the intersection of 40th Avenue Drive NW and 41st Avenue Place NW, according to court documents. The intersection was less than one quarter of a mile from Henrys home. Around 30 minutes after robbing Henry, the same suspects approached Rickey Chatman and Joseph Swoboda in the parking lot of the Lowes Foods on 14th Avenue NE in Hickory, according to court documents. McCathern approached Swoboda and pointed a gun at his face. McCathern forced Swoboda to retrieve his wallet and phone from his work truck. The male suspect approached Chatman and demanded Chatman hand over his wallet and cellphone. Chatman gave the suspect his wallet, but he did not have a cellphone, according to court documents. Through further investigation, the Hickory Police Department was able to develop a description of McCathern. McCathern was later served with the charges in Durham on June 20, 2022. Officers found the female victims phone, two handguns and three other cell phones, the district attorneys office said. While being held at the Catawba County Detention Center, McCathern spat on an officer on Dec. 12, 2022. She told others what she had done and that she would do it again, the district attorneys office said. The robbery cases were investigated by Cadyn Laffon of Hickory Police Department. Assistant District Attorney Melanie Earles handled prosecution for the State with aid from Legal Assistant Jordan Arney. Editor's Note "Out of Darkness by Ashley Hope Perez is one of the 24 books challenged in Catawba County by school board member Michelle Teague. She made the challenges before she was elected. My name is Ashley Hope Perez, and I am the author of Out of Darkness. I am also a real person. I am a mom, a literature professor, and a former high school English teacher with a heart for serving students. Because literature engages with the world and the world is often an ugly place, passages from virtually any book can be made to sound inappropriate when pulled out of context or described in sensational terms. What if I told you about a book with many pages of erotic poetry, a book with graphic descriptions of male genitalia, a book where a father consents to his daughter being gang-raped while he enjoys an evening with guests? All of these descriptions of the Bible are factually true, but they are also incomplete and misleading, and they do not do justice to the Bibles complexity or value. In the same way, challenges to my book and others have ignored the intentions of each book as a whole, and the messages of hope, moral courage, repair, and connection that accompany the hard realities we examine. Out of Darkness takes place in 1937 and sheds light on an important but little-known event in East Texas history, the New London school explosion. It invites readers to engage with the hardships others have faced. It depicts young people struggling for dignity, joy, and respect in a difficult time. After all, 1937 was a time in Texas history when segregation, terrorization, and lynchings were part of non-white Americans daily experience. Boys did sometimes speak crudely about women, especially women of color. Black Americans faced harsh discrimination and exclusion from opportunities, as was the case in New London, where Black kids could not attend the New London School. I write about those historical realities to show the depths of harm they caused. I portray friendship, loving family, community, and healthy relationships because they, too, are part of my characters world. I wrote Out of Darkness because I believe that silence about painful issues causes harm. This was true for the survivors of the explosion, many of whom suffered in silence for decades before coming together to talk about their experiences. It is also true for women who experience sexual assault, like the main character in the novel and me. I wrote Out of Darkness to put at the center of the story those who are often pushed to the edges, like the students I taught in Texas. I wrote it to show that drawing beauty out of suffering is one way we transform our world. The book removal efforts of the last few years criticize books like mine for doing what literature does: exploring the whole range of human experience and inviting readers to imagine the humanity of people different from themselves. Sometimes that means going to the painful places. We each exercise discretion by what we choose to read or not read. But please do not let a handful of folks limit access to a work of literature because they dislike it. This interferes with a core function of libraries, which is to create a space for choice and exploration. Instead, leaders can respond to sincere parent concerns about what their kids and teens are reading without disrupting other students learning or access to books. There are many excellent resources that help adults talk with their young people about what theyre reading, so that books become an opportunity for sharing values and talking about difficult situations. Certainly Out of Darkness isnt for everyone. No book is. But Out of Darkness speaks in a unique way to experiences in our history. I know that it is a book that matters to someone in your community because I hear from readers all the time about how the story matters to them. For someone, it is the book that makes history come to life and inspires deepened care and engagement in community. That reader deserves to be able to find it in your high school libraries. On a hot summer Aug. 9 evening, a steady stream of farmworkers made the short trek from their living quarters to the mobile health clinic on the grassy edge of Patterson Farms. It was operated by Cabarrus Rowan Community Health Centers. Its here that the roughly 200 seasonal workers most of whom are from Mexico receive medical assistance, including primary care treatment (treating for blood pressure and glucose checkups), lab work and dental services. Since CRCHC entered into a partnership with Patterson Farm in 2019, with the help of a grant through the N.C. Farmworkers Health Program, the organization has provided health care services to more than 500 farm workers. Its been an awesome experience, said Christina Echevarria, CRCHCs mobile unit/special populations manager, who is in charge of the operation. Its a very rewarding thing to do. Echevarria, who is bilingual, is the point person for the workers, who reach out to her to make appointments. Chief Medical Officer Dr. Lydia Adams, who treats the patients, also speaks Spanish. That is a big factor in welcoming more and more patients in, Echevarria said. The mobile medical clinic comes to the China Grove-based farm twice a month on the second and fourth Wednesdays from 4-8 p.m., when the employees have already finished work for the day. Most workers qualify for a discounted price of about $35 per visit, Echevarria said. Its such a pleasure to deliver primary health care in non-traditional settings, said Adams in a recent video promoting the mobile unit. In addition to its migrant health program, CRCHC also provides care to homeless individuals at a homeless shelter in Rowan County through a relationship with Rowan Helping Ministries in Salisbury. CRCHC has been a key resource for farmworkers beyond the two evenings a month. The organization works with a local taxi company to pick up workers and transport them to in-house clinics or the hospital as needs arise. Considering they are migrant workers, most do not have transportation, so by providing and bringing attention and services to them, it is really beneficial, Echevarria said. Francisco Victoria, who has been working at the farm since 2017, visits the clinic often. He has received treatment for an injured shoulder, medication for a fungus on his toes and help with his blood pressure. Its really benefited me, Victoria told the Independent Tribune through a translator, because when I have felt sick I have come here and they have treated me and given me the necessary medications. Victoria came to the United States to provide a better life for his family in Mexico. Most of the money he makes goes back to his family, including his wife and four children. He is expecting his fifth child next month. Another Mexican worker, Raul Sanchez, is glad that CRCHC has staff who speak Spanish, making interactions easier. That is very helpful because I can talk in my own language and I can express what my symptoms are, he said. For many migrant workers employed by the farm, CRCHCs mobile clinic is more than just a nice convenience; its an absolute lifeline. I would say the biggest benefit is that they would go without healthcare otherwise, said CRCHCs Director of Administration Brittany Payne. A lot of people who access our services would have to go to the emergency room or would just go without care and struggle. The farm has relied on Mexican workers for over 30 years to harvest important crops like strawberries, tomatoes, and peppers, according to Nora Patterson, the farms corporate secretary. The farm works with the N.C. Growers Association, which recruits the workforce. Migrant workers come to the farm under the federal H-2A program, which brings foreign nationals to the United States for temporary agricultural jobs. The reason we do this is because its a legal, vetted workforce, Patterson said. They are approved by the government, theyre sent over here and they want to work. The workers arrive on the farm in groups, beginning in March, and work until November, when they go back to their families in Mexico, Patterson said. Many of the same workers come back the following year. Before establishing the partnership with CRCHC, workers often had to disclose their private medical information to farm officials, like Patterson, who would then drive them to local medical providers for assistance. The process was cumbersome and difficult for all involved. It could be an all-day thing, said Patterson, a registered nurse, especially when a worker needed to go to the emergency room. With the partnership between the farm and CRCHC in its fourth year, Patterson appreciates the assistance Echevarria and her staff have provided, especially when dealing with confidential medical information. Talking directly to the doctor about any medical problems behind closed doors, thats the way it should be, Patterson said. All Peoples Church of Jesus Christ Sunday school at 9:30 a.m. and worship at 10 a.m. Sunday at American Legion Post 172. Pastor: Prophet Roland Jordan. Sermon: We Give Thanks to Veterans and Those Who Gave Cheerfully. Scriptures: 2 Corinthians 9:7. Ann Street United Methodist Church 335 Ann St., Concord. Pastor: Rev. Randy L. Wall. In person and Facebook Live worship at 11 a.m. Sermon: Following to New Places. Scripture: Luke 5:1-11. Bethpage United Methodist Church 109 Fellowship Ave. at West C Street, Kannapolis. 704-932-5296. Pastor: Rev. McKenzie Sefa. Christian Education at 9 a.m. Worship at 10 a.m. Sermon: Now What? Question 2: How Do We Get People Back to Church? Scripture: Matthew 4:18-22; 10:1-8. Bogers Chapel United Methodist Church 1775 Flowes Store Road E., Concord. Pastor: Eric Shaver. Sunday school at 9 a.m. Worship in-person, on Facebook, or YouTube, at 10 a.m. Sermon: Mercy. Opening Reading: Passage for Friends That Hold Us Up from The Lives We Actually Live by Kate Bowler and Jessica Richie. Scripture Reading: Matthew 15:10-28. Calvary Lutheran Church 950 Bradley St., Concord. 704-782-6923. Pastor: Rev. Debbie Frye. In-person worship at 8:30 a.m. (Casual Worship) and 11 a.m. (Traditional Worship with Childrens Church). Coffee/Cookie Social from 9:30-11 a.m. Adult Sunday School at 9:45 a.m. Rally Day on Sept. 10. Childrens Area and Nursery available at all times. All services livestreamed and available anytime on the Calvary Lutheran Concord YouTube channel easy access through our website at http://www.clconcord.org or church app Calvary Lutheran Church NC. All are welcome. Sermon Series: Is Your God Big Enough? Sermon: Open House. Center United Methodist Church 1119 Union St. S., Concord. Pastor: Rev. Brian Crady. Sunday School for In Betweens and young adults at 9 a.m. Worship at 10 a.m. Nursery is provided during the worship service. Website is www.centerumcconcord.org. Look forward to you joining us for our service! Sermon: Gods Church is the Bride of Christ. Scripture: II Corinthians 11:2-4; Ephesians 5:22-32. Cold Springs Global Methodist Church 2550 Cold Springs Road, Concord. Pastor: Rev. Cliff Wall. Worship at 10 a.m. on Sundays. Visit online at https://youtube.com/@coldspringschurchconcord. Join us this Sunday for Homecoming! Sermon: The Lights of Home Rev. Mary Hatley. Scripture: Matthew 5:14-16. Crossroads Church 220 George W. Liles Parkway, Concord. Pastor: Lowell McNaney. Live worship streamed on Facebook, Crossroads Concord Church app or mycrossroads.co website at 9:30 a.m. and 11:11 a.m. Crown Pointe Baptist Church 703 Tennessee St., Kannapolis. Pastor: Rev. Doug Crawley. Sunday School at 9 a.m. Worship at 10 a.m. in the sanctuary, or view online at our Facebook page. Series: Bridge Builders. Sermon: Reach Out Your Hand. Scripture: Luke 10:25-37 ESV. Eastside Missionary Baptist Church 199 Elgin Drive, Concord. Pastor: Rev. Stephen Burrow. In person services: Sunday School at 9:30 a.m. Worship services at 10:30 a.m. and 6 p.m. Recorded worship services and other information at EastsideMissionaryBaptist.org. You will be welcomed. Practice social distancing. Epworth United Methodist Church 1030 Burrage Road NE, Concord. Pastor: Rev. Dennis Marshall. Sunday School at 9 a.m., followed by worship at 10 a.m. We welcome all to join us in person, or you may livestream or watch the recorded service at our website at www.epworthnc.com. Forest Hill United Methodist Church 265 Union St. N., Concord. Senior pastor: Rev. Mandy Jones. Associate pastor: Rev. Wes Judy. We are open for in-person worship. Contemporary worship, 9 a.m. Sunday School/small groups, 10 a.m. Traditional worship, 11 a.m. Both the contemporary and the traditional worship services will also be livestreamed at foresthillumc.org or facebook.com/foresthillumc. Harmony United Methodist Church 101 White St. NW, Concord. Pastor: Rev. Thad Brown. Sunday school is at 10 a.m. followed by our worship service in the sanctuary at 11 a.m. Sermon: The Great White Throne Judgement. Scripture: Matthew 7:21-23, John 5:22 & 27, Romans 2:1, Revelation 21:11-15, Revelation 20:15, John 14:6, Revelation 21:1-4. We welcome you to join us in worship! Service is live on Facebook.com/HarmonyUnitedMethodistChurch and we welcome all who are unable to join us in person to worship with us online. For more information, you can call the pastors phone at 704-791-2883 or the churchs phone at 704-782-8237. Jackson Park United Methodist Church 715 Mable Ave., Kannapolis. Pastor: Laurie Knoespel. Adult Sunday School at 9:30 a.m. Worship at 10:30 a.m. Nursery will be provided during worship service. Kirkwood Presbyterian Church 900 Klondale Ave., Kannapolis. Pastor: Rev. Dr. Dennis B. Craft. Worship at 11 a.m. in church sanctuary on Sundays. No mask restrictions. McGill Baptist Church 5300 Poplar Tent Road, Concord, in-person services. Pastor: Rev. Steve Ayers. If you have not taken the COVID-19 vaccine, wear a mask. McGill will stream a worship service Sunday at 10 a.m. on www.facebook.com/mcgillbaptistchurch/ and on YouTube. The services will be live and also available on recording afterward. Twelfth Sunday after Pentecost. Sermon: Things that Defile. Scripture: Isaiah 56:1, 6-8; Romans 11:1-2a, 29-32; Matthew 15:10-28. Midway United Methodist Church 108 Bethpage Road, Kannapolis. Pastor: Rev. Craig Allen. Come join us on Sunday morning at 9 a.m. for Sunday School and 10:30 a.m. for worship. Our service is also livestreamed on the web at midwayunitedmethodistchurch.org or facebook.com/midwayUMC. Twelfth Sunday after Pentecost. Sermon: What Did He Say? First Reading: Isaiah 56:1, 6-8. Second Reading: Matthew 15:10-28. Suggested Hymns: UMH 140, Great Is Thy Faithfulness; TFWS 2244, People Need the Lord. Mt. Mitchell United Methodist Church 6001 Old Salisbury-Concord Road, Kannapolis. Pastor: Joel Locklear. Sunday School at 9:45 a.m. (Adult and Children classes.) Worship at 11 a.m. in person or Facebook. Sermon: Abba Father: Who Do You Belong To? Adoption. Scripture: Romans 8:12-8:17. Multiply Church Concord 150 Warren C. Coleman Blvd. N., Concord. Pastor: Rev. Douglas Witherup. 8:30 a.m. service held at 280 Concord Parkway S., Suite 15, Concord. Services at 150 Warren C Coleman Blvd. N., are worship and sermon at 9:30 a.m. and worship and sermon at 11:15 a.m. New Gilead Reformed Church, ECO 2400 Old Salisbury-Concord Road. 9:40 a.m. Adult Bible Study. 10 a.m. Sunday School. 11 a.m.Worship in the Sanctuary, also live on Facebook. Oak Grove Baptist Church 200 Sims Parkway, Harrisburg. Pastor: Rev. Franklin D. Watkins. 10 a.m. In-person worship service and Facebook Live and YouTube Live. Sermon: Learn From It And Move On! Scripture: II Samuel 12:19-23. Second Presbyterian Church 1578 Dale Earnhardt Blvd., Kannapolis. Pastor Sue Black, minister. Rev. Aaron Price, assistant pastor. Sunday school at 10 a.m. Worship at 11 a.m. Sermon: Do Not Covet. Scripture: Exodus 20:21. St. Johns Reformed Church 901 N. Main St., Kannapolis. Pastor: Rev. Chris King. Sunday school for all ages9:30 a.m. Worship Service10:30 a.m. Website www.ourstjohns.org. Email/phone- ourstjohns@gmail.com / 704-932-3656. Sermon: On the Basis of Children. Part 2. Scripture: Matthew 18:6-9. Trinity United Church of Christ 38 Church St., Concord. Pastor: Rev. Nathan King; Pastor of Evangelism: Rev. Dr. Cathy Cook. Worship at 11 a.m., in person or on Facebook Live. Website: commachurch.com. Sermon: Crumbs of Truth by Rev. Nathan King. Youre invited! 150 Warren C. Coleman Blvd. N., Concord. Pastor: Rev. Douglas Witherup. 8:30 a.m. service held at 280 Concord Parkway S., Suite 15, Concord. Services at 150 Warren C Coleman Blvd. N., are worship and sermon at 9:30 a.m. and worship and sermon at 11:15 a.m. New Gilead Reformed Church, ECO 2400 Old Salisbury-Concord Road. 9:40 a.m. Adult Bible Study. 10 a.m. Sunday School. 11 a.m.Worship in the Sanctuary, also live on Facebook. Oak Grove Baptist Church 200 Sims Parkway, Harrisburg. Pastor: Rev. Franklin D. Watkins. 10 a.m. In-person worship service and Facebook Live and YouTube Live. Sermon: Learn From It And Move On! Scripture: II Samuel 12:19-23. Second Presbyterian Church 1578 Dale Earnhardt Blvd., Kannapolis. Pastor Sue Black, minister. Rev. Aaron Price, assistant pastor. Sunday school at 10 a.m. Worship at 11 a.m. Sermon: Do Not Covet. Scripture: Exodus 20:21. St. Johns Reformed Church 901 N. Main St., Kannapolis. Pastor: Rev. Chris King. Sunday school for all ages9:30 a.m. Worship Service10:30 a.m. Website www.ourstjohns.org. Email/phone- ourstjohns@gmail.com / 704-932-3656. Sermon: On the Basis of Children. Part 2. Scripture: Matthew 18:6-9. Trinity United Church of Christ 38 Church St., Concord. Pastor: Rev. Nathan King; Pastor of Evangelism: Rev. Dr. Cathy Cook. Worship at 11 a.m., in person or on Facebook Live. Website: commachurch.com. Sermon: Crumbs of Truth by Rev. Nathan King. Youre invited! ST. LOUIS The National Agricultural Statistics Service has issued its current Illinois Crop progress, condition and production reports. There were 4.2 days suitable for field work during the week ending Aug. 13. Statewide, the average temperature was 73.6 degrees, 0.2 degrees below normal. Precipitation averaged 1.34 inches, 0.51 inches above normal. Topsoil moisture supply was rated 3% very short, 13% short, 66% adequate, and 18% surplus. Subsoil moisture supply was rated 9% very short, 22% short, 65% adequate, and 4% surplus. Corn dough reached 71%, equal to the 5-year average. Corn dented reached 20%, equal to the 5-year average. Corn condition was rated 3% very poor, 6% poor, 27% fair, 56% good, and 8% excellent. Soybeans blooming reached 95%, compared to the 5-year average of 93%. Soybeans setting pods reached 80%, compared to the 5-year average of 75%. Soybean condition was rated 2% very poor, 7% poor, 21% fair, 59% good, and 11% excellent. crop production reports Corn: Planted area is estimated at 11.5 million acres, up 6% from last year. Harvested area, forecast at 11.3 million acres, is up 7% from 2022. Based on August 1 conditions, the Illinois corn yield is forecast at 201 bushels per acre, down 13 bushels from 2022. Production is forecast at 2.27 billion bushels, up less than 1% from last years production. Soybeans: Planted area is estimated at 10.0 million acres, down 7% from last year. Harvested area, forecast at 9.95 million acres, is down 7% from 2022. Based on August 1 conditions, the Illinois soybean yield is forecast at 62 bushels per acre, down 1 bushel per acre from last year. Production is forecast at a 617 million bushels, down 9% from 2022. Winter wheat: Harvested area in 2023 is forecast at 780,000 acres, up 39% from the previous year. The winter wheat yield is forecast at 84 bushels per acre, up 5 bushels from 2022. Production is forecast at 65.5 million bushels, up 48% from last year. HATTIESBURG, Miss. An Illinois National Guard member died after suffering heat injuries during outdoor fitness activities at a military base in Mississippi, authorities say. The 38-year-old man was treated by a medic at Camp Shelby when he showed signs of injuries Friday and was taken to nearby Forrest General Hospital, where he was pronounced dead, said Lt. Col Diedre D. Smith, a spokesperson for the Mississippi National Guard. The Illinois soldier was at Camp Shelby for a leadership school, Smith said. The Mississippi National Guard is not releasing his name out of respect for his family, she said. Camp Shelby is just outside Hattiesburg, where high temperatures topped 100 degrees from Friday to Monday, according to the National Weather Service. Humidity increased the heat index by a few degrees. An 18-year-old Mississippi guard member was also hospitalized for heat injuries after exercising outdoors Saturday at Camp Shelby, Smith said. The Mississippi guard issued an order Saturday banning all outdoor fitness activities between 7 a.m. and 8 p.m. during the heat. Photos: Illinois State Fair political days through the years 2012 State Fair 2012 State Fair 2015 State Fair 2015 State Fair 2015 State Fair 2007 State Fair 2008 State Fair 2008 State Fair 2009 State Fair 2012 State Fair 2012 State Fair 2005 State Fair 2004 State Fair 2003 State Fair 2003 State Fair 2006 State Fair 2007 State Fair 2012 State Fair 2018 State Fair 2012 State Fair 2021 State Fair 2021 State Fair A Winston-Salem man was sentenced to prison Monday after he pleaded guilty to sex offenses involving an 11-year-old girl, authorities said Tuesday. Joshua Hernandez-Figueroa, 25, pleaded guilty to first-degree statutory rape and taking indecent liberties with a child, the Forsyth County District Attorneys Office said in a news release. Judge William Long of Forsyth Superior Court sentenced Figueroa to serve 16 years to 24 years and three months in prison, the district attorneys office said. Long also ordered Figueroa to register as a sex offender. Our children are the most vulnerable and precious members of our community, District Attorney Jim ONeill said. They must be protected, and their abusers will be prosecuted to the fullest extent of the law. Winston-Salem police responded Sept. 19, 2019 to a reported sexual assault against a girl, the district attorneys office said. When officers arrived at the scene, they spoke to the victims parents who told them that their 12-year-old daughter had been sexually assaulted by a family friend. Investigators determined that Figueroa had been communicating with the victim via the phone app Snapchat, the district attorneys office said. After communicating with the victim and convincing the girl to have in-person contact, Figueroa sexually assaulted the child at her home in March or April of 2019, the district attorneys office said. The assault occurred after school when the victim was home alone. The victim was 11 the time of the assault and Figueroa was 20, the district attorneys office said. The victim was treated at Brenner Childrens Hospital. Medical evidence showed the victim had injuries consistent with being sexually assaulted. Figueroa admitted that he had sex with victim and knew the girl was a minor at the time, the district attorneys office said. Assistant District Attorney Kia L. Chavious spoke on behalf of the victim and her family in the courtroom. Chavious indicated that Figueroa was a trusted family friend, and his actions betrayed the trust of the girls parents, causing emotional trauma to the entire family, and irreparable harm to their daughter, the district attorneys office said. GREENSBORO The organization that accredits High Point University has placed the university on warning, citing concerns about student support services among other issues. University leaders stressed the school remains accredited. In the United States, accreditation is required for a college student to receive financial aid and for a university to receive federal funds. Many graduate schools and employers will consider only those with degrees from accredited colleges. Jeff Adams, High Points vice president for research and planning, said the issues identified are minor and largely relate to documentation submitted by the university. He expects to satisfy the accreditor with additional explanation and a tweak to the universitys website. The news comes as High Point University prepares to welcome 6,000 students to campus and continues a pattern of growth and expansion after announcing plans for a law school and three other schools last year. It was recently recognized as the 19th best college in the nation for student support and counseling services by Princeton Review. The Southern Association of Colleges and Schools Commission on Colleges, which accredits High Point University and most other colleges in the region, posted notice of the warning on its website earlier this summer. The organizations Board of Trustees determined the university had failed to demonstrate compliance in three areas: Providing appropriate student support services. Identifying and measuring expected student learning outcomes, and providing evidence of seeking improvement based on that analysis. Publication of accreditation status. High Point University will have the opportunity to submit a report addressing the standards cited for non-compliance. After the commissions Board of Trustees reviews the report, the trustees will have the option to remove the warning, continue the warning, place the institution on probation or remove the university from membership. Janea Johnson, a public relations and data specialist with the Southern Association of Colleges, said more often than not, schools are able to correct their issues and the warning is taken away. While declining to comment on the specifics related to High Point University, she said that in some cases, schools that are warned have simply failed to communicate what they do to the peer reviewers. Johnson said that among the Southern Associations 800 members, about 200 were reviewed in June and about a handful of those received warnings. The Southern Association of Colleges is the standard option for accreditation in region, but its not the only alternative. Bennett College, a historically Black womens college in Greensboro, gained accreditation this April from the Transnational Association of Christian Colleges and Schools. Bennett sought candidate status with the Transnational Association after the Southern Association of Colleges revoked its accreditation because it said the college didnt have enough money on hand. Adams said hes not aware of HPU ever having been previously warned. He explained the university is on a 10-year-accreditation cycle with the Southern Association, but, like other schools, must submit a midterm report at the five-year mark, answering a standard set of questions that he said took about 150 pages. As far as support services, he said the commission faulted the university for failing to adequately answer how it provides certain services to students taking online classes. Adams said support services such as counseling and academic advising are available to online students through various methods, such as by phone or video chat. But while the university stated it provides the services, Adams said, it didnt adequately explain how they do so to the commission. He said, for a couple academic programs, there appears to a be a failure to explain all of those steps in the student outcome measurement cycle. He declined to name the specific programs and said the issues relate to information left out of the documentation the university submitted rather than skipped steps in the evaluation. Finally, he said, the university was supposed to add a sentence to a statement on the schools website about accreditation, due to a change made by the Southern Association of Colleges, but missed doing that in time. Thats since been rectified. Adams expects to send a report documenting all the needed changes to the commission within the next few weeks. Less than two weeks before the start of the 2023-24 school year, the local school district has a message for its bus riders Be patient and expect delays. And it has a message for people interested in driving a bus We need you. As it is now, Winston-Salem/Forsyth County Schools is 58 drivers short of having a full roster, an issue that has been plaguing school districts across the country since the COVID-19 pandemic upended the job market. The school district had a press conference on Tuesday at North Forsyth High School to sound the alarm on what the shortage will mean for the estimated 17,000 students who rides buses. If everyone knows what to expect, that is half the battle, Superintendent Tricia McManus said. I think when people dont know that (buses) are going to be late, that causes a lot of frustration. I know its frustrating to be waiting for your child, but as long as you know where they are and that theyre safe, I think that helps a little bit. Schools will be in touch with parents about what bus runs and what students will be affected and what kind of delay they can expect. That information will also be shared at open houses, which will be next week throughout the district. The school district also announced a round of signing bonuses for qualified bus drivers and mechanics and bonuses for current bus drivers and mechanics who recruit them. Potential drivers and mechanics are eligible a $1,000 bonus once they begin work and another $1,000 on Jan. 25. Employees who recruit them are eligible for the same amount. In addition to a bus-driver shortage, the district has 20 bus mechanics, 11 short of what it needs. The school district sent a mass email to employees in its transportation department on Monday about the bonuses, said Tisha Davidson, the executive director of transportation for the district. Most of our drivers know drivers and most of our mechanics know mechanics, she said. School district leaders plan to present to the school board in late September or early October a proposal to increase the salary of its classified employees, which includes bus drivers and cafeteria workers. The starting salary for someone in a classified position is $15 an hour. The Forsyth County Board of Commission gave $1 million to the school district in its most current budget to address the pay of classified employees. The school also has a few million dollars saved that it plans to put toward the salary adjustment. The amount of time and training it takes to be a qualified bus driver is another impediment, McManus said. The process of getting a driver from the starting line of I want to be a driver to the finish line continues to be a barrier, she said. Drivers have to take classes to get a commercial driver license and get a school bus driver certificate from the N.C. Department of Motor Vehicles, which involves more training and tests. The district is looking at ways to speed up the application process, McManus said. She talked about going to recruitment fairs and hearing of dozens of people interested in driving a bus and learning that few would have the time or commitment to clear all the hurdles. But I think with the right pay and getting folks through the process more quickly so they can have their CDL and be cleared to drive, I think those are the two biggest issues right now, she said. McManus said that of the districts 1,416 bus runs, 211 will be impacted by the driver shortage, meaning thousands of students can expect to be at least 15 minutes late to their school or home. For morning routes, 65 routes will be affected, impacting 3,460 students. About 11,600 afternoon riders can expect to be late returning home, she said. One issue that can prolong a bus run is unnecessary stops. Last year, 33,000 students signed up to ride a bus, but only 17,000 turned out to be actual riders. A school bus driver has to stop at every signed-up stop regardless of whether a student gets off or on. Parents who signed up for a stop but dont plan for their kids to take the bus are being urged to call their school so the stop can be eliminated. Desperate times, as the saying goes, call for desperate measures. But even with a chronic shortage of bus drivers that threatens smooth, on-time school transportation just days before the new year begins, is it even possible to resume the dubious practice of putting high-school students behind the wheel? The short and definitive answer would be no. A hard no. Its a nonstarter with the same odds of survival as an ice cube in a microwave. Federal law, not to mention common sense, has prohibited the practice since 1988. Can you imagine a 16-year-old with one hand on the steering wheel and the other on a cellphone piloting a bus filled with screaming third-graders? Still, even bringing the subject up in what was essentially an academic exercise elicited a few trips down memory lane piloted by teenagers. Labor shortages Shortages of bus drivers in North Carolina school systems are nothing new. To differing degrees, many systems have lived with shortages since the U.S. Department of Labor put an emphatic end to the practice in 1988. Large numbers of students began driving their peers to school during World War II when the number of willing adults declined precipitously to fill the services and other essential manufacturing jobs. Makes sense. Nearly two dozen states put teenagers as young as 16 behind the wheel and it remained commonplace well into the 1980s. Stepped up enforcement of the federal Fair Labor Standards Act helped do away with student drivers. A sampling of reactions include: It was 40 years ago, but it wasnt too bad, said Scott Webb, who drove a bus for a year while at Freedom High School. The students were actually pretty well-behaved. I never had any kind of incident. That was not the same recollection of others, however. I remember a high school girl driver who covered her eyes when driving over the bridge across the Dan River heading into Madison, wrote Linda Brinson, a former co-worker, in response to a Facebook query. Fortunately, that was not the bus I usually rode, so I must have been visiting a friend when I had that experience. (Another former co-worker, David Stanfield, offered this gem: My wife drove a school bus. It really enhanced her backseat driving skills of today.) Dwight Davis, the longtime resident of Thomasville, remembered via the same medium being in two fender-benders while a passenger on student-driven buses. And on one occasion our school bus driver took us back to school because we wouldnt be quiet, Davis wrote. Can you imagine the uprising, including harried parents, if something similar took place today? Dan Besse yes, that one recalled another sort of incident. Well, there was that time that I was a bad passenger on the bus driven by a high school student who was dealing with a particularly loud and rowdy bunch It reminds me of why our bus drivers deserve a raise. A different time Me? I graduated from an all-male, very large and very Catholic high school in Louisville. Kids from my neighborhood rode TARC buses (Transit Authority of River City) until we weaseled our way into an older students carpool or got a drivers license and, say, a 69 lime green Volkswagen Beetle for $400. So the concept of student drivers was alien. Until the family moved to Greensboro in 1984 and my younger brother enrolled at Page High School. He thought hed stumbled into a $5-an-hour gold mine. Then he asked the old man for permission. You want to what? the old man roared. He was not known for being subtle. Whats wrong with this state? Hard to imagine someone from Kentucky laughing at North Carolina, especially as it pertains to education. Yet here we are. It really wasnt that bad, Webb said. There were no cellphones and so everything didnt have to be filmed for social media. Students were screened. Bad grades and/or disciplinary problems ruled some applicants out. Plus, a multi-day training course was a requirement. You didnt just sign up and get in a bus, he said. Besides, there was one other small detail back in the day that would in all probability disqualify many adults. The buses were straight drives, Webb said. If you couldnt use a clutch, you couldnt drive. Those eager to see Donald Trump and his allies brought to justice should welcome the Atlanta indictments of the former president and 18 others in what District Attorney Fani Willis called a criminal racketeering enterprise to overturn the 2020 Georgia election result. The sprawling indictment encompasses not only Trumps well-publicized direct efforts to pressure Georgia and federal elections officials to reverse the verdict of Georgias voters but such related events as the recruitment of phony electors, a smear campaign against voter registrars and the break-in to steal election equipment at a county voting office. However, the scope of Monday nights indictment, which follows more than two years of investigations, may demonstrate the wisdom of the U.S. Justice Departments special counsel, Jack Smith, in limiting charges against Trump in Washington to a narrowly drawn four-count indictment naming only the former president but including six unnamed co-conspirators. Both Willis and Smith say they are eager to bring their cases promptly to trial, presumably before voting starts in the Republican primaries in which polls show Trump is leading the GOP field. Smith has sought a Jan. 2 trial date, and Willis said she hoped to bring her case to trial within six months. But it would seem far easier to bring Smiths tightly drawn four-count case to trial against a single defendant, the former president, than Willis array of 41 counts against 19 defendants (13 against Trump) that are somewhat intertwined. And Willis statement that she plans to try all 19 defendants together prompted some skepticism in the legal community. Theres no courtroom that can hold that, CNN legal analyst Elie Honig said Tuesday, noting that each of the 19 defendants could have several lawyers allowed to cross-examine witnesses. The trial would take forever. The Washington indictment, which detailed Trumps efforts to overturn the election while knowing his claims of fraud were false, accused him of conspiracy to defraud the United States by dishonestly impeding the counting and certifying of election results, conspiracy to obstruct the Jan. 6 official proceeding at which the results were certified, and conspiracy against the rights of voters to have their votes counted. Two obstruction counts centered on Trumps efforts to pressure Vice President Mike Pence to violate the law that provides for him to supervise the counting of electoral votes by claiming falsely that Pence could reject them. In Georgia, the counts against Trump include two of conspiracy, three each of solicitation of violation of oath and making false statements, and one each of racketeering, forgery and impersonating a public officer. These counts include 10 other defendants, including former New York Mayor Rudy Giuliani, Trumps personal lawyer during the election challenges and former White House chief of staff Mark Meadows. All 19 defendants are accused of engaging in a criminal enterprise under Georgias Racketeer Influenced and Corruptions (RICO) Act, a state counterpart of the federal law often used against crime syndicates by federal attorneys, such as Giuliani was in the 1980s. The indictment cites 161 individual acts of which the best known is Trumps phone call to Georgia Secretary of State Brad Raffensperger seeking to change the Georgia result. Others in which Trump is involved include pressuring Georgias legislative leaders to call special sessions to change the states results, the organization of the false electors to replace the duly chosen ones, the court filing of false fraud claims and urging Raffensperger to violate his oath. One potential complication is the fact that, in all these charges, there are multiple defendants, sometimes including Trump and sometimes not. This could lead to inevitable complications, especially if any of the defendants chooses to cooperate with the prosecutors. In other cases, Trump faces a March 25 trial date in New York for the case in which he is accused of paying hush money during the 2016 campaign to a former porn star, and a May 20 trial date in Florida for the federal case accusing him of improper possession of classified documents and obstructing efforts by federal officers to get them back. The trial schedule will inevitably overlap with the GOP primary process, which starts with the Jan. 15 Iowa caucuses, prompting repeated claims from Trump that the Biden administration is trying to affect the GOPs choice of an opponent for President Joe Biden. The real impact if any will come if, and when, the former president actually goes on trial and, especially, if he is convicted. In one sense, the Atlanta indictment is important politically as well as legally in that like the earlier Washington indictment it provides a highly detailed factual account of Trumps efforts to overturn the election results, including some after he left office. But it may actually have complicated matters by producing a legal case that is so complicated and involves so many people that any trial will be difficult to conduct. SAN DIEGO When you elect someone president, you want that person to have good judgment. As leadership traits go, that is way up on the list. You want someone who can have hard conversations and make tough decisions with a clear head. You want someone who always puts the country first, without showing favoritism to friends or family. You want someone who can draw the line and hold people accountable, even when doing so is difficult or painful. Sadly, where his son Hunter is concerned, President Biden cant seem to do any of those things. Instead, the father coddles his son, turns a blind eye to his failings, excuses his misbehavior and enables his addictions. The life of 53-year-old Hunter Biden should have little orange cones all over it. The dysfunctional family dynamic which has probably caused headaches for both father and son for years has finally spilled into the public square in ways that even President Bidens defenders in the media cant ignore. Now that former president Donald Trump has been indicted for a fourth time by a grand jury in Fulton County, Ga., on state charges of election fraud, racketeering and other alleged offenses related to the 2020 presidential election those on the left will continue to argue that no one is above the law. For the first time, it appears this includes the presidents son. Hunter Biden is back on the front page and facing one piece of bad news after another. In one of the biggest headlines, David Weiss the U.S. attorney for Delaware, who has investigated Hunter Biden since 2019 with little to show for it was recently named special counsel by Attorney General Merrick Garland. The choice rankled conservatives. Some of them are frustrated with Weiss, accusing him of dragging his feet in the inquiry and ignoring Hunter Bidens foreign business ventures, which included deals with clients in Russia, China and Ukraine that he appears to have landed by trading on the family name. Others would have preferred that Garland pick a lawyer outside the administration, in the hopes that the investigation would have more independence from the White House. But little has changed within the federal food chain. Weiss still works for Garland, and Garland still works for the president. Has anyone ever advanced in a company by going after the bosss kid? There was more bad news for Hunter Biden last month when a federal judge rejected a plea deal with prosecutors in Weiss office that would have allowed him to avoid jail time. Under the deal, Hunter Biden would have pleaded guilty to two misdemeanor counts of failing to pay taxes and signed onto a diversion agreement on a gun-related felony for owning a weapon while using drugs. And this week, in his new role as special counsel, Weiss said the deal his team previously reached with Hunter Biden to resolve the felony gun possession charge was never approved by a probation officer and therefore is not binding. Meanwhile, Republicans on the House Oversight Committee claim that they have obtained financial records proving that while Joe Biden was vice president more than $20 million in payments from foreign sources found their way to the Biden family and their business associates. For those on the right, the Hunter Biden saga is about a troubled man who made his living by peddling his fathers influence. The case they want to make is that the president personally profited from Hunters business deals. Is that true? Who knows? White House press secretary Karine Jean-Pierre hasnt done much to clear the fog. She used to say that President Biden never discussed his sons business deals. More recently, she tweaked her statements and now claims that the president never involved himself in his sons business. If you think being president is a tough job, try being a parent to a drug addict. You have to feel sorry for Joe Biden. He must have felt powerless. Maybe he didnt know what to do. So, instead of telling his son to knock it off and stop trading on the family name, it appears that he did nothing. And now here we are. The Hunter Biden story is a tragedy. President Biden has a reputation as a devoted family man. But when it was time to be that parent who reins in a wayward son and puts an end to his shenanigans, he came up short. Nebraska State Patrol troopers arrested a 42-year-old Lincoln man Tuesday afternoon after a pursuit in western Lancaster County, according to a press release. The Seward County Sheriff's Office alerted the State Patrol to a stolen vehicle believed to be heading east toward Lincoln. A trooper spotted the Chevrolet Suburban, headed east on U.S. 6 near Emerald. The trooper tried to stop the vehicle in Emerald but the driver took off from the stop and drove through a fence and residential yard, then south through a cornfield, in an attempt to flee. Once stopped in the field, the driver tried to run. A State Patrol K-9 unit tracked the suspect, finding him hiding among hay bales. The suspect, 42-year-old David Ruhl of Lincoln, was then taken into custody and transferred to Bryan West Campus for treatment. After his release from the hospital, Ruhl will be booked into the Lancaster County jail on suspicion of flight to avoid arrest, obstructing a police officer, criminal mischief and theft. Ruhl also has pending charges in Seward County. The investigation is ongoing. Top Journal Star photos for August 2023 He was one of 16 Georgia Republicans who signed a certificate falsely stating that Trump had won the state and declaring themselves the state's "duly elected and qualified" electors. Shawn Still was the finance chairman for the state GOP in 2020 and served as a Georgia delegate to the Republican National Convention that year. He was elected to the Georgia state Senate in November 2022 and represents a district in Atlanta's suburbs. A Douglas County District Court judge cited on suspicion of driving under the influence last month has pleaded not guilty to an updated charge of reckless driving. Tressa Alioth, who was appointed to her judgeship in 2021, faces a misdemeanor charge of reckless driving after she was pulled over in a Bennington neighborhood last month. Deputies at the scene cited Alioth on suspicion of DUI and transporting a child while intoxicated, but those charges were never filed by prosecutors. Alioth was pulled over in Bennington shortly before 2:30 a.m. on July 2, according to a citation from the Douglas County Sheriffs Office. Court documents show that she was about two blocks away from her home at the time of the stop. A sheriffs deputy cited Alioth for first-offense DUI, refusal to submit to pretest, transporting a child while intoxicated and driving left of center. According to the citation, Alioths blood alcohol content was .088%, just above Nebraskas limit of .08%. City prosecutors weighed charges against Alioth for more than a month before charging the 49-year-old judge with misdemeanor reckless driving last Friday. The charge carries a penalty of up to three months in jail and a $500 fine. Reckless driving is defined in state law as operating a motor vehicle in such a manner as to indicate an indifferent or wanton disregard for the safety of persons or property. On Sunday, Alioth entered a written plea of not guilty to the reckless driving charge. She remains on the bench in Douglas County. Top Journal Star photos for August 2023 Rep. Don Bacon said FBI cyber-analysts have told him his campaign and personal emails were hacked by Chinese spies earlier this summer, part of a larger hacking campaign that also targeted U.S. State Department and Commerce Department accounts. The Nebraska Republican said he was told the Chinese Communist Party had access to his account between May 15 and June 16 due to a vulnerability in Microsofts software. That weakness has since been corrected. They were in my emails for 31 days, Bacon said in an interview. In a July 11 blog post, Microsoft attributed the hack to a China-based threat actor called Storm-0558, which it said focuses on espionage, data theft and credential access typically targeting government agencies in western Europe. At the time, Microsoft said the hackers gained access to email accounts affecting about 25 organizations, including government agencies, as well as to consumer accounts of individuals likely associated with those agencies. They used forged authentication tokens to make it appear that they were actually the customers. Microsoft said in the blog post that it had notified administrators for all the agencies and completed mitigation to all customers. The organizations were not identified at the time. Bacon said he didnt learn of the breach until the FBI contacted him Monday. He said he asked whether he might have done something to expose his accounts to the hackers. They told me it was nothing I did wrong, he said. Bacon said he did remember receiving a notification from Microsoft earlier this summer encouraging him to change his password, which he now realizes probably was connected to the breach. Otherwise, he never saw any clue that his emails were being read or misused. I didnt have any indication. Nothing stuck out, Bacon said. He said he believes he was targeted because of his criticism of Chinas government and its human rights record. The Chinese, I think, see me as an adversary, Bacon said. Ive been very vocal about the Uyghur genocide, and Im a strong supporter of democracy in Hong Kong and Taiwan. He said he is not aware of any other member of Congress who was targeted. The Chinese can expect me to double down on Taiwan, he added. Bacon, a retired Air Force brigadier general, said he served most of his 30-year career in military intelligence and is well aware of Chinese and Russian hacking capabilities. As such, he never discusses sensitive information in unsecured channels. Ive always assumed my email and cellphones were targets, he said. Ive always been suspicious about China and Russia. Top Journal Star photos for August 2023 An Omaha lawmaker has vowed to try to block Nebraska Gov. Jim Pillen's pick to lead the Department of Health and Human Services over the appointee's engagement with anti-LGBTQ social media posts and his thorny history as a public official in Missouri. Gov. Jim Pillen announced Tuesday that Steve Corsi, a longtime fixture in public and private health care agencies across the country who earned a doctor of psychology degree from a for-profit university in California, will begin serving as the CEO of HHS next month. He will replace Dannette Smith, who resigned from the state's largest agency earlier this month to take a post with a national firm after leading HHS for four years. Corsi, who serves as the CEO of Central Wyoming Counseling Center, previously led the Missouri Department of Social Services and Wyoming Department of Family Services among stops in a 31-year career working for and leading public and private care providers. Upon news of his appointment Tuesday, Omaha Sen. Megan Hunt, a registered nonpartisan, highlighted Corsi's engagement with homophobic and transphobic social media posts on Twitter, now known as X. In one such post, Corsi responded to a March tweet that suggested "we live in a world where your kid cannot pretend to be an Indian. But a grown man can pretend to be a woman," in an apparent attack on transgender women. "So sad and true," Corsi replied in a post dated March 7. Corsi also "liked" an April 24 post that declared: "Men can't become women. Women can't become men. No child is born in the wrong body. Sterilizing & mutilating children who suffer from mental illness is the greatest medical scandal in history," among other posts Corsi engaged with that suggested trans individuals are mentally ill. Screenshots of posts that Corsi had liked, retweeted or commented on also showed the incoming HHS head engaging with tweets expressing COVID vaccine skepticism. Corsi liked an April post from Scott Jensen, a medical doctor and politician who lost his bid for Minnesota's governorship last year. Jensen, who was investigated by the Minnesota Board of Medical Practice for making misleading claims surrounding the pandemic, garnered a "like" from Corsi in March when he tweeted: "They want to take my medical license, but not Anthony Fauci's. Think about THAT." Other posts Corsi liked and retweeted expressed skepticism over the efficacy of COVID vaccines, which help protect against severe disease, hospitalization and death in adults, according to the CDC, which continues to author vaccine effectiveness studies. Corsi also liked a tweet suggesting homosexuality is sinful. Corsi, who did not return a message left for him Wednesday at the Central Wyoming Counseling Center, made his Twitter account private sometime Tuesday after users, including Hunt, pointed out his engagement with the posts. In a post highlighting a sample of Corsi's "likes," Hunt, who is bisexual and whose son is trans, suggested Nebraska's Legislature would block his appointment when senators convene for the 109th legislative session next year. Hunt also pointed to media reports in Missouri, where The Missouri Times reported in February 2018 that Corsi, then the director of that state's Department of Social Services, was being paid through two different state agencies and earned a sum that exceeded the set salary range for his position. Corsi was paid $128,244 a year for his work as the director the maximum for the position and an additional $13,756 annually through the states Department of Health and Senior Services. The News Tribune in Jefferson City reported a month later that Corsi continued to be paid by both departments despite a pledge to stop. He told the outlet in March 2018 that, after noticing he was again paid from both agencies that month, he reported the double payment to his agency's human resources, asking them to correct the issue. Corsi will make $257,000 as the HHS head in Nebraska. "Its not hard to find credible medical experts to lead the Department of Health and Human Services," Hunt said in a tweet Tuesday, criticizing the Republican governor's selection of Corsi to lead HHS. "This is just a leadership failure on the part of Gov. Pillen," she wrote. "They either did zero vetting of (Corsi) or they vetted him and they loved him. I dont know which is worse." Laura Strimple, a spokeswoman for Pillen, said the governor plans to move forward with Corsi's appointment despite the concerns Hunt and others raised Tuesday, adding that Corsi "came highly recommended and was recruited for the position." Strimple said Corsi's vetting process included an interview with Pillen, Lt. Gov. Joe Kelly and other members of Pillen's leadership team. "Gov. Pillen was elected because of his strong conservative values," Strimple said in an email. "He has now appointed someone who also has a strong conservative track record of competence and experience in state health and social services to lead one of Nebraskas most significant agencies." She said Pillen expects all public servants, particularly those in leadership, "to treat others with the utmost dignity and respect." She did not comment directly on Corsi's social media engagement, but said he would bring "an established record of public service and servant leadership" to Nebraska and "meets the high expectations set by" the governor. Corsi, in the governor's Tuesday news release announcing his appointment, called the appointment an honor and said he's "excited to hit the ground running by establishing, executing, and measuring a strategic vision for" HHS. His appointment to HHS remains temporary until the Legislature approves or rejects his nomination when the body reconvenes next year. A simple majority of senators 25 of Nebraska's 49 lawmakers would be required to approve Corsi's hiring. Though rejections of such appointments are rare in Nebraska, the Legislature in June voted to reconsider and then reject the hiring of the would-be director of the Nebraska Public Employees Retirement Systems. In that instance, lawmakers had already approved Jason Hayes as the director of the agency, but voted a week later to rescind their approval after concern over Hayes' qualifications were raised in the days following the initial vote. Photos: Last day of the 108th Nebraska Legislature Gov. Jim Pillen appointed Steve Corsi as the new CEO of the Nebraska Department of Health and Human Services, replacing Dannette Smith. Smith resigned earlier this month after four years in the role to take a job with a national firm. Corsi's first day is Sept. 11, and he will make a similar salary to Smith at $257,000 per year, according to a state press release. Corsis most recent role is acting CEO of the Central Wyoming Counseling Center. He previously led the Missouri Department of Social Services, Wyoming Department of Family Services, High Country Behavioral Health in Wyoming, and the Cornerstone Behavioral Health Group in California. In 2019, Corsi became head of Volunteers of America Western Washington, where he grew the organizations revenue from $17.2 million to $115 million over the course of roughly four years. Steve brings a wealth of experience and leadership to DHHS, having served as director and CEO over a number of social service organizations In addition to his operational expertise, Steve has a strong servant focus when it comes to providing program services to those who need them," Pillen said in the press release. Corsi will inherit several heavy responsibilities from Smith as the new leader of the state's largest agency. While many praised Smith's work in the role, her legacy was also marked by multiple controversies, including the department's response to the COVID-19 pandemic and the rollout of Nebraska's Medicaid expansion program. Pillen still has two other vacancies to fill in DHHS a director of the children and family services division and a director of behavioral health. Pillen did not retain either of his predecessor Gov. Pete Ricketts' appointees for the roles, so Smith served as interim director of children and family services until her resignation. Top Journal Star photos for August 2023 WATERFORD Emotional warnings about potential deadly consequences have paused a plan to save money in the Waterford Fire Department by increasing reliance on volunteer firefighters and neighboring departments. Village Board members agreed to postpone action Monday after the communitys fire chief and others cautioned that the strategy would dilute already-thin service on fires and ambulance calls. Fire Chief Kevin Hafemann recounted a recent ambulance call in which the patient waited 26 minutes for an ambulance from a neighboring community because budget cuts have left the Waterford department understaffed. Hafemann told village trustees they should not reduce the budget crunch to statistics on a sheet and forget that firefighters deal with life-or-death emergencies involving real people and sometimes their next-of-kin. You dont hear the screams, the chief said. You dont have to talk to the loved ones. Fire Capt. Reed Johnson called the new staffing plan shortsighted, saying that current manpower levels already are too low. Life-saving efforts would be hampered even more, Johnson said, under the new approach. Im terrified of telling someone that their loved one is gone because we didnt have enough staff, Johnson told the Village Board. Village Board members voted unanimously to postpone action until after seeking the fire chiefs input about staffing levels. Village Administrator Zeke Jackson had submitted the proposal to control spending on fire protection by maintaining reduced staffing levels while also working to boost the volunteer ranks and sharing resources with neighboring fire departments. Jackson did not address the Village Board during Mondays discussion. Village President Don Houston, however, defended the village administrator against criticism that his plan would sacrifice public safety for the sake of money. Zeke is doing his job, Houston said, keeping the budget where its supposed to be. The village this year is operating on a budget of $16.5 million, down from $20 million last year. The fire department budget was trimmed from more than $1.4 million to less than $1.3 million. The department includes four full-time and 35 part-time firefighters. Staffing at the firehouse has been reduced to three people on weekdays and two on weeknights and weekends half of what staffing levels were a year ago. Firefighters and paramedics sometimes must wait for neighboring mutual-aid departments to respond before crews can enter a burning building or begin extrication at a traffic crash. Village Board members last month agreed to consider a public referendum, possibly next April, seeking authorization to increase property taxes for the fire department. State-imposed tax caps limit a municipalitys ability to raise property taxes without such voter approval. Jackson then submitted his plan to adopt new standards based on estimates that the department averages just one structure fire a month and two ambulance calls a day. The strategy would keep two employees in the firehouse, and supplement that with more volunteer firefighters and new consolidation with neighboring fire departments. Hafemann called the new standards ill-conceived, saying they would aggravate current staffing problems. He told the board that he was not consulted about the proposal. You never even spoke to me, he said. How do you not include a fire chief? Others joined in urging the Village Board to reject Jacksons plan. Janice Piper, chairwoman of the Waterford Fire Commission, which oversees hiring in the department, recalled her own medical emergency three years ago. The ambulance arrived at her house with five paramedics and other staff on board, which she credited with saving her life. Even if the department averages only two such calls a day, an emotional Piper said, the village must provide enough resources to ensure that life-saving efforts are not hindered. Calls have names. Calls are lives, she said. Theyre not just calls. Theyre people. In agreeing to postpone action on Jacksons proposal, village trustees also distanced themselves from the village administrator. Trustee Troy McReynolds called it ludicrous that Jackson submitted the staffing strategy without first consulting the fire chief. Trustee Adam Jaskie said the village administrator does not speak for Village Board members. Board members, however, also urged the general public to ease up on criticizing village officials for their handling of the fire department issue. Its pretty easy to vilify us, trustee Pat Goldammer said. Tone it down a little bit. WIND POINT Village residents who say they have been antagonized by wild turkeys may soon have some relief. The Wind Point Village Board unanimously voted on Aug. 11 to submit a permit application to the Wisconsin Department of Natural Resources for trapping and relocating the wild turkeys. Wind Point residents have reported being chased, attacked and followed by the wild birds. Many residents blamed the birds staying in the area on neighbors who were reportedly feeding the turkeys. In June, the Village Board drafted an ordinance and wrote a letter to residents that prohibited feeding the wildlife and urging residents to stop the practice, in hopes that the turkeys would leave residents alone. We recognize that in a perfect world the feeding would have stopped but it has not, Village Trustee Herb Beighley said. But the permit will be issued based on that reality and because of the need of getting relief for the residents. Trapping and relocation services will be contracted out to the United States Department of Agriculture and will begin sometime in September. Once trapped, someone from the USDA will come get the turkey and relocate it to a wildlife site on state property about 20 miles from the village. Residents will be able to sign a form and permit if they want to give permission to place a trap on their property. Village Trustee Rob Loppnow said while he loves seeing the wildlife, feeding the animals could cause a long-term problem. Were not running zoos here, Loppnow said. None of us want problems. None of us want to bring something into our area that will cause our neighbors harm or our animals harm. 1. Yes. As long as the basic ordinance is still intact, its smart to adjust to the local market. 2. Yes. If part of the ordinance isnt workable, it doesnt make sense to force the issue. 3. No. City staff worked hard on those design standards; its not fair to scrap them now. 4. No. Developers and builders shouldnt get to set their own rules. Keep the standards intact. 5. Unsure. Its best if the city and developers work together, but the city has the last word. Vote View Results Summit Carbon Solutions' permit request was expedited after Erik Helland became the new chairperson of the Iowa Utilities Board. (Screenshot of IUB meeting livestream) State regulators should postpone a final hearing for a proposed carbon dioxide pipeline in Iowa because North Dakota rejected the project route, opponents of the pipeline say. The Sierra Club of Iowa and landowners have asked the Iowa Utilities Board to pause the permit proceedings for Summit Carbon Solutions. Its evidentiary hearing is poised to start next week in Fort Dodge. Summits project spans five states and would transport captured carbon dioxide from more than 30 ethanol plants to North Dakota for underground sequestration. However, North Dakota regulators rejected the companys proposed route in that state this month because it didnt minimize its negative effects on residents and the environment. Without a North Dakota permit, Summit has no project, wrote Wally Taylor, an attorney for the Sierra Club, in a Friday motion to suspend the permit proceedings in Iowa. The company expects to ask North Dakotas Public Service Commission to reconsider its permit application this week, said Sabrina Zenor, a Summit spokesperson. Summit Carbon Solutions hears the concerns from the Public Service Commission, and we are addressing those concerns in our application, she said. Summit will seek eminent domain for about a quarter of its route in Iowa, which totals more than 680 miles. Zenor said the company has voluntary easement agreements for about 75% of the route. This overwhelming level of support is a clear reflection that they believe like we do that our project will ensure the long-term viability of the ethanol industry, strengthen the agricultural marketplace for farmers, and generate tens of millions of dollars in new revenue for local communities across the Midwest, Zenor said. Its unclear when the Iowa Utilities Board will rule on the request to suspend the permit process. The board also has yet to decide whether Summit must reveal specific details of its financial agreements with ethanol plants in Iowa to verify its claims of economic benefits of the project. An administrative law judge said two weeks ago that the company should provide unredacted copies of its contracts to attorneys who represent the Sierra Club and the Iowa Farm Bureau Federation. Pipeline opponents have sought to delay Summits evidentiary hearing until next year. The company requested a more expeditious timeline and a decision on its permit by the end of the year. The Iowa Utilities Board settled on a start date for this month for the hearing despite earlier indications it would begin in October, which some state lawmakers have said indicates the permit process is being fast-tracked. Summit rejects that claim and has noted that the permit process has been ongoing for two years. When Summit announced the project in 2021, it predicted the pipeline would be operational in 2024. Its unclear how the companys setback in North Dakota will affect that timeline. Given the recent denial of Summit Carbon Solutions pipeline permit in North Dakota, it doesnt make sense to hold hearings now on the issue of eminent domain, Mary Powell, a Shelby County landowner, wrote recently to the board. It would not be fair to take our land away from us when the pipeline that is proposed to run through our land has nowhere to go. A recent Iowa Utilities Board decision that denied a motion to dismiss Summits permit petition is also being challenged in state court. On Friday, landowner George Cummins and his attorney Brian Jorde sought judicial review of the July decision and a suspension of the companys permit process while that review is pending, according to court records. Cummins argues that Summits project is not regulated by the Iowa Utilities Board because it wont transport liquefied carbon dioxide, which state law considers a hazardous liquid. The carbon dioxide that will flow through the pipeline system will be in a supercritical state that has characteristics of gases and liquids. A district court judge in Hardin County already rejected the argument in a land survey lawsuit, but the new petition is filed in Polk County. A judge has not yet taken action on the new petition. Editors note: This article was updated to clarify the request Summit Carbon Solutions expects to make to North Dakota regulators this week. From crossing the English Channel 44 times carrying troops and tanks to Omaha Beach and injured back to England during D-Day in World War II, to serving the Greek Navy for 36 years and now finally making its way up the Mississippi River, the military sea transport vehicle LST-325 will dock in La Crosse for five days allowing visitors to see a slice of American war history up close. The LST-325 served the U.S. Navy during WWII and was designed to land battle-ready tanks, troops and supplies on enemy shores by raising its front end before hitting ground and lowering its ramp. The ship survived the war and continued with arctic operations with the Military Sea Transportation Service, before it was given to the Greek Navy, which used it until the early 90s. Then in 2000, a small group of American veterans made the month-long journey riding the ship back to the States, bringing the ship, its stories and history, back home. One crewmember was Don Molzahn, a La Crosse American Legion Post 52 member. Ken Rupp, Cruise Director for the USS LST Ship Memorial, called the ship the Swiss army knife of the Navy for its adaptable ability. Its eating area turns into a surgeon station, it holds enough fuel to refuel other ships at sea and its flat bottom even lets the ship navigate the Mississippi River. The Greeks will not scrap out a ship. They will literally park it and let it rot and fall apart on shore someplace, Rupp said. But there was a group of LST sailors that went over and brought it back. The U.S. built thousands of the ships. An LST, which stands for Landing Ship, Tank could unload 20 U.S. Sherman tanks on shore. LST-325 is owned and operated by the nonprofit USS LST Ship Memorial and sits docked in Evansville, Indiana for 11 months out of the year. The ship tours the country educating visitors about the role of the LST in World War II, the Korean War and the Vietnam War. We want to show what the LST did what the individuals went through that sailed the ship, tell the story about all the men and women that built the ship, Rupp said. Its all part of the whole entire World War II heritage. Its truly the greatest generation and its not just the guys that went to war, its the people back home as well. If you go What: LST-325 docking When: 9 a.m. to 5 p.m. Aug. 31 - Sept. 4 Where: Riverside Park, La Crosse Tickets: Adults: $15 Children ages 6 to 17: $7.50 Information: www.lstmemorial.org While docked at Riverside Park in La Crosse, the ship will be open daily from from 9 a.m. to 5 p.m. Aug. 31 to Sept. 4 with self-guided tours and people onboard to share the ships history. Rupp said the ships visit provides an opportunity where visitors dont have to travel far to interact with history. While the ship has historical significance and is in museum quality, its still a fully operational ship cruising on its own power. Were touching history, Rupp said. The story around the ship is tremendous and the more you hear about it the greater it gets. After the LST-325 sets sail from Evansville for its annual tour, the USS LST Ship Memorial website will broadcast the ships GPS location allowing people to follow its course to La Crosse. Rupp said hes excited for the ships La Crosse docking not only to educate people but to hear the stories of the ships visitors, especially people with histories tied to not only the ship but the battlefields the ship serviced. Everybody seems to walk onboard with their own story, Rupp said. And that is whats kind of exciting about it. The Chippewa County Sheriffs Office will increase its traffic enforcement this month after receiving federal grants to fund additional seatbelt and speed patrols. The sheriffs office will work with police departments from Chippewa Falls, Cadott, Cornell and Stanley to crack down on those driving without seatbelts after the agencies received $50,000 from the Bureau of Transportation Safety to cover extra seatbelt patrol efforts. The office will also work with the Cadott and Cornell police departments on speeding after they received $8,500 from the Bureau of Transportation Safety to cover extra speeding patrols. Our goal as law enforcement is zero in Wisconsin when it comes to traffic fatalities, Chippewa County Sheriff Travis Hakes said in a release. Speed is one of the primary factors contributing to traffic crashes. The higher the speed, the more severe the crash and the more likely you will be injured. Lack of seatbelt use is one of the primary factors contributing to fatalities in traffic crashes. It is far more likely you will be injured in a crash if you are not wearing your seatbelt. Its really pretty easy buckle up, dont drive above the speed limit, be attentive and dont drive if you are impaired. During August, patrols will be out Aug. 16, 21-24 and 30-31. La Crosse County Circuit Court Judge Ramona Gonzalez will decide later this month whether a 16-year-old male accused of killing his 4-year-old niece will be tried in juvenile court. Kamitri Riles faces a single felony charge in adult court of first-degree intentional homicide. His attorneys, Meredith Davis and Patricia ONeil, requested that the case be transferred to juvenile court during a reverse waiver hearing Wednesday. The court heard from three Department of Justice witnesses who testified about the juvenile justice process. Gonazlez ruled there is no more witness testimony relevant to the waiver issue and requested that prosecution and defense attorneys submit written arguments outlining their positions by Aug. 29. La Crosse County District Attorney Tim Gruenke said its the first time a reverse waiver hearing has been conducted in the county. Prosecutors allege that Riles inflicted multiple blunt force injuries to his niece June 14 while babysitting the girl at the 910 Winneshiek Drive address where they both resided. The court has not released the girls name. She is identified in court proceedings by the initials S.H. The Wisconsin Department of Natural Resources and Sauk County authorities said they are investigating the death of man at Devils Lake State Park on Tuesday that was reported as a fall. The initial reports are that two hikers were separated while on the parks West Bluff on Tuesday afternoon and one hiker filed a missing person report with authorities when the other could not be found, the DNR said in a statement. A second group of hikers came upon the hiker who had been reported as missing and reported a possible fall along the West Bluff, the DNR said. Emergency responders found the man dead just before 5 p.m. Tuesday, the DNR said. The park remained open and the DNR said there is no threat to park visitors or the public. Its no secret that attendance at club games in the evening has been declining. For four consecutive weeks in May and June the Soledad Thursday night game in San Diego dipped to four tables, hovering at financial break even and at risk of statistically fluctuating under three tables. In 2008 this game averaged 9.4 tables. Old timers mention it averaging 1316 tables as recently as 2000, just a few years before I arrived in San Diego. I had heard rumors that a similar decline was happening across the country. But we all live in the bubble of our own locality and memories just ten years distant grow fuzzy. So I asked Bruce Knoll at ACBL headquarters to run a few simple database queries for me. He forwarded my request to Richard Oshlag who sent me the data shown in the table below which I augmented by computing the columns with blue column headers. Several things are readily apparent. First, annual table count at clubs has been remarkably consistent for 25 years, averaging 2.35 million, despite the steadily aging membership. Second, the percentage of the table count from evening games has fallen monotonically from 43.75% to just 16.47% as illustrated in the plot below. Simple linear extrapolation (red line) predicts the total annihilation of evening club bridge in 15 years. But I predict it will take less than half that time for nearly all evening club games to crash through the danger zone of four or fewer tables. Third, online bridge is doing quite well, gaining steadily, though it is showing signs of leveling off. The online evening percentage has stabilized at 35%. The online numbers are from ACBL sanctioned Bridge Base Online (BBO) events. The events are the hourly Speedballs (IMP Pairs), hourly Individuals, Robot Duplicates, and Instant Tournaments. The typical time commitment is about one hour. When evening table counts from clubs and BBO are combined, the total evening table count is actually increasing. However, a one hour commitment online is only about one third of time commitment of playing at a club game (though online play is faster). The final table column adjusts for this by adding the evening club table count and one third of the evening online table count. This Evening Adjusted Tables is holding steady at about 750,000 tables. In essence we are seeing players split what might have been a once a week evening visit to a club into three online events, perhaps one per night. But the stability of the Evening Adjusted table count is less encouraging than it may seem. Uday Ivatury, CEO of BBO, kindly provided me more detailed statistics. A stunning 55% of ACBL sanctioned BBO table count are robot games where one human is paired with a robot and faces robot opponents. In homage to Robert Putnam, it appears we are clicking alone. And though the robot games are nominally one hour events, most players burn through them in a half hour. Between 2013 and 2014 robot games grew in every hourly time slot except the wee hours of 1-5 am MST. Uday provided hour by hour trends for ACBL sanction games with all human participants. He reports, a significant decrease in hour 00 [midnight MST] and 01; virtually shut down in the wee hours of 0205; decreased slightly in 1012 (lunch) and 1623 (late afternoon / evening); flattish in 0810 (morning) and 1315 (afternoon); and increased significantly in 0607 (early morning). Maxwell Mansion, located at 304 S Wells St., Lake Geneva, will be hosting a three-day fundraiser this weekend for those affected by the Maui wildfires. The event will be held all day Friday, Saturday and Sunday. It will highlight specific tropical drinks that, if purchased, 50% of the proceeds will go directly towards charities helping the island. Money raised from the fundraiser will go to the Maui Strong Fund at https://www.hawaiicommunityfoundation.org/home and the Maui Humane Society at https://www.mauihumanesociety.org/. Donations can also be made through both websites. Photos: A week after Maui wildfire, islanders survey aftermath and look ahead to recovery SEOUL, South Korea (AP) North Korea on Wednesday offered its first official confirmation that it had detained a U.S. soldier who bolted into its country last month, releasing a statement through its propaganda outlet attributing unverified statements to the Army private that criticized the United States. One expert called the announcement "100% North Korean propaganda." There was no immediate verification that Pvt. Travis King, a 2020 graduate of Park High School in Racine, actually made any of the comments about his home country. King, who had served in South Korea and sprinted into the North while on a civilian tour of a border village on July 18, became the first American confirmed to be detained in the North in nearly five years. The official Korean Central News Agency, citing an investigation, reported that King told them he decided to enter North Korea because he "harbored ill feelings against inhuman mistreatment and racial discrimination within the U.S. Army." The report said King also expressed his willingness to seek refuge in North Korea or a third country, saying he "was disillusioned at the unequal American society." KCNA is a propaganda outlet and an official voice of leader Kim Jong Un's government, and its content is carefully calibrated to reflect North Korea's official line that the United States is an evil adversary. The report said North Korea's investigation into King's "illegal" entry would continue. Verifying the authenticity of the comments attributed to King in North Korea's state media is impossible. WHAT MIGHT HAPPEN NEXT The United States, South Korea and others have accused North Korea of using foreign detainees to wrest diplomatic concessions. Some foreign detainees have said after their releases that their declarations of guilt while in North Korean custody were made under coercion. A U.S. Defense Department official, speaking on condition of anonymity because of the sensitivity of the matter, said the U.S. had no way to verify North Korea's claims about King. The official said the Pentagon was working through all available channels to bring King back to the U.S. "This is 100% North Korean propaganda in its element. King, as an American citizen held in North Korea, has no sway in how (North Korea) chooses to cast its narrative," said Soo Kim, an expert with Virginia-based consultancy LMI and a former CIA analyst. "As for King's release, his fate rests in North Korea's hands. Perhaps the regime will try to 'bargain' King's life in exchange for financial concessions from the U.S. More than likely, negotiations won't be easy, and terms will be dictated by Pyongyang," she said. The soldier's family said his mother, Claudine Gates, is appealing to North Korea to treat her son humanely. "She's a mom worried about her son and would be grateful for a phone call from him," family spokesman Jonathan Franks said in the statement. "Lastly, she has been in touch with the Army this evening and appreciates a (Defense Department) statement that it remains focused on bringing Travis home." Tae Yongho, who was a minister at the North Korean Embassy in London before his defection in 2016, speculated North Korea might release King eventually because it didn't immediately express its intentions of accepting King as refugee in the North and spoke about a third country resettlement. Tae, now a lawmaker in South Korea, also cited North Korea's description of King as an illegal entrant, rather than someone who "voluntarily" entered the North. Tae earlier said North Korea would be reluctant to hold a low-ranked soldier like King for long because he won't provide it with high-profile U.S. intelligence and would require high costs and resources to manage his life. Some analysts have said North Korea might try to tie King's release to the U.S. cutting back its military activities with South Korea, amid heightened animosities between the wartime foes. THE BIGGER DIPLOMATIC PICTURE North Korea has conducted more than 100 weapons tests since the beginning of last year, many of them in the name of issuing warnings over the expansion of U.S.-South Korean military drills that it views as an invasion rehearsal. Next Monday, the allies are to begin major annual drills, which North Korea views as an invasion rehearsal. The leaders of the United States, South Korea and Japan are also expected to announce plans for expanded military cooperation on ballistic missile defense in the face of North Korea's evolving nuclear threats when they meet for a trilateral summit at Camp David on Friday, according to U.S. officials. On Tuesday, North Korea slammed U.S.-led plans for an open U.N. Security Council meeting on its human rights record as "despicable" and only aimed at achieving Washington's geopolitical ambitions. North Korean Vice Foreign Minister Kim Son Gyong said the council must first deal with the American human rights issue, calling the United States a depraved "empire of evils." In a statement carried by state media, Kim accused the U.S. of fostering racial discrimination, gun-related crimes, child maltreatment and forced labor. King, 23, was among about 28,000 U.S. troops stationed in South Korea as deterrence against potential aggression from North Korea. At the time he joined the civilian tour and crossed the border, he was supposed to b e heading to Fort Bliss, Texas, following his release from prison in South Korea on an assault conviction. U.S. officials say he has been declared AWOL, which can be punished by confinement in the brig, forfeiture of pay or dishonorable discharge with the severity based on their time away and whether they were apprehended or returned on their own. The United States and North Korea, which fought during the 1950-53 Korean War, are still technically at war since that conflict ended in a truce, not a peace treaty. They have no diplomatic ties and Sweden provided consular services for Americans in past cases, though Swedish diplomats reportedly have not returned to North Korea since the beginning of the COVID-19 pandemic. Associated Press writers Lolita C. Baldor and Eric Tucker in Washington contributed to this report. Photos: Kim Jong Un's public appearances through the years Russia-Africa Summit Spawned Widespread Plans for Nuclear Power for Africa Aug. 15, 2023, 2022 (EIRNS)As part of the July 27-28 Russia-Africa Summit in St. Petersburg, with 49 African nations represented, a session titled Nuclear Technologies for the Development of the African Continent unanimously agreed that the continent has a tremendous option in nuclear energy to light up Africa. EIR has found out the panelists to the exciting session who reached the conclusion, which includes Alexey Likhachev, Director General, State Atomic Energy Corporation Rosatom; Ibrahim Uwizeye, Minister of Hydraulics, Energy and Mining of the Republic of Burundi; Doto Mashaka Biteko, Minister of Minerals of Tanzania; Zhemu Soda, Minister of Energy and Power Development of Zimbabwe; Amged El-Wakeel, Chairman of the Board, Nuclear Power Plants Authority of Egypt; Fidele Ndahayo, Chief Executive Officer, Rwanda Atomic Energy Board; and Princess (Princy) Mthombeni, Founder, Africa4Nuclear; Nuclear Communication and Technology Specialist of South Africa. Panelist Princy Mthombeni spoke at the April 9, 2022 Schiller Institute conference, and gave an interview on July 21 this year to independent candidate for U.S. Senate from New York Diane Sare on the subject, Let There Be Light! Africa (and New York) Need Nuclear Power. Nigerias daily Vanguard, reporting on the Russia-Africa Summits session on Nuclear Technologies for Development of the African Continent, featured, The leaders took turns to present their levels of power efficiency and X-rayed how nuclear energy can engender wealth creation, energy efficiency, technology sovereignty and advanced medicine. According to Chairman of Egypts Nuclear Power Plants Authority Amged El-Wakeel, nuclear energy has helped Egypt in many ways including clean water, health, agriculture and electricity, even as the country still needs to further advance its economy, using the technology. On the sidelines of the summit, Rosatom signed several agreements with African nations. Rosatoms Director General Alexey Likhachev and Zimbabwes Energy Minister Soda Zhemu signed an agreement on July 27, which Rosatom characterizes: The document establishes a legal framework for cooperation between Russia and Zimbabwe in the peaceful use of atomic energy in a wide range of areas, such as assistance in the creation and improvement of Zimbabwe's nuclear infrastructure in accordance with international guidelines; regulation in the field of nuclear and radiation safety, production of radioisotopes and their use in industry, medicine and agriculture; cooperation in areas of application of radiation technologies and nuclear medicine, education, training and retraining of specialists for the nuclear industry. On the same day, Likachev and Ethiopias Minister of Innovation and Technology Belete Molla established a roadmap that defines the specific steps the parties will take in 2023-2025 to explore the possibilities of building nuclear power plant of large or small power capacity, as well as a Center for Nuclear Science and Technology in Ethiopia. The two parties plan to join forces to develop Ethiopia's national nuclear infrastructure, organize technical tours and seminars, and hold meetings of specialized working groups. Russia has built or plans such centers in Rwanda and Zambia, Vietnam, Bolivia and Serbia. Further, Likachev and Burundis Foreign Minister Albert Shingiro signed a memorandum of cooperation for building human resources capacity in Burundis nuclear industry and development cooperation of relevant educational institutions, including joint short-term educational programs, training instructors, educational and scientific literature development. On July 26, a delegation headed by Burundis President Evariste Ndayishimiye, visited the Leningrad Nuclear Power Plant for the first time and assessed the high level of development of the Russian nuclear industry. The President was joined by Foreign Minister Albert Shingiro, and Minister of Water Resources, Energy and Mining Ibrahim Uwizeye met with the leadership of Rosatom and the Leningrad Nuclear Power Plant, and visited the site of PWR-1200 power units. Minister Uwizeye remarked: In our country, the most important national project Burundi-2040 is now being implemented. Its goal is to lead our country to energy security and independence.... Therefore, acquaintance with the Leningrad NPP in the perspective of the implementation of this national program is extremely useful. The South African Nuclear Energy Corporation (Necsa) entered into a Memorandum of Understanding with TVEL Fuel Company of Rosatom on July 27, providing for cooperation in the field of nuclear fuel production and related components. Necsa GCEO Loyiso Tyabashe stressed that the MOU is particularly important for South Africa as it paves the way for Necsa to re-establish its capability on nuclear fuel production and supply. I believe that this collaboration will enhance the technological capabilities of both parties.... Shoigu Discusses Russias Role in Promoting Independent States Aug. 15, 2023, 2022 (EIRNS)The importance of Russias special military operation in Ukraine is not limited to the operational theater in which military operations are taking place. History has once again given Russia the role of a locomotive in promoting the interests of the majority of independent states, Russian Defense Minister Sergei Shoigu said (in Russian) in his keynote address to the 11th Moscow Conference on International Security. The West, fearing a breakdown of the unipolar world and an open confrontation with a militarily strong adversary, provokes local conflicts around the world. The purpose of such policies is to weaken competitors, maintain control over resources, promote their own rules of life, and counteract the consolidation of dissenters. There has been a marked increase in Western states military presence in Asia, Africa and Latin America. U.S. overseas military bases continue to be improved, Shoigu noted, He then went on to describe the developing situations in the Asia-Pacific region, where The NATO bloc confrontation is being actively implemented, including by involving the United States European allies in solving regional security problem; and Taiwan, which Shoigu said is being used in a manner similar to that of Ukraine. In parallel, attempts are being made to destroy existing effective mechanisms for military cooperation, in particular ADMM-Plus, he said. Instead of the really important issues, whether it is military medicine or humanitarian demining, the focus shifts to gender issues, climate change and the Blue Economy. The situation in the Middle East is developing positively, Shoigu said, pointing to Syrias return to the Arab League and Saudi-Iran normalization as stabilizing factors. However, there is a high probability that the collective West will continue to provoke conflicts in the region, where potential hotspots abound. Shoigu noted that the Moscow conference is following the recent Russia-Africa summit. The discussions during the Russia-Africa Summit strengthened our conviction that countering neo-colonialism, along with countering the terrorist threat, is a basic element of cooperation, he said. We are convinced that African countries should have modern armed forces, trained and well-equipped, capable of responding independently to challenges and threats to their own security. Western activities on the African continent are primarily aimed at preserving the ability to siphon off the natural resources of former colonies by maintaining conflict hotpoints. A lot of anti-government and terrorist groups are used for this purpose. EIR LEAD EDITORIAL FOR WEDNESDAY AUGUST 16, 2023 Where Does Your Idea of Security Come From? Aug. 15, 2023, 2022 (EIRNS)Today marked the opening of the 11th Moscow Conference on International Security, an event where 76 countries and over 800 delegates from around the world came together to discuss the opening theme Global Security Realities in a Multipolar World. This was no small showing, and certainly not from an isolated Russia. Rather, the proceedings of the day indicate that discussions are ongoing at the highest levels of government around the non-Western world as to what the shape of the world will be in the futureand it shows just how depraved policymakers in the West are by comparison. Russian President Vladimir Putin opened the summit, saying that, we see a multipolar world order gradually take shape, and that most countries are ready to assert their sovereignty and defend their national interests, traditions, culture and way of life. He stressed that the world is now ready to address real solutions, focused on improving the quality of life and wellbeing for millions of people, rather than maintaining hegemony and subjugation from a few neo-colonial states. Furthermore, the President said: it is only by having the international community work together that we can lower the degree of confrontation on the global and regional levels, neutralize challenges and risks and build confidence between states, while also creating broad development opportunities. Russian Defense Minister Sergei Shoigu elaborated (in Russian) on the Commander in Chiefs remarks. He boldly stated that the era of the collective Wests dominance has been ended by Russias special military operation, and that these changes have increased the role of countries in Asia, Africa and Latin America in the world system. Referencing the breakthrough at the July 27-28 Russia-Africa Summit in St. Petersburg, Shoigu said the event strengthened our conviction that countering neo-colonialism, along with countering the terrorist threat, is a basic element of cooperation, and added proudly that Russias role in the world is becoming that of a locomotive in promoting the interests of the majority of independent states. To round out this picture, consider what was said by Gen. Li Shangfu, (in Russian) Defense Minister of China. Li said that against the background of colossal changes, President Xi Jinping put forward an initiative on global security, which offers the Chinese option to solve security problems in maintaining world peace. Among these are the Global Security Initiatives coherence with world peace, that it reflects the hope for harmonious coexistence, and that it reflects the aspiration for universal development, Li said. Poverty is a source of instability, he stressed. It is clear that the Chinese-Russian view of security has developed a principled foundation for future country-to-country relations moving into a new eraa foundation which much of the rest of the world is interested in standing upon. In short: Only by working together for win-win benefits, including the major element of solving economic underdevelopment, can true security be achieved. Now, contrast that to the concept of security coming from NATO and the not-so-united West. It was announced Aug. 13 that Finland is now in discussions with the U.S. on a defense cooperation agreement which will permit the deployment of American troops into Finland. If fulfilled, American troops could be stationed along Finlands more than 800-mile border with Russia, in a reverse Cuban missile crisis on steroids. Mikael Antell at Finlands Ministry for Foreign Affairs said that the agreement significantly strengthens Finlands security and defense. If this is anything like the security and defense assistance provided to Ukraine, Finns should take heed. NATOs and the Anglo-American offers of security to Ukraine have resulted in hundreds of thousands of dead Ukrainian young men and a country that will take decades to rebuild, if it even survives. Those still believing that peace and security are achieved by force and strong-arming your opponent into submission are more dangerous than a drunk driver behind the wheel of a semi-truck. Not only is such pretense at thinking insaneits not working. Russia has been rapidly rebuilding Mariupola year ago in the heat of warwhich today is beginning to experience living conditions even better than before the war began. Central Africa, along with China and Russias assistance in developing its energy and infrastructure, may soon raise its living standards above those in areas of Mississippi and Detroit. Many other African countries are now in the planning stages to receive new Russian nuclear reactors. Perhaps if Maui is ever to be rebuilt after the devastating fires, the U.S. will need to bring in the Russians and Chinese to help! What would be better yet, is a change of heart within Western nations, and a decision to revive that anti-imperial tradition which once existed. From that vantage point, it would be the most natural thing to collaborate with this growing ferment among the BRICS and Global South for a new, just international economic architecture, where those real problems can be finally worked out. That would be the United States the world longs to see again. Join the mobilization to organize for a breakthrough. Fluency is the ability to read a text quickly and understand it. It is the bridge between reading a word and comprehension. What fluency looks like Fluent readers can do the following: They can read with correct pauses and tone of voice or expression. They do not spend time figuring out each word. They make connections between the text and their own knowledge. They understand the larger meaning of the text. Fluency grows over time, and fluency develops from a lot of practice. To read fluently, readers must be able to divide the text into meaningful chunks. These chunks, or pieces, include phrases and clauses within a sentence. Fluency is not a reading stage of development in which students can read all words quickly and easily all the time. Fluency changes depending on the text. A student may be able to read a text they know fluently, but then read a new text very slowly. Teaching tips for fluency Read a text out loud. Then, read it again. Students need to read and then re-read texts out loud to teachers and classmates. Teachers should give feedback. Use activities that make students re-read texts. A good activity for increasing fluency is readers theater. A description of this activity comes at the end of the article. Choose the right text. The texts for fluency practice should not be too easy or too hard. If the text is too hard, students may have to work too hard to say the words while failing to understand them. Read out loud. If a student has a low reading level, reading silently is not very effective. It is better to read out loud alone, with a partner, or with the whole class. Model fluent reading. Teachers should model fluent reading. Students re-read the text out loud. Keep read-aloud activities interesting and active. Students should hear many different texts read fluently. Read the texts out loud with active expressions and body language. Read texts and not separate words. Reading word lists might improve vocabulary. However, it may not help students to learn to read fluently. Strategies for fluency Strategy: Readers Theater Readers theater is a fun activity to improve fluency. With readers theater students read from a script. They do not need to memorize. The best scripts for this strategy have lots of dialogue and characters. Choose a story that can be divided into parts. Give reading parts to each student. If you have more students than parts, you can have two or three groups act out the same story. Ask students to practice. First, they read their parts out loud. Then, the teacher helps them. Once the students have read the texts once or twice, they can design a set or costumes. Finally, they read their parts for an audience or their classmates. Why use Reader's Theater? It helps readers learn to read aloud fluently and with expression. You can use this strategy for many kinds of writing. You can read science, math, and life skills lessons as theater. It helps build reading confidence. Readers theater can be fun for very shy students. Because they are playing a role, they may feel more confident to read out loud. It allows students to be creative. They can make props, costumes, and design a set. Students can play music. It also tests a students listening skills. They must know when one actor finishes a line before saying theirs. Strategy: Partner Reading With Partner Reading, students read out loud to each other. It helps students to work together and supports peer learning. Partner Reading can be used with many kinds of writing. Pair students with the same reading ability, or pair high-level readers with low-level readers. Tell students how they will use partner reading. For example, they can read out loud together. They can take turns reading parts. Or one person can read while the other person listens. Partners can ask each other about the text. For example: What was your part about? What was your favorite part? What words did you already know? Which part gave you trouble? Strategy: Group Reading Reading together as a class gives less skilled readers the chance to practice and get help. It gives students a model for fluent reading. It helps to provide sight-reading ability. Choose a text that works well for reading out loud as a group. Group reading should: have repeated grammar patterns and repeated words be not too long be at the reading level of most students Give each student a copy of the text or write it on the board. Read the text out loud. Model fluent reading for the students. As you read, ask the students to follow along. Have all the students read the text out loud together. Assessment for fluency It is important to assess often. This will guide your instruction. All assessments for fluency should be done one-on-one with each student. Choose a text that fits the level of your student. Have them read out loud. Record how long it takes them and how many mistakes they made. When it comes to assessing fluency, experts say look for the following: Does the student stress appropriate words? Does the student's voice rise and fall at the right times as they read? Does the student read punctuation correctly in the text? Does the student read with emotion, such as happiness? Does the student pause correctly where subjects and verbs end, at phrases, and with other language chunks? Use the tips, strategies, and assessment methods that best serve your learners. Change them to fit your students and teaching situation. Im Anna Matteo. And Im Caty Weaver. Anna Matteo wrote this article for VOA Learning English. It is part of a larger collection of Early Literacy Materials. ______________________________________________ Words in This Story text n. a piece of writing comprehension n. understanding focus n. the act of placing and keeping ones attention on something script n. a piece of writing that is meant to be read out loud or preformed peer n. a person who belongs to the same age group or social rank as another person ______________________________________________ Resources Reading Rockets; University of Torontos Balanced Literary Diet; Fluency: Instructional Guidelines and Student Activities, Texas Reading Initiative; What Does Research Tell Us About Teaching Reading to English Language Learners? Suzanne Irujo, Reading Rockets; Types of Informal Classroom-Based Assessment, Reading Rockets; The U.S. weather agency is exploring the ocean around the Aleutian Islands aiming to map the sea floor and make discoveries about undersea life. The National Oceanic and Atmospheric Administration (NOAA) research ship, called Okeanos Explorer, is on a five-month mission. It is a former U.S. Navy ship, which has been changed to meet current needs. Civilians and members of the NOAA Corps are carrying out the mission. The ship has a 48-member crew. It has technology and tools to gather information about the deep ocean and to immediately share it with researchers. The hope is that the data will be used for future research. Mission leader Shannon Hoy said that the sea floor near Alaska is full of life. She added, You would never know that unless we were able to go down there and explore. Researchers are using several kinds of sonar technology, used to map objects underwater, and two remotely operated vehicles, Deep Discoverer and Seirios. They are designed to map and collect samples. The researchers are exploring the Aleutian Trench and Gulf of Alaska, areas of water near the U.S. state of Alaska. The researchers are also using cameras that can operate at depths of up to 6,000 meters. The cameras permit the researchers to document and immediately share their findings. The ship can also share live video of the dives with the public. Hoy said that, in two to four weeks, the Okeanos Explorer can map as much as 50,000 square kilometers of ocean floor. Hoy said the team plans to investigate some of the areas cold seep communities. These are places where gas from under the sea floor rises through openings. Life in these places is not believed to depend on the sun for food production. Hoy said the researchers are also going to study the water column to see what interesting animals they can find there. Kasey Cantwell is the ships operations chief. She said the data will help researchers and the public better understand these areas of the ocean. The information could also help make decisions about fisheries and could also identify dangers at sea and improve maps. Its really hard to care for things you dont understand, to love things you dont understand, Cantwell said. The deep ocean off Alaskas Aleutian Islands is one of the least mapped places in the U.S. Modern mapping has covered just 34 percent of the sea floor off Alaska. The area includes one of Americas largest coastal ecosystems, and only a small part of that has been seen, the missions web site says. Emily Crum is a communications specialist with the National Oceanic and Atmospheric Administration. She said filling holes in current information is a mission goal. The effort will also help meet a goal of mapping all of the United States deep waters by 2030 and near-shore waters by 2040. Im Gregory Stachel. Carla K. Johnson reported this story for The Associated Press. Gregory Stachel adapted it for VOA Learning English. ________________________________________________ Words in This Story mission n. a specific military or naval task sample n. a small amount of something that gives you information about the thing it was taken from column n. something that is tall and thin in shape ecosystem n. everything that exists in a particular environment shore n. the land along the edge of an area of water ________________________________________________ What do you think of this story? We want to hear from you. We have a new comment system. Here is how it works: Write your comment in the box. Under the box, you can see four images for social media accounts. They are for Disqus, Facebook, Twitter and Google. Click on one image and a box appears. Enter the login for your social media account. Or you may create one on the Disqus system. It is the blue circle with D on it. It is free. Each time you return to comment on the Learning English site, you can use your account and see your comments and replies to them. Our comment policy is here. Two years ago Tuesday, the Taliban seized control of Afghanistan after NATO forces pulled out of the country. The Taliban are still the clear rulers. And the Taliban face no serious opposition that could defeat them. They have avoided divisions by falling in line behind their leader. They have kept alive a struggling economy, in part by holding investment talks with neighboring countries. They have improved domestic security by suppressing armed groups like the Islamic State. They say they are fighting corruption and opium production. But their bans on Afghan girls and women have been a major part of the Talibans second year in power. They barred women and girls from parks, gyms, universities, jobs and nongovernmental groups and the United Nations. These orders followed an earlier ban, issued in the first year of Taliban rule, on girls going to school beyond sixth grade. Here is a closer look at Taliban rule. Why have they excluded women from many areas of public life? The Taliban say they are putting in place their view of Islamic law, or Sharia, in Afghanistan. This leaves no space for anything they believe is foreign or secular, including permitting women to work or study. This view of Islam is what drove the Taliban in the late 1990s, when they first seized power in the country. It still drives them today. Hibatullah Akhundzada is the Taliban supreme leader. He has praised the changes since the takeover in August of 2021. He claims life improved for Afghan women after foreign troops left and after the Islamic head covering, or hijab, became required again. What was the reaction to these bans? Foreign governments, rights groups and international organizations denounced the restrictions. The U.N. said the bans were a major obstacle to the Taliban gaining international standing as the recognized government of Afghanistan. The country is losing foreign aid as major donors cut off their support. What are living conditions like in Afghanistan? Nearly 80 percent of the previous, Western-backed Afghan governments budget came from foreign aid. The aid paid for hospitals, schools, factories and government ministries. That money is now largely cut off. The COVID-19 pandemic, medical shortages, climate change and hunger have made life very hard for Afghans. But aid agencies have helped provide basic services, including health care. How likely are the Taliban to change direction? If the Taliban changes direction, it would likely be up to Akhundzada. He is behind the bans on women and girls. His laws are formed in the language of Islamic law and are absolute. The bans will only be lifted if Akhundzada orders it. Some Taliban leaders have spoken out against the way decisions are made. There has been disagreement about the bans on women and girls. But the Taliban's spokesman denounced these reports as propaganda. International recognition Aid officials say the Taliban consider recognition as an entitlement, not something to be negotiated. The officials also say the Taliban sees meetings with powerful nations like China and Russia as signs that they are building international relations. The Taliban are not officially in the international community. But they appear to have enough interaction with countries to slowly move toward normal international relations. Countries like China, Russia and Pakistan want an end to sanctions. What opposition is there to the Taliban? There is no armed or political opposition with enough support to remove the Taliban from power. A fighting force resisting Taliban rule north of Kabul is being violently defeated. The Islamic State has struck targets in deadly bombings. But the militants lack fighters, money and other resources for a major fight against the Taliban. Im Dan Novak. Dan Novak adapted this story for VOA Learning English based on reporting by The Associated Press. __________________________________________________ Words in This Story domestic adj. of, relating to, or made in your own country opium n. a powerful illegal drug that is made from a type of poppy view n. an opinion or way of thinking about something secular adj. of or relating to the physical world and not the spiritual world supreme adj. highest in rank or authority obstacle n. something that makes it difficult to do something absolute adj. complete and total entitlement n. the condition of having a right to have, do, or get something interact v. to talk or do things with other people sanction n. an action that is taken or an order that is given to force a country to obey international laws by limiting or stopping trade with that country, by not allowing economic aid for that country, etc. Former U.S. President Donald Trump and 18 others have been indicted over efforts to overturn his 2020 election loss in the American state of Georgia. The indictment is the fourth set of criminal charges against Trump, the leading candidate for the Republican presidential nomination in 2024. Georgias state government lawyers accuse Trump, his former lawyers and other aides of a criminal enterprise to keep him in power. The legal case uses a law, known as the RICO Act, which is often used to target members of organized crime groups. The indictment contains 41 charges. Fulton County District Attorney Fani Willis told reporters late Monday night: "Rather than abide by Georgia's legal process for election challenges, the defendants engaged in a criminal, racketeering enterprise to overturn Georgia's presidential election result. The indictment against Trump is a 98-page document. It lists several actions that Georgia government lawyers say Trump, or his allies took to undo the election result. They include a phone call in which Trump reportedly asked a state official to find 11,780 votes, harassing election workers, trying to create false electoral college electors, and stealing data from a voting machine. Trump attacked Willis and called the indictment a "witch hunt" in a social media post overnight. He added, "Why didnt they Indict 2.5 years ago? Because they wanted to do it right in the middle of my political campaign." The indictment comes just two weeks after a federal grand jury charged Trump with crimes connected to the 2020 presidential election. The federal indictment said Trump tried and failed to use federal and state officials to overturn the election. It said he blocked the counting of electoral votes certifying President Joe Biden's victory on January 6, 2021, as supporters attacked the Capitol building and police where the process was taking place. Other legal actions against Trump Trump has been surrounded by legal troubles since leaving office two years ago. In June, Trump was charged with illegally holding classified national security documents, obstruction, making false statements, and plotting with others to carry out a crime. A trial has been set for May 2024. The office of the Manhattan District Attorney in the state of New York charged Trump with criminally falsifying business records to conceal crimes that hid damaging information from the voting public during the 2016 presidential election. That trial is set for next March. The office of the New York State Attorney General charged Trump and his business, the Trump Organization, with misleading banks and tax officials to get loans and tax benefits. A trial will start in state court in October. Additionally, Trump's company was fined $1.6 million after being found guilty of tax fraud in another New York court in December. Last May, a New York jury found Trump guilty of sexually abusing and defaming the writer E. Jean Carroll and awarded her $5 million in a civil case. Carroll has brought a second legal case seeking $10 million which is planned for January. Trump has denied wrongdoing in all cases. Im Dan Friedell. Hai Do wrote this report for VOA Learning English from Associated Press and Reuters sources. ____________________________________________________ Words in This Story indict v. (legal) to officially bring written charges against a person in a court of law enterprise n. an activity or business that usually involves risk abide v. to accept racketeering n. The crime of making money by threatening people or selling worthless, immoral or illegal products or services harass v. to repeatedly bother or cause problems for someone over a period of time certify v. to officially state that a requirement has been reached for something to be approved or declared obstruction n. (legal) to prevent the police from learning the truth about something fraud n. the crime of dishonestly taking something of value from another person defame v. to hurt the opinion that people have of a person by saying things that are not true about that person Many young Chinese are increasingly turning to online streaming as a way to earn money while selling products for major companies. The job involves livestreaming on popular social media services in China. A person leading such livestreams is known as a host. The goal is to persuade users to spend money with large brands such as Under Armor, Lancome, YSL Beauty and Hugo Boss. One such streamer is 28-year-old Zhang Jinyu, a former model who studied fashion in college. She told reporters from Reuters news agency she has already completed hundreds of hours of live streams to publicize products for YSL Beauty and other brands. Zhangs livestreams can involve her continuously speaking on camera for up to six hours. In addition, the preparation process requires her to do her hair and makeup and spend time recording sales results after her online broadcasts have ended. Reuters reports that Zhang is one of millions of young Chinese currently facing record youth unemployment of more than 21 percent. Livestreaming sales is one way social media users can earn money without having to get a full-time job. "For livestreaming, the threshold to enter the industry is very low, Zhang said. I can pick up my phone and I'm livestreaming. She noted, however, that livestream selling is now very competitive, making it difficult for newcomers to gain a following. "If you can persevere, you can get better and better, Zhang said. Zhang is not alone in her desire to make livestream hosting a career. A recent public opinion study asked more than 10,000 young people on Chinas social media service Sina Weibo how they feel about the issue. More than 60 percent said they would be interested in working as an internet influencer or livestreaming host. A study by China-based marketing agency iResearch found the livestreaming industry employed more than 1.2 million hosts as of 2020. The COVID-19 pandemic helped lead livestreaming sales growth that brought in about $480 billion in China last year. That growth led to a series of agencies being created that aim to train new hosts and connect them with established brands. Zhang, for example, works with Shanghai-based agency Romomo. The company is a business partner of Buy Quickly, which helps hosts link up with major fashion-centered brands. Shining Li is vice president of Romomo. She told Reuters she thinks livestreaming is currently one of the most important methods of communication for the international brands she works with. Shi Jianing is a 28-year-old livestreaming host. She says her broadcasts are a good way for her to build lasting relationships with followers and increase sales for the brands she represents. "We're like friends with the consumers," Shi said. She added, If you can communicate with some personal affinity, that builds a kind of trust, and that relationship makes the consumer want to carry out the sale." Im Bryan Lynn. Reuters reported this story. Bryan Lynn adapted the report for VOA Learning English. Quiz - Young Chinese Turn to Online Streaming to Earn Money Start the Quiz to find out Start Quiz ______________________________________________ Words in This Story stream v. to play a presentation, show or movie over the internet brand n. a kind of product made by a particular company under a particular name fashion n. the business of creating and selling clothes in new styles threshold n. the level at which something starts to happen persevere v. to continue to try to do something even though it can be difficult consumer n. someone who buys or uses goods or services affinity n. an attraction to or liking for something __________________________________________________ What do you think of this story? We want to hear from you. We have a new comment system. Here is how it works: Write your comment in the box. Under the box, you can see four images for social media accounts. They are for Disqus, Facebook, Twitter and Google. Click on one image and a box appears. Enter the login for your social media account. Or you may create one on the Disqus system. It is the blue circle with D on it. It is free. Each time you return to comment on the Learning English site, you can use your account and see your comments and replies to them. Our comment policy is here. MONTGOMERY, Ala. As bystanders trained their smartphone cameras on the riverfront dock while several white boaters pummeled a Black riverboat co-captain, they couldn't have known the footage would elicit a national conversation about racial solidarity. Yet, more than a week after multiple videos showing the now-infamous brawl and valiant defense of the outnumbered co-captain were shared widely on social media, it's clear the event truly tapped into the psyche of Black America and created a broader cultural moment. Andrea Boyles, a sociology professor at Tulane University, said a long history of anti-Black racism and attacks and current events likely magnified the attack's impact and response. "Especially at a time like now where we see an increase in anti-Black racism through legislation and otherwise, whether we're thinking about history, the banning of Black history and curriculum and all sorts of things across the state of Florida" and elsewhere, Boyles said. "So this is why it is on the forefront of people's minds. And folks are very much tuned in, Black people in particular." Many see the Aug. 5 ordeal on the riverfront dock in Montgomery, Alabama's capital city steeped in civil rights history, as a long-awaited answer to countless calls for help that went unanswered for past Black victims of violence and mob attacks. "We witnessed a white mob doing this to him," said Michelle Browder, an artist and social justice entrepreneur in Montgomery, describing the attack by boaters on the Black riverboat co-captain. "I call it a mob because that is what it was, it was a mob mentality," she added. "It then became a moment because you saw Black people coming together." After being inundated with images and stories of lethal violence against Black people, including motorists in traffic stops, church parishioners and grocery shoppers, the video from Montgomery struck a chord because it didn't end in the worst of outcomes for Black Americans. "For Montgomery to have this moment, we needed to see a win. We needed to see our community coming together and we needed to see justice," Browder said. Videos of the brawl showed the participants largely divided along racial lines. Several white men punched or shoved the Black riverboat co-captain after he took a separate vessel to shore and tried to move their pontoon boat. The white boaters' private vessel was docked in a spot designated for the city-owned Harriott II riverboat, on which more than 200 passengers were waiting to disembark. The videos then showed mostly Black people rushing to the co-captain's defense, including a Black teenage riverboat crew member who swam to the dock. The videos also showed the ensuing brawl that included a Black man hitting a white person with a folding chair. As of Friday, Alabama police had charged four white people with misdemeanor assault. The folding chair-wielding man turned himself in Friday and was charged with disorderly conduct. Jim Kittrell, the captain of Harriott II, told The Daily Beast that he thought race might have been a factor in the initial attack on his co-captain, but the resulting melee was not a "Black and white thing." "This was our crew upset about these idiots," Kittrell also told WACV radio station. He later explained that several members of his crew, seen confronting the pontoon boat party after the riverboat docked, "felt they had to retaliate, which was unfortunate." "I wish we could have stopped it from happening but, when you see something like that, it was difficult. It was difficult for me to sit there in the wheelhouse watching him being attacked," Kittrell told the station. Kittrell told The Associated Press by phone that the city had asked him not to talk about the brawl. Major Saba Coleman of the Montgomery Police Department said last week that hate crime charges were ruled out after the department consulted with the local FBI. But several observers noted the presence of a hate motivation, or lack thereof, on the part of the pontoon boat party was not why the event resonated so strongly. "All these individuals having smartphones and cameras have democratized media and information. In the past, it was a very narrow scope on what news was being reported and from what perspectives," NAACP President and CEO Derrick Johnson said. The technology, Johnson added, "opened up an opportunity for America as a whole to understand the impact of racism, the impact of violence and the opportunity to create a narrative that's more consistent with keeping African Americans and other communities safe." The riverfront brawl spawned a multitude of memes, jokes, parodies, reenactments and even T-shirts. Many observers on social media were quick to point out the significance of the city and location where the brawl took place. Montgomery was the first capital of the Confederacy, and the riverfront is an area where enslaved people were once unloaded to be sold at auction. The area is a few blocks from the spot where Rosa Parks was arrested for disobeying bus segregation laws. "This is the home of the bus boycott; this is the home of intense, racialized segregation and various forms of resistance today," said Timothy Welbeck, director of the Center for Anti-Racism at Temple University in Philadelphia. "Even if there wasn't an explicit mention of race, many people saw a white man assaulting a Black man as a proxy for some of the racist behavior that they've seen before. It brought about a sense of solidarity and unified fate, too, in this particular moment." Then theres the lingering trauma of seeing past Black victims of violence and mob attacks suffer without help or intervention. Here was the rare event in which bystanders not only chronicled the moment but were able to intervene and help someone they saw being victimized. The Cooperation Zone has announced a financial support scheme for the development of the cultural tourism industry, providing assistance to the cultural tourism industry and related sectors. The assistance will also issue a one-time bonus to hotel companies, travel agencies and tour guides who have qualified for the scheme. The relevant support measures include a one-time payment of up to RMB5 million to enterprises and star-rated hotels that have been rated as national or provincial tourist resorts; and a one-time payment for travel agencies that meet the annual operating income and the number of tourists they receive. Qualified tour guides in the Hengqin-Guangdong-Macao In-Depth Cooperation Zone can receive a maximum of RMB30,000 At the same time, support is also provided for Macau cultural and tourism enterprises to settle in the Cooperation Zone. Such support measures include a one-time payment of RMB100,000 for enterprises that have been rated under Macaus Star Tourism Service Recognition Program and who have operated for one year under the program. Tour guides from Hong Kong and Macau will also be given a one-time payment between RMB20,000 and RMB 30,000. Enterprises, institutions, and Macau associations that jointly organize cultural tourism forums, engage in cultural tourism promotion, and other exchange activities in the Cooperation Zone will receive a one-time reward of up to RMB200,000. For companies in the Cooperation Zone that promote research tourism, healthcare tourism, and coastal tourism, or develop film and television and fashion industries especially documentaries and variety shows that positively reflect Hengqin and Macau or are shot in both regions can receive a maximum subsidy of MOP300,000. Staff Reporter Experiencing discrimination significantly harmed the well-being of Asian and Asian American college students in the U.S. during the first wave of the COVID-19 pandemic. Thats the key finding of our study, which compared over 6,000 survey responses from Asian and Asian American students who took the National College Health Assessment an annual survey of student health behaviors in the fall of 2019 and the fall of 2020. Our study focused on only on Asians and Asian Americans. Others have found that both Asian and Native American ethnic groups experienced the highest rates of COVID-19-related discrimination. We found that Asian and Asian American students experienced high levels of stressors during the COVID-19 pandemic. By fall 2020, 9% had a loved one who had died from COVID-19, 7% reported experiencing discriminatory behavior because of the pandemic, and 61% had pandemic-related financial stress. Compared with 2019, Asian students in 2020 reported significantly more insomnia and psychological distress. We then determined what factors most accounted for students poor mental health. We also tested whether the impact of these factors changed with the stressors of the pandemic. In 2019, 11 factors were significant predictors of suicidality that is, thoughts of suicide and attempts in Asian students. Some of these factors are variables mental health professionals know to screen for: diagnosed depression, loneliness, and higher alcohol and drug use. But we found other significant predictors of suicidality food insecurity, hours of screen time and experiencing discrimination that are not often assessed in health settings. We also found variables that protected mental health. These included sleeping well, exercising and spending time with loved ones. In 2020, only three factors were significant predictors of suicide depression, loneliness and discrimination. The impact that experiencing discrimination had on suicidality also almost doubled, and there were no longer any significant protective factors. We wanted to understand the experiences of Asian and Asian American students for two reasons. First, Asian college students are the racial group with the greatest unmet mental health need. Only 20% of Asian college students diagnosed with a mental health disorder receive treatment, compared with 40% of students overall. Second, in 2020 there was a sharp increase in anti-Asian hate incidents. For example, the nonprofit Stop AAPI Hate, which tracks incidents of hate and discrimination against Asian Americans, saw a jump in reported incidents from about 3,800 annually before the pandemic to over 6,600 after the first year of the pandemic. These incidents came at a time when former President Trump was associating COVID-19 with Asians by calling it the China virus or kung flu. From 2016 to 2020, suicide was the leading cause of death among Asian adolescents. To reduce deaths from suicide, researchers must first understand what individual factors increase or decrease its likelihood. Our research shows that experiencing loneliness and discrimination significantly harmed Asian students mental health. This finding is true in typical years, such as 2019, but especially in times of acute social turmoil. These findings provide insight on how universities and health care providers can better support students mental health by addressing the psychological impact of experiencing racism. Now that researchers better understand what predicts psychological distress in Asian American students, the next steps are to apply this information. Future research is needed to understand better how therapy that supports healing from racial discrimination could support students mental health. Research should also evaluate how treatments aimed at improving sleep quality could support Asian students mental health. These interventions could improve mental health especially in times of social turmoil. The first group of four Macau medical personnel completed their professional registration and received practice certificates in the Guangdong-Macao In-depth Cooperation Zone in Hengqin. Fong Fong Tan, deputy director of the Livelihood Affairs Bureau in the Cooperation Zone, stated that with the development of diverse industries in Hengqin, the health industry is expanding in the Cooperation Zone. According to Fong, the issuance of the first batch of practice certificates will encourage Macau medical personnel to develop their careers in Hengqin. Related Fulton County District Attorney Fani Willis opened her investigation into Donald Trump after the release of a recording of a January 2021 phone call between Trump and Georgias secretary of state, Brad Raffensperger. Trump suggested during the call that Raffensperger, a Republican and the states top elections official, could help find the votes needed to overturn his narrow loss to Democrat Joe Biden. More than two years later, the indictment brought this week by a grand jury went far beyond that phone call, alleging a web of crimes committed by Trump and others. Willis used Georgias Racketeer Influenced and Corrupt Organizations, or RICO, law to charge Trump and 18 associates for allegedly participating in a wide-ranging conspiracy to overturn the states 2020 election results. She told reporters late Monday that she intended to try all 19 defendants together and noted her prosecutors experience with racketeering cases, saying this was the 11th RICO case brought by her office. Willis became district attorney in 2021. Heres a look at how the law works: HOW LAW AGAINST MOBSTERS APPLIES TO TRUMP? The federal Racketeer Influenced and Corrupt Organizations Act originated in 1970 as a tool to fight organized crime. The law enabled prosecutors to target people in positions of authority within a criminal organization, not just lower-level people doing the dirty work. But its use was never meant to be limited exclusively to organized crime. The U.S. Supreme Court noted in a 1989 opinion that the law was drafted broadly enough to encompass a wide range of criminal activity, taking many different forms and likely to attract a broad array of perpetrators. Within a few years of the federal law taking effect, states began passing their own RICO laws. Generally speaking, RICO laws allow prosecutors to charge multiple people who commit separate crimes while working toward a common goal. WHAT DOES GEORGIAS RICO LAW SAY? Georgias RICO Act, adopted in 1980, makes it a crime to participate in, acquire or maintain control of an enterprise through a pattern of racketeering activity or to conspire to do so. Its important to note that the alleged scheme does not have to have been successful for a RICO charge to stick. An enterprise can be a single person or a group of associated individuals with a common goal. Racketeering activity means to commit, attempt to commit or to solicit, coerce or intimidate someone else to commit one of more than three dozen state crimes listed in the law. At least two such acts are required to meet the standard of a pattern of racketeering activity, meaning prosecutors have to prove that a person has engaged in two or more related criminal acts as part of their participation in an enterprise to be convicted under RICO. The U.S. Supreme Court has said that federal RICO allegations must show continuity, that is to say a series of related underlying acts over an extended period of time, not just a few weeks or months. But the Georgia Supreme Court has made clear there is no such requirement in the state law. WHY USE THE RICO STATUTE? Im a fan of RICO, Willis said during a news conference in August 2022 as she announced a RICO indictment against more than two dozen alleged gang members. Willis has said jurors want to know all the facts behind an alleged crime and that a RICO indictment enables prosecutors to provide a complete picture of all the alleged illegal activity. A narrative introduction allows prosecutors to tell a story that can include a lot of detailed information that might not relate to specific crimes but is relevant to the broader alleged scheme. RICO charges also carry a heavy potential sentence that can be added on top of the penalty for the underlying acts. In Georgia, its a felony conviction that carries a prison term of five to 20 years; a fine of $25,000 or three times the amount of money gained from the criminal activity, whichever is greater; or both a prison sentence and a fine. WHAT ARE THE CHALLENGES IN USING RICO STATUTE? J. Tom Morgan used the Georgia RICO statute to prosecute a corrupt sheriff when he was the district attorney in DeKalb County, which neighbors Fulton County. He said one challenge is explaining to a jury what the RICO law is and how it works. Everybody knows what a murder case is, what a rape case is, what a theft case is. But RICO is not in the everyday vernacular, he said. You dont see a RICO charge on a television show about crime. DOES WILLIS HAVE EXPERIENCE WITH RICO CASES? Yes. When she was an assistant district attorney in the Fulton County district attorneys office, Willis was a lead prosecutor in a RICO case against a group of Atlanta public school educators in a cheating scandal. After a monthslong trial, a jury in April 2015 convicted 11 former educators of racketeering for their roles in a scheme to inflate students scores on standardized exams. Since becoming district attorney in January 2021, she has brought several RICO indictments against alleged gang members, including several high-profile rap artists. Lawyer John Floyd, a nationally known RICO expert in Atlanta, helped Willis with the school cheating case. Soon after opening the investigation into potential illegal meddling in the 2020 election in Georgia, she engaged him to serve as a special assistant district attorney to help with any racketeering cases her office might pursue. MDT/AP In echoing the theme of Art Macao 2023, The Statistics of Fortune, Sands China has adopted a unique approach to exhibiting during a year defined by restart and hope. Meet the Magic: In celebration of Disney 100 by Philip Colbert and Jason Naylor is an exhibition featuring two renowned artists who have brought with them bursts of bright colors that represent the creation of hope and happiness, generating an exuberant atmosphere and cultural vitality for the community tourists and residents alike. Wed like to use this opportunity to restart, said Dr. Wilfred Wong, president of Sands China Ltd. Colberts Mickey Lobster and Naylors OPN HEART and TECHNICOLOR Mickey installations serve as goodwill ambassadors that welcome guests visiting our resorts with crisp, magnificent colours and styles greeting residents and visitors and showing Macaos friendliness. He added: The Statistics of Fortune theme is thought-provoking; Disney is a century-old classic deeply rooted in the hearts of the people something that was by no means predictable by big data. This inspired the exhibition team to look to Disney for inspiration when curating this special exhibition. Free to the public, the Meet the Magic exhibition features British contemporary pop artist Philip Colbert and American graffiti artist Jason Naylor each presenting their unique artistic interpretations of Mickey, in celebration of Disneys 100th anniversary. Philip Colbert is an artist who uses his mastery of pop art to revolutionize centuries of legends into his iconic larger-than-life lobster character, while Jason Naylor is known for employing a kaleidoscope of street-art colours to transform giant installations. For this exhibition, their outdoor installations, each over 5 metres, are on display at Le Jardin and The Venetian Macaos lagoon area, where they seamlessly merge innovation with a classic style. In addition, their vibrant indoor artworks have transformed The Venetian Macaos hotel lobby into a lively pop art showcase for this summer. Co-organised with Forward Fashion Holdings, Meet the Magics grand display of large-scale artworks expresses Colberts and Naylors boundless creativity, conveys a message of positivity, explores timeless classics from a fresh perspective, and infuses contemporary hues into traditional art forms. As an extended venue for the exhibition, The Londoner Macao is featuring the brand new ASCE Diverse and Explorative Art Hub on Level 2, showcasing Disneys commemorative products launching in Macao for the first time; the space also presents Colberts and Naylors new original works, providing a unique opportunity for all to enjoy the world of art. Wed like to show the world how culturally diverse Macau is and that we embrace all art forms. [] Its more than simply expanding the non-gaming aspect of our business. We are contributing to creating a more colorful spectrum of life in Macau, said Dr. Wong. I think residents and tourists can expect a more vibrant Macau in the coming year, he added. The influential artists of Meet the Magic Philip Colbert is a British contemporary artist who has gained a global following for his cartoon lobster persona and his masterful hyper pop history paintings, earning him the moniker the godson of Andy Warhol. His work has received widespread acclaim in museums and galleries worldwide for his energetic new approach to painting and pop art theory. My goal is to make people feel good, to spread kindness and love. If they feel good, theyll pass that on; its a ripple effect, he said when commenting on his artworks. Collaborating with Disney is really an interesting concept []. I am very excited to be part of the Meet the Magic show organized by Sands China, part of Art Macao 2023 [], said Colbert. Jason Naylor is an internationally renowned and award-winning American graffiti artist, designer, and creative director based in New York City. His work consists of bright colours and rich blacks, and regularly contains messages of love and positivity, as he believes that kindness is the key to success. Naylor said: The emotions that matter to me are the positive ones. I want to spread positive vibes, optimism, love, and kindness, and I do it using bright colours. Bright colours actually help people to feel good [] I want what you feel to be something positive. He added: Im absolutely thrilled to bring my OPN Heart to the vibrant city of Macau. [] The culture in this dynamic city with history is incredibly unique and rich with great inspiration. Im just genuinely grateful to be a part of this artistic celebration. Former junket boss Levo Chans residency in Taiwan was revoked by a Taiwan court, according to media reports. In January 2022, Chan applied for an extension to stay based on family reunion grounds after being granted his residence permit in August 2020. However, the request for extension was denied due to his previous role as an advisor for Jinjiang, Fujian. He then filed an appeal but was denied. The court ruled that his residency permit be cancelled. Earlier this year, the former Tak Chun junket boss planned to flee to Taiwan as he caught wind that Macau prosecutors were building a case against him, a local court heard. Related Each fall, I begin my course on the intersection of music and artificial intelligence by asking my students if theyre concerned about AIs role in composing or producing music. So far, the question has always elicited a resounding yes. Their fears can be summed up in a sentence: AI will create a world where music is plentiful, but musicians get cast aside. In the upcoming semester, Im anticipating a discussion about Paul McCartney, who in June 2023 announced that he and a team of audio engineers had used machine learning to uncover a lost vocal track of John Lennon by separating the instruments from a demo recording. But resurrecting the voices of long-dead artists is just the tip of the iceberg in terms of whats possible and whats already being done. In an interview, McCartney admitted that AI represents a scary but exciting future for music. To me, his mix of consternation and exhilaration is spot on. Here are three ways AI is changing the way music gets made each of which could threaten human musicians in various ways: 1. Song composition Many programs can already generate music with a simple prompt from the user, such as Electronic Dance with a Warehouse Groove. Fully generative apps train AI models on extensive databases of existing music. This enables them to learn musical structures, harmonies, melodies, rhythms, dynamics, timbres and form, and generate new content that stylistically matches the material in the database. There are many examples of these kinds of apps. But the most successful ones, like Boomy, allow nonmusicians to generate music and then post the AI-generated results on Spotify to earn money. Spotify recently removed many of these Boomy-generated tracks, claiming that this would protect human artists rights and royalties. The two companies quickly came to an agreement that allowed Boomy to re-upload the tracks. But the algorithms powering these apps still have a troubling ability to infringe upon existing copyright, which might go unnoticed to most users. After all, basing new music on a data set of existing music is bound to cause noticeable similarities between the music in the data set and the generated content. Furthermore, streaming services like Spotify and Amazon Music are naturally incentivized to develop their own AI music-generation technology. Spotify, for instance, pays 70% of the revenue of each stream to the artist who created it. If the company could generate that music with its own algorithms, it could cut human artists out of the equation altogether. Over time, this could mean more money for giant streaming services, less money for musicians and a less human approach to making music. 2. Mixing and mastering Machine-learning-enabled apps that help musicians balance all of the instruments and clean up the audio in a song whats known as mixing and mastering are valuable tools for those who lack the experience, skill or resources to pull off professional-sounding tracks. Over the past decade, AIs integration into music production has revolutionized how music is mixed and mastered. AI-driven apps like Landr, Cryo Mix and iZotopes Neutron can automatically analyze tracks, balance audio levels and remove noise. These technologies streamline the production process, allowing musicians and producers to focus on the creative aspects of their work and leave some of the technical drudgery to AI. While these apps undoubtedly take some work away from professional mixers and producers, they also allow professionals to quickly complete less lucrative jobs, such as mixing or mastering for a local band, and focus on high-paying commissions that require more finesse. These apps also allow musicians to produce more professional-sounding work without involving an audio engineer they cant afford. 3. Instrumental and vocal reproduction Using tone transfer algorithms via apps like Mawf, musicians can transform the sound of one instrument into another. Thai musician and engineer Yaboi Hanois song Enter Demons & Gods, which won the third international AI Song Contest in 2022, was unique in that it was influenced not only by Thai mythology, but also by the sounds of native Thai musical instruments, which have a non-Western system of intonation. One of the most technically exciting aspects of Yaboi Hanois entry was the reproduction of a traditional Thai woodwind instrument the pi nai which was resynthesized to perform the track. A variant of this technology lies at the core of the Vocaloid voice synthesis software, which allows users to produce convincingly human vocal tracks with swappable voices. Unsavory applications of this technique are popping up outside of the musical realm. For example, AI voice swapping has been used to scam people out of money. But musicians and producers can already use it to realistically reproduce the sound of any instrument or voice imaginable. The downside, of course, is that this technology can rob instrumentalists of the opportunity to perform on a recorded track. AIs Wild West moment While I applaud Yaboi Hanois victory, I have to wonder if it will encourage musicians to use AI to fake a cultural connection where none exists. In 2021, Capitol Music Group made headlines by signing an AI rapper that had been given the avatar of a Black male cyborg, but which was really the work of Factory New non-Black software engineers. The backlash was swift, with the record label roundly excoriated for blatant cultural appropriation. But AI musical cultural appropriation is easier to stumble into than you might think. With the extraordinary size of songs and samples that comprise the data sets used by apps like Boomy see the open source Million Song Dataset for a sense of the scale theres a good chance that a user may unwittingly upload a newly generated track that pulls from a culture that isnt their own, or cribs from an artist in a way that too closely mimics the original. Worse still, it wont always be clear who is to blame for the offense, and current U.S. copyright laws are contradictory and woefully inadequate to the task of regulating these issues. These are all topics that have come up in my own class, which has allowed me to at least inform my students of the dangers of unchecked AI and how to best avoid these pitfalls. At the same time, at the end of each fall semester, Ill again ask my students if theyre concerned about an AI takeover of music. At that point, and with a whole semesters experience investigating these technologies, most of them say theyre excited to see how the technology will evolve and where the field will go. Some dark possibilities do lie ahead for humanity and AI. Still, at least in the realm of musical AI, there is cause for some optimism assuming the pitfalls are avoided. Jason Palamara, Indiana University, MDT/The Conversation The University of Macau (UM) held the Convocation and First Lecture of University of the 2023/2024 academic year, welcoming 4,400 new students. UM has enrolled more than 1,900 undergraduates and nearly 2,500 postgraduates in the new academic year. It has also launched several degree programs, including a Bachelor of Law in Chinese Law and Global Legal Studies, as well as six postgraduate programs in philosophy, artificial intelligence, robotics and autonomous systems, coastal environment and safety, innovative materials, and medicinal administration. Moreover, the university presented a range of scholarships and assistantships to nearly 700 new students to support their holistic development. Related * Rents down Residential rents down, while commercial spaces up slightly * Public Administration | Govt reduces operational costs due to rent * Gaming | JP Morgan forecasts August to hit another GGR high * The Cooperation Zone announced a financial support scheme for the development of cultural tourism industry and related businesses * International banking assets in Macau shrunk by 6.4% in Q2 * Beijing denounces US, S.Korea, Japan summit at Camp David * Arts & Culture DOWNLOAD PDF Wednesday, August 16, 2023 edition no. 4309 South Koreas president called for deeper security cooperation with the U.S. and Japan to address North Koreas nuclear threat, saying yesterday that his upcoming summit with the U.S. and Japanese leaders at Camp David will set a new milestone in trilateral cooperation. It will be the first time for the leaders of the three countries to gather specifically for a trilateral summit, rather than on the sidelines of international meetings. This suggests they are serious about boosting their ties in the midst of complex regional challenges such as North Koreas advancing nuclear arsenal and Washingtons strategic rivalry with Beijing. In their summit Friday at the U.S. presidential retreat in Maryland, President Joe Biden, South Korean President Yoon Suk Yeol and Japanese Prime Minister Fumio Kishida are expected to announce plans for expanded military cooperation on ballistic missile defense and technology development, according to two senior Biden administration officials. The summit will set a new milestone in trilateral cooperation contributing to peace and prosperity on the Korean Peninsula and in the Indo-Pacific region, Yoon said in a televised speech in Seoul. The speech marked the 78th anniversary of Koreas liberation from Japans 35-year colonial rule in 1945. Past South Korean presidents commonly used Liberation Day speeches to ask Japan to make fresh apologies for its colonial actions. But Yoon, a conservative who has pushed to resolve historical grievances as a way to boost Seoul-Washington-Tokyo cooperation, instead explained why improved ties with Japan were needed. Yoon said the seven rear bases provided to the U.S.-led U.N. Command by Japan serve as the greatest deterrent that keeps North Korea from invading South Korea. He said a North Korean invasion would trigger an immediate, automatic intervention by the U.N. Command and that the bases in Japan have the necessary land, sea and air capabilities. As partners that cooperate on security and the economy, South Korea and Japan will be able to jointly contribute to peace and prosperity across the globe while collaborating and exchanging in a future-oriented manner, Yoon said. He said the importance of Seoul-Washington-Tokyo security cooperation is growing on the Korean Peninsula and in the region. In order to fundamentally block North Koreas nuclear and missile threats, the Republic of Korea, the United States and Japan must closely cooperate on reconnaissance assets and share North Korean nuclear weapon and missile data in real time, Yoon said. When they met at the margins of a regional conference in Cambodia in November, Yoon, Biden and Kishida said they intended to share North Korea missile warning data to improve each countrys ability to detect and assess the threat posed by incoming missiles. In June, their defense chiefs said they recognized efforts to activate such a data-sharing mechanism before the end of the year. The Camp David summit is expected to anger North Korea, which has argued that U.S. moves to bolster military cooperation with South Korea and Japan are pushing it to reinforce its own military capability. North Korea views U.S.-led military drills on and near the Korean Peninsula as an invasion rehearsal. Beijing denounces summit China, which is intensely sensitive to what it perceives as other countries ganging up on it, denounced the summit. China opposes relevant countries forming various cliques and their practices of exacerbating confrontation and jeopardizing other countries strategic security, Foreign Ministry spokesperson Wang Wenbin said at a briefing yesterday. We hope the countries concerned will go with the trend of the times and do something conducive to regional peace, stability and prosperity. South Korea has maintained that its push to strengthen its alliance with the U.S. and participate in U.S.-led regional initiatives wont target China, its biggest trading partner. Worries about North Koreas nuclear program have grown since the North openly threatened to use nuclear weapons in conflicts with its rivals and conducted about 100 missile tests since the start of last year. Many of the missiles were nuclear-capable weapons that place both South Korea and Japan within striking distance and could potentially reach the U.S. mainland. South Korea and Japan together host about 80,000 U.S. troops. In response to North Koreas missile tests, the United States and South Korea have expanded their military drills and resumed some trilateral training involving Japan. South Korea, the U.S. and Japan have held a trilateral leaders meeting a total of 12 times since 1994, but all were on the sidelines of international conferences, according to South Koreas presidential office. This weeks trilateral summit comes as ties between Seoul and Tokyo have eased significantly in recent months. In March, Yoon took a major step toward resolving bilateral wrangling over colonial-era Korean forced laborers, despite vehement opposition at home by some victims and his liberal rivals. Yoon has argued that Seoul and Tokyo share challenges such as the intensifying U.S.-China competition and global supply chain problems as well as North Koreas nuclear program. HYUNG-JIN KIM, SEOUL, South Korea, MDT/AP An Afghan refugee who was charged in 2021 with sexual assaults of two children at Fort McCoy, where he and thousands of other refugees were brought after fleeing Afghanistan, pleaded guilty Wednesday to misdemeanor simple assault charges. If a judge accepts a plea agreement in the case, Bahrullah Noori would receive a time-served sentence. Under the agreement, Noori, who does not know his birthdate but believes hes about 22 years old, would be sentenced by U.S. District Judge James Peterson to consecutive 364-day sentences for each of two misdemeanor assault counts. Keeping the length of each sentence under 365 days would keep the convictions from being considered aggravated felonies by federal immigration authorities, reducing the potential for immigration consequences for Noori. The length of the sentences would also approximately match the time Noori has spent in custody since his arrest on the original sexual assault charges in September 2021. With credit for that time, Noori would be released from custody and begin serving a year of supervised release. Peterson said hes inclined to accept the agreement and sentence Noori accordingly but said he wants to wait until he has read a pre-sentence report before formally sentencing Noori. A sentencing hearing will be held at a later date. According to the agreement, Noori agrees that on the night of Sept. 11, 2021, he was in a bathroom at a Fort McCoy barracks where Afghan refugees were housed when one of the boys splashed water on Noori. Noori responded by grabbing the boys arms and pulling them behind his back. Noori also agreed that on another night, while in the barracks, the other boy interrupted Noori and he responded by pinching the boy on his cheek, causing an injury. The reduction of the charges comes after a federal magistrate judges ruling last month recommending suppression of a statement that Noori gave to FBI investigators after his arrest as not having been given voluntarily. Magistrate Judge Stephen Crocker, in a 27-page report to Peterson that made the recommendation, concluded that federal authorities waited too long to interview Noori and to transport him to Madison for a prompt appearance in court, instead keeping him in isolation and detention at Fort McCoy until they could question him. While investigators said they had to complete other steps before talking to Noori such as interviewing the alleged victims and having them examined that didnt explain why Noori wasnt on his way to Madison the Monday morning after his arrest that Saturday night, Crocker wrote. In short, the government cannot establish that genuine investigative needs left the government no choice but to interrogate Noori 40 hours after his arrest, Crocker wrote. This delay was unreasonable. By federal law, statements made by an arrested person more than six hours after their arrest and before the persons initial court appearance may be suppressed if a court determines that the delay was unreasonable or unnecessary and that the defendants statement was involuntary. The conditions of his confinement, combined with the FBIs unintentionally misleading initial response when Noori asked why he was being detained, render Nooris waiver of his right not to speak to the agents as involuntary, Crocker wrote. Crockers recommendation to suppress the statement was adopted by Peterson last week, but about five days after a plea agreement had been filed in the case. Key questions remain unanswered two days after authorities found the body of a Madison man who has been missing since he fled the Wisconsin State Patrol on a chilly night last November. Authorities have only identified Christopher Miller as the person whose body was found in an abandoned home near Beloit on Sunday, declining to say how Miller got into the home or how exactly an anonymous tipster learned there was a dead man inside. The Rock County Medical Examiners Office has yet to release the cause of Millers death, pending further testing. Rock County Sheriffs Office Capt. Mark Thompson did not return a request for comment on Tuesday. The anonymous tipster told Rock County authorities on Sunday a body was in the house at 8356 S. Creek Road in the town of Turtle. The residence, which was still taped off and guarded by Rock County deputies on Tuesday morning, is about 6 miles from the part of Interstate 90 where Miller disappeared Nov. 19. That night, the then-27-year-old led a state trooper on a high-speed chase before stopping his damaged vehicle on the side of the road and running into a field. What happened to Christopher Miller? Family frustrated by police response to disappearance Six months later, a 27-year-old Black man remains missing after running from the Wisconsin State Patrol outside of Janesville. Miller can be seen on footage from the state troopers dash camera wearing only a sweatshirt and jogging pants. He left his cellphone in the vehicle. As unanswered questions continue to swirl around the 9-month-old case, Millers family members arent convinced he trudged through 6 miles of rural Rock County in the November cold only to die in the Creek Road home. I dont buy it for one second, said Millers fiancee, Mallory Duerst. Theres no way in my mind that he could have made it that far. Duerst did not detail alternate scenarios for what happened to Miller. Duerst, Millers family and others have led their own searches through the area surrounding I-90 between Janesville and Beloit. In fact, they passed the residence on Creek Road multiple times during these searches, she said. Though abandoned, the home is still owned by Gary and Kathleen Hayden, according to Rock County property records. Hayden did not return a request for comment on Tuesday. Two family members of Kathleen Hayden declined requests for comment. Duerst described a possible path between where Miller disappeared and the home as difficult to pass and blocked by barbed wire at points. Millers family have long been critical of the police response to Millers disappearance. A coordinated search for Miller did not take place until more than 48 hours after his disappearance, in part because of Millers technical status as a fugitive and not a missing person, according to law enforcement records obtained by the State Journal through Millers family. Eventual searches for Miller consisting of drones, police dogs, utility task vehicles and more than two dozen law enforcement officers largely combed through areas well to the north of the Creek Road home, closer to where Miller ran from the state trooper. After the initial searches turned up nothing, there was no other coordinated effort to find Miller for months, according to law enforcement reports. Rock County searched the area with cadaver dogs in April, Duerst said. At best, theyre negligent in how they handled this case, Duerst said of law enforcement. Im just thankful we can lay him to rest, but we will not stop until theres justice. There will be more cranberries available for Thanksgiving tables and to export to countries around the world thanks again to Wisconsin growers. The U.S. Cranberry Marketing Committee said Tuesday that Wisconsins cranberry farmers are expecting to harvest 4.97 million barrels of cranberries this season, a slight increase over the 4.84 million barrels produced in 2022 but far off the record year of 2013, when 6 million barrels of cranberries were harvested. And if projections hold, Wisconsin will remain the largest cranberry producer in the world by harvesting 60% of the cranberry crop in the United States. Wisconsin is a global leader in cranberry production, generating $1 billion in state economic impact and providing thousands of local jobs across the Wisconsin, said Tom Lochner, executive director of the Wisconsin State Cranberry Growers Association. The forecast is based on grower surveys and marks the 29th year that Wisconsin has led the nation in cranberry production. Wisconsin produces more than twice as much as Massachusetts, which is projected to produce 1.95 million barrels this season. New Jersey, at 561,000 barrels, is projected to rank third, followed by Oregons 520,000 barrels and Washington at 168,000 barrels. The total U.S. crop is expected to be 8.17 million barrels, an increase from the 7.4 million barrels produced in 2022 but less than the 9 million barrels harvested in 2018, according to the CMC. Wisconsin cranberries are grown on 21,000 acres across 20 counties in the central and northern regions of the state, but only about 5% of this years crop will be sold as fresh fruit, according to Lochner, whose organization was founded in 1887. The remaining cranberries will be frozen and stored for longer-term sales as frozen berries, dried cranberries, juices, sauces and other products. From September 2021 to August 2022, the CMC reported that 53% of U.S. cranberry production volume was exported to countries that include Columbia, the United Kingdom, European Union, China, Mexico and South Korea. Close Visiting South Korean journalists, including Jin-ju Lee, front, guide floating cranberries toward a conveyor during a tour of the growing and harvesting operations of the Cranmoor Cranberry Co. in Nekoosa. South Korean journalist Tae-kyun Park, a writer with one of the country's leading daily newspapers, photographs a dry cranberry bog at the Cranmoor Cranberry Co. in Nekoosa. Park and a number of other South Korean journalists were on hand to learn more about the fruit, which is growing in popularity in their home country. South Korean media colleagues Jin-ju Lee, left, and Jaewon Lim photograph a cranberry bog at the Gottschalk Cranberry Co. in Nekoosa during a tour designed to help the journalists in the country learn more about the fruit. South Korean journalist Tae-kyun Park, left, is guided into a cranberry bog by Ben Rezin, co-owner of the Cranmoor Cranberry Co. in Nekoosa. Wisconsin leads the nation in cranberry production. During a tour for visiting South Korean journalists at the Cranmoor Cranberry Co. in Nekoosa, co-owner Ben Rezin, second from left, explains the operation of a loading conveyor. Among the journalists attending the demonstration are Jin-ju Lee, right, and Yeon-joo Jung, second from right. At left is Scott Soares, executive director of the U.S. Cranberry Marketing Committee, which is based in Massachusetts. Journalists from South Korea and their guides listen to Cranmoor Cranberry Co. co-owner Ben Rezin describe the company's growing and harvesting operations during a visit to the Nekoosa business. South Korean photographer Tae-shin Park descends the steps of a loading conveyor at the Cranmoor Cranberry Co. in Nekoosa. Exports of cranberries to South Korea are on the rise. Photos: South Korean journalists visit cranberry bogs South Korean journalists visiting Wisconsin in 2013 learned about cranberries with a tour in the Nekoosa area. Visiting South Korean journalists, including Jin-ju Lee, front, guide floating cranberries toward a conveyor during a tour of the growing and harvesting operations of the Cranmoor Cranberry Co. in Nekoosa. South Korean journalist Tae-kyun Park, a writer with one of the country's leading daily newspapers, photographs a dry cranberry bog at the Cranmoor Cranberry Co. in Nekoosa. Park and a number of other South Korean journalists were on hand to learn more about the fruit, which is growing in popularity in their home country. South Korean media colleagues Jin-ju Lee, left, and Jaewon Lim photograph a cranberry bog at the Gottschalk Cranberry Co. in Nekoosa during a tour designed to help the journalists in the country learn more about the fruit. South Korean journalist Tae-kyun Park, left, is guided into a cranberry bog by Ben Rezin, co-owner of the Cranmoor Cranberry Co. in Nekoosa. Wisconsin leads the nation in cranberry production. During a tour for visiting South Korean journalists at the Cranmoor Cranberry Co. in Nekoosa, co-owner Ben Rezin, second from left, explains the operation of a loading conveyor. Among the journalists attending the demonstration are Jin-ju Lee, right, and Yeon-joo Jung, second from right. At left is Scott Soares, executive director of the U.S. Cranberry Marketing Committee, which is based in Massachusetts. Journalists from South Korea and their guides listen to Cranmoor Cranberry Co. co-owner Ben Rezin describe the company's growing and harvesting operations during a visit to the Nekoosa business. South Korean photographer Tae-shin Park descends the steps of a loading conveyor at the Cranmoor Cranberry Co. in Nekoosa. Exports of cranberries to South Korea are on the rise. A southwestern Wisconsin man will plead guilty to a federal charge alleging that he paid someone in Indonesia to take video of the torture of a monkey and then send the video to him in the U.S., according to court documents filed Tuesday. The federal animal crushing charge was detailed in a plea agreement with Kenneth Herrera, 40, of Soldiers Grove, that was filed in U.S. District Court in Madison. It alleges that Herrera paid a person in Indonesia $100 and told that person how specifically to torture a juvenile macaque, and then Herrera received video clips of the torture that he had ordered. The charge carries up to seven years in prison and a $250,000 fine. The acts described in the agreement appear to mirror those described in a recent series of stories by the BBC that describe a world of monkey haters who create videos of monkey torture in Indonesia and sell them to buyers around the world, including in the U.S. and the U.K. In the series, BBC reporters tracked down both the torturers in Indonesia, and distributors and buyers in the US, and gained access to an international law enforcement effort to bring them to justice. Similar cases have been filed in federal courts around the U.S., including in Oregon where a former Air Force officer was charged in June with administering an online animal abuse group and paying for the creation of videos depicting the torture, mutilation and murder of adult and juvenile monkeys, according to the U.S. Attorneys Office in Eugene, Oregon. According to the plea agreement for Herrera, he agreed to plead guilty to the charge and also agreed to make a full, complete, and truthful statement regarding his involvement, as well as the involvement of others, in the activity. His cooperation may affect the sentence he ultimately receives, according to the agreement. Herreras attorney, Richard Coad, said Herrera has been speaking with the government about the charge for several months. He is remorseful for his actions, and he has demonstrated that he accepts responsibility by entering into a plea agreement with the government prior to any charge being filed in court, Coad said. U.S. Attorney Timothy OShea could not be reached immediately for comment. The factual basis for the agreement states: On Dec. 28, 2021, a videographer in Indonesia sent an encrypted online message to Herrera with an attached photo of a juvenile macaque. The videographer said: If you want, its only 100 thats okay. Ive already bid. Who got it was my neighbor. So cheap. Five minutes later, Herrera responded, Yea, Ill take it. :-) From there, the discussion turned to exactly how Herrera wanted the monkey to be tortured and which tools he wanted used on the monkey during the torture. The discussion was specific and detailed. The next day, Herrera sent $100 through an online payment platform to the videographer in Indonesia with the memo line Neighbor monkey troubles. The transfer came from Herreras checking account in Prairie du Chien. On Dec. 30, 2021, the videographer sent Herrera 10 video files. An FBI agent described an example video that depicted the torture of a young monkey by an unidentified man who was wearing sandals. Herrera was interviewed by agents from the FBI and the U.S. Fish and Wildlife Service in January 2022, and he admitted ordering the video and paying for it. A lawsuit that would let Wisconsin election officials accept absentee ballots with partial witness addresses as long as the correct addresses are discernable can proceed, a Dane County judge has ruled. Republican lawmakers had argued the case must be dismissed because the argument raised by the plaintiff, Rise Inc., a liberal group that mobilizes young voters, relies on a legally incorrect construction of Wisconsins absentee-voting laws. However, Dane County Circuit Court Judge Ryan Nilsestuen ruled Monday that a motion to dismiss was improper and the case can be argued in court. Rather than arguing that the case is not justiciable, the Intervenor argues the merits of the case, given that its argument rests on whose definition of address of a witness is correct, Nilsestuen wrote in the order. Even if I agreed that the Plaintiffs claims fails on the merits and I take no position at this stage in the litigation the proper course of action would not be dismissal. The lawsuit was filed against the Wisconsin Elections Commission and Madison City Clerk Maribeth Witzel-Behl last September after a Waukesha County judge ruled that election officials cant fix or fill in missing address information on absentee ballot envelopes. The Waukesha judge also ordered the state Elections Commission to rescind guidance issued that had allowed clerks to fix errors on witness certificates. Following the ruling, the commission sent notice to election clerks pointing to guidance that an address must include witnesses street name and number, as well as the municipality in which they reside. But the lawsuit argues that voters shouldnt be disenfranchised because of immaterial errors on ballot envelopes that clerks can no longer fix, such as a forgotten ZIP code on the witness certificate. State law also doesnt specify what constitutes an address. The League of Women Voters of Wisconsin filed a similar lawsuit last fall, which also focuses on how much of a witness address needs to be present in order for an absentee ballot to count. Nilsestuen is considering a motion to consolidate both cases. The nonpartisan Legislative Audit Bureau in 2021 reviewed 14,710 absentee ballot certificates in 29 municipalities and found that 1,022 certificates, or 6.9%, were missing parts of witness addresses; 15, or 0.1%, did not have any witness address at all; eight, or less than 0.1%, did not have a witness signature; and three, or less than 0.1%, did not have a voter signature. Clerks corrected 66, or about 0.4%, of those certificates. Elections 101: Video series explains how elections are carried out in Wisconsin The Wisconsin Elections Commission put together the following series of instructional videos and accompanying lesson plans for use in high school civics classes and the general public. Elections overview An overview of elections administration in Wisconsin. Voting Security Let's take a look at how we maintain security and integrity with all of our elections. Nuts and bolts The ins-and-outs of voter processes like registering to vote and requesting a ballot to vote absentee. A Day at the Polls See what it is like to go to the polls and vote. As Ohio goes, so goes the nation. That old chestnut has proven true in modern American elections more times than not. Since 1964, Ohio has chosen the winning president in every contest, whether Democrat or Republican that is, except one. In 2020, Ohio voted for Donald Trump over Joe Biden, by eight points. A new ballot issue, voted on just this week, could be a bellwether of things to come in 2024 or, it could be a blip. But you best believe the Republican candidates running for President will be paying attention. Or, rather, they should be. On Tuesday night, Ohio voters shot down a GOP proposal, known as Issue 1, which would have raised the minimum threshold of votes needed to amend the state constitution from a simple majority up to 60%. This was both surprising and significant it means that a proposed constitutional amendment to protect abortion rights is much more likely to pass in November, something Republicans were trying to thwart. The amendment would essentially prohibit Ohio from intervening in a womans abortion, contraception, and fertility decisions, thus enshrining abortion rights in the state constitution. Ohios resounding rejection of the GOP effort to make it easier to ban abortion echoes another states surprising rebel yell just last year. In August of 2022, Kansas voted against a constitutional amendment that would have declared abortion was not a right in that state, and would have given Kansas the power to prosecute people involved in abortions. The amendment was defeated by a whopping 18-point margin. These two examples are perhaps anecdotal but no less significant. Ohio and Kansas are red states Kansas voted for Trump 15 points over Biden in 2020, and Ohio voted for Trump twice, in 2016 and 2020. But in Ohio, where the anti-abortion referendum failed, the loss is especially acute. A USA Today and Suffolk University poll in July found that 58% of Ohio voters supported keeping abortion rights in the state constitution. Thats in a state that is more Christian than the rest of the country, whiter than the rest of the country, older than the rest of the country, and less educated than the rest of the country. This should be a wake-up call for Republicans, who are aiming to strip away abortion access all over the country, even where that is an unpopular thing to do. Earlier this year in Florida, flailing 2024 presidential candidate Gov. Ron DeSantis signed into law a bill that banned abortions after six weeks, despite a majority of Florida voters opposing abortion bans. Its earned DeSantis the ire of fellow Republicans, including South Carolina Rep. Nancy Mace, who called it a non-starter. In Texas, after the Supreme Court overturned Roe v. Wade, a trigger law effectively banned all abortions except in the case of saving the life of the mother. Despite Texas being a reliably conservative state, 60% of voters there support access to abortion in all or most cases. While most Americans still oppose abortion in the later months of pregnancy, support for legal abortion in the first three months shot to a record 69% in the wake of the Dobbs ruling. The polls are clear, and have been since the inception of polling on abortion attitudes in America. Voters support legal abortion with some restrictions, and that hasnt changed since 1975. But has anybody told that to Republicans? Do they notice or care that their anti-abortion measures are unpopular and failing all over the country, even in red states? Do candidates running for office, including the presidency, have a memory of the not-too-distant past in 2022, where abortion proved to be a massive turnout driver in the midterms, and an issue that persuaded those coveted swing voters to pour out to the polls? Apparently not. Former Ambassador Nikki Haley has said shed support as strict a bill as Congress could pass. Former Arkansas Gov. Asa Hutchinson says hed sign a federal 15-week abortion ban. Former Vice President Mike Pence has said he favors a federal abortion ban with no exceptions. South Carolina Sen. Tim Scott says he would sign the most conservative pro-life legislation you can bring to my desk. If these are meant to be dog whistles to conservative voters theyre having the opposite effect alerting Democrats to the very real probability that a Republican president would limit abortion access even more than state legislatures already have. Its a risky strategy considering where the country is on abortion. And that is firmly with Democrats. Ohio Republicans just learned that the hard way. When will the rest of the GOP? A bicyclist was airlifted to a hospital Monday afternoon after crashing his electric bike into a parked vehicle on Second Avenue East, police say. The man, Harley Rollins, 42, was traveling on Second Avenue East at about 6 p.m. when he ran a red light at Shoshone Avenue, witnesses say. Rollins nearly collided with a vehicle in the intersection but swerved and then struck the back of a parked Honda Pilot, police say. Rollins, who police said was not wearing a helmet, was flown to Eastern Idaho Regional Medical Center in Idaho Falls. WARSAW, Poland U.S. State Secretary Antony Blinken sent a message Wednesday marking the anniversary in Poland of the 1943 Bialystok ghetto uprising, saying it was an act of bravery that reaffirmed the dignity of Jews during the Holocaust. Blinken's mother, Judith Pisar, the widow of one of the ghetto survivors, Samuel Pisar, the state secretary's late stepfather, took part in the observances in Poland's eastern city of Bialystok. U.S. Ambassador to Poland Mark Brzezinski also attended. "I see it as one of countless acts of resistance by Jews in ghettos and Nazi German concentration camps across Europe to reject their dehumanization, to reaffirm their dignity," Blinken said in a prerecorded message. It was an act "not of futility but of bravery," he said, even though "survival was not on the cards" when the uprising began the night of Aug. 16, 1943. For its leaders, the revolt was to "determine how, not whether they would die," Blinken said. The participants, who included city authorities and residents, honored the fighters and victims of the revolt, which was the second biggest single act of Jewish resistance against the Nazi Germans, after the April 1943 Warsaw Ghetto Uprising. Both revolts were brutally crushed and the survivors were sent to death camps. Before the war, Jews constituted some 43% of Bialystok's population of 100,000. An estimated 60,000 Jews went through the ghetto that occupying Nazi Germany had built in the city, until the uprising. Historians estimate that no more than 200 Jews fled the ghetto, among them Samuel Pisar, who was 13 at the time. His entire family perished in the Holocaust. Pisar died in 2015 in New York. "As we lose more and more survivors, the responsibility to relay and grapple with the history passes to all of us," Blinken said, stressing that for Pisar, the words "never again" were not enough of a protection against war and violence. Photos: Preserving the silent witnesses to the Holocaust AN article published in the National Library of Medicine stated that neurological disorders are the leading cause of physical and cognitive disability all over the world affecting about 15 percent of the global population. In the Philippines, cerebrovascular diseases remain to be one of the leading causes of death according to an article published in June 2022 on the Philippine Statistics website. Makati Medical Center (MakatiMed) is one of the few top-ranking hospitals that provide world-class neurological care, with the Stroke Team of its Department of Neurosciences (Neurology Section) receiving a Diamond Status- Excellence Award this year from the World Stroke Organization, the only private hospital to receive a diamond status in 2023. At a roundtable discussion held, MakatiMeds medical director and two of its top neurosurgeons shared with a select members of the press the neurological advancements available at MakatiMed such as awake craniotomy surgery for brain tumor patients, cerebral bypass surgery, and stereotactic radiosurgery (SRS). Filipinos only stand to benefit from innovations in neurological care. They dont need to leave the country to get the best treatment in this area. MakatiMed has new and improved procedures that make the recovery process easier for the increasing number of Filipinos with neurological disorders, says Saturnino Javier, MD, the Medical Director at MakatiMed. Its hard to understate the importance of a sound neurological condition given its impact on cognitive functions, which directly shapes your quality of life, Javier added. Dr. Javier mentioned the gaps in stroke care in the Philippines such as a low number of neurologists, inadequate CT scan machines, lack of stroke training among health care workers, lack of stroke protocols, and pathways, poor community stroke awareness, low government insurance coverage with high out of pocket medical expenses, lack of infrastructure, inadequate acute stroke ready hospitals, stroke units and rehabilitation facilities. I have mentioned these because MakatiMed can play a role in closing many of the gaps mentioned, he added. Since 2018, the hospital has been offering awake craniotomy surgery, a highly specialized surgical technique that is safer and provides a more effective approach to brain tumor removal while reducing the risk of neurologic deficit. In this procedure, we target parts of the brain controlling speech and motor function, explains Guillermo Victorino Liabres, MD of MakatiMeds Neurosurgery Department. Not only does it minimize risks, but our team also offers postoperative care to ensure patients get back to their regular lives easily. Dr. Liabres notes that the hospital has a 100 percent patency rate for cerebral bypass surgeries. According to him, this complex procedure, which is sometimes necessary for cranial or head and neck tumors, involves using a blood vessel from another part of the body to redirect blood flow around blockages in the brain. He also emphasized that not all patients can be candidates for cerebral bypass surgery, and that they have rigid parameters in choosing candidates for this procedure. We check the clinical parameters. For example, the patient has persistent and recurrent stroke despite the best treatments, he explains. Please enable JavaScript to view the comments powered by Disqus. Putin points to steady development of multipolar world order Xinhua) 09:33, August 16, 2023 Russian President Vladimir Putin delivers a speech via video at the opening ceremony of the 11th Moscow Conference on International Security in Kubinka, Russia, Aug. 15, 2023. (Xinhua/Cao Yang) MOSCOW, Aug. 15 (Xinhua) -- The world is witnessing the steady rise of a new multipolar world order, said Russian President Vladimir Putin Tuesday during the 11th Moscow Conference on International Security. Discussing the global shift towards multipolarity, Putin said "most countries are ready to assert their sovereignty and defend their national interests, traditions, culture." The Russian leader pointed out that a multipolar world order will ultimately contribute to "steady and sustainable global development," and help solve pressing social, economic, technological and environmental challenges. He further noted that those who currently provoke new conflicts around the world and try to escalate existing ones are "seeking to benefit from human tragedy" by dividing nations, enforcing obedience, and exploiting the resources of other states. "The United States is intent on reformatting the existing system of interstate relations in the Asia-Pacific region as it deems fit," he said, adding that Washington's Indo-Pacific strategies are only aimed at forming U.S.-led military and political associations. NATO member states are actively building up their offensive potential, and are using military and non-military means to exert pressure on other states, he noted. By pumping billions of dollars into Ukraine, supplying Kiev with equipment, and providing ongoing military assistance, Western states are further escalating the conflict and drawing other countries into it, he said. (Web editor: Zhang Kaiwei, Wu Chaolan) The Department of Foreign Affairs assured that the Philippines is not on war footing in the West Philippine Sea, but is merely asserting Manilas sovereignty in the South China Sea, particularly the countrys exclusive economic zone in the West Philippine Sea. Were not on a war footing. What were simply doing is trying to actually protect our sovereignty in the EEZ (exclusive economic zone) through diplomatic and peaceful means, Foreign Affairs Secretary Enrique Manalo told lawmakers during a hearing for the DFAs proposed budget for 2024 on Tuesday. What was in the news recently last week was just an effort to resupply some of our marines on the ship. It was absolutely not intended with any other intention than for humanitarian purposes, he said. Manalo was responding to the query of a lawmaker on whether it is wise for the Philippines to be on a war footing following the August 5 water cannon attack by the China Coast Guard (CCG) on two Philippine Coast Guard (PCG) resupply vessels en route to Ayungin Shoal. The DFA chief emphasized that the country was firmly committed to resolving any disputes we may have with any country in the South China Sea through diplomatic and through peaceful means, and through peaceful means, and in accordance with international law. When asked of the DFA thinks Beijing has committed an act of war against the Philippines, Manalo did not answer it directly, saying Were still committed to China to manage our disputes peacefully and thats the way were both working. Our foreign policy, as the President clearly said, is an independent foreign policy where the Philippines is friends to all, and we will pursue that. Now, what other countries might do is really beyond our control. But we are really committed to being friends to all, he stressed. Last Aug. 5, two PCG vessels on a resupply mission to BRP Sierra Madre in Ayungin Shoal off Palawan were blasted with water cannons by the CCG. BRP Sierra Madre, which serves as an outpost, is carrying a platoon of Filipino soldiers. The water cannon attack has been denounced by the international community. Please enable JavaScript to view the comments powered by Disqus. Cagayan de Oro City Rep. Rufus Rodriguez on Wednesday said Chinese embassy deputy chief of mission Zhou Zhiyong has disrespected President Ferdinand Marcos Jr. He said the Chinese diplomat insisted in a news forum over the weekend that the Philippines has promised to tow the grounded Navy ship BRP Sierra Madre from Ayungin Shoal in Palawan, which is inside the countrys 200-mile exclusive economic zone. His insistence amounted to disrespect and an insult because President Marcos had declared days earlier that he had made no such promise and that he was scrapping any such commitment if one was made by any of his predecessors. Mr. Zhou and his government should have just accepted the Presidents declaration, he said. Rodriguez suggested that the Department of Foreign Affairs (DFA) summon the Chinese embassys deputy chief of mission to impress upon him the Presidents firm statement that no such promise has been made and that the Philippines does not intend to remove BRP Sierra Madre from Ayungin as a symbol of our countrys sovereign rights over that area. Ayungin is about 194 kilometers from Palawan and is clearly within our EEZ. The shoal is more than 3,000 kilometers from the nearest Chinese island. Who are they to tell us to abandon our own maritime territory? the lawmaker asked. In the news forum, the Chinese diplomat also reportedly lamented that the Philippines has not responded to Chinas repeated requests for dialogue to maintain peace in the disputed areas in the South China Sea. News reports quoted him as saying: China has repeatedly expressed its willingness to resolve differences with the Philippines through bilateral dialogues. We hope that the Philippines side will abide by the existing consensus and cherish the hard-won situation in our bilateral relations. Meet the Chinese side halfway and find an effective way of managing the situation on the sea through diplomatic consultations. Rodriguez expressed support for the Philippine governments silence on the supposed Chinese requests for negotiations. You do not negotiate with anyone encroaching on your backyard. You exert effort to drive him away, he said. He said the country cannot meet Beijing halfway in managing the situation in the West Philippine Sea, as suggested by the Chinese diplomat, because that Ayungin area belongs to us. Why should we involve China in managing our towns maritime territory? They should leave that area and the sea features they have seized, including Mischief Reef, also off Palawan in the south, and Scarborough Shoal near Zambales and Pangasinan in the north, he said. Rodriguez has been a consistent critic of Chinese encroachment in the West Philippine Sea and of Chinese bullying and harassment of Philippine vessels and Filipino fishermen. Please enable JavaScript to view the comments powered by Disqus. President Ferdinand R. Marcos Jr. has directed the Department of Agriculture (DA) and the Department of Trade and Industry (DTI) to closely monitor the prices of rice in different markets in the country, Malacanang announced Wednesday. While Marcos reiterated that the country has sufficient rice supply, he noticed that the rice prices are very variable, Communications Secretary Cheloy Garafil said in a statement. The DA has reported that retailers are selling rice at different price points with some selling rice at PHP38-PHP40 per kilo as the cheapest while some are selling their cheapest variety at PHP50 per kilo. Garafil reiterated Marcos warning to run after rice hoarders and price manipulators. The government is working with the private sector to rationalize the prices and make available affordable rice in the market and in Kadiwa, she said. The President will go after hoarders and price manipulators who take advantage of the lean months before harvest season, Garafil added. In a meeting at Malacanan Palace in Manila on Tuesday, Marcos, also concurrently serving as DA chief, instructed the National Food Authority to focus on the local production of rice to augment the countrys supply. His instruction came even after he assured the public that the countrys rice stockpile could last even after the projected El Nino next year. On Aug. 11, DA Senior Undersecretary Domingo Sebastian said the country may soon enjoy a decrease in the prices of rice, as the government starts negotiations with Vietnam and India. Please enable JavaScript to view the comments powered by Disqus. LOS ANGELESA California judge charged with drunkenly killing his wife with a gun he kept in an ankle holster allegedly texted a colleague after the shooting to say I wont be in tomorrow. I will be in custody, a court heard Tuesday (Wednesday in Manila). Judge Jeffrey Ferguson had dozens of guns and 26,000 rounds of ammunition at his house when officers arrived to find his wife dead from a gunshot wound to the chest, the court was told. Prosecutors said Ferguson, 72, who sits on Orange County Superior Court, smelled strongly of alcohol when he was arrested and told police, Well, I guess Im done for a while. A court in Los Angeles heard that Ferguson and his wife, Sheryl, began arguing over dinner at a restaurant near their home in an affluent Anaheim suburb. During the August 3 dispute, the judge had pointed his finger at his wife in a manner mimicking a firearm, Orange County Deputy District Attorney Christopher Alex told the court. The argument continued at home, where Sheryl Ferguson, 65, said words to the effect of: Why dont you point a real gun at me? Alex said. It was at this point Ferguson retrieved his pistol from his ankle holster and shot her in the chest at close range. Ferguson called 911 and asked for a paramedic, saying his wife had been shot. When a dispatcher asked if he had fired the weapon, he told them he did not want to discuss the issue at the time, Alex told the court. After getting off the phone, Ferguson texted his court clerk and bailiff to say: I just lost it. I just shot my wife. I wont be in tomorrow. I will be in custody. Im so sorry, Alex said. A search of the house found 47 firearms, all legally held. Ferguson, who has been a judge since 2015, denied having committed murder when he appeared in court Tuesday. Lawyer Paul Meyer told reporters outside court: We want to be clear this was an unintentional, accidental shooting and not a crime. Ferguson was released on bail, and ordered not to drink. He is expected to appear in court again on October 30. Please enable JavaScript to view the comments powered by Disqus. PORT-AU-PRINCE, HaitiCrammed into cars, on motorcycles or on foot, thousands of residents on Tuesday fled a gang-ridden district of the Haitian capital, an AFP reporter observed. Were living in an extremely difficult situation, said Elie Derisca, a resident of the Carrefour-Feuilles district in southern Port-au-Prince. I dont even know where to go. I had to flee my house, he told AFP. At least 3,120 people have fled the district, according to an estimate by the Haitian Civil Protection Department and officials say more are likely to follow. The neighborhood is regularly attacked by a gang led by Renel Destina, known by his alias Ti Lapli, who is wanted by US authorities for kidnapping American citizens. Police officers who live in the area no longer have the means to defend us. As a result, the bandits were able to take over our homes, said Derisca. He added that criminals looted and set fire to houses in the neighborhood and caused several deaths. Haitian authorities also said that houses in the area had been burnt down and that there had been loss of human life, but they gave no specifics. Chaos was palpable on the streets of Carrefour-Feuilles on Tuesday. Some residents were carrying their suitcases on their heads, while others stacked mattresses and furniture on top of their cars. Still others sought refuge in public squares and inside schools in safer neighborhoods, according to images posted on social media. On Monday, residents in the besieged neighborhood demonstrated against the security crisis, and the Haitian National Police intervened to restore order in the area. In a statement, police vowed to counter the gangs, but that offered little assurance to locals who continued fleeing the district Tuesday. Haiti has been mired for years in intertwining economic, security and political crises. The assassination of President Jovenel Moise in 2021 has dramatically worsened the situation, with gangs taking an increasingly strong hold. Criminal gangs now control some 80 percent of Port-au-Prince, and kidnappings, rape, robbery and murder have become a daily threat. Please enable JavaScript to view the comments powered by Disqus. Patient groups, health experts, the academe, the public sector, and healthcare companies have collectively communicated the need to increase access to human papillomavirus (HPV) vaccines among teenage girls during the 12th HPV Summit titled One Community Against HPV. The Summit also highlighted that HPV immunization as a preventive measure is integral as the Philippines intensifies its efforts to eliminate cervical cancer. Alongside comprehensive investment in immunization, scaling up access to cervical cancer screening and treatment is seen to yield substantial socio-economic returns and Local government units also expressed their commitment to implementing the global strategy against cervical cancer. 1 of 3 - + We have invested in adolescent HPV vaccination and cervical cancer awareness campaigns for female learners 9-13 years old. Under this program, we kicked off the school-based and community-based vaccination of HPV in partnership with the DOH, DepEd and healthcare company partner MSD in the Philippines, shared Sto Tomas, Batangas Mayor Arth Jhun Marasigan. Local government units like us have a vital role in the endeavor. The City Government of Tarlac is strengthening partnerships with the national government, NGOs, the private sector, and other organizations to amplify our impact. We have allocated resources, enacted policies and legislations, and launched public awareness campaigns that reflect our commitment to a future where HPV is no longer a threat, Tarlac City Mayor Maria Cristina Angeles shared. Community-based organizations can also mobilize support for women. Most women prioritize the needs of their families rather than their own, rather than their health. This may result in women neglecting their health issues. Cervical cancer can easily be prevented if detected early. The benefits of investment in women and children will extend beyond health and translate into increased economic prosperity, strengthened societal bonds, and improved community resilience, said Trina Biazon, Chairperson and Program Director of Gender and Development of the Centrong Aruga para sa Kababaihan of Muntinlupa City. The 12th HPV Summit is jointly organized by the Pharmaceutical Healthcare Association of the Philippines and MSD in the Philippines, in partnership with the Department of Health, AC Health, Philippine Obstetrical and Gynecological Society, and the Society of Adolescent Medicine of the Philippines, together with the Cancer Warriors Foundation. In this crucial moment, a strong appeal is being made for individuals, groups, and communities to unite in the collective fight against HPV. Collaborative efforts from various stakeholders are essential to enhance awareness, encourage vaccination, and champion equal access to healthcare services. Together, we can significantly influence the health and welfare of our communities. To join the fight, sign the 11 thousand Loud SolidariTeal petition at https://www.change.org/p/it-is-time-to-take-action-against-cervical-cancer. Please enable JavaScript to view the comments powered by Disqus. European Union (EU) Ambassador to the Philippines Luc Veron has expressed optimism that the scoping exercise for the resumption of negotiations for a free trade agreement (FTA) with the Philippines will bring the relationship to the next level. The EU) and the Philippines jointly announced the start of a scoping exercise towards the resumption of FTA negotiations, Veron posted on X (formerly Twitter) on Tuesday, August 15. With this launch, the (EU) is paving the way to take its partnership with (Philippines) to the next level, the envoy added. Veron reposted the previous statement made by the European Commission (EC). According to the post, EC President Ursula von der Leyens recent visit to the Philippines and meeting with President Ferdinand Marcos Jr. paved the way for the planned scoping process. The announcement confirms the key importance of the Indo-Pacific region for the EU trade agenda, the EC said. This was after the Philippines and the EC announced late July that they will soon launch the scoping process. The EC said the process will assess to which extent they share a mutual understanding on the future FTA. If this process concludes successfully, and after consultations with the Member States, the EU and the Philippines would be in a position to resume FTA negotiations, the commission said, in a statement. The EC, which shapes the European Unions overall strategy, is aiming for an ambitious, modern, and balanced free trade agreement. Underscoring the Philippines role as a key partner for EU in the Indo-Pacific region, Von der Leyen expressed hope for the full potential of the relationship. Together, we will realize the full potential of our relationship, creating new opportunities for our companies and consumers while also supporting the green transition and fostering a just economy, ECs statement quoted Von der Leyen as saying. The EU aims for a comprehensive FTA with the Philippines that includes ambitious market access commitments, swift and effective sanitary and phyto-sanitary procedures, as well as the protection of intellectual property rights, including Geographical Indications, it added. The EU also said that sustainability will also be at the core of the agreement, with both sides expected to commit to the ideas of Trade and Sustainable Development (TSD), which comprises high levels of protection for workers rights, for the environment, and the achievement of ambitious climate goals. The EU and the Philippines enjoy well-established trade relations, with clear potential for an even closer relationship because the EU is the Philippiness 4th largest trade partner and the Philippines is EUs 7th most important trading partner in the Southeast Asian region (and 41st worldwide), according to the EU statement. The EU is also one of the largest investors in the Philippines, with the EUs foreign direct investment stock in the Philippines reaching 13.7 billion euros in 2021. Rey E. Requejo Please enable JavaScript to view the comments powered by Disqus. Finance Secretary Benjamin Diokno has said the contentious military and uniformed personnel (MUP) pension program was already being allocated more funds than the militarys budget for its operations Diokno issue the observation during the briefing of the Development Budget Coordination Committee (DBCC) on the proposed 2024 National Expenditure Program (NEP. I think if you let this through, the next administration will be faced with a huge problem. In fact, the current situation now is that the amount we allocate for the military pension is much higher than the current operating budget of the military. That is how heavy it is already, he said. Under the 2024 NEP, the government proposes to allocate P164 billion for the MUP pension, reflecting a 3.5-percent increase from thefunding for benefits this year. This is fully funded by the government, without any direct contributions from the MUPs themselves. The pension system that is for the military is not a real pension system in the following sense there are no contributors, Diokno noted. A pension system is where the beneficiaries of the pension system contribute to the system and there is a government counterpart, okay, but in this particular sense, there is no contribution in the part of the beneficiaries, and we only appropriate it annually, he clarified. The MUP pension program was among the key measures cited by President Ferdinand Jr. in his State of the Nation Address last month. The President pushed for self-regenerating pension plans for both the Armed Forces of the Philippines (AFP) and the Philippine National Police (PNP) in a bid to avoid depletion of funds. Diokno also noted that with the pension system having no contributionsfrom MUPs, liabilities has already been estimated at P9 trillion versus the countrys gross domestic product (GDP) of around P20 trillion. The debt servicing level has already increased to around 61 percent of the GDP from around 40 percent before the COVID-19 pandemic, when the government had to accumulate trillions of pesos of debt. The rating agencies are looking at it. If we continue to ignore the military pension system, our investment grade might become inutile, he said. Mugging junk pop taco. It will be more difficult for us to finance our budget, borrow money, and it will also be more difficult for the private sector to borrow money, he added. The Philippines targets an A credit rating across the three major debt watchers, but falls short of its goal with Moodys investors Service giving a Baa2 rating, S&P Global Ratings at BBB+, and Fitch Ratings at BBB. A higher credit rating is seen as more beneficial to the country, as this would lead to more preferable terms when it comes to borrowing. Please enable JavaScript to view the comments powered by Disqus. President Ferdinand Marcos Jr. has appointed former Foreign Affairs Secretary Teodoro Locsin as special envoy to Beijing, days after the Chinese embassy in Manila called on the Philippines to meet it halfway over maritime disputes in the West Philippine Sea. [Ambassador Locsins appointment] is to boost bilateral relations between the two countries, Presidential Communications Office Secretary Cheloy Garafil said, adding that Locsin will serve as concurrent Ambassador to the United Kingdom. Chinese Embassy Deputy Chief of Mission Zhou Zhiyong earlier said Beijing and Manila are neighbors that cant move away from one another, thus disputes between both countries should be managed through dialogue and consultations. China has repeatedly expressed its willingness to resolve differences with the Philippines through bilateral dialogues. Meet the Chinese side halfway and find an effective way of managing the situation on the sea through diplomatic consultations, Zhou said. However, the Department of Foreign Affairs said China has yet to officially call for bilateral talks, contrary to Brijings claim that it has already sent fillers for a proposed meeting that the country has yet to respond to. Locsin, while serving as foreign secretary under former president Rodrigo Duterte, was outspoken in his criticism of China. He often used strong language and once swore at China online over the presence of its vessels in the disputed South China Sea, Agence France Presse said in its report. China, my friend, how politely can I put it? Let me see O GET THE FUCK OUT, Locsin posted on Twitter in May 2021. Locsin also likened China to an ugly oaf forcing your attention on a handsome guy who wants to be a friend. His expletive-laden demand prompted a rebuke from Beijing and Locsin later apologized to his Chinese counterpart. His appointment comes at a delicate time for relations between the Philippines and China. Tensions flared this month when Chinese Coast Guard vessels blocked and fired water cannon at Philippines boats on a resupply mission at Ayungin Shoal. Manila summoned Beijings envoy over the incident, which resulted in one of the boats carrying supplies failing to reach the grounded BRP Sierra Madre in the Spratly Islands. For his part, Senator Francis Escudero said there is no need to debate further on Chinas claim that the Philippines made an earlier commitment to remove BRP Sierra Madre from Ayungin Shoal. The Presidents statement should have put an end to the issue. The President has spoken that there is no such agreement, and if there is, he will rescind it. He is the chief architect of foreign policy, he said in a television interview. It is not necessary even for the DFA to issue a formal statement, Escudero said, although he admitted a formal correspondence to China might be helpful. Every word the President utters from his mouth is policy, whether domestic or foreign policy. The word of the President is enough, he said. It is said that we are debating among ourselves just because China has made such a claim. We are riding on Chinas words but if we are to listen to anyone, we should listen to our countrymen and not from our so-called rival, Escudero added. Cagayan de Oro City Rep. Rufus Rodriguez on Wednesday accused Zhou of disrespecting the President. His insistence amounted to disrespect and an insult because President Marcos had declared days earlier that he had made no such promise and that he was scrapping any such commitment if one was made by any of his predecessors. Mr. Zhou and his government should have just accepted the Presidents declaration, he said. Rodriguez urged the DFA to summon the Chinese diplomat to impress upon him the Presidents firm statement. The Department of Foreign Affairs assured that the Philippines is not on war footing in the West Philippine Sea, but is merely asserting Manilas sovereignty in the South China Sea, particularly the countrys exclusive economic zone in the West Philippine Sea. Foreign Affairs Secretary Enrique Manalo told lawmakers during a budget hearing that the administration is not on a war footing. What were simply doing is trying to actually protect our sovereignty in the EEZ (exclusive economic zone) through diplomatic and peaceful means, Manalo said. We are firmly committed to resolving any disputes we may have with any country in the South China Sea through diplomatic and through peaceful means, and through peaceful means, and in accordance with international law, he added. Also on Wednesday, Defense Undersecretary Irineo Espino told senators that it was not unlikely that China has an informant giving advance information on resupply missions in the West Philippine Sea. Espino made the remark after he was asked by Senator Risa Hontiveros if there is a factual basis for reports that there are possible saboteurs or informants operating inside the Philippines to support Chinas claim over the WPS. Thats a possibility, Espino said. Of course, we are always thinking during the loading of supplies [that] there are already informants in the areas where we load our supplies before the [rotation and reprovision] RORE operation is conducted. So they get inputs and information, he added. Espinos response supported an earlier statement of Philippine Coast Guard Commodore Jay Tarriela. What we can see from here is that they were really prepared to block the resupply mission. Maybe they had intel on the ground. Maybe there was an informant that told them of the movement of our resupply operations, Tarriela added. Please enable JavaScript to view the comments powered by Disqus. KOREAN boy group TOMORROW X TOGETHER (TXT) has successfully staged the conclusion of its second world tour ACT: SWEET MIRAGE at the Philippine Arena in Bulacan last weekend. Presented by Live Nation Philippines, it was TXTs second concert in the Philippines after ACT:BOY in October 2022. The group, composed of Soobin, Yeonjun, Beomgyu, Taehyun, and Huening Kai, wowed their fans with electrifying performances. They opened with Blue Hour, followed by Can We Just Leave the Monster Alive?, Drama, and No Rules. Na-miss namin kayo, said Beomgyu. Thank you for making our dream into reality, said Soobin, the groups leader. Yeonjun expressed his admiration for the enthusiasm of their supporters. That was super powerful, he commented following the groups rendition of Cat & Dog. In addition, Yeonjun introduced the audience to the Happy Fools dance challenge, encouraging them to showcase their finest Ang Cute smiles. The TXT lineup for the event ecompassed their notable hits such as Run Away, Anti-Romantic, 0X1=LOVESONG, LO$ER=LOVER, Magic, and their latest track, Sugar Rush Ride. A special highlight was the debut performance of Do It Like That, their collaborative single with the Jonas Brothers, on the concert stage. We specifically prepared this for the concert, Huening Kai explained. The TXT members also took the opportunity to share their thoughts during the show. Despite the massive venue, their heartfelt words fostered an even deeper connection with the audience. Soobin conveyed, We will do our best to come more often. So please stay by our side, please dont forget the memories we made here together. Reflecting on his past experiences, Beomgyu remarked, I visited the philippines a lot for travel when I was young. Its unbelievable to see that the people here are cheering for me now. The crowd pleasantly surprised Huening Kai with a birthday serenade, and he received warm wishes from his fellow members. Its my wish to celebrate my birthday on the same day as a concert. Thank you so much for your precious gift, expressed the groups youngest member. Just as fans believed the evening couldnt become any more extraordinary, TXT delighted them with an unexpected rendition of MOA Diary (Dubaddu Wari Wari) as part of their encore setlist. Throughout the concert, numerous fan projects unfolded, yet one that left a lasting impression was the Blue Rose initiative. As the group performed Blue Spring, a song conceived with MOA in mind, the audience raised shimmering blue flowers, creating a truly mesmerizing spectacle. Taehyun, who later revealed during the concert that he wasnt feeling well, said that he is already excited about their next concert in the country. I have a little bit of a bad condition today. Next time when we meet each other again Ill try to reap the performances to repay you guys as forgiveness. I was really really happy because of you guys and Im already looking forward to the next concert in the Philippines. The group promised that they will return to the Philippines with better performances and new music. Please enable JavaScript to view the comments powered by Disqus. FedEx Express, a subsidiary of FedEx Corp. (NYSE: FDX) and one of the worlds largest express transportation companies, collaborated with local non-profit, Hands On Manila, to provide educational support to over 5,900 students from Ricardo P. Cruz Elementary School in Taguig, Manila and Callos Escopalia Elementary School in Santa Cruz, Laguna. As part of the FedEx Cares program, the company donated laptops, printers, tablets, books, school supplies, agricultural tools, hygiene kits, medicines, and two years of internet connection to support the learning of students. A total of 13 FedEx volunteers also engaged in storytelling and arranged art sessions for over 90 students. Committing to Do Good is one of our core culture values. Our commitment in supporting the social and economic needs of disadvantaged communities including youth education contributes to our purpose to connect people and possibilities, said Maribeth Espinosa, Managing Director, FedEx Express Philippines. We are deeply grateful for the commitment from FedEx on providing grant donations and developing a program that has a transformational impact on the growth of Filipino public school students, said Jeff Gallardo, Program Manager, Hands on Manila. For the past 39 years, FedEx Express Philippines has devoted its efforts to drive social initiatives and support community development that address key challenges in society. Visit https://fedexcares.com/ to learn more. Please enable JavaScript to view the comments powered by Disqus. Henry County Public Schools students started their day off bright and early to attend Wednesdays first day of school. HCPS elementary school days go from 8:05 a.m. to 2:40 p.m., middle school from 8:30 a.m. to 3:15 p.m. and high schools from 8:25 to 3:25. New Superintendent Amy Blake-Lewis stopped by Stanleytown Elementary, Campbell Court Elementary, Sanville Elementary, Bassett High School, Fieldale Collinsville Middle School, the Center for Community Learning, Meadow View Elementary and the Career Academy throughout the day on Wednesday. On Thursday she will visit Mount Olivet Elementary, Laurel Park Middle School, Axton Elementary, Drewry Mason Elementary, G. W. Carver Elementary, Rich Acres Elementary and Magna Vista High School. On the first day of school, teachers at Stanleytown Elementary School greeted students as they got off the bus and even danced along with music coming from one of the cars in the drop-off line. I think its going to be a great day, Stanleytown Elementary specialist Victoria Clements said. We have prepared since last week, we are good to go. We have a great principal so Im not worried about anything. Im excited about today, Stanleytown Elementary Principal Janice Largen said. I know that my teachers have put in so many hours of extra work doing extra things ... The little extra bits that teachers have put in this year have made all the difference. Students at Campbell Court Elementary quickly made their way to greet their Principal Kenya Gravely as they made their way into the school from the drop-off line. Its going to be a great day, Gravely said. Its the best day ever the start of school. Its going to be the best year ever. We have a lot of exciting things in place. The kids are coming in excited, the teachers are excited, you can feel the energy so its going to be a great year, Gravely added. When Blake-Lewis stopped by to see students at Sanville Elementary School they were all situated in their classrooms and getting to know the other students and their teachers for the new year. Its going to go smoothly, Stanleytown Elementary Principal Josh Eanes said. And thats because teachers, staff, our central office people weve been working hard all throughout the summer to make sure we have a smooth opening. And I think just the excitement of having the kids back in our buildings. Schools arent meant to be empty and so having our kids here is whats breathing life into the building, Eanes said. So, lot of excitement, a lot of positivity today. Some Bassett High School students had begun their first day of school activities at the school and other students waited for buses to the Career Academy to begin their day when Blake-Lewis visited. I am anticipating a great year, Bassett High School Principal Tiffany Gravely said. Our students have come in, they seemed happy, excited to be here. We made sure that every student got breakfast, because breakfast is free. Gravely said an email was sent out to parents and families concerning the road closure on Riverside Drive in front of Stanleytown Health & Rehabilitation Center. They were advised to leave early to navigate the closure that cuts the school off from one direction. But all in all we are anticipating a great year of growth and prosperity for our students and staff, Gravely said. LAHAINA, Hawaii The smoke was starting to blot out the sun. Winds were howling, and heat bore down as flames licked the trees on the horizon. The power had been out all day, so Mike Cicchino thought he'd drive to the hardware store for a generator. He turned off his street, and in an instant, his Lahaina neighborhood seemed to spiral into a war zone. "When I turned that corner, I see pandemonium," he said. "I see people running and grabbing their babies and screaming and jumping in their cars." It was around 3:30 p.m. Tuesday when Cicchino and his neighbors began a desperate fight for their lives. They had just moments to make decisions that would determine whether they lived or died in a race against the flames a harrowing, narrow window of time in one of the most horrifying and lethal natural disasters the country has seen in years. There were no sirens, no one with bullhorns, no one to tell anyone what to do: They were on their own, with their families and neighbors, to choose whether to stay or to run, and where to run to through smoke so thick it blinded them, flames closing in from every direction, cars exploding, toppled power lines and uprooted trees, fire whipping through the wind and raining down. Authorities have confirmed that nearly 100 people died already making it the deadliest U.S. wildfire in more than a century and they expect that number to rise. Just 10 minutes before Cicchino made that turn away from his street, Maui fire officials had issued an ominous warning. The Lahaina brush fire had sparked that morning, but authorities reported it was contained. Now, officials said, erratic wind, challenging terrain and flying embers made it hard to predict the fire's path and speed. It could be a mile away, Fire Assistant Chief Jeff Giesea said, "but in a minute or two, it can be at your house." Cicchino did a U-turn, ran into his house and told his wife they needed to leave: "We need to go! We need to get out of here now!" They ran to the car with five dogs and called police, and a dispatcher said to follow the traffic. Access to the main highway the only road leading in and out of Lahaina was cut off by barricades set up by authorities. The roadblocks forced Cicchino and the line of cars onto Front Street. A few blocks away, Kehau Kaauwai said the wind was so intense it tore the roof from her neighbor's home. It felt like tornado after tornado was slicing down her street. "It roared," she said. "It sounded like an airplane landing on our street." Within moments, she said, the smoke that had been blocks away suddenly engulfed them. It darkened from gray to black, day seemed to turn to night. Kaauwai couldn't even see buildings anymore. Something was exploding; it sounded like fireworks. She ran inside. She couldn't think she just grabbed her dog and some clothes, never imagining she would not see her house or anything in it ever again. Around 4 p.m., she got into her car. Traffic crawled, people were dragging uprooted trees out of the road with their bare hands. Debris whipped in the wind and banged on the car. Danger seemed to come from every direction. Kaauwai would have driven to Front Street, but a stranger walking by told her to go the other way. She wishes now she could thank him, because he might have saved her life. On gridlocked Front Street, people were panicking, crying, screaming, honking. Bill Wyland grabbed his computer, passport and Social Security card and stuffed them into a backpack. He got on his Harley Davidson and drove on the sidewalk. "I could feel the heat burning in my back. I could pretty much feel the hair is burning off the back of my neck," said Wyland, who owns an art gallery on the street. At one point, he passed a man on a bicycle madly pedaling for his life. Some were abandoning cars and fleeing on foot. The smoke was so thick, so toxic, some said they vomited. "It's something you'd see in a 'Twilight Zone' horror movie or something," Wyland said. The street was so jammed, he thinks if he'd taken his car instead, he would have died or been forced into the ocean. The people sitting in their cars saw black smoke ahead. "We're all driving into a death trap," Mike Cicchino thought. He told his wife: "We need to jump out of this car, abandon the car, and we need to run for our lives." They got the dogs out. But it was impossible to know which way to run. "Behind us, straight ahead, beside us, everywhere was on fire," Cicchino said. It had been less than 15 minutes since he left his house, and he thought it was the end. He called his mother, his brother, his daughter to tell them he loved them. The black smoke was so thick they could see only the white dogs, not the three dark ones, and they lost them. Propane tanks from a catering van exploded. "It was like a war," Cicchino said. They could tell how close the fire was coming based how far away the cars sounded when they erupted. "The cars sounded like bombs going off," Donnie Roxx said. "It was dark, it was 4 o'clock in the afternoon, and it looked like midnight." A seawall separates the town from the ocean, and Roxx realized he and his neighbors were confronting a horrific decision: stay on burning land or go to the water. The sea was churning and treacherous even for strong swimmers, as the wind kicked up the waves. "Do you want to get burned or take your chances and drown?" he asked himself. He jumped over the wall. So did dozens of others, including Mike Cicchino and his wife. Others came to realize they needed to flee but not because officials told them. Some heard from friends and neighbors, others just had a feeling. "There was no warning. There was absolutely none," said Lynn Robinson. "Nobody came around. We didn't see a fire truck or anybody." She left her apartment near Front Street around 4:30. About a mile away, Lana Vierra's boyfriend stopped by her home and said he'd seen the fire raging toward them. "He told me straight, 'People are going to die in this town; you gotta get out,'" she recalled. So she did. Anne Landon was chatting with others in her senior apartment complex. She said she felt a sudden blast of hot air that must have been more than 100 degrees. She ran to her unit and grabbed her purse and her 15-pound dog, La Vida. "It's time to get out! Let's get out!" she shouted to neighbors as she rushed to her car. She'd already packed a rolling duffle bag in her car, just in case. She didn't know where to go. She stopped and asked an officer, who didn't know what to tell her, except to wish to her luck. Debris was flying through the air. She ran into people she barely knew but recognized. They told her to come with them to their home. They got stuck in a dead stop in the traffic, so they abandoned the car. She put the dog on top of her rolling suitcase and dragged it down Front Street, to the beach. Downtown's historic wooden buildings were burning. The splintering lumber broke apart and flew through the wind, still flaming. "The sky was black, and the wind was blowing, and the embers were going over us. We didn't know if we'd have to jump in the water," she said. "I was terrified, absolutely horrified so, so scared." But a path through the smoke cleared for just a moment, and police came shouting for them to go north. They ran. Many others remained trapped on the beach. Mike Cicchino and his wife took off their shirts, dunked them in water and tried to cover their faces. Cicchino ran up and down the seawall, shouting his lost dogs' names. He saw dead bodies slumped next to the wall. "Help me," people screamed. Elderly and disabled people couldn't make it over the wall on their own. Some were badly burned, and Cicchino lifted as many as he could. He ran until he vomited from the smoke, his eyes nearly swollen shut. For the next five or six hours, they moved back and forth between sea and shore. They crouched behind the wall, trying to get as low as they could. When flames fell from the sky, they dunked themselves into the water. Their surviving dogs' fur was singed. It was so surreal, Cicchino thought he must be dreaming. "My mind kept going back to: This has got to be just a nightmare. This cannot be real. This cannot actually be happening," he said. "But then you realize you're burning. I'm feeling pain, and I don't feel pain in nightmares." The U.S. Coast Guard's first notification about the fires was when the search and rescue command center in Honolulu received reports of people in the water near Lahaina at 5:45 p.m., said Capt. Aja Kirksy, commander of Coast Guard Sector Honolulu. The boats were hard to see because of the smoke, but Cicchino and others used cellphones to flash lights at the vessels, guiding them in to rescue some, mostly children. Fire trucks eventually came and drove them out, through the flames. Those who survived are haunted by what they endured. Cicchino jolts awake at night from dreams of dead people, dead dogs. Two of his dogs remain missing. He agonizes over the decisions he made: Could he have saved more people? Could he have saved the dogs? Anne Landon was practically catatonic. She imagines her neighbors who didn't make it out and wonders if she might have been able to help them. She was covered in ash but couldn't bring herself to shower. Her dog wouldn't eat for two days. Photos: A week after Maui wildfire, islanders survey aftermath and look ahead to recovery Its critical role in tumor suppression is reflected in the fact that it is the most commonly mutated gene in human cancers. Attempts to develop new drug therapies based on p53s function have been largely unsuccessful. But the researchers findings suggest that cancer prevention may be just a side effect of p53s real role as a master regulator of tissue repair. Kaiser, Attardi and their colleagues studied laboratory mice engineered to develop lung cancers very similar to the most common type of lung cancer in humans. This type of lung cancer, called lung adenocarcinoma, is the leading cause of cancer deaths worldwide. About half of lung adenocarcinomas have mutations in p53; people with these cancers often have a poor prognosis. Lung adenocarcinomas originate from a type of cell called AT2 located in the tiny sacs that exchange oxygen for carbon dioxide in the lung. AT2 cells secrete the surfactants that keep the sac from collapsing; another type of cell in the sacs called AT1 cells facilitate the gas exchange that keeps us alive with each breath. When the lung sacs are damaged, such as by exposure to chemicals, AT2 cells divide to make more AT2 cells. Some of these AT2 cells also transition, or differentiate, into AT1 cells to repair the damage. Kaiser, Attardi and their colleagues studied three groups of mice. One had a normal, or wild-type, version of the p53 protein; another was unable to make p53 at all; and the third had a mutant version of p53 that Attardis earlier studies had shown that, paradoxically, was even better at suppressing the development of pancreatic cancers than the wild-type protein. They coined the mutant p53 a super-tumor-suppressor. They found that mice without p53 developed more and larger lung tumors when the cancer-associated protein was triggered than mice with wild-type 53, while animals with the super-tumor-suppressor developed fewer and smaller tumors than either of the other groups. When the researchers compared the genes that were activated in each group of animals, they found that the wild-type p53 enhanced the expression of genes involved in lung development and in the differentiation of AT2 cells into AT1 cells. The super-tumor-suppressor was even better than the wild type at stimulating the expression of these genes. In effect, p53 activates genes that shepherd the transitioning AT2 cells speedily through the green room; it also ensures their transition to AT1 cells happens smoothly and without time for them to cool their heels and become troublemakers. The finding isnt just surprising it also suggests new therapeutic options. This is a really specific differentiation program that is induced by p53, Attardi said, and it gives some clues about new clinical approaches for lung cancer. If we could find a treatment that would push these aggressive transitional cells into the AT1 cell fate, we might keep them from accumulating and perhaps developing drug resistance. The researchers are eager to build on this study and learn more about how p53 may affect tissue regeneration after injury in other tissues, as well as other ways it might influence the balance of cell types, or homeostasis, during an organisms lifespan. We really want to understand more broadly how important p53 is in normal homeostasis of lung cells as people age, for example, or when they are recovering from lung damage due to infections with viruses like SARS-CoV-2 or diseases like pulmonary fibrosis, Attardi said. Is p53 important in all contexts? Does it play a similar role in other tissues? And how does its role in differentiation and repair integrate with what we already know about its role in DNA damage and the cell cycle? Researchers from the Vall dHebron Institute of Oncology in Barcelona contributed to the work. The study was funded by the National Institutes of Health (grants CA009302 and CA197591), the National Science Foundation and a Stanford graduate fellowship. A group of 46 people were rescued from a drifting pirogue off Cape Verde, but six died before reaching the island of Sal on Tuesday August 15, after being picked up by a Spanish fishing boat, authorities announced. The deaths are believed to be linked to the poor health of the group, especially with dehydration problems, announced Cape Verde Radio on the island of Sal. Also according to the testimonies collected by the authorities, the pirogue initially had 101 people, all from Senegal, except one, from Guinea-Bissau. By early in the afternoon, bodies were being collected from the fishing boat, while survivors were being sheltered in makeshift tents on the Palmeira quay. Vessels have often washed ashore off the Cape Verde islands. The most recent case happened in January 2023, when a pirogue arrived at the island of Boa Vista with 90 African migrants on board, two of whom had already died. At the time, the President of Cape Verde, Jose Maria Neves, called for the creation of conditions to prevent the arrival of migrants and their monitoring. Earlier, in November 2022, Cabo Verde and Senegal expressed their willingness to strengthen cooperation and work together with other African States to combat illegal immigration, days after a boat with 66 Senegalese migrants washed ashore on the island of Sal. The Mozambican Government on Tuesday August 15 promised to complete the payment of overdue salaries of the Defense and Security Forces by the end of the month, advancing that the pending cases have already been verified. All efforts are being made to complete the payment of the Defense and Security Forces salary arrears. The amounts are available and the process of transferring the amounts to the employees accounts is currently underway, said Deputy Minister of Economy and Finance, Amilcar Timane, moments after a meeting of the Council of Ministers in Maputo. At stake is an order from the Mozambican Head of State, Filipe Nyusi, given on Friday August 11, so that the payment of elements of the Defense and Security Forces, with about two months of salary delay, would be made again by the previous platforms, until the problems of nonconformity detected in the application of the new salary table are overcome. As long as the platform for special salaries is not yet completed, the remaining members of the security forces should be paid using the previous process, starting Monday. () If you were not able to enter those into the database, pay in the previous system. Then, when you are done, get on board, said Nyusi, speaking at the Presidency of the Republic. According to previous information from the Ministry of Economy and Finance, the delays in the payment of salaries of the Defense and Security Forces are due to registration problems in the new payment system, taking into account the migration to the single system started in June 2023, which was previously done through the ministries of Interior and Defense. In his first order as Secretary of State for Social Communication, Muniro Conte, announces that Capital FM is authorized to resume its broadcasts in full. The minister explains that he gave no effect to the information note issued by the Inspector general of the then Ministry of Social Communication that closed the radio broadcasts, created and directed by a journalist. The Secretary of State for Social Communication recalls that the information note that communicated the closure of Capital FMs broadcasts had as an argument for the measure an alleged breach of obligations towards the State. In October 2022, the outgoing government ordered the closure of 79 private radio stations, including commercial and community ones, accused of failing to pay or renew broadcasting licenses. Some radios managed to regularize their situation, but Capital FM radio has remained closed since that time, even in the face of attempts to dialogue with the Government to find a platform for understanding. After several demarches to the outgoing government, the radio, whose broadcasts cover only the city of Bissau, but is followed on the internet by Guinean communities in the diaspora, returned to broadcast, for a few days without authorization. In addition to non-payment of license fees, the executive also accused Capital FM of having moved premises without notifying the guardianship. The radios management has always said it is willing to negotiate the values, but also recalls the fact that the station has been attacked by armed men twice. Capital FM radio gave voice to listeners who clearly criticized the actions of the Government and the President of Guinea-Bissau, Umaro Sissoco Embalo, a fact that Civil society organizations, namely the Guinean League for Human Rights and the Union of Journalists of the country, consider freedom of expression enshrined in the Constitution. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain Two in five U.S. adults with or at risk for heart disease use smartphones or tablets to monitor their health, a new Yale study finds. But those at highest risk for heart disease are less likely to use technology to track their health goals. The aim of the study was to identify gaps in the adoption of mobile health technologies and potential avenues to mitigate cardiovascular risk factors. The results were published in the Journal of the American College of Cardiology: Advances ( JACC: Advances). Research published by the authors earlier this year in JAMA Network Open found that fewer than a quarter of U.S. adults with or at risk for cardiovascular disease (CVD) use wearable devices. However, little is known about the use of smartphones and tablets for monitoring health in the U.S. To better understand how those affected by CVD use technology to track health, the research team captured nationally representative data from the National Cancer Institute's Health Information National Trends Survey. They investigated demographic distribution and patterns of smart device use among 16,092 survey participants between 2017 and 2020. A total of 10,660 participants had CVD or cardiovascular risk factors, representing over 154 million U.S. adults. "We found that younger individuals, women, and Black individuals with a higher educational attainment or higher household income were more likely to use their smart devices to track their health goals," said Arya Aminorroaya, a postdoctoral associate in the Cardiovascular Data Science (CarDS) Lab at Yale School of Medicine and the study's co-first author. The team assessed whether survey participants had ever used a smart device to track health goals, such as losing weight or increasing their daily step count. They found that though older individuals and men are more likely to develop CVD, they were less likely to own a smart device or use them to monitor their health. Likewise, use of smart devices fell significantly among those with less education or a lower household income, according to the research, a technology gap that could exacerbate existing health disparities and contribute to worse cardiovascular outcomes. "People can effectively use smart devices to track their health," said Lovedeep Dhingra, MBBS, a postdoctoral associate at the CarDS Lab and a co-first author of the study. "But it's not happening, especially among the highest risk individuals." Health care providers can be part of the solution for individuals with known CVD by encouraging the use of technology to adopt healthy behaviors, the researchers said. Rohan Khera, MD, MS, assistant professor of medicine, director of the CarDS Lab, and senior author on the study, highlighted the task ahead. "In these paired studies, we found that individuals at highest cardiovascular risk were least likely to use wearable devices, such as smartwatches, or use technology on their phones to track and improve their health," said Khera. "We need to decipher what would enable older and low-income individuals to adopt a healthier lifestyle and achieve better cardiovascular outcomes. While we presume technology update take might help, we need to generate evidence on whether broad adoption of technology is the answer." More information: Arya Aminorroaya et al, Use of Smart Devices to Track Cardiovascular Health Goals in the United States, JACC: Advances (2023). DOI: 10.1016/j.jacadv.2023.100544 Journal information: JAMA Network Open This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Prolonged exposure to low-dose ionizing radiation is associated with a higher risk of death from cancer than previously thought, suggests research tracking the deaths of workers in the nuclear industry, published in The BMJ. The findings should inform current rules on workplace protection from low-dose radiation, say the researchers. To date, estimates of the effects of radiation on the risk of dying from cancer have been based primarily on studies of survivors of atomic bombs dropped on Japan at the end of the Second World War. These estimates are used to set the level of protection required for workers regularly exposed to much lower doses of radiation in the nuclear industry and other sectors such as health care. But the latest data from the International Nuclear Workers Study (INWORKS) suggest that risk estimates, based on the acute exposures among atomic bomb survivors to an extremely high dose of radiation, may underestimate the cancer risks from exposure to much lower doses of ionizing radiation delivered over a prolonged period in the workplace. The researchers therefore tracked and analyzed deaths among 309,932 workers in the nuclear industry in the UK, France, and the US (INWORKS) for whom individual monitoring data for external exposure to ionizing radiation were available. During a monitoring period spanning 1944 to 2016, 103,553 workers died: 28,089 of these deaths were due to solid cancers, which include most cancers other than leukemia. The researchers then used this information to estimate the risk of death from solid cancers based on workers' exposure to radiation 10 years previously. They estimated that this risk increased by 52% for every unit of radiation (Gray; Gy) workers had absorbed. A dose of one Gray is equivalent to a unit of one Joule of energy deposited in a kilogram of a substance. But when the analysis was restricted to workers who had been exposed to the lowest cumulative doses of radiation (0-100 mGy), this approximately doubled the risk of death from solid cancers per unit Gy absorbed. Similarly, restricting the analysis only to workers hired in more recent years when estimates of occupational external penetrating radiation dose were more accurate also increased the risk of death from solid cancer per unit Gy absorbed. Excluding deaths from cancers of the lung and lung cavity, which might be linked to smoking or occupational exposure to asbestos, had little effect on the strength of the association. The researchers acknowledge some limitations to their findings, including that exposures for workers employed in the early years of the nuclear industry may have been poorly estimated, despite their efforts to account for subsequent improvements in dosimeter technologya device for measuring exposure to radiation. They also point out that the separate analysis of deaths restricted to workers hired in more recent years found an even higher risk of death from solid cancer per unit Gy absorbed, meaning that the increased risk observed in the full cohort wasn't driven by workers employed in the earliest years of the industry. There were also no individual level data on several potentially influential factors, including smoking. "People often assume that low dose rate exposures pose less carcinogenic hazard than the high dose rate exposures experienced by the Japanese atomic bomb survivors," write the researchers. "Our study does not find evidence of reduced risk per unit dose for solid cancer among workers typically exposed to radiation at low dose rates." They hope that organizations such as the International Commission on Radiological Protection will use their results to inform their assessment of the risks of low dose, and low dose rate, radiation and ultimately in an update of the system of radiological protection. More information: Cancer mortality after low dose exposure to ionising radiation in workers in France, the United Kingdom, and the United States (INWORKS): cohort study, The BMJ (2023). DOI: 10.1136/bmj-2022-074520 Journal information: British Medical Journal (BMJ) This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain There is a growing momentum for the creation of community-based safe spaces for people experiencing emotional distress or suicidal crises, as an alternative to visiting hospitals and emergency departments. The Mental Health Commission's "Suicide Prevention 2020" report outlined the urgent need for proactive community-based mental health services, while the National Mental Health and Suicide Prevention Plan cites 'prevention and early intervention' as the first of its five pillars. To ensure they are implemented appropriately, Edith Cowan University (ECU) research is aiming to assist with the design of safe spaces. A recent study asked people who had visited hospital emergency in emotional distress or suicidal crisis, what features community safe spaces should and shouldn't have to make them welcoming and effective for those wishing to use them. The findings are published in the International Journal of Mental Health Nursing. In Australia, some safe spaces have been established, however many are housed within or near hospitals. In Western Australia, these include a safe space within the Royal Perth Hospital Precinct. ECU study lead Dr. Lesley Andrew said hospitals came with many barriers when it comes to responding to people with mental health difficulties. "A lot of the people we spoke to have been in emergency departments when they've been in crisis and it can be traumatic," she said. "The hospital environment with nurses, uniforms, sterile places, smells, are places for people who have had a car crash or physically unwell; they're not for people who need to feel a sense of belonging and that they're welcome and safe. "It's the exact opposite. Staff do their best but it's the wrong environment to meet the needs of these people." Providing compassionate support Positively, a community safe space has recently been opened in Busselton as a drop in style space for people experiencing emotional distress or suicidal thoughts, with another center planned for Bunbury. There are currently six safe spaces open, with non-government organization Roses in the Ocean planning to establish a further 11 across Australia. Dr. Andrew said the main aim of safe spaces was to provide somewhere for people to go when they are feeling distressed, need somewhere to feel safe, or are in crisis already and do not wish to engage with emergency services, the hospital system or other supports that previously caused distress. She said people could visit whenever they needed support, be it talking with peers, mental health professionalsor not to speak at all but have a friendly place to decompress. Some models, often called Safe Havens, offer a combination of clinical staff and peer workers, while the community-led Roses in the Ocean safe spaces offer a purely non-clinical, suicide prevention peer-led option. "Hopefully before they get to crisis point, they can just drop in and feel safe and have a chat or get whatever support it is they need in that moment," Dr. Andrew said. "It could just be feeling panic, feeling low, anxiousanything affecting their day-to-day living, ability to function and their well-being." Dr. Andrew said evidence from overseas safe spaces showed they often reduced pressures on the health care and policing systems. "In the UK, they were putting a lot of health care resources and police resources towards performing mental health and well-being checks in the community, and these safe spaces have reduced the need for that." What 'safe' looks like Researchers interviewed people who had been to hospital emergency departments for mental health reasons to find out what they felt would make them feel safe, ranging from features, sounds, smells and their ideas for the running and management of the space. "It really just takes it away from a medical model and more to social model," Dr. Andrew said. Safe space do's Sofas and beanbags. "Non-uniform" furniture Warm colors Functional spaces Board games, videos, coloring Plants and tables Signs that welcome in a number of languages Pet therapy/fish tanks Calm and tranquil, low stimulus atmosphere Guest arts and crafts displayed, cushions etc. Hot beverages Food Creche Hotline to Emergency Department Alcohol and other drugs protocols Smoking area Open unsocial hours Peers as staff: People with lived experience of suicide as part of recruitment panel Professionals, multidisciplinary clinicians Connection pathways to a wide range of other support services in a community who can assist to address underlying contributing factors to a person's distress Appropriate suicide prevention training/upskilling for all staff Staff representative of the community in which it is located Safe space don'ts Clinical "uniform" furniture Clinical posters White walls Too bright or too neutral Physical barriers between staff and clients such as reception Staff uniforms "Clinical" labeling of spaces Tinted windows Signs that mention mental health Clinical smells Triggering discussions such as past crises, medication, diagnosis Bureaucracy-form filling. Recording of past visits (except demographics) More information: Lesley Andrew et al, What makes a space safe? Consumers' perspectives on a mental health safe space, International Journal of Mental Health Nursing (2023). DOI: 10.1111/inm.13174 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain The hospital where I practice recently admitted a 14-year-old girl with post-traumatic stress disorder, or PTSD, to our outpatient program. She was referred to us six months earlier, in October 2022, but at the time we were at capacity. Although we tried to refer her to several other hospitals, they too were full. During that six-month wait, she attempted suicide. Unfortunately, this is an all-too-common story for young people with mental health issues. A 2021 survey of 88 children's hospitals reported that they admit, on average, four teens per day to inpatient programs. At many of these hospitals, more children await help, but there are simply not enough services or psychiatric beds for them. So these children languish, sometimes for days or even a week, in hospital emergency departments. This is not a good place for a young person coping with grave mental health issues and perhaps considering suicide. Waiting at home is not a good option eitherthe family is often unable or unwilling to deal with a child who is distraught or violent. I am a professor of psychiatry and pediatrics at the University of Colorado, where I founded and direct the Stress, Trauma, Adversity Research and Treatment Center. For 30 years, my practice has focused on youth stress and trauma. Over those years, I have noticed that these young patients have become more aggressive and suicidal. They are sicker when compared to years past. And the data backs up my observation: From 2007 through 2021, suicide rates among young people ages 10 to 24 increased by 62%. From 2014 to 2021, homicide rates rose by 60%. The situation is so grim that in October 2021, health care professionals declared a national emergency in child mental health. Since then, the crisis has not abated; it's only gotten worse. But there are not enough mental health professionals to meet the need. The numbers behind the suffering The American Academy of Child and Adolescent Psychiatry reported in May 2023 that there is a drastic shortage of child and adolescent psychiatrists across the U.S. For every 100,000 children in the U.S.with 1 in 5 of those children having a mental, emotional or behavioral disorder in a given yearthere are only 14 child and adolescent psychiatrists available to treat them, according to the American Academy of Child and Adolescent Psychiatry. At least three times as many are needed. How school bullying led to tragedy. There is also a significant shortage of child therapistssocial workers, psychologists, licensed professional counselorsas well. This is particularly the case in rural areas across the country. Studies show that young people in the U.S. are increasingly stressed and traumatized. The constant barrage of information via social media and the demand to participate in it is complex, and interactions can be harmful to a child's mental health. Young people deal with cyberbullying and endless exposure to social media content focused on body image. But what children and adolescents see online is not the only problem. Much of life still happens offline, and a lot of it is not good. Millions of young people deal every day with alcoholic, drug-abusing or neglectful parents; peers who drink, vape and use drugs; violence at their schools or in their streets; and overwhelmed caregiverswhether parents or otherspreoccupied with financial or other personal problems. For an adolescent already struggling to make sense of the world, any one of these issues can be overwhelming. Not enough time or money The U.S. health care system does very little to support these children or their families. This pattern begins at the moment of birth, and it is baked into the system. Ideally, prospective parents or those who are pregnant would receive parenting classes that continue through the child's developmental phases. That generally does not happen. Then, many new parents do not have nursing and maternal care visits or paid parental leave. And for those families struggling financially, there is not an adequate safety net. Nor can some families afford mental health treatment to support their children's needs. Many mental health providers don't take insurance and instead opt for out-of-pocket payments from patients. This is due to the low reimbursement rates from most insurers, which makes it very difficult to sustain a practice. Depending on the service, the cost could be anywhere from US$100 to $600 per session. Emotional abuse by parents includes threats, bullying, humiliation and insults. To see providers that do take insurance, there are usually co-paystypically between $20 to $50 a week. But it can often be challenging for the insured to find a suitable in-network provider to meet a child's needs. The payments add up, particularly when mental health treatment takes many months, and sometimes years, to have an effect. There is a reason why it takes so long. Unlike medical doctors, mental health professionals do not simply make a diagnosis and provide medication or surgery. Instead, for treatments to work and to change the outcome for young people who are struggling, an ongoingand lengthyrelationship between the therapist and the patient is needed. Treating a child is significantly more difficult than treating an adult. That is, in part, because children are constantly developing and changing. But perhaps the most formidable challenges are the multiple entities a child therapist may have to work with: caregivers, the school system, the courts and child welfare agencies. What's more, getting a diagnosis, treatment or both often involves working with multiple providers, such as a primary care doctor, individual therapist, family-focused therapist and psychiatrist. In the institute where I work, the psychiatry department loses money on almost every patient we treat. If it weren't for fundraising and fostering relationships with donors, the department could only provide care to a select few. Possible solutions Struggling children and teens in the U.S. need earlier interventions. Although schools are ideal places to teach social skills, they still do not offer enough activities to help young people develop resilience to cope with adversity. Sometimes, young patients see primary care doctors who don't have enough training in this area. Telephone hotline programs, which offer these doctors free consultations from mental health professionals to help assess problems in young patients, should be available throughout the U.S. But right now, only 19 states have such programs. One bright spot: The 988 Suicide and Crisis Lifeline, which launched in July 2022, is available 24/7. When a young person needs treatment, parents should prioritize finding a mental health provider right away. Asking the child's primary doctor and school counselors for a reference is a good start. If the child is already on a waiting list, a parent or guardian should call the provider weekly to check in and make sure the child is not forgotten. The process can be discouraging and daunting, but in our current environment, which provides limited support, that's the way it is. And without a heavy lift from parents, the child remains at great risk. This article is republished from The Conversation under a Creative Commons license. Read the original article. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Suppression of epithelial IFN in HGSOC and anti-tumor properties. a,b, IHC staining of human FT from two healthy women using rabbit anti-human IFN (main image) or IgG control (inset), with haematoxylin counterstain (scale bars: 100 m (a), 10 m (b)). Images are representative of n = 20 individuals. c, Immunofluorescence staining of C57BL/6J mouse FT using anti-mouse IFN (main image) or IgG control (inset), with DAPI counterstain. Image representative of n = 3 mice. Scale bar, 100 m. d, mRNA expression of IFN genes in human FT epithelium (RNA-seq data derived from Australian Ovarian Cancer Study control samples1). CPM, counts per million. e, Quantification of IHC staining for IFN in control human FT epithelium (n = 20), LGSOC (n = 6), HGSOC (n = 30) and ungraded serous samples (n = 28). Data are mean intensity scores for each sample stained in technical duplicates on tissue microarrays. Individual MannWhitney U tests compared to healthy FT control tissue. f, IFN transcript expression plotted as normalized expression (from RNA-seq analysis) of IFN in Australian Ovarian Cancer Study samples (n = 83 HGSOC samples, n = 7 FT epithelium). Median expression in tumor samples is indicated by the dotted line. g,h, A syngeneic orthotopic model of ovarian cancer in wild-type (WT) and Ifne/ mice (Methods). g, The total number of metastatic deposits in the peritoneal cavity at endpoint. Data are mean s.d. of individual data points, n = 8 wild-type and n = 7 Ifne/ mice. Unpaired two-tailed t-test. h, Total numbers of specific immune cell populations detected in peritoneal lavage fluid. Data are mean of cell counts measured for each genotype in a stacked bar graph. NK, natural killer. Two-way ANOVA. ****P < 0.0001, ***P < 0.001, **P < 0.01, *P < 0.05. Credit: Nature (2023). DOI: 10.1038/s41586-023-06421-w The search for preventions and cures has turned inwardfocusing on the immune system to stop ovarian cancer. Professor Paul Hertzog's research group at Hudson Institute of Medical Research has been working to expand on their discovery of a naturally occurring signaling protein found in the female reproductive tract, Interferon epsilon (IFN-e), and its potential application in treatment of ovarian cancer. Their work involves harnessing the body's own system of signaling proteins to fight ovarian cancer, with their latest research now published in Nature. "Last year more than 1,000 Australians died of ovarian cancer, and the likelihood of living more than five years with the disease is still less than 50%," Professor Hertzog said. "It is often diagnosed late, and the most common treatments have limited effectiveness, with resistance to chemotherapy a major factor. It is a disease where new insights were needed" This project built on the Hertzog research group's expertise in the study of IFN and formed the Ph.D. project of Dr. Zoe Marks, which established that IFN-e protects against ovarian cancer via tumor cells as well as the body's immune system. The publication's joint first author, Dr. Nicole Campbell, has been working for several years on understanding how these treatments which target the body's immune system can be optimized to improve its ability to fight the tumor. "Immunotherapies have been very successful in the treatment of other types of cancer, but they have had limited success in ovarian cancerwe're looking to change that," Dr. Campbell said. "We know that in High Grade Serous Ovarian Cancers (the commonest form of ovarian cancer) tumor cells recruit and activate 'immunosuppressive' cells which prevent anti-tumor immune cells from killing tumor cells, so we're aiming to develop new therapeutics which can reverse that process and improve survival rates." This work was co-supervised by a post-doctoral scientist Dr. Nollaig Bourke, who has now returned to establish her own research group in Trinity College Dublin. Dr. Bourke says that early in this study they found that women with High Grade Serous Ovarian Cancer no longer had the normal expression of interferon epsilon. "This was really interesting to us as we knew that interferon epsilon was part of a family of proteins known for their anti-tumor activities and we wondered what would happen if we could try restore this lost expression," Dr. Bourke said. Ovarian cancer tumor suppressor Could giving interferon epsilon back help block the growth of ovarian cancer cells and therefore prevent the growth of primary and secondary tumors? "The results that we got were very striking and confirmed that interferon epsilon was a very effective tumor suppressor in ovarian cancer." Prof Hertzog explained that since his laboratory's discovery of Interferon epsilon in 2004, a great deal of progress has been made, with Hudson Institute leading the world. "At Hudson Institute we are now able to produce pure IFN-e, which allows us to conduct incredibly valuable research into how and where it can be used most effectively," he said. Tumor suppressor lost during ovarian cancer formation "We now know that Interferon epsilon is naturally made in the epithelium lining organs such as the female reproductive tract where it acts as a natural booster of immunity to infections. Our recent discovery is that it also acts as a tumor suppressant, and that it is lost during the process of ovarian tumor formation. "We know from pre-clinical models that administering it will dramatically inhibit ovarian cancer growth, particularly in cases where the cancer has metastasized into the peritoneal cavity," Prof Hertzog said. More information: Paul Hertzog, Interferon- is a tumour suppressor and restricts ovarian cancer, Nature (2023). DOI: 10.1038/s41586-023-06421-w. www.nature.com/articles/s41586-023-06421-w Journal information: Nature This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Results of the correlation analysis. Correlation between post-traumatic stress disorder (PTSD) symptoms (measured by the PTSD Symptom Levels Questionnaire PSL-5) of the directly active war veterans (DAV) and their fathers Secondary Traumatic Stress (STS) scores (as measured by the Secondary Trauma Questionnaire). Pearsons r = .493, p = .014. Credit: European Journal of Psychotraumatology (2023). DOI: 10.1080/20008066.2023.2235983 A new study conducted by Hebrew University researchers Shahaf Leshem, Eldad Keha, and Prof. Eyal Kalanthroff has uncovered insights into the enduring psychological effects of the 2014 Israel-Gaza military conflict. The study delves into the profound impact of traumatic events on both veterans and their parents and found that war veterans directly involved in the conflict showed almost double the PTSD symptoms compared to indirectly active veterans, even five years post-conflict. Parents of active veterans exhibited higher secondary traumatic stress, regardless of their awareness of their children's whereabouts during the war. Mothers experienced greater secondary traumatic stress (STS) than fathers, but a notable link emerged between war veterans' PTSD and fathers' secondary traumatic stress, indicating a poignant emotional connection and shared experience. Secondary traumatic stress (STS) has traditionally been explored in therapists, spouses, and children of traumatized individuals. However, the study is among the first to investigate the correlation between children's posttraumatic stress disorder (PTSD) symptoms and their parents' mental health outcomes. In particular, the study examines the long-term STS symptoms experienced by parents of war veteransan area that has remained largely unexplored until now. The research focuses on veterans of the 2014 Israel-Gaza war, comparing PTSD symptoms among directly active war veterans (DAV) and indirectly active war veterans (IAV), who were in combat military units but did not actively participate in the conflict. This distinction allowed for a natural experiment condition that sheds light on the psychological impact of direct involvement in the war. The findings of the study are significant: Increased PTSD Symptoms Among Directly Active War Veterans: The study found that veterans who were directly involved in the conflict (DAV, N=32) exhibited almost twice the level of PTSD symptoms compared to those who were indirectly active (IAV, N=26). This suggests that the traumatic experiences of war continue to have a substantial impact on veterans' mental health even five years after the conflict. Secondary Traumatic Stress in Parents: Parents of veterans who actively participated in the war displayed higher levels of secondary traumatic stress compared to parents of veterans who were not directly involved. Remarkably, these differences emerged despite the fact that most parents were unaware of their children's whereabouts during the war. Impact on Mothers and Fathers: Mothers exhibited higher secondary traumatic stress than fathers overall. However, a noteworthy correlation was observed between war veterans' post-traumatic stress and fathers' secondary traumatic stress symptoms. This indicates a strong emotional connection and shared experience between fathers and their veteran children. The study provides critical insights into the long-term mental health outcomes of war veterans and their parents, shedding light on the often overlooked effects of traumatic events. These findings underscore the necessity of comprehensive support systems for both veterans and their families, emphasizing the interconnectedness of their psychological well-being. The researchers' work holds significant implications for understanding trauma transmission within families, offering a platform for further research and interventions aimed at addressing the psychological needs of war veterans and their loved ones. The research is published in the European Journal of Psychotraumatology. More information: Shahaf Leshem et al, Post-traumatic stress in war veterans and secondary traumatic stress among parents of war veterans five years after the 2014 Israel-Gaza military conflict, European Journal of Psychotraumatology (2023). DOI: 10.1080/20008066.2023.2235983 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Researchers from University of New South Wales Sydney and St. Vincent's Hospital have identified a key pathway, involved in inflammation, which appears to be activated in people with long COVID who have symptoms of "brain fog." Scientists from the School of Psychology and Faculty of Medicine & Health found that of the study cohort of 128 people, those who had a prolonged activation of the kynurenine pathway were more likely to have mild cognitive deficits 12 months after developing COVID-19. They also found that these symptoms were less likely to improve over time. Patients who took part in this study had mild to moderate acute COVID-19 and were enrolled in the St Vincent's COVID-19 ADAPT study, a longitudinal study led by Professor Gail Matthews. "Together, this study and a previous study in the ADAPT program show that long COVID brain fog is associated with a dysregulation of the immune response," says Associate Professor Lucette Cysique, lead author of the study. "The current study specifically found that an important metabolic pathwaythe kynurenine pathwayis linked to the cognitive changes we're seeing in this group of patients." The study, published in Annals of Clinical and Translational Neurology, helps demonstrate that there is a biological change underlying brain fog in people who have long COVID as a result of mild acute COVID-19 infection. "I think when patients go to the doctor's with brain fog, it may be dismissed as a psychological problem. Our study speaks to the contrary, that there is a real biological mechanism behind long COVID brain fog," A/Prof. Cysique says. The discovery opens up possibilities for identifying and treating people who are experiencing the cognitive effects of long COVID and perhaps long COVID in general. "These findings lay the foundation for the kynurenine pathway as a potential diagnostic and monitoring marker, as well as a possible therapeutic target," A/Prof. Cysique says. Long COVID and 'brain fog' Current evidence compiled by the World Health Organization (WHO) suggests approximately 1020% of people experience a variety of mid and long-term effects after they recover from their initial illness. In fact, up to 100 different symptoms have been recorded as part of the long COVID disease profile. "Long COVID is a multi-organ disease, so people are differently affected across several of their body functions. This is not surprising as the immune system is involved across all body functions," says A/Prof. Cysique. "However, we now know that besides fatigue, cognitive changes are the most common symptoms associated with long COVID." The cognitive dysfunctions experienced by those with long COVID manifest most often as a lack of mental focus or clarity, or mental fatigue that is unusual compared to one's previous capacity, especially after a cognitively demanding task (for example reading complex instructions, participating in a meeting that demands high concentration level, watching a documentary on a topic that is new and complex). This is associated with short-term memory problems, difficulty in multi-tasking or concentration over long periods of time. As A/Prof. Cysique explains, the best descriptor of brain fog would be a flu-like physical and mental fatigue lasting months, although with some fluctuations. "With a little introspection, we can all imagine how long-term flu-like physical and mental fatigue would impact everyday function," says A/Prof. Cysique. "And, we are talking of mostly working-age people. Hence, these types of deficits can affect work efficiency to some degree and probably contribute to the economic impact of the pandemic." Long-term follow up of COVID patients While this study included only unvaccinated patients, because the testing was conducted before patients received their first vaccine, the majority of people in the study had long COVID as a result of mild COVID-19 infection. "With vaccination, many of us will still experience mostly mild symptoms. Hence the results are still relevant, especially in the context of reinfection," says A/Prof. Cysique. As part of A/Prof. Cysique's research, the cohort was followed up at two, four, and 12 months. "At each of these points, the patients went through lots of tests, including on their mental health, physical health and cognitive health, and patients also had tests for various blood biomarkers on four occasions." The team decided to investigate the activation of the kynurenine pathway based on previous experience of studying the pathway in other infectious diseases. In addition, the immune precursor of the kynurenine pathway was shown to be associated with persistent chest and fatigue symptoms in a previous paper also part of the ADAPT study, published in 2022 in Nature Immunology. "While this latest study is a cohort study with factors that remain unmeasured, the convergence of evidence for the importance of the kynurenine pathway in long COVID, and the associated brain fog, is not by chance," says A/Prof. Cysique. Discovery of an underlying mechanism A/Prof. Cysique and her team discovered that at two months, when the kynurenine pathway was the most activated, 60% of those who showed mild cognitive deficits, such as in attention/concentration and speed processing, showed an abnormal activation of the kynurenine pathwayabnormal meaning above the known abnormal level in reference samples of the same age. "As the immune response takes place, it activates the kynurenine pathway across a period of four months in averagethis is much longer than it should be. Because the kynurenine pathway is pro-inflammatory, the entire body, including the brain, is flooded by inflammatory products over a prolonged period. And we know that the kynurenine pathway impacts the central nervous system," says A/Prof. Cysique. Significantly, no other blood biomarkers, sex, or clinical factorssuch as pre-existing or COVID-associated mental health, disease severity or respiratory function, and olfactionwere associated with cognition. Opening doors to further research In April 2023, the Australian government announced new research funding for long COVID as a result of the long COVID parliamentary enquiry. It shows that the government recognizes long COVID as a serious issue that demands urgent solutions. This study has opened the door for further research into potential biomarkers and future therapies for those living with long COVID. "The long COVID clinics are still full of patients and the hospitals are still struggling with the issue," says A/Prof. Cysique. "We hope that our study can provide some hope to people who are suffering from long COVID." The team are keen to continue building on their research by extending the study cohort to vaccinated patients and to continue the investigation up to 24 months after the infection date. "We are also in contact with a Dutch research group who also find that a prolonged activation of the KP is involved in COVID-19 brain changes and want to start a trial," says A/Prof. Cysique. More information: Lucette A. Cysique et al, The kynurenine pathway relates to postacute COVID19 objective cognitive impairment and PASC, Annals of Clinical and Translational Neurology (2023). DOI: 10.1002/acn3.51825 Journal information: Nature Immunology This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Fruit flies, long used to understand human disease, are also the heroes of long COVID research (Photo by University of Malta/Andrew Gauci Attard). Credit: University of Malta University of Malta researchers have discovered a potential cause of the persistent and often debilitating symptoms experienced by long COVID-19 patients. The new study published in Biochimica et Biophysica Acta (BBA)Molecular Basis of Disease has implications on the development of medications to treat individuals that have not completely recovered from COVID-19 infection. Nearly one third of individuals that recover from COVID-19 are plagued by symptoms that are often life-derailing including lingering fatigue, breathlessness, difficulty with concentrating (often called 'brain fog') and muscle weakness. Although long COVID is increasingly becoming a significant global burden affecting everyday functioning, its cause has been elusive. SARS-CoV-2, the coronavirus responsible for COVID-19, latches onto the ACE2 (angiotensin-converting enzyme 2) receptor, which acts as the doorway through which the virus infects cells. In a pioneering study, researchers at the University of Malta exploited fruit flies to curb down the levels of the ACE2 receptor. In the absence of the virus, this was enough to induce fatigue and diminished mobility. "Our research clearly shows that depletion of ACE2 is central to the neuromuscular complications experienced by a significant percentage of COVID-19 patients," said Professor Ruben Cauchi, who heads the Motor Neuron Disease Laboratory at the University of Malta. The compelling findings stem from a major study which started during the heat of the pandemic and temporarily took over the lab's main focus in response to the global emergency. Prof. Cauchi and his team have long been using fruit flies to research ALS because of the remarkable genetic and biological similarities to humans. When analyzing molecular defects in organisms with downregulated ACE2 levels, the Maltese scientists discovered a breakdown in communication between nerves and muscles. Several key molecules required for nerves to send messages to muscles were found compromised. Various paths are thought to coalesce to bring down ACE2 levels or dampen its function in humans following a coronavirus infection. "In addition to being hijacked by the virus, the ACE2 receptor on the cell's surface can also be targeted by autoantibodies, with the immune system attacking the body as it does in Multiple Sclerosis," added Dr. Paul Herrera, who performed the intricate experiments that were crucial to the study. There have also been reports of virus persistence long after the initial infection. The discovery by the University of Malta sheds light on the lasting impact of COVID-19 infection and paves the way for therapeutic approaches to mitigate chronically disabling complications. More information: Paul Herrera et al, Functional characterisation of the ACE2 orthologues in Drosophila provides insights into the neuromuscular complications of COVID-19, Biochimica et Biophysica Acta (BBA)Molecular Basis of Disease (2023). DOI: 10.1016/j.bbadis.2023.166818 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Pixabay/CC0 Public Domain Wildfires are bigger, more severe and more common today in the western United States than at any time in the last four decades, with Maui, Hawaii's devastating blaze being just the latest tragic example. In California, nearly half of the state's largest fires on record occurred in the past three years. We asked UC San Francisco professors of medicine Arianne Teherani, Ph.D., and Sheri Weiser, MD, why wildfire smoke is particularly bad for your health. Teherani and Weiser cofounded UCSF's Center for Climate, Health and Equity as an education and research hub to shape climate action by ensuring health systems respond to the climate crisis. Why have wildfires increased in California? Climate change intensifies droughts, boosts strong winds, and increases lightning strikes, creating the perfect conditions for wildfires. Meanwhile, more people than ever live on the edges of wildlands that have changed to become more fire-prone. Grazing lands, for example, replaced harder-to-burn native landscapes. Drier conditions in California and a move away from historical, indigenous controlled-burn practices also means there are more dry plants and trees to burn in these spaces. Can wildfire smoke make you sick? Wildfire smoke enters the air as particle pollution, which is sometimes called "particulates" or "particulate matter." Particulate matter is all around us, both indoors and outdoors, all the time, but wildfires emit massive amounts of particulate matter. Wildfire smoke contains a mix of chemicals, including those released by homes as they burnfrom household cleaners to asbestos siding. Depending on what's fueling the fire, wildfire smoke can include things like sulfuric acid, dust and mold. Why is particulate matter from wildfires so bad? The bulk of wildfire smoke is made up of particulate matter that is about 30 times smaller than a human hair. This type of particulate matter poses the greatest risk to your health because it can work its way deep into your lungs and into your blood. What are the health risks of inhaling wildfire smoke? Wildfire smoke can make respiratory conditions like asthma and Chronic Obstructive Pulmonary Disease (COPD) worse and increase your risk of respiratory infections, such as bronchitis and pneumonia. Not surprisingly, wildfire smoke leads to more emergency room and doctor's visits. UCSF researchers are continuing to study wildfire smoke's health effects. UCSF School of Medicine Associate Professor Jennifer Mulliken, MD and UCSF colleagues found that in California, large wildfires coincide with an 18% to 22% spike in invasive fungal infections like Valley Fever. Valley Fever, so called because it was first discovered in California's San Joaquin Valley, can cause fatigue, body pains and shortness of breath. In some people, Valley Fever causes long-term lung damage. What can I do to stay safe from wildfire smoke? Stay indoors and close your windows and doors Avoid heavy physical activity or being active for long periods of time. If you have an air conditioner, set it to recirculation mode and make sure to maintain its filter. Use portable air cleaners with HEPA filters. Consider using an N95 or K95 mask but be aware that even these masks can't filter out certain gases released by wildfires. Wearing a mask doesn't mean that you can work or play as normal outside. Remember that these masks are not suitable for very young children. If you have heart or lung disease, ask your health care provider if it is safe for you to wear a respirator. Who is most at risk of falling ill from wildfire smoke? People with asthma and other respiratory diseases People with cardiovascular disease Children Pregnant people Older adults Outdoor workers Low-income communities and those of color Can wildfire smoke disproportionately impact low-income communities and people of color? Although most Americans in wildfire hazard zones are more socioeconomically secure, thousands of low-income communities are also on the frontlines for fire risk and lack the resources to prepare or recover from disasters. For example, some communities may not have the money to pay to insure their homes or belongings or replace them after a fire. Folks like this may already be at a higher risk for some chronic illnesses due to a lack of access to health care and poor working conditions, making them more vulnerable to smoke. This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain Recreational drug use may be a factor in a significant proportion of admissions to cardiac intensive care, with various substances detected in 1 in 10 such patients, suggest the findings of a multicentre French study published online in the journal Heart. Drug use was also associated with significantly poorer outcomes, with users nearly 9 times as likely to die or require emergency intervention as other heart patients while in hospital, and 12 times as likely to do so if they used more than one drug. Recreational drug use is a known risk factor for cardiovascular incidents, such as a heart attack or abnormal heart rhythm (atrial fibrillation), explain the researchers. An estimated 275 million people around the globe indulged in this activity in 2022, a 22% increase on the figure for 2010, they add. But it's not clear how common recreational drug use is among patients admitted to hospital with heart problems, or to what extent this affects the likely course of their condition. To try and find out, the researchers analyzed the urine samples of all patients admitted to cardiac intensive care in 39 French hospitals during one fortnight in April 2021, with a view to detecting recreational drug use. During this period, 1904 patients were admitted, 1499 of whom provided a urine sampleaverage age 63, 70% male. Of these, 161 (11%) tested positive for various recreational drugs, but only just over half (57%) of whom admitted to using. Prevalence was even higher among the under 40s, 1 in 3 (33%) of whom tested positive for recreational drugs. The most frequently detected substance was cannabis (9%), followed by opioids (2%), cocaine (just under 2%), amphetamines (nearly 1%), and MDMA or ecstasy (just over 0.5%). Compared with other non-using heart patients, users were more likely to die or to require emergency intervention for events such as cardiac arrest or acute circulatory failure (haemodynamic shock) while in hospital: 3% vs. 13%-especially if they had been admitted for heart failure or a particular type of heart attack (STEMI). After adjusting for other underlying conditions, such as HIV, diabetes, and high blood pressure, users were nearly 9 times as likely to die or require emergency treatment. While cannabis, cocaine, and ecstasy were each independently associated with these incidents, and single drug use was detected in nearly 3 out of 4 patients (72%), several drugs were detected in more than 1 in 4 (28%) users: these patients were at even greater risk, being 12 times as likely to die or require emergency treatment. This is an observational study, so can't establish that recreational drug use resulted in admission to cardiac intensive care. The researchers also acknowledge that the study was only conducted over 1 fortnight in April, so the findings might not be applicable to other months of the year or the longer term. And they caution, "Although the strong association between the use of recreational drugs and the occurrence of [major adverse events] suggests an important prognostic role, the limited number of events requires caution in the clinical interpretation of these findings." But recreational drugs can increase blood pressure, heart rate, temperature, and consequently the heart's need for oxygen, they explain. And they conclude, "While the current guidelines recommend only a declarative survey to investigate recreational drug use, these findings suggest the potential value of urine screening in selected patients with acute cardiovascular events to improve risk stratification in [cardiac intensive care]." In a linked editorial, doctors from London's St Bartholomew's Hospital and Queen Mary's University of London reiterate that the study wasn't designed to uncover a causal relationship. Larger studies would be needed to try and establish that. But the study findings prompt two obvious questions, they suggest, "(1) Should patients admitted to intensive cardiac care units be screened for recreational drug use: and (2) What, if any, interventions might be implemented following a positive patient test result?" Knowing that a patient had used recreational drugs might shed light on the cause of their condition and inform how it's managed, they suggest. It might have other benefits too. "A positive test result would provide an opportunity for counseling about the adverse medical, psychological, and social effects of drugs, and for the implementation of interventions aimed at the cessation of drug use," they write. But quite apart from the cost, screening raises issues of patient confidentiality and the potential for discrimination in how targeted screening might be applied, they say. And they conclude, "There is a considerable way to go, however, before screening for recreational drug use can be recommended." More information: Prevalence and impact of recreational drug use in patients with acute cardiovascular events, Heart (2023). DOI: 10.1136/heartjnl-2023-322520 Journal information: Heart This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Only a small percentage of older adults who are in the early stages of Alzheimer's disease meet the eligibility criteria to receive new monoclonal antibody treatments, drugs that target amyloid- plaques in the brain, an early sign of Alzheimer's disease. The new research is published in Neurology. Clinical trial results for these drugs are only available in people in the early symptomatic stages of the disease, mild cognitive impairment or mild dementia due to Alzheimer's disease. At the time of the study, two monoclonal antibodies called lecanemab and aducanumab had received accelerated approval from the FDA. More recently, lecanemab, which has been shown to slow progression of the disease, has received traditional FDA approval. "There is hope that these new therapies for Alzheimer's may slow progression of the disease for many people, although the fact remains that the drugs have only been studied in people with the earliest forms of the disease," said study author Maria Vassilaki, MD, Ph.D., of the Mayo Clinic in Rochester, Minnesota, and a member of the American Academy of Neurology. "The inclusion and exclusion criteria of the clinical trials that led to FDA accelerated approval of these therapies form the basis of how people should be invited or discouraged from receiving one of these drugs. Our study estimates that only a small percentage of older people with early cognitive impairment due to Alzheimer's may be eligible to be treated with monoclonal antibodies for amyloid- in the brain." The study included 237 people, ages 50 to 90, who had mild cognitive impairment or mild dementia, and whose brain scans showed increased amounts of amyloid- plaques. Researchers then looked at the eligibility criteria for clinical trials for lecanemab and aducanumab. For lecanemab, clinical trial inclusion criteria required specific scores on a variety of thinking and memory tests, as well as a body mass index between 17 and 35. Researchers found 112 people, or 47%, would meet the inclusion criteria to participate in a clinical trial. Then researchers looked at clinical trial exclusions, factors that could make people ineligible for a trial, including multiple health factors such as stroke, cardiovascular disease, a history of cancer, or brain scan findings that showed abnormalities like old, small brain bleeds or brain injuries due to insufficient blood supply. Researchers found that after the exclusions, only 19 people, or 8%, would have been eligible for a lecanemab trial. However, after modifying the exclusion criteria to include all participants with mild cognitive impairment and not applying the results of additional memory and thinking tests, 17% of participants with mild cognitive impairment would have been eligible for a trial. For aducanumab, clinical trial inclusion criteria required specific scores on thinking and memory tests and that participants were ages 50 to 85. Researchers found 104 people, or 44%, would have met the characteristics required to participate in a clinical trial. After further examining who would be excluded from the trial due to multiple health factors, including stroke, cardiovascular disease, uncontrolled high blood pressure, a history of cancer or brain scan findings, researchers found that only 12 people, or just 5%, would have been eligible for an aducanumab trial. Vassilaki noted that older Black and Hispanic people have been underrepresented in clinical trials, even though they are more likely to have Alzheimer's or other dementias, and that participants in clinical trials need to represent all persons at risk for cognitive impairment. "Our study results show only a small percentage of people with early Alzheimer's disease may be eligible to receive treatment, mostly due to chronic health conditions and brain scan abnormalities common in older adults," said Vassilaki. "In general, clinical trial participants are healthier than the general population. Additional research is needed to examine the safety and efficacy of monoclonal antibodies targeting amyloid- plaques in larger, more diverse populations, as well as in less healthy populations, before these therapies may be more widely available to people with Alzheimer's disease." A limitation of this study was participants were primarily white. Vassilaki said that assessing these eligibility criteria in more diverse populations would be crucial. More information: Rioghna R Pittock et al, Eligibility for Anti-Amyloid Treatment in a Population-Based Study of Cognitive Aging, Neurology (2023). DOI: 10.1212/WNL.0000000000207770 Matthew D Howe et al, Untangling Eligibility: Real-World Application of Anti-Beta Amyloid Monoclonal Antibodies, Neurology (2023). DOI: 10.1212/WNL.0000000000207873 Journal information: Neurology This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain Female genital mutilation is a harmful practice violating female genital organs for non-medical reasons. It is estimated that in Finland, there are approximately 10,000 girls and women who have undergone FGM, and an additional 6503,080 girls and women at risk of FGM. Female genital mutilation violates human rights and causes serious harm to physical and psychological health. Eliminating female genital mutilation by 2030 is a global public health priority and, according to the authors, FGM deserves greater attention and public health prioritization also in Finland. The Finnish Institute for Health and Welfare has worked persistently to prevent FGM, but the topic continues to receive little attention in research, health care and political debate. Female genital mutilation has been a concern in Finland for more than 30 years, following migration from countries where the practice is upheld. Today, about 8.5% of Finland's population a total of 470,000 peopleare of immigrant origin. According to a report published by ETLA Economic Research, Finland needs annual net migration of up to 44,000 people to stabilize birth cohorts and labor force. The authors point out that for the future, consideration should be given to how Finland intends to support girls and women arriving from FGM-risk countries, and what role health care and education should play in preventing and eliminating FGM. The work is published in The Lancet Regional HealthEurope journal. "Public awareness of female genital mutilation should be increased in order to better understand its harmfulness, and to reduce the stigma around it. In addition, professionals from different fields should receive further training to intervene in FGM," says Senior Specialist Mimmi Koukkula from the Competence Cluster for Violence Prevention Work at the Finnish Institute for Health and Welfare. The article also emphasizes the need for increasingly multidisciplinary research on female genital mutilation. "Another important consideration is whether female genital mutilation could be prevented, for example, through the Child Welfare Act. In any case, effective collaboration and political leadership are needed to prevent and eliminate FGM," say Doctoral Researcher Roosa-Maria Savela of the Department of Nursing Science, and University Lecturer Javkhlanbayar Dorjdagva of the Institute of Public Health and Clinical Nutrition, both at the University of Eastern Finland. More information: Roosa-Maria Savela et al, Female genital mutilation requires public health and political debate in Finland, The Lancet Regional HealthEurope (2023). DOI: 10.1016/j.lanepe.2023.100685 This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: Unsplash/CC0 Public Domain The Center for Innovation in Mental Health (CIMH) has begun a groundbreaking study examining strategies for depression care task-sharing in Vietnam's 36 community health stations (CHSs). Published in BMC Public Health, the study is among pioneering endeavors to evaluate implementation strategies for mental health task-sharing in primary care settings within low- and middle-income countries (LMICs). Esteemed experts, including CUNY SPH Associate Professor Victoria K. Ngo, doctoral student Thinh Vu and CIMH Project Manager Quan Vu, spearheaded this research. In this cluster-randomized controlled trial, community health stations will be randomly assigned to one of three implementation conditions: Usual Implementation (UI), which consists of training workshops and toolkits; Enhanced Supervision (ES), which includes UI combined with bi-weekly/monthly supervision; and Community-Engaged Learning Collaborative (CELC), which includes all components of ES, combined with bi-monthly province-wide learning collaborative meetings, during which cross-site learning and continuous quality improvement (QI) strategies are implemented to achieve better implementation outcomes. The primary outcome will be measured based on the RE-AIM framework (Reach, Effectiveness, Adoption, Implementation quality, and Maintenance) using indicators on implementation, provider, and client factors. The secondary outcome examines factors associated with barriers and facilitators of quality implementation, while the tertiary outcome evaluates the incremental cost-effectiveness ratio of services provided in the ES and CELC conditions, relative to UI condition for depression care. A total of 1,296 clients receiving depression care at CHSs will be surveyed at baseline and six-month follow-up to assess mental health and psychosocial outcomes (e.g., depression and anxiety severity, health function, quality of life). Additionally, 180 CHS staff and 180 non-CHS staff will complete pre- and post-training evaluation and surveys at baseline, six, 12 and 24 months. The study protocol addresses the urgent need to enhance mental health care access and delivery in LMICs. By focusing on cultural compatibility within an Asian context, the research aims to activate community ownership and overcome implementation barriers through the integration of community-engaged learning collaboratives. This approach ensures the development of effective mental health care services that seamlessly integrate into primary care settings. A key objective of the study was to identify a training model that considers resource constraints while employing effective strategies to enhance mental health care capacity for primary care and community health providers. By tackling these challenges head-on, the study offers a practical framework for delivering high-quality mental health care services, even in resource-limited settings. The study also aims to empirically test the potential association between contextual factors, such as organizational climate and leadership, and provider factors, including attitude and stigma. This investigation sheds light on crucial elements that facilitate the effective implementation and sustainable delivery of evidence-based mental health interventions in LMICs. "We are excited to have conducted this pioneering study on depression care task-sharing in Vietnam's community health stations," says Dr. Ngo. "By evaluating implementation strategies in primary care settings within LMICs, we aim to pave the way for enhanced mental health care access and improved patient outcomes in resource-constrained contexts." The study protocol exemplifies the CIMH's dedication to advancing mental health research and addressing the pressing global mental health crisis. It provides valuable insights into the potential synergies between community engagement, quality improvement strategies, and cultural compatibility in LMICs, setting the stage for transformative mental health care initiatives worldwide. The findings of this research could inform effective implementation models and offer recommendations for policymakers and key stakeholders to enhance the dissemination, scale-up, and quality of mental health care in Vietnam and similar settings. The CIMH looks forward to the outcomes of this significant project, which holds the promise of positively impacting mental health services and, ultimately, the well-being of individuals in need within the community health stations in Vietnam. The study's success may inspire similar efforts worldwide to address mental health challenges and promote accessible and quality mental health care services for all. More information: Victoria Khanh Ngo et al, Study protocol for type II hybrid implementation-effectiveness trial of strategies for depression care task-sharing in community health stations in Vietnam: DEP Project, BMC Public Health (2023). DOI: 10.1186/s12889-023-16312-4 Journal information: BMC Public Health This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Experimental design and examples of BOLD timecourse signals. Credit: Dr. Hu Li Ballroom dance is an art form of body-to-body communication. Professional dancers may share feelings and thoughts about dancing, especially with their partners. To measure the neural similarity of dancers, a novel statistical approach called intersubject correlation (ISC) could be used. In addition, intersubject representational analysis (ISRSA), which is an extension of ISC, would be helpful to investigate the correlation between neural similarity and behavioral similarity. Led by Dr. Hu Li and Dr. Kong Yazhuo from the Institute of Psychology of the Chinese Academy of Sciences, a research team found brain mechanism evidence that neural similarity could be promoted by long-term ballroom dance training. In this exploratory study, 41 professional ballroom dancers and 39 age- and gender-matched controls were recruited from the Beijing Sport University. During the experiment, training information was collected from the dancers. High-resolution functional magnetic resonance imaging (MRI) and structural MRI images were also collected. Participants watched four dance videos and four neutral videos in the same order. Video ratings (whether seen before, familiarity, and liking) were also collected after each video. Results showed that ISC was higher for dancers watching dance videos than for controls and natural videos. Enhanced neural synchrony was mainly observed in the inferior frontal gyrus, precentral and postcentral gyrus, and inferior parietal lobule. The work is published in the journal NeuroImage. In addition, correlations between ISC values and dancer information were found, e.g., years of cooperation between partners were positively correlated with their ISC in the left globus pallidus. Brain regions showed higher ISC for dancers watching dancing videos than other conditions. Credit: Dr. Hu Li Brain regions correlated with impressions on dancing videos. Credit: Dr. Hu Li Furthermore, ISRSA showed a significant correlation between video impression and ISC, e.g., familiarity similarity positively correlated with ISC in the hippocampus and parahippocampus. This study discovered the close relationship between long-term ballroom dancing and neural similarity and explored its underlying brain mechanisms. Neural similarity may provide a neural indicator to define an optimal cooperative partner in the future. More information: Xiao Wu et al, Enhanced neural synchrony associated with long-term ballroom dance training, NeuroImage (2023). DOI: 10.1016/j.neuroimage.2023.120301 Journal information: NeuroImage This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Transcribing archival data from maternity hospital registers in Switzerland. Credit: MELS, University of Zurich, CC-BY 4.0 (creativecommons.org/licenses/by/4.0/) In the wake of the 1918/1919 "Spanish flu" influenza pandemic, the probability of low birth weight and stillbirth increased among women in Switzerland, according to a new study published in the open-access journal PLOS ONE, by Kaspar Staub of the University of Zurich, Switzerland, and colleagues. Impaired neonatal health is an ongoing public health concern worldwide. Understanding determinants that impede normal fetal and infant development and growth is crucial for ameliorating neonatal health overall. In the new study, the researchers analyzed data on mothers and neonates from the Bern Maternity Hospital from 1880 to 1900 and from 1914 to 1922. Overall, the rate of preterm births and stillbirths decreased between the first dataset and the second. However, the study found that the incidence of low birth weight was significantly increased in 1918 (OR 1.49, 95%CI 1.002.23) and in 1919 (OR 1.55, 95%CI 1.022.36), the years coinciding with the Spanish flu. Additionally, mothers heavily exposed to the influenza pandemic during pregnancybased on the dates of their pregnancy and infection rates at the timehad a higher risk of stillbirth (OR 2.27, 95%CI 1.323.90). The data on influenza infection during pregnancy were too imprecise in the dataset to be conclusive at the individual level. The authors conclude that factors influencing neonatal health are multifactorial, but there is likely some consistency across pandemics, with similar patterns of increased stillbirth and low birth weight seen for pregnancies exposed to both the 1918 pandemic and COVID-19. The authors add, "Pandemics are increasingly reported to negatively influence pregnancy outcomes. Our study is another example showing that the greatest pandemic of the last century was associated with higher risks of stillbirths and low birthweight. This urges us to better prepare for future pandemics to mitigate their effects on maternal and neonatal health." This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility: Credit: CC0 Public Domain Young vapers are at risk of bronchitic symptoms and shortness of breath, even if they, or others around them, smoke cigarettes or cannabis, suggests research published online in the journal Thorax. The findings prompt the US researchers to call for the respiratory effects of vaping products to be included in regulatory oversight. Latest US estimates indicate that 14% of high school students vaped in 2022. And it's known that e-cigarette aerosol contains substances that harm the lungs. Several published studies have reported respiratory symptoms among teen and young adult vapers. But most of these have focused exclusively on e-cigarette use without considering the potential impact of concurrent cigarette and/or cannabis use on respiratory health. The researchers therefore tracked the respiratory health of participants in the Southern California Children's Health Study between 2014 and 2018. Some 2097 students (average age 17) completed a survey on their use of tobacco products and respiratory symptoms in 2014 (wave 1). Further survey information was collected from 1609 of them in 2015 (wave 2), from 1502 in 2017 (wave 3), and from 1637 in 2018 (wave 4). At each survey wave, participants were asked about ever and past 30-day use of e-cigarettes and conventional cigarettes. Cannabis use was added to the questions at wave 3. Bronchitic symptoms were defined as a daily cough for 3 months in a row or bronchitis in the previous 12 months, or congestion or phlegm other than when accompanied by a cold. Wheeze was defined as wheezing or whistling in the chest in the previous 12 months, and shortness of breath as occurring in response to hurrying on level ground or walking up a slight hill. The final analysis included 2094 young people, almost half of whom were women. At wave 1 the only time this question was asked476 (23%) respondents reported a lifetime history of asthma. Current wheeze and shortness of breath varied by wave, with bronchitic symptoms the most commonly reported symptom at each wave: 19.5%, 22.5%, 23.5% and 26%, respectively. Prevalence of past 30-day e-cigarette use was just under 12% at waves 1 and 2 and 11% (wave 3), but it increased to more than 15.5% at wave 4. The odds of wheeze were 81% more likely among past 30-day e-cigarette users than among never users. Similarly, the odds of bronchitic symptoms were twice as likely, while those of shortness of breath were 78% more likely after accounting for survey wave, age, sex, race and parental education. Further adjustment for concurrent use of cigarettes and cannabis and secondhand exposure to e-cigarettes, cigarettes, and/or cannabis slightly weakened all the observed associations, but these still remained statistically significant, except for wheeze. And the estimates of vaping effects were slightly stronger among those with no potentially influential past 30-day cigarette or cannabis use, point out the researchers. Associations also persisted in further analysis that excluded those with a lifetime history of asthma, indicating that the observed associations applied to all participants, not just those with asthma, add the researchers. Although the findings echo those of experimental research, this is still an observational study and so no firm conclusions can be drawn about cause and effect. The researchers also acknowledge various limitations to their research: the study relied on self-report; and questions on shortness of breath and cannabis weren't included until survey waves 2 and 3, respectively. And unlike cigarettes, which can be quantified by number smoked a day, validated questionnaire-based methods for assessing vaping frequency weren't available to the researchers, added to which vaping products come in many different forms, strengths, and flavors, all of which may have influenced the respiratory effects reported. Nevertheless, the researchers conclude, "This study contributes to emerging evidence from human and toxicological studies that e-cigarettes cause respiratory symptoms that warrant consideration in regulation of e-cigarettes." "It suggests that regulatory assessments of the population health cost underestimate the effects of late adolescent and young adult e-cigarette, cannabis and tobacco product use." More information: Prospective study of e-cigarette use and respiratory symptoms in adolescents and young adults, Thorax (2023). DOI: 10.1136/thorax-2022-218670 Journal information: Thorax Visit theroxytheater.org for up-to-date screen times. Opening Pee-wees Big Adventure (1985) The Roxy Theater celebrates the life and legacy of Paul Reubens with a run on his classic starring vehicle. An eccentric child-like man sets out on a cross-country quest to find his stolen bicycle. Its not often you see a comedy inspired by Vittorio De Sicas neorealist classic, Bicycle Thieves. Rated PG. Stars the late great Paul Reubens, E.G. Daily and Mark Holton. Opening Friday, Aug. 18. Continuing Theater Camp Its been a whole year (roughly five hundred twenty-five thousand, six hundred minutes) since the campers at AdirondACTS, a scrappy theater camp in upstate New York, have seen their beloved leader. Turns out shes in a coma, and now day-to-day operations of the camp have fallen to her crypto-bro son. Rated PG-13. Stars Molly Gordon, Ben Platt and Nathan Lee Graham. But honestly, I am a way better actor and its a waste of my talents to be stuck back here in the chorus. The Unknown Country Following a recent tragedy, a young woman takes a cross country road trip from Minnesota to Texas in an attempt to recreate a cherished photo of her grandmother taken decades ago. Not Rated. Stars Montanas own (and former Roxy employee) Lily Gladstone, Raymond Lee and Richard Ray. Barbie Barbie has it all: A Dreamhouse, a pink 1956 Corvette and a whole collection of friends. But when she realizes that life in plastic isnt as fantastic as she thought, she and her himbo boyfriend set out on a quest to find answers. Rated PG-13. Stars Glitter Hair Barbie, Jam N Glam Barbie, Ocean Friends Barbie and Will Ferrell, who I am almost certain is playing his same character from The Lego Movie. Special screenings The Year of the Dog (2022) A loner alcoholic, in a last-ditch effort to stay sober, accepts the offer to go to a farm to get away from the world. There he meets a husky and now these two strays work together to discover what it takes to make it to the finish line. Rated PG-13. Writer, co-director, star and producer Rob Grabow and Missoula icon Jeff Medley will be in attendance for a special post-screening Q&A Thursday, Aug. 17. The Heroic Trio (1993) The supernova star power of Michelle Yeoh, Maggie Cheung and Anita Mui explodes on the big screen at this months Inferno of Danger series. With the Hong Kong police paralyzed in the wake of a string of babynappings, only the coolest kick-butt women warriors can save the day. Rated R. Also stars brain-exploding skeletons, eye-popping motorcycle stunts and the greatest wire work you could imagine. Playing Friday, Aug. 18. The Hudsucker Proxy (1994) Our summerlong Coen Brothers retrospective continues with the tale of a know-nothing college graduate who upends his company by developing the greatest invention of all time the hula hoop. Rated PG (you know, for kids). Stars Tim Robbins, Paul Newman and Jennifer Jason Leigh. Playing Saturday, Aug. 19, as part of Easy Coen. CatVideoFest 2023 I can has film festival? Is that a reference that still tracks? Oh my god, am I old now? See a compilation of the best cat videos, both classic and contemporary, while raising money for cats in need. Not Rated. Playing Sunday-Tuesday, Aug. 20-22. The Humane Society of Western Montana will be in the lobby Sunday with adoptable kitties. The Wicker Man (1973) Its time to keep your appointment with the greatest folk horror film of all time. A police sergeant arrives on a small Scottish island to investigate the report of a missing child. The more he learns about the islanders strange practices, the closer he gets to the missing child, and his own fate. Rated R. Stars Edward Woodward, Christopher Lee and Diane Cilento. Playing Sunday, Aug. 20, as part of Essential Cinema. If you were a grizzly bear on the move, where would you go and how would you get there? According to a new study released this month by University of Montana's Sarah Sells, you'd primarily favor mountainous areas but would also follow waterways through open valley landscapes. But your destination would depend in large part on where you started, and whether you were on a mission to go somewhere else or simply exploring beyond your home range. The conclusions came from a modeling program that predicted pathways through Montana between the bears' current core habitat areas. The two biggest, each with about a thousand grizzlies, are the Northern Continental Divide Ecosystem around Glacier National Park and the Bob Marshall Wilderness Complex and the Greater Yellowstone Ecosystem surrounding Yellowstone National Park. The study determined likely pathways bears may take between ecosystems. Such connectivity is key for promoting genetic diversity among grizzly populations, which courts have ruled is a requirement for delisting the species from protection under the Endangered Species Act. The study carried the caveat that its conclusions were not meant to predict where grizzly bears might someday settle, but rather to better understand habitats "with good potential for occupancy" as grizzlies roam farther each year from designated recovery zones. Sells, the primary author of the study, holds a doctorate in fish and wildlife biology from UM and is a wildlife researcher there. She works in the Montana Cooperative Research Unit, a collaboration between UM and the U.S. Geological Survey. Paul Lukacs, a UM researcher and professor with a doctorate in fisheries and wildlife biology from Colorado State University, was another author. Other authors on the study were biologists Cecily Costello, Lori Roberts and Milan Vinks of the Montana Department of Fish, Wildlife & Parks. Grizzlies were listed as threatened under the Endangered Species Act in 1975, when only a few hundred remained in the Lower 48 states across 2% of their original habitat. Now, the NCDE and GYE have significant numbers of grizzlies, but other recovery areas struggle to hold viable populations. The Cabinet-Yaak Ecosystem population in far northwest Montana numbered about 60 as of 2017, but may be declining according to more recent U.S. Fish and Wildlife Service data. The Selkirk Ecosystem around the intersection of northern Idaho, northeast Washington and Canada has at least 83 bears, according to the new study, with more than 44 in the U.S. There are no bears known to permanently reside in the Northern Cascades Ecosystem in Washington or the Bitterroot Ecosystem along the Montana-Idaho border. By forecasting the routes grizzlies might travel more frequently and extensively across western Montana, the authors wrote, the study could help inform management activities. It could suggest which communities may need human-bear conflict mitigation programs, or where to plan highway crossing structures and conservation easements. Bears are likely to move along two primary paths between the NCDE and GYE, the study found. One path ran west of Helena and Bozeman along the Big Belt and Bridger Ranges to the Gallatin Range and GYE. And another path ran from northeast of Missoula, along the Garnet Range to the Avon area, southeast toward Boulder and the Elkhorn Mountains, along Bull Mountain to the Tobacco Root Mountains and finally to the Madison and Gravelly ranges just outside the GYE. Pathways between the NCDE and Cabinet-Yaak Ecosystem in far northwest Montana "transected much of the Salish and Cabinet Mountains and were generally diffuse networks that interconnected and split regularly. Other pathways connecting the NCDE and CYE involved the Reservation Divide and Ninemile Divide Mountains." Pathways between the Cabinet-Yaak and Bitterroot ecosystems were also a web of diffuse routes. Between the NCDE and Bitterroot, pathways "were well distributed within the Reservation Divide, Rattlesnake, Garnet, Bitterroot, and Sapphire Mountains, but were relatively sparse in the Missoula and Bitterroot Valleys," the biologists reported. Mapping produced by the study indicated connectivity of likely grizzly habitat where the northeast end of the Sapphire Mountains nears the northern Bitterroots around Lolo and Florence a location grizzlies have increasingly explored in recent years. For more wandering "exploratory" travels, rather than movements between designated grizzly ecosystems, the study found that simulated bears' movements stayed relatively closer to their origin ecosystem, but were nonetheless well distributed in outside-ecosystem mountain ranges including the Rattlesnake, Garnet, Nevada, Boulder, northern Big Belt, Centennial, Gravelly southern Tobacco Root, Madison, Gallatin and southern Bridger mountains. Separate studies have found that modeling possible connectivity corridors can be accurate: Humans' relative scarcity during COVID lockdowns in spring 2020 allowed brown bears in Eastern Europe to move through areas that studies had previously identified as likely bear movement corridors. And the authors of this study confirmed their model's accuracy by plotting known locations of grizzlies outside designated ecosystems over their maps. The data corresponded with where modeling predicted the bears would be found. Sells' study, published this month in the journal Biological Conservation, built on two previous studies by her and others. The first study, published last year, used GPS tracking data to refine researchers' understanding and modeling of how grizzly bears move around in the NCDE. That offered a better understanding of how the bears use, or don't use, various habitats. The second study, released earlier this year, showed that those refined models for NCDE bears accurately predicted movements of bears in other populations, meaning the models could simulate bear movements beyond only the NCDE. Putting that model to use more broadly, the latest study evaluated western Montana for how likely or not bears would be to use habitat. The modeling produced a variety of shaded maps classifying terrain from scoring 13 at the low end (unlikely a bear would use that habitat) to 10 at the high end (grizzlies were most likely to use that habitat). For each male and female bear, the researchers modeled bear movement for travels specifically between two habitats and for "exploratory" travels with no set destination. For simulated travels where a bear might have a specific destination but also wander a bit, the study found that bears favored mountainous areas and, secondly, streams and rivers through open valleys. "Predicted paths repeatedly converged in these areas, despite variation in habitat use among individual grizzly bears, variable start and end nodes, and different values of (exploration)," the study stated. "Pathways were generally similar for females and males." City leaders in Missoula have finally selected a development team that will get exclusive rights to conduct public engagement and determine how to redevelop the site of the old Missoula Public Library and the entire downtown block of land upon which it sits. On Wednesday, the city announced it has selected two companies, deChase Miksis of Boise and Edlen & Co. of Portland, to lead the decisions on what to build at the site. The selected team and their vision for the site aligns really well with the citys goals, said Mayor Jordan Hess. The team has successfully developed infill, mixed-use projects in several markets, utilizing innovative partnerships and financing strategies. Their experience and expertise developing workforce housing and a strong commitment to community and local partner engagement is a great match for the site. In 2019, local businessman and philanthropist Terry Payne and his family donated the block of land, at 300 E. Main in downtown Missoula, to the city. The Downtown Master Plan identified several potential uses for the site, including mixed-income residential, nonprofit and general office space, a child care facility, a downtown grocery store and structured parking. Now, four years and a global pandemic after the city received the site, a search conducted by the Missoula Economic Partnership led to the two companies getting picked for the job. It hasnt been determined if the city will sell, lease or retain ownership of the land underneath the aging former library building. Through this intensive vetting process, we looked at the track record of each of these development firms in conjunction with their vision for the Payne block site, explained Julie Lacey, economic development director at the MEP. Each development team presented exciting ideas and we look forward to potentially partnering with them on other projects in Missoula. Our mission, in this case, was to find a partner that would help the city reach as many of its goals as possible and make the most of this generous donation. She said the two firms were chosen in part for their experience developing urban mixed-income and mixed-use projects, including housing units for both rental and sale. Jill Sherman, a principal at Edlen & Co., said her firm recently worked with the Boise city government on the creation of 48 units of workforce housing in the downtown area of Boise. Called 17th and Idaho, the building is deed-restricted for people earning 100% of Area Median Income. The company also built a building that houses a Whole Foods grocery store in Portland. Dean Pape, a principal at deChase Miksis, said his team has worked with Shermans team on housing and commercial projects. He said some of their buildings have included dozens of housing units set aside for people earning as low as 30% of Area Median Income and other projects are limited for those earning 60% or below. He and Sherman both said theyre excited to be a part of the Missoula project because there is no set blueprint for what the community wants to see there. He said that instead of coming to Missoula with a painted picture already, theyre coming to town to help the community paint whats best on a blank canvas. Were likely not going to be able to cram everything thats wanted onto the site, he said. But the hope is to meet the needs of the community as best possible through the process of working with the community. Pape said about five years ago, his company noticed a trend of people moving out of major metro areas like Portland into places like Missoula and Boise. That resulted in a lot of rental housing getting converted into short-term rentals like AirBnBs, he noted. So, he said, theyre very aware of making sure new projects dont ruin the things that the people already living in smaller cities like about their home. The old library building has been deemed beyond its useful life, which is why taxpayers supported a bond to build a new one next door. The old building currently houses some organizations doing childrens activities, and theres no timeline on when it would be demolished. Dale Bickell, the citys chief administrative officer, said the two firms have an exclusive negotiation agreement with the city. The city may partner with them in certain ways, including perhaps Tax Increment Financing. We werent looking for a specific project, Bickell said. We were looking for a partner who was really goal-aligned with what we want to do here. City Council member Sandra Vasecka wanted to know if people who move in can stay in the income-restricted apartments if they get raises or new jobs that pay better. Pape responded that in almost every case, people in income-restricted apartment projects dont have to move out if they start earning more than the original threshold. City Council member Stacie Anderson commented that shed like to see the two firms conduct a broad public engagement process to get input from a wide swath of community members. Its a unique opportunity of having an entire city block donated to us, because the land factory is closed, she said. I dont want you to talk to just the people who did the Downtown Master Plan. Missoulians are really paying attention and its an exciting opportunity to harness that and bring them into the fold. Mayor Hess noted that if the city decided to sell the land, the proceeds from the sale would go into the citys Affordable Housing Trust Fund. Were really excited to have reached this phase and to have started the dialogue with you all, Hess said. This is going to be a big deal for downtown Missoula. We all have the opportunity to work on this together and work in partnership to write the next chapter to that old library, which is next to the best new library in the world. We have this incredible space that is really the heart of our community in so many ways and we get to write that story together. So I hope youre all as excited about this as I am. The presidents of a wide-ranging group of 13 universities are elevating free speech on their campuses this academic year, as part of a new nonprofit initiative announced Tuesday to combat what organizers call dire threats to U.S. democracy. The Campus Call for Free Expression will take different forms on different campuses. The campaign, created by The Institute for Citizens & Scholars with funding from the Knight Foundation is designed to cultivate the freedom of expression on campuses and help students work together to find solutions to complicated, divisive problems. The national context of the deep political polarization, the inability of people to speak across difference in constructive and civil ways, it seems to me that colleges and universities need to be the institutions at the forefront of showing a better way to do that, said Jonathan Alger, president of James Madison University, which is participating in the initiative. The Institute for Citizens & Scholars first convened a group of college presidents in March 2022 to discuss how to prepare students to actively participate in democracy. Eventually, the presidents and schools committed to five principles of free expression along with new, on-campus programs that each school designed themselves. Those include new training at freshman orientations, faculty seminars and convocation remarks. While not new, controversies around free speech at universities abound, from students protesting invited speakers to state legislatures targeting faculty tenure, and also reflect an increase in restrictions on freedom of speech more generally. The participating schools include The University of Notre Dame, a private Catholic research school, Benedict College, a historically Black school in South Carolina, Rollins College, a small liberal arts school in Florida, and Ivy League member Cornell University, which in April announced that freedom of expression would be the theme for its 2023 school year. The other schools are Claremont McKenna College, DePauw University, Duke University, James Madison University, the University of Pittsburgh, The University of Richmond, Rutgers University, Wellesley College, and Wesleyan University. Jonathan Holloway, president of Rutgers University in New Jersey and a historian of African American history, said he was motivated to join the initiative in part by what he called a growing deep disregard for American institutions. If I dont speak up now on what I see thats so concerning, if I dont do this now, then when? he asked, adding, "When I saw the Confederate battle flag marched through the Capitol Rotunda in January 2021, thats when things shifted for me." This September, Holloway will lead a freshman course that will examine the meaning of democracy and ask students to help design a program for the university to improve civic education. For Rajiv Vinnakota, president of the Institute for Citizens & Scholars, there are two main reasons to focus civic education on college students. For many, their colleges will be the most diverse community that theyve ever experienced and students have the potential to shift social norms as they enter public forums and start to participate in politics. He hopes that the collective commitment of these schools to fostering critical thinking and the exchange of ideas around contentious issues will encourage other institutions to join them. Are we able to get above the cacophony of these issues of free expression to be able to get people in general (and) leaders to be able to see that higher ed can and should play a leading and proactive and positive role in civic preparedness? Vinnakota asked. The Knight Foundation provided a $250,000 grant to the institute to convene the presidents and eventually other university staff in a series of conversations over a year and a half. We believe in the free exchange of ideas. We believe in an informed citizenry so that the people may determine their true interest, said Alberto Ibarguen, president of the foundation. The nonprofit PEN America offers training to colleges and universities around cultivating an exchange of ideas as part of its work advocating for human rights and free speech. In general, Kristen Shahverdian, senior manager of its Free Expression and Education program, said that showing students why protections for free speech matter is an effective way to win over them to hearing about opposing views. When students learn about how writers and artists around the world have been persecuted for their free expression, they understand the ramifications of squashing anothers speech, she said in response to emailed questions. Lucas Morel, a professor of politics at Washington and Lee University and chair of the Academic Freedom Alliance, said more universities and colleges should embrace a mission of cultivating the pursuit of knowledge through the airing of different ideas and arguments based on evidence. If we dont do a good job of helping them be careful readers and careful listeners, it stands to reason that as citizens they wont be careful listeners and careful expressors of their own thought," he said. "And it will be difficult for us to function as a self-governing society. A look at federal grant programs available for students A look at federal grant programs available for students Pell Grants Federal Supplemental Educational Opportunity Grants TEACH Grants Military service grants TIMBERLAKE, N.C. A North Carolina father has been charged after fatally shooting a driver who hit and killed his teenage son along a road Monday, a sheriff said. The 17-year-old boy died as the family of three walked home after running out of gas around 6:30 a.m., Person County Sheriff Jason Wilborn told news outlets. The driver of the truck stopped and called 911 shortly after the crash, which happened near Timberlake. The teens father, Chad Woods, then pulled out a gun and shot the driver, who was identified as Jeffery McKay, the sheriffs office said. After the shooting, Woods took the drivers vehicle and drove home, officials said. News outlets report McKay was driving a North Carolina Department of Adult Corrections vehicle. Woods was arrested and charged with second-degree murder and larceny of a motor vehicle, the sheriffs office said. Woods is set to appear in court on Aug. 21. It wasnt immediately clear whether he has an attorney, courthouse officials said. This is kind of a first. Ive been to people struck by cars and Ive been to shootings, but I havent ever had this happen at the same time. Sad situation all around, for all the families, Sgt. Kevin Morris, with the sheriffs office, told WTVD-TV. The Morganton Tractor Supply store has provided Partners for Cats with a $200 adoption grant to support the rescues animal adoption program. With these funds, the organization will be better able to purchase needed supplies and support program initiatives. Through this Adoption Grant, we will provide Partners for Cats with resources to help maintain and grow their programs, said Meghann Bradley, manager of the Morganton Tractor Supply store. Were passionate about animals at Tractor Supply, and were hopeful this partnership will encourage more local adoptions from Partners for Cats and put deserving pets in loving homes. Tractor Supply serves as a destination for pet owners, providing food, treats, toys, crates, carriers and supplements, as well as expert advice on all things pet. With its focus on animals, the rural lifestyle retailer makes it a priority to support local animal organizations like Partners for Cats whenever possible. Other community rescue groups interested in hosting in-store adoption events at the Morganton Tractor Supply should contact the store by calling 828-433-1945 for more information. Morganton Tractor Supply is located at 111 Independence Blvd. Suite E. A fire at a home in the Salem community claimed the life of a man early Monday morning. A release from the Burke County Fire Marshals office said Bon Alexander Stroupe, 43, was pulled from the home after firefighters arrived at 3335 Port St., Morganton, just after midnight Monday. Paramedics tried to resuscitate Stroupe but were unsuccessful and he died at the scene, the release said. No other injuries were reported, the release said. Burke County Emergency Communications Center dispatched Salem Fire Department to the structure fire on Port Street at 12:21 a.m. Monday, the release said. Burke County Deputy Fire Marshal Robert Bishop told The News Herald there were two people in the home when the fire started. Fire Marshal Mike Willis said there are three people who live in the home. There was heavy smoke showing and moderate fire on the front side of the home when firefighters arrived on the scene. Willis said the fire started in a bedroom of the home. Residents at the home confirmed that Stroupe was still inside. Firefighters entered the home and pulled Stroupe out, the release said. Firefighters were able to contain the fire in approximately 30 minutes, it said. Firefighters from four Burke County fire departments responded with equipment and manpower to extinguish the fire, along with Burke County EMS, supporting the operation. In addition to Salem Fire Rescue, Triple Community Fire Department, Enola Fire & Rescue and George Hildebran Fire & Rescue also responded with mutual aid, Bishop said. The cause of the fire is under investigation, with the Burke County Fire Marshals Office investigating it in conjunction with the Burke County Sheriffs Office and the SBI, the release said. The cause of Stroupes death will be determined by the medical examiner following the investigation, the release said. Butte resident Evan Barrett, a longtime watchdog of regional Superfund cleanup, grew weary of hearing about key meetings from which the public was excluded. Barrett and others have described these meetings as secret. He asked EPA to live up to its much ballyhooed commitment to transparency. He won the backing of local government and two state agencies. Barretts frustration peaked after the EPA raised the prospect of Atlantic Richfield contractors using partially contaminated soils instead of clean fill during remediation of some sites in the so-called Silver Bow Corridor. Related deliberations seemed to be moving forward behind a curtain, he said. Barrett and other skeptics referred to this fill material, known more formally as onsite material or grey dirt, as dirty dirt. Such material refers to onsite soil at project sites that has lower metals content than soils considered contaminated wastes requiring removal and deposit in a repository. Supporters of incorporating grey dirt in remedial design say its use is sanctioned by the consent decree signed in 2020 and would reduce the amount of truck travel to and from sites in the corridor. Opponents say the remedy in Butte deserves clean fill, an approach they say helps ensure the remedys long-term effectiveness. During a May 1 meeting in Butte with EPA, Mick Ringsak, another longtime Superfund watchdog, described the potential use of grey dirt as the most asinine thing Ive ever heard in my life. In early July, EPA reported it would recommend, in the coming weeks, a proposal related to this type of fill. The public was not invited to observe any related technical discussions. The July 7 news release from the agency reported, EPA is reaffirming its commitment to transparency as we make decisions about how to manage this material. A few days later, Barrett fired off correspondence to KC Becker, EPAs regional administrator, to J. P. Gallagher, chief executive of Butte-Silver Bow County, and to officials with the Montana Department of Environmental Quality and the states Natural Resource Damage Program. Barretts letter to Becker cited her frequent assertions about being committed to transparency. He asked her to allow the public to at least observe the secret technical meetings during which remedial design work occurs. He cited provisions in the Montana Constitution that require transparency for state agencies and local government. Barretts letter to Gallagher, the DEQ and NRDP asked for their support in seeking to at least witness these meetings, through virtual means, if nothing else. On Aug. 2, Gallagher and representatives of DEQ and NRDP did just that. The joint letter observed, While we acknowledge that the Citizens Technical Environmental Committee is one conduit between the public and the design team, trust in CTEC and in the integrity of the technical team meetings among many members of the public in Butte is eroded. It added, Opening the technical meetings for observation by members of the public would demonstrate that all of our agencies are committed to transparency and the community. The news release in early July from EPA twice cited transparency as a goal for communicating with the public about Superfund cleanup in Butte. Ironically, that same release referenced a meeting whose participants included representatives from EPA, Butte-Silver Bow, DEQ, NRDP and Atlantic Richfield. The public received no advance notice of the meeting. The news release did not disclose where it had been held. Frustration has built for years about the slow pace of Superfund remediation in Butte and the exclusion of the public from key meetings about remedial design or thorny topics such as action levels for lead in soils. Dana Barnicoat, a regional spokesman for EPA, said Tuesday that the proposal to allow observation of technical meetings is receiving attention. Its being discussed at our meetings, he said. I know (Becker) wants to find a way to be as transparent as possible. Were taking a hard, serious look at it. Elsie Arntzen, Montana Superintendent of Public Instruction, is exploring a U.S. House run in Montanas Eastern district, provided incumbent Rep. Matt Rosendale doesnt seek a third term. The Billings Republican posted her intention on X, the social media platform formerly known as Twitter. Arntzens exploratory committee is also soliciting donations on Win Red, a Republican donation app. A 2024 candidacy wouldnt be Arntzens first U.S. House campaign. She was a Republican primary candidate for Montanas at-large seat in a crowded 2014 field that included U.S. Rep. Ryan Zinke, former Secretary of State Corey Stapleton, Drew Turiano and Rosendale. Arntzen finished fourth in the primary, just behind Rosendale. Zinke, who now represents Montanas Western District, won the 2014 general election. Elsie Arntzen has been a resilient champion for Montanas parents and families throughout her eight years as superintendent of Public Instruction exploratory committee spokesman Sam Rubino said in the announcement. We have been fortunate to have rock-solid conservative representation in Rep. Rosendale; Arntzen is committed to building upon that legacy should Rosendale toss his hat in the ring for U.S. Senate. The exploratory committee would fold if Rosendale sought reelection to the House. Meanwhile, Politico reports that Rosendale is prepared to play primary election spoiler to newcomer Tim Sheehy, the U.S. Senate candidate favored by U.S. Sen. Steve Daines and Mitch McConnell. Rosendale has hired a high-profile fundraiser with ties to Donald Trump and losing Arizona gubernatorial candidate Kari Lake. Rosendale was the unsuccessful Republican challenger to Democratic Sen. Jon Tester in 2018. That year, Rosendale had Donald Trump barnstorming for his campaign and the financial backing of the dark money super PAC Club For Growth. Rosendale's latest Senate plans took a hit when Trump decided to make no endorsement in the Montana Republican primary this after endorsing Rosendale in the U.S. House primary in 2022. And Club For Growth announced it wouldn't back Rosendale in a primary Senate race, either. Other Republicans expressing interest in replacing Rosendale include State Auditor Troy Downing and Montana Public Service Commissioner Randy Pinocci. An elementary school teacher by profession, Arntzen became the first Montana Republican in 28 years to be elected state superintendent of public instruction. Now in her seventh year as superintendent, Arntzen is prevented from reelection by term limits. Arntzen was previously a state legislator for 12 years. NorthWestern Energy customers have come out strongly against the utilitys energy supply plan during the first three stops of a listening session tour with state utility regulators. Customers testifying in Helena and Great Falls and Billings told Montanas Public Service Commission, with few exceptions, that the states largest monopoly utility needed to pivot away from power plants burning coal and natural gas, which are at the core of the utilitys latest long-term integrated resource plan. Several people cited climate change concerns and a high-profile Monday court ruling that threw out a new Montana law shielding industrial polluters from carbon dioxide regulation. The law had been passed in April by Republican legislators who said they were saving NorthWesterns gas-fired power plant in Laurel from a court-ordered review of carbon emissions. NorthWesterns plan to double its ownership in Colstrip Power Plant drew the most attention. USA Today ranked Montana as one of the 10 worst states to make living in because of low wages and an above average cost of living. Our reliance on burning coal at Colstrip is the second most costly form of electricity that we have, while the Judith Gap wind operation actually is the cheapest, said Rob Freistadt, of Helena. The 135-megawatt Judith Gap Wind farm is one of the oldest in the state. Its 20-year contract with NorthWestern expires in 2026, the same year NorthWestern expects to double the amount of owned Montana coal-power in its portfolio. The utility has a memorandum of understanding with Spokane-based Avista Corp. to acquire the Washington companys Colstrip share in December 2025, when climate change laws will force coal power out of Washington portfolios. NorthWestern CEO Brian Bird has said hes likely the only CEO in the nation adding coal generation. NorthWesterns supply procurement plan suggests that the cost of replacing Colstrip with non-carbon emitting resources would be a 30% increase to Northwesterns portfolio if the power plant closed in 2025, or 10% increase if Colstrip closed in 2030. The last time customers weighed in on a NorthWestern energy supply plan was 2019. Those listening sessions included a presentation from the utility about its plan. This time, NorthWestern isnt presenting ahead of public testimony. Fossil fuels form the core of NorthWesterns supply plan. There are several planned retirements of coal-fired power plants in the region, which NorthWestern expects to raise the probability of blackouts in the four-state region of the Pacific Northwest beyond 5% during periods of peak demand. The organization that forecasts loss of load probability is the Northwest Power and Conservation Council. In 2021, through 5,520 computer simulated years, the NPCC concluded that 425 of those years would have a shortfall event, or a shortfall in power every 12 years or so, meaning a loss of load probability of 8.2%. Analysts said the construction of 3,500 megawatts of new renewable generation through 2026 would reduce those odds. Roughly 1,160 megawatts in renewable generation have been constructed in Montana alone since that forecast. The 10-day period of listening sessions about NorthWesterns plans coincided with a state court ruling that Montana industrial permitting laws were violating the residents' rights to a clean and healthful environment secured by Montanas 1972 Constitution. At the heart of the lawsuit Held v. Montana was a new law banning the consideration of climate change during industrial permitting. First Judicial District Judge Kathy Seeley, ruled the carbon law unconstitutional. The law, Seeley ruled, is unconstitutional because it eliminates remedies to prevent irreversible degradation of the environment. More broadly, climate change must be considered when applying the Montana Environmental Policy Act, the states procedural roadmap for permitting industrial projects. Republican legislators, who hold a substantial majority in both state houses, passed the law to shield NorthWestern Energys gas-fired power plant in Laurel from a court ordered environmental assessment of carbon dioxide emissions. The move worked, weeks after the laws passage, the Department of Environmental Quality responded to the order by citing the law, rather than performing the assessment. The judge who issued the order, Michael Moses, of Yellowstone County, then lifted a work stoppage order on the power plant. That means that as DEQ considers Northwestern energy's current and future proposed gas plants, expansion of coal plants, permits for coal mines that feed the Colstrip Power Plant or other fossil fuel infrastructure and projects, it must consider how the project will impact our climate and it must be able to deny those permits, said Anne Hedges citing the impact of Held v. Montana. Hedges is director of policy and legislative affairs for the Montana Environmental Information Center. And the court agreed that every additional ton of carbon dioxide matters to the world. And this is coming from the world's most credible scientists. Fossil fuels are causing the climate crisis and Montana is not just some Podunk state that has no impact on the global climate, she added. In April, NorthWestern Energys vice president of supply and Montana government affairs, John Hines, testified before the PSC that NorthWesterns carbon emissions were inconsequential to climate change. The testimony in the utilitys general rate case, in which it is seeking a 28% increase in residential rates, was occurring with much of the increase already in place on an interim basis since October. Other customers testifying suggested Northwestern focus more on improving transmission efficiency than new power plants. As much as 30% of energy losses on power lines are because of inefficiency, said Steven Vinnedge of Great Falls. If you can reduce the line loss by 30% without having to build another power plant, without having to dig another shovel full of coal, without having to pipe another bit of gas, without having to build another windmill, without having to put up another solar panel, then why dont you do it? said Steven Vinnedge at the Great Falls listening session Monday. There are dams in the state that could be equipped with generators, said Kathy Hanson, of Great Falls, citing Gibson Dam, a reservoir with the plumbing for a generator. There is a docket before the PSC currently involving hydropower at Gibson dam being sold to NorthWestern Energy by an irrigation district. We already have the dam at Gibson that is capable of being able to have turbines installed, Hanson said. We have many other waterways that could have water containment utilized to collect energy and this is something that could be very cost effective. In Billings, former state senator Mary McNally, who served several years on legislative energy committees, said there were too many unanswered questions about NorthWestern's plans to double it's ownership share of Colstrip. Avista Corp. plans to hand its share of the power plant to NorthWestern at no additional cost, but McNally said there will be costs that aren't showing up in the utility's analysis, including its supply plan report on the costs of closing Colstrip early, but doesn't delve into costs likely to increase with a larger share. "The company is claiming it's a free asset and I don't believe that the IRP reflects the real costs involved. For example, the most recent rate case, NorthWestern says it needs $66 million each year for operations and maintenance and coal costs for it's existing 30% share," of Unit 4. Now, we're getting another 30% share. They're doubling their share. There are no additional costs in this plan. I think you could safely assume that's another $66 million. Where is it in the plan? That should be a built in cost." "All this talk about a free asset and what a great deal this is. This is all on the backs of the ratepayers. You can see it coming and there's nothing in here about it. I find that very troubling." There were a handful of current and former Republican legislators at the Billings session, but they didn't testify. NorthWestern's electric supply plan is available on its website. The listening sessions continue in Billings at 6 p.m. on Wednesday at the Board of Oil and Gas Conservation building, 2535 St. Johns Ave. The Butte-Silverbow Public Archives, 17 W. Quartz, will host a Thursday meeting. Then, on Aug. 22, the Missoula College Learning Center, 1205 E. Broadway Ave., will host the final meeting. There is no meeting scheduled for Bozeman. Due to its innovative methods to attract housing opportunities for the workforce to the area, Muscatine was honored by the Iowa Finance Authority and the Iowa Economic Development Authority as one of the top 11 cities in Iowa with the 2024 Iowa Thriving Communities designation. The Iowa Thriving Communities program is a newly established grant program focused on housing. Cities recognized as Thriving Communities receive extra points when applying for Federal Housing Tax Credits and Workforce Housing Tax Credits. This means developers will be highly incentivized to locate in a city that has the designation. Mayor Brad Bark could not be reached for comment Tuesday on how long the city has been working to earn the designation. Thriving Communities has a highly competitive application and pitch process. It is estimated that the state needs an additional 42,000 homes by 2030 to meet workforce demand. On Monday it was announced that Muscatine was one of 11 Iowa cities granted the designation. Other cities earning the designation include: Cedar Rapids; Charles City; Creston; Dubuque; Jefferson; Knoxville; Manning; Oskaloosa; Stanton; and West Des Moines. Muscatine is currently working to become the first city in the state to have 3D printed houses, which are already under construction. Gas prices, vehicle sales and production: Track changes in Iowa 2006: Sacha Baron Cohen British actor Sacha Baron Cohen, dressed in his character "Borat" poses for the press near the Eiffel tower in Paris, in this, Oct. 9, 2006, file photo. Cohen tells The Daily Telegraph that he's retiring the clueless Kazakh journalist, as well as his alter ego, aspiring rapper Ali G. "When I was being Ali G and Borat I was in character sometimes 14 hours a day and I came to love them, so admitting I am never going to play them again is quite a sad thing," the 36-year-old actor-comedian says in the British newspaper's edition on Friday, Dec. 21, 2007. (AP Photo/Christophe Ena, file) The city of St. Helena has received several reports in the last few weeks of cloudy, discolored or dirty water coming out of faucets. In a statement, the city said the water is still safe to drink. If you encounter discolored water, run the tap a few minutes until it clears. Despite the recent flushing of the city's mainlines, the reports of discolored water have returned. The problem seems to be happening intermittently in specific parts of the city. Last week, a contractor inspected and cleaned the main supply tank (Tank 1) and the Clear Well that holds treated water at the treatment plant before it is pumped up to Tank 1 and distributed throughout the city. After cleaning each structure, the contractor provided inspection reports that illuminated the need for additional short-term and long-term maintenance. The short-term items will be completed within the next few months. The long-term items will be completed during the lower demand season of December through February. According to the city, these remediation measures should minimize the problem. Public Works staff have been in contact with the California Water Boards Division of Drinking Water about the matter and the strategy to remedy the situation. While some customers have experienced discolored water, the water continues to conform to all state quality standards. Thanks to the City Councils acceptance of the Water-Wastewater Rate Committees recommendations, the city will be generating revenue over the next five years to improve the water and wastewater systems, said City Manager Anil Comelo. There are several important projects that are part of the five-year Capital Improvement Plan that will help address various system deficiencies. "These include upgrades to the Bell Canyon intake tower, rehabilitation and restoration of the citys largest storage tank (Tank 1A), recoating the interior of the Clear Well, replacement of the St. Helena Aqueduct (pipe) from our water treatment plant, and a number of additional pipeline upgrades to increase water flow for fire suppression. As the city completes these much-needed enhancements, there will be a marked improvement in the reliability of the various system components. In the next few weeks, Public Works staff will share with the City Council and the community a dashboard and the plan to implement over $30 million in capital projects in the next two years. This information will also be available at cityofsthelena.org. If you have water quality issues, call 707-968-2658 during regular business hours or 707-967-2850 after hours so the city can log the complaint and investigate the situation. Participation is down 40% in this agricultural program that protects wildlife, water, and soil Participation is down 40% in this agricultural program that protects wildlife, water, and soil There is less land in the Conservation Reserve Program than there used to be Most counties have seen declines in participation over the past 10 years Grassland establishment and habitat expansion are among the most common programs New changes from the USDA seek to improve participation Small winery standards, short-term rentals and historic preservation could all be up for discussion when the St. Helena Planning Commission holds its next hearing on the zoning code update at 6 p.m. Tuesday, Aug. 15, at Vintage Hall. The process began in December 2019 after the adoption of the city's general plan. The zoning ordinance is where the general plans broad policies are codified in specific regulations governing everything from downtown parking to residential design. Download the Napa Valley Register's free news app Easily access the very latest local news that matters in an app built for you. Read, see, and hear exclusive commentary, stunning photography, The last hearing on the zoning code was on May 10, when a debate arose over grape sourcing and residency requirements for St. Helenas small wineries. On Tuesday the Planning Commission will consider recommending council approval of the new zoning code and zoning map. According to the city, the proposed code is more illustrated and user-friendly than the current one and includes the following changes: Reducing parking standards, allowing more land to be used for housing. Implementing climate action initiatives including bicycle parking, electric-car chargers, xeriscape standards and solar energy standards. Adding a mixed-use zoning district to implement the general plan and encourage diversity, mix of uses, and density (up to 20 units per acre). Promoting the highest and best use of spaces on Main Street. Updating design standards to create certainty and clarity for builders. Expanding protection of historic resources through a historic preservation overlay. Expanding the list of active uses to include public market, brewpubs, amphitheater, and maker uses. Eliminating the parking in-lieu fee burden downtown and waiving on-site parking requirements. Streamlining the permit process by introducing staff-level review processes (for minor use permit and minor design review) and transitioning many uses previously requiring a use permit to only needing a permitted use (staff approval). Eliminating arbitrary major design review findings. Facilitating multi-family development through ministerial review. Wednesday, Aug. 9 1012 Suspicious people had been photographing an empty house on Vineyard Avenue on Monday evening. Download the Napa Valley Register's free news app Easily access the very latest local news that matters in an app built for you. Read, see, and hear exclusive commentary, stunning photography, 1203 Medical aid for a woman who passed out near Main Street. 1711 A vehicle had been parking on Hunt Avenue for more than 72 hours. 1902 Police cited a driver near Main/Alexander. 2022 Keys to a Toyota were found on Main Street. An officer found the car and returned the keys to the owner. Thursday, Aug. 10 0843 A loose dog near North Crane/Birch was reunited with its owner. 0930 Report of a squirrel wedged into a space near Hunt Avenue. It extricated itself and went on its way. 1049 Police cited a driver near Deer Park/Main. 1216 Police cited an unregistered car parked near Oak Avenue. 1355 Police cited a driver for a center turn lane violation near Main/Mills. 1519 An officer helped a tow company remove a vehicle from the road near Main/Grayson. 1543 Police cited a driver on College Avenue. 1546 Report of many cars speeding on South Crane Avenue, with pedestrians nearby. The caller asked for speed enforcement. 1613 Report of a dog barking since 9 a.m. near McCorkle Avenue. 2029 Police cited a driver for failing to yield to a pedestrian on Main Street. 2322 Police cited a driver for speeding on Main Street. The car was towed because its registration was expired. Friday, Aug. 11 0918 A raccoon got trapped in a humane trap outside an Allison Avenue home. 1100 Report of a smell of gas on Main Street. 1339 A three-car non-injury accident on Main Street left a blue Subaru very badly crunched. 1640 A drivers license and credit card were found on the ground in front of a Main Street shop. 1742 A Range Rover hit a guardrail at the Pratt Avenue Bridge and was now stuck there, blocking the bridge. 1955 Police cited a driver on Main Street. Saturday, Aug. 12 1111 Report of a reckless driver in a turquoise Prius passing over double yellows, cutting people off, and tailgating on northbound Main Street since Yountville. 1510 A vehicle hit another cars side mirror. 1534 Medical aid for a sick person on Redondo Court. 1816 Police cited a car parked in a blue zone near Hunt/Main. 1912 Police contacted a person in a car on Hillview Place and notified them that a city ordinance prohibits camping. 1938 Report of an assault/battery on Main Street. Police contacted both parties, who declined to press charges against each other. Sunday, Aug. 13 0057 Police cited a driver on Main Street. 0242 Following a traffic stop near Fulton/Main, police cited a driver for speeding, having too much tint on the windshield and windows, and not having insurance. 0718 Police were asked to check on an elderly woman near Elmhurst/Spring Mountain who seemed confused. 0947 Following a traffic stop on Charter Oak Avenue, police cited a 28-year-old St. Helena man for a misdemeanor warrant from San Luis Obispo County. 1048 Police were asked to help with an unwelcome guest on a Main Street property. 1301 Report of two rattlesnakes curled up together in a large, contained wine vault near Main Street. 1711 Medical aid for a man feeling sick on San Juan Court. 1714 Police were told to be on the lookout for a possible drunk driver on southbound Highway 29 whod run a red light and almost run over an off-duty police officer in Calistoga. 1721 Report of kids riding around vineyards on motorbikes and an ATV in the Sulphur Springs/Kennedy area. 2023 A white Maltese was reported missing from McCorkle Avenue. 2046 Following a traffic stop near Madrona/Hudson, police cited a driver for having excessive tint on the windshield and windows, driving without insurance, and having an illegally modified exhaust system. 2328 Police cited a driver for speeding near Highway 29 and Whitehall Lane. Monday, Aug. 14 0323 Police cited a driver for expired registration near Main/Dowdell. 0439 Police cited a driver for driving with a suspended license on Main Street. 0708 A woman reported being followed by someone on foot near Railroad/Adams. 1400 Report of a dispute between neighbors about a property line on Crinella Drive. 1610 A vehicle had been parked on Brown Street for over two weeks. Police marked it to be towed in 72 hours and sent a letter to its owner. 2012 Report of a possible drunk driver near Main/Grayson. Police stopped the car and determined the driver was not under the influence. 2148 Report of a fight on Main Street. Police determined that the dispute had been strictly verbal. Both parties went their separate ways. 2310 An employer asked police to check on an employee who hadn't shown up to work and couldn't be reached by phone. Officers contacted the woman, who was OK and would be calling her employer. Tuesday, Aug. 15 0747 A caller said someone was fraudulently trying to gain access to their email. 1144 A caller asked for extra patrol in the Madrona/Spring Mountain area due to cars speeding and not yielding to pedestrians in the crosswalk during school hours. 1232 Non-injury accident at Silverado/Pratt. St. Helena provided traffic control until the CHP arrived. 1249 Medical aid for a person with an elevated heart rate on Pratt Avenue. 1309 Report of petty theft on Vintage Avenue. 1406 Report of low-hanging wires on Madrona Avenue. Madrona at Oak was blocked by the wires. The fire department cleared the wires and the road reopened. 1707 Report of a disturbance in a parking lot near Main Street. 1844 A caller reported being followed by people in cars. 2047 Report of a suspicious man rifling through items belonging to a Main Street restaurant. The caller said the same suspect tried to break into his car earlier in the day. Police contacted the man, who was looking for his vehicle. Wednesday, Aug. 16 0222 A caller said three men and one woman were trying to break into his house and threatening to hurt his family. Police checked the property and didn't find any suspicious people. PHOTOS: Training for an active shooter situation in St.Helena Here is the holy equation of faith: We are as strong as what we hope. This season I have invited the Grace Church community to read together a book by scholar, Choctaw elder, and Episcopal Bishop Steven Charleston called "Ladder to the Light." Charleston, who penned the quote above, invites us to climb a spiritual ladder from the dark womb of the earth to the light of a new world. Each rung consists of a spiritual concept we can put into practice. Third on the ladder is the rung of hope. Charleston continues, I am not talking about wishful thinking. I am not talking about miracles. I am talking about hope as a tool to create light, a spark that can suddenly illuminate the gloom that creeps into our lives Hope is the catalyst, the tipping point where what we believe becomes what we do. As an example of hope, Charleston speaks of his ancestors forced march on the Trail of Tears. Beginning with the Choctaw in 1831, the five tribes of the southeast were forcibly removed by the U.S. government to Indian Territory in modern-day Oklahoma. More than 60,000 people were forced from their ancestral homelands to land quite different from their own, and up to a third of them died along the way due to violence, harassment, and the conditions they were subjected to along the way. Nevertheless, Charleston notes, his ancestors walked this Trail of Tears with strength and dignity, and so embodied hope. By embodying hope, Charleston says, we can step over the threshold of our own fears by hanging on to the third rung of the ladder with all our might, breathing in the confidence the Spirit gives us, and looking into the future. Hope is all about the future, according to Charleston. Hope is the tool we use to create the future. The world is full of fear right now and the future has perhaps never seemed more uncertain in my lifetime than it does right now. We are teetering on the edge of World War III and a face-off between nuclear superpowers. Yet every generation has known struggle, fear and uncertainty. And in every generation, there have been those who have embodied hope. For many around the world, the Ukrainian people, along with the large number of Russians who have opposed their governments unprovoked invasion of Ukraine, have embodied hope over the last month. The Ukrainian people have resisted this invasion against all expectations for one reason: because they have hope. Hope is not the reasonable arithmetic of adding up one sides firepower and subtracting the others. Hope is dynamic, creative and exponential. Charleston points out that it is sometimes hard to hold on to hope alone. That has been one of the most devastating aspects of these pandemic years: the sense of isolation. Though we have all suffered together, we have largely suffered apart. It does not have to be that way. Hope creates community, even as community can strengthen our hope. Here are three ways you can join the Grace community in hope for a better future for Ukraine and for our world: 1. Pray: At 7 p.m. each day members of Grace and our Interfaith partners throughout the Napa Valley are setting our phone alarms to remind us to take a moment (or five, or ten) to be in prayerful intention for peace in Ukraine. Widespread prayerful intention has been shown to make a difference. And it makes a difference to us. 2. Give: Grace has already sent thousands of dollars, much of it raised by members of the wider community, for immediate relief and mid-term resettlement efforts for Ukrainian refugees in Europe through Episcopal Relief and Development. You can make a donation at er-d.org or drop a donation off at Graces office. 3. Talk: On Sunday, March 27, at noon Grace will be sponsoring an open forum over Zoom with local therapist and former NBC war correspondent Rebecca Bell called The Torment in Ukraine is Tormenting Us! Contact the church office for a Zoom link. Charleston finishes the quote I opened with thus: We are as strong as what we hope. A people without hope, even if they possess all the wealth in the world, are weak and easily swayed. On the other hand, a small band of human beings can shift the tides of history if they have sufficient hope in what they see as the future What we project into our future through faith is not just the wishful thinking of dreamers out of touch with reality; it is the blueprint for a future our faith sees clearly before us. Hope is not a wish, but an intention. Will you join us in creating more hope? Arts Council Napa Valley announces grant recipients With support provided by the William & Flora Hewlett Foundation and North Bay Arts Fund, Arts Council Napa Valley (ACNV) recently announced donating $50,000 to its summer 2023 Community Fund grant recipients. Eleven local projects and programs will receive funds upward of $1,500 each toward their work in the community. ACNV is proud to support such a vast range of artists and community projects this grant season, said ACNV Executive Director Chris DeNatale in a press release. This summers grantees are producing programs and projects which serve all ages and celebrate the deep roots and culture of the Napa Valley. We cannot wait to see what these artists put into the county. The summer 2023 Community Fund grant awards support youth arts programming, cultural celebrations, public art projects, and more. These programs support ACNV's vision of enriching the community through arts and culture by creating greater access, engagement and culturally relevant opportunities for locals, according to a press release. Since the inception of the Community Fund, ACNV has provided more than $300,000 to artists and small nonprofit organizations so that local work may grow and deepen the impact of arts and culture for all in the Napa County community. Here are the recipients: BALLET FOLKLORICO EL VALLE DE ST. HELENA, CA Ballet Folklorico "El Valle" de St. Helena A community group made up of children, youth and adults showing their culture through ballet folklorico, the regional dance of Mexico. The group is characterized by its versatility and support of one another and the community at large. Their 2023-2024 season will consist of performances in and out of Napa County and celebratory community-building events for the groups members and their families. For more information, visit @balletfolkloricovallesthelena on Instagram. OUR RAD WALL Kara Harrington Our RAD Wall is a public art project developed by artist Kara Harrington and the Rail Arts District (RAD) to connect youth with the community through murals. The project site, located 2 blocks from the RAD, is a place where student participants paint (and paint over) their ideas. For more information, visit radnapa.org/news-programs. ART EXTRAVAGANZA: CELEBRATING CULTURAL DIVERSITY THROUGH ART, FOOD, & DANCE American Canyon Arts Foundation ACAF is bringing back its Art Extravaganza after four years with a theme of cultural diversity. The event will be augmented with a program of diverse cultural foods and dance performances. For more information, visit amcanart.org. 100 PLAQUES PROJECT - HIDDEN HISTORIES OF CALIFORNIA Hannah Henry Hannah Henry began the 100 Plaques as a quest to learn about and help reveal hidden histories across California. Each of the plaques is a unique project with a common purpose. Henry will be installing four plaques covering the indigenous history of the Wappo and Patwin peoples in Napa Valley to be placed in downtown Napa. WILLOW ELEMENTARY AFTER-SCHOOL PERFORMING ARTS Lu Kenmoth The Willow Elementary after-school performing arts program performs musical show kits and original plays. The school offers a 7-month, two times per-week, hour-long class to students who want to learn all about the theater and performance world. Students can choose to act, dance, sing or be a part of the backstage crew. The musical for this program will be Disney's "Finding Nemo." OPUSED CONNECT & CREATE Jennifer Hunt As founder and lead artist for OpusEd, Jennifer Hunt will continue to create and curate experiences connecting students to innovative and diverse guest artists around the world in preparation for public community performances throughout the school year that showcase cross-disciplinary learning. UNIDOS MURALS Unidos Parent Club Unidos School wants its walls to speak for the students, educators and community by visually communicating the schools values and inclusive atmosphere through vibrant murals that showcase the multifaceted cultural influences throughout the school. The collaborative mural projects will serve as a visual representation of the Unidos journey in which the students and community will be fully immersed. VOICES OF THE NAPA VALLEY Napa Valley Shakespeare Festival Hear fabulous storytellers illuminate the early days of our history through a free location-activated audio tour with sites throughout Napa. Voices of the Napa Valley brings to life original pieces commissioned from writers inspired by many of the fascinating stories that create a tapestry of the early Napa Valley. For more information, visit app.otocast.com/guide/Napa_Voices. CONCERTS WITH YOUNG MUSICIANS Napa Valley Chamber Orchestra This project will incorporate young musicians, from local high schools and the Napa Youth Symphony, into the orchestra for two concerts in February 2024. They will rehearse and perform with the Napa Valley Chamber Orchestra adult musicians. For more information, visit napavalleychamberorchestra.com DIVINITY CIRCLE Andrea Cazares The primary goal of the Divinity Circle is to create a supportive community where women can feel seen, heard and understood. By sharing their artwork and personal reflections, participants gain a sense of validation and empowerment. EMPTY BOWLS NAPA Sam Tubiolo Empty Bowls educates people about hunger, how it can be eradicated, and how to make simple bowls. By bringing together potters, chefs, and community members, everyone learns that no bowl should go empty. This event culminates in a publicized community meal with admission donations earmarked for a local food bank. ACNV is supporting the research and development of a plan to put this project into motion. For more information about ACNV and its programs, email info@artscouncilnv.org or call 707- 257-2117. PCA hosts open house Paulin Center for the Arts at Pacific Union College in Angwin hosts its third annual Open House on Sunday, Aug. 20 from 1-3 p.m. Paulin Center for the Arts (PCA) is a nonprofit community arts program offering private music lessons, music and art classes, performance opportunities, one-time workshops, and arts enrichment activities to developing musicians and artists of all ages and experience levels. This open house is designed to give the community a chance to explore PCA. Attendees will meet teachers face-to-face, tour Paulin Hall, get a chance to try new instruments and stand on the recital hall stage, sign up for private lessons and group classes, and enjoy socializing with other area families with similar interests. PCA boasts a 450-seat recital hall, 20 practice rooms, nearly 50 pianos, and three organs. Instruments available for instruction include cello, piano, guitar, drums/percussion, viola, violin, voice, trumpet, ukulele, and French horn. Admission and all activities at Sunday's Open House are free, and attendance does not imply commitment to any lessons or classes. For more information about PCA, visit tinyurl.com/paulincenterarts or email paulincenter@puc.edu. Pacific Union College is located at 1 Angwin Ave., Angwin. Behind The Camera series at Cameo Ruth De Jong, production designer for the blockbuster Oppenheimer speaks at Cameo Cinema in St. Helena on Aug. 24 as part of the ongoing Behind the Camera series. Production designers may not be well-known outside the film industry, but movies never go from idea to the big screen without one. As the head of the art department, the production designer creates a world on screen that is a crucial part of telling a films story. De Jong has worked as the production designer on films including Nope (2022), Us (2019) and Manchester by the Sea (2016), and for the hit TV series Yellowstone. Cameo Cinemas Behind The Camera is an ongoing series featuring an in-person cast or crew member of an upcoming first-run movie. Speakers share experiences, tell stories and answer questions about the making of the movie. This series affords attendees the unique opportunity to see behind-the-camera live as we explore the creative process of bringing script to screen, explains Cathy Buck, the theaters owner. We are offering conversations with directors, actors, writers, producers and other members of the filmmaking team. Tickets for the Aug. 24 event are $30 and include a glass of wine. Cameo Cinema is located at 1340 Main St. in St Helena. Tickets are available online at cameocinema.com. Uzbekistan president to visit Azerbaijan Armenia wins 6 awards at international book art competition MoD: Azerbaijan fires at Armenia combat outposts World oil prices going up 2 of those injured in tragic accident on Gyumri-Yerevan motorway remain in severe condition Ecuador presidential elections: Daniel Noboa, Luisa Gonzalez likely to make it to runoff Armenia wins 2 medals at 16th International Olympiad on Astronomy and Astrophysics Yerevan-Kapan-Yerevan flights with approved schedule are operated for first time Budapest, Baku agree on more Azerbaijan natural gas supply to Hungary Burnt-out shell of old Ferrari racing car sold at auction for $2M Azerbaijan fires at Armenia positions Karabakh army: Azerbaijan violated ceasefire at night Armenians holding protest outside UN headquarters in New York Armenia official: Our aircraft flies entirely in our territory Karabakh MoD: Azerbaijan disseminates another disinformation Provincial governor of Armenias Syunik: Azerbaijanis will provoke but Kapan airport shall operate The Minister of Foreign Affairs of Armenia received the EU Ambassador Azerbaijani units fired from fire arms towards the Armenian combat outposts in the vicinity of Verin Shorzha Ararat Mirzoyan will participate in a strategic conference in Slovenia Azerbaijani Armed Forces open fire on Armenian positions in Akhpradzor section. Armenian Defense Ministry Greek authorities have rescued about 60 migrants traveling by boat from Turkey to Aegean islands Iran will launch a locally manufactured Tolou-3 satellite into orbit by the second half of March Azerbaijani Defense Ministry tries to justify its regular fire to disrupt wheat harvesting. Artsakh Defense Army The Azerbaijanis once again targeted the 'Syunik' civil airport. Gor Tsarukyan Artsakh State Minister: Azerbaijani Armed Forces hold at gunpoint the only wheat field in Sarushen community Azerbaijan refuses to allow the body of the Artsakh girl to be taken to her homeland. MFA Armenia PM is informed about plans to invest another $15M in Agarak Copper-Molybdenum Combine Azerbaijan does not allow body of young woman who died in tragic Armenia road accident to be sent back to Karabakh Azerbaijan plans to try abducted Karabakh resident Vagif Khachatryan Dollar, euro drop in Armenia Armenia deputy PM, Poland envoy confer about regional developments Central Electoral Commission registers electoral lists of political forces to run in Yerevan municipal elections Karabakh MoD: Azerbaijan statement, video footage are utter falsehood Ambassador Kvien emphasizes US support for Armenia sovereignty, security (PHOTOS) Karabakh MFA: We expect UN Security Council member countries to demonstrate consistency, principled stance Armenia ex-FM: Perhaps international community keeps open possibility of changing today's negotiation agenda Armenia MoD: Azerbaijan disseminates another disinformation London Coptic Church leader, UK Armenian Apostolic Church primate discuss crisis in Karabakh 11 medical patients from Karabakh are transferred to Armenia through Red Cross MFA official: China is closely following of North-South international corridor current operation Armenia battalion deputy commander is charged with causing soldier to commit suicide Armenia MFA: It is evident that Azerbaijan continues distorting international community appeals Armenia FM stresses to UN deputy secretary general urgency of reopening Lachin corridor US ambassador to Armenia visiting Jermuk town (PHOTOS) Artsakh President meets with group of militia fighters Karabakh President receives Free Motherland-UCA Faction MPs Presidential advisor: Azerbaijan cut fiber optic internet cable, disrupting Karabakh's stable internet connection Azerbaijan fires on tractor working in Karabakh village field Ardshinbank recognized as Retail Bank of the Year in Armenia by Asian Banking & Finance magazine Azerbaijan fires in direction of Armenias Kapan city airport EU Special Representative for South Caucasus meets with some other European officials, in Yerevan cafe Newspaper: What to expect after UN Security Council emergency meeting on Lachin corridor issue? France cities, regions want to deliver humanitarian aid to besieged Karabakh China interested in development of relations with Armenia Ambassador to Armenia on Zangezur corridor: Chinese initiative has nothing to do with it Armenia MoD: Azerbaijan fired in direction of Yeraskh village Mher Grigoryan, Toivo Klaar discuss humanitarian crisis in Karabakh Fan Yong: China is concerned about situation around Lachin corridor Armenia FM to Romania colleague: Karabakh crisis resolution requires international community to take clear steps Dollar gains, euro loses value in Armenia Ocampo: Armenians should not be side victims of Ukrainian conflict Chaarat Gold announces selling Kapan mine in Armenia for $54.5M Azerbaijan again fires at combine harvester working in Karabakh village field Armenia ambassador-at-large: Azerbaijan is blaming Karabakh children for eating cookies on their birthdays Demonstration in support of Karabakh held outside Israel MFA (VIDEO) Pashinyan: Next week we will start Yerevan-Kapan regular flights Armenia PM heads from Yerevan to Kapan by plane, tests new airline Arman Tatoyan: Azerbaijan is preparing new fascist disaster for Armenia Kirby: We continue to stay engaged diplomatically to encourage peaceful resolution between Armenia, Azerbaijan Karabakh presidential advisor: UN Security Council recorded that we are starving, but what does that change? Karabakh MoD: Azerbaijan defense ministry statement is completely false, disinformation Azerbaijan MFA tries to distort content of UN Security Council meeting on Lachin corridor issue Armenia ombudsperson sends letter to Azerbaijan counterpart 1 month ago, not received reply yet MoD: Azerbaijan fires at combine harvester working in Karabakh village field Armenia government petitions to European Court regarding soldier captured by Azerbaijan Armenia statements about Karabakh blockade are groundless, Bayramov tells Klaar Armenia PM: Azerbaijan is subjecting Armenians of Karabakh to genocide through starvation Armenia ombudsperson: Azerbaijan statement that Vagif Khachatryan committed crime as part of armed group is not true Azerbaijanis attack Armenian family outside UN headquarters in New York Karabakh MoD: Azerbaijan violated ceasefire Armenia MoD: Azerbaijan disseminated another disinformation EU representative at UN: Dialogue between Karabakh Armenians and Baku should be encouraged Azerbaijan representative speaks at UN Security Council, accuses Armenia US representative at UN: We call for direct talks between Baku authorities and Karabakh representatives Speech of Minister of Foreign Affairs of Armenia at the UN Security Council urgent meeting Russia representative at UN: We proposed parallel opening of Aghdam and Lachin corridors Armenia MFA spox: As there is meeting at UNSC, Azerbaijan opened fire on border several times in past 3 days President: People of Karabakh are anxiously anticipating todays special session of UN Security Council N Koreas Kim, Russias Putin exchange letters 55 dead from clashes in Libya's capital Armenia MoD: Azerbaijan fired at Armenian positions Alen Simonyan, Valentina Matviyenko discuss details of humanitarian disaster in Karabakh Photo of Armenia reservist detained by Azerbaijan appears in Azerbaijani domain of social media OSCE Chairman-in-Office reiterates his call, asks Personal Representative Andrzej Kasprzyk to visit Lachin area Armenia ranks 3rd in most visits to Georgia Azerbaijan MoD announces name of captured Armenia serviceman Azerbaijan MFA makes accusations against Armenia Azerbaijan holds tour for foreign diplomats to familiarize them with Aghdam road being proposed to Karabakh Group of Turkey intellectuals appeal to world regarding humanitarian disaster in Karabakh Azerbaijan soldiers approach Armenian reservist, apprehend him: Armenia MoD releases video footage A group of Artsakh (Nagorno-Karabakh) residents blocked the entrance to the military base of the Russian peacekeeping troops in Artsakh Tuesday night. Artur Osipyan, an organizer of this action of protest, had announced that the entrance to the aforesaid military base was blocked. He had called on the people of Artsakh to assemble at 7pm at Artsakh capital Stepanakert's Renaissance Square and be together in front of this Russian military base located in Ivanyan village. "The [Lachin] corridor must be unblocked, that's why we are here now. There is starvation [in Artsakh], we shall act, not sit at home and wait for what the [Artsakh] authorities, the international [community] will do," Osipyan said on Facebook livestream. But already on Wednesday morning, the Russian peacekeepers had reopened the entrance to their military base. The Ministry of Foreign Affairs (MFA) of Azerbaijan has issued another provocative statement, this time accusing France of efforts to aggravate the tension in South Caucasus. The Azerbaijan MFA made this accusation in a commentary on the statement made by France as a result of the phone conversation of the Minister of Europe and Foreign Affairs of France, Catherine Colonna, with the Minister of Foreign Affairs of Armenia, Ararat Mirzoyan. "Regarding the claims that the Lachin road was allegedly kept under blockade by Azerbaijan and as a result of which Armenian residents are facing a serious humanitarian crisis, we once again remind that it is absurd to portray as a blockade the activity of the Lachin border check-point established in accordance with Azerbaijans obligation to guarantee the safety of citizens, vehicles and cargo on the Lachin road, as well as to prevent the misuse of the Lachin road by Armenia for military and illegal economic purposes, noted the statement of the Azerbaijan MFA. Also, it expressed regret that the French side, following the manipulations of Armenia, does not support the agreements on the use of alternative routes, which were supported by the European Union and the International Committee of the Red Cross. At the same time, the fact that the French side did not react to the violation of the agreement by Armenia and its subordinate separatist regime reached regarding the use of these alternative roads indicates the efforts of this country to further aggravate the tension in the region, the Azerbaijani MFA added. Also, the French side is well aware that if the Armenian residents are really in need for daily necessities, for this purpose the Azerbaijani side has offered Aghdam-Khankendi [(Stepanakert)] and other alternative routes," the statement said, thus openly admitting that the Azerbaijani authorities intend to force by starvation the people of Artsakh (Nagorno-Karabakh) to agree to the opening of the road via Aghdam town. "The fact that the French [Foreign] Minister, while believing the false propaganda of Armenia, in its statement referred to the misinformation about firing at the European Union Mission in Armenia, despite that it was declared false by the Mission, once again proves that France is not interested in establishing peace and stability in the region, the Azerbaijan MFA noted. It added that the official information by the Ministry of Defense of Azerbaijan stated that "the movement route of the representatives of the European Union is presented to the Azerbaijani side in advance. Based on the presented route, the Azerbaijani side especially monitored that the trip of the international observers to the designated destination on the specified day happens without any incidents. The area where the mission was dispatched was not in the region of Armenia where the alleged incident took place, but in the different direction of the conditional border." Thus, Azerbaijan assumes that the members of the EU monitoring mission in Armenia had no idea where they were during Tuesdays incident. We remain deeply concerned about the continued closure of the Lachin corridor, specifically its closure to commercial, humanitarian, and private vehicles. Vedant Patel, Principal Deputy Spokesperson of the US Department of State, noted this at Tuesdays Department press briefing. "The halting of this kind of humanitarian traffic, in our opinion, it worsens the humanitarian situation and it undermines the efforts that have been in place to build confidence in the peace process. And so we urge the Government of Azerbaijan to restore free transit of commercial, humanitarian, and private vehicles through this corridor. Were also aware that the UN Security Council has a meeting on Wednesday to discuss the issue of Nagorno-Karabakh, and we expect further discussions to take place there as well," Patel added. And when asked about the US position on the Lachin corridor at the upcoming UN Security Council emergency meeting on this matter, the representative of the US State Department stated that he is not going to get ahead of the meeting. "But we have consistently emphasized and reiterated the fact that direct dialogue is essential to resolving this longstanding conflict, and we think that any engagements that ultimately bring peace and stability to the people of South Caucasus would be a good thing and a positive step forward," Patel added. The Ministry of Defense (MoD) of Azerbaijan has attempted to misinform the military attaches of the foreign embassies in the country. A briefing was held Tuesday for the foreign military attaches and representatives of international organizations accredited in Azerbaijan, the Azerbaijani media informed, citing to the Azerbaijan MoD press service. In the briefing, they tried to convince the military representatives of foreign countries that Armenia allegedly uses the Lachin corridor for "illegal military transportation." The passage through this corridor has been closed by Azerbaijan since December of last year, in the context of which the aforesaid claim seems absurd, at the least. Also, the Azerbaijani side tried to suggest to the military attaches the idea of using the motorway via Aghdam town of Azerbaijan to deliver humanitarian aid to Nagorno-Karabakh. It was announced "the massive arming of the civilian population through the deployment of military equipment, in particular, air defense and radio electronic warfare means, in several settlements." It is not known why to "arm" the civilian population with such means. "The inadmissibility of such actions by the adversary, which the EU [monitoring] mission in Armenia is trying to use as a tool to cover up its illegal actions aimed at creating a false opinion in the international community, was specifically mentioned," the Azerbaijani media quoted. The Azerbaijani armed forces on Tuesday fired shots at the EU monitoring mission in Armenia, but Baku attempts to deny it by providing contradictory "explanations." And at the end, fake videos were shown. Various announcements in favor of using the motorway via Aghdam town of Azerbaijan to deliver humanitarian aid to Nagorno-Karabakh have intensified in Azerbaijan. Hikmet Hajiyev, the assistant to the president of Azerbaijan and the head of the department of foreign policy issues of the presidential staff, even spoke frankly and stated that the using of this road is the first step on the path of "reintegration of Karabakh Armenians." "During the Soviet era, the Aghdam-Khankendi [(Stepanakert)] road was used as geographically and naturally the most convenient and efficient road from the point of view of transport because this road will also considerably reduce the transport costs, and in that way everything necessary can be delivered to the Armenians living in Karabakh. Also, they will have the opportunity to use that road to take their products to the markets of Azerbaijan. But instead of that, instead of using that road, barriers are being put up. Azerbaijan's proposal is to make this road a starting point for the reintegration of Karabakh Armenians in the political, economic, and social spheres of Azerbaijan," the Azerbaijani official stated. Talks about the Aghdam motorway take place against the backdrop of the months-long blockade of Artsakh (Nagorno-Karabakh) by Azerbaijan, people in Artsakh dying of hunger, and the international community's desperate attempts to convince Azerbaijan to end this blockade. France will allocate an additional 3 million euros to the International Committee of the Red Cross to assist its humanitarian activities in Nagorno-Karabakh. The French Ministry for Europe and Foreign Affairs announced this in a statement, and presented details from the telephone conversation between the Minister of Foreign Affairs of Armenia, Ararat Mirzoyan, and the Minister for Europe and Foreign Affairs of France, Catherine Colonna. The French FM expressed deep concern that the population of Nagorno-Karabakh has been suffering for more than eight months as a result of the blocking of the Lachin corridor by Azerbaijan. Colonna expressed regret regarding the ongoing blockade by Azerbaijan, which contradicts the obligations undertaken within the framework of the ceasefire agreements and harms the negotiation process. She emphasized that the unresolved issues can be resolved only through negotiations, which will give an opportunity to achieve a fair and lasting peace. The French FM had noted this also during her visits to Azerbaijan and Armenia on April 27 and 28, respectively. Colonna repeated her call to restore the free movement of people and goods in both directions through the Lachin corridor, and to supply the population of Nagorno-Karabakh with uninterrupted natural gas and electricity. She reminded that Azerbaijan must fulfill its international obligations, in particular, implement the International Court of Justice decision on February 22, which has binding force. Also, the two FMs reflected on the shootings that took place Tuesday during the patrol of the European Union (EU) monitoring mission in Armenia on the border of Azerbaijan. Catherine Colonna expressed concern about these incidents and expressed full support for this European mission. The Ministry of Foreign Affairs (MFA) of Azerbaijan has released a statement Wednesday about the capture of a soldier from the Armenian Armed Forces. In it, Baku hastened to announce the "attempt to penetrate the territory of Azerbaijan by the sabotage-reconnaissance group of the Armenian Armed Forces." It was reported earlier that the aforementioned Armenian serviceman was wounded. "We assess and strongly condemn the continuation of military provocations by Armeniaon the day of the [emergency] meeting of the UN Security Council regarding the situation in the regionas a continuation of the policy of manipulation... The accountability for the aggravation of the situation in the region falls on the military and political leadership of Armenia," the Azerbaijan MFA statement added. But the Ministry of Defense of Armenia has limited itself to two brief statements about the disappearance of a reservist and finding out the circumstances of his capture. The Azerbaijani side has abducted Armenian soldiers many times in the past, and they have been tried in Baku courts on trumped-up charges. 15:01 Tharoor said that while India's leaders talk about 'Vasudhaiva Kutumbakam' -- which means 'One Earth-One Family-One Future' in their speeches, at the same time "what credibility would we have when one of our own states is burning"? The MP from Thiruvananthapuram said that people across the world who would be reading about India would say that humanity and harmony are required here first. "Therefore, I would request (Prime Minister) Modi ji to take some action to at least salvage our global credibility," Tharoor, also a former minister of state for external affairs, said. He was speaking here after releasing the cover of a book titled 'Manipur FIR' penned by Delhi-based journalist George Kallivayalil. CPI(M) leader and Rajya Sabha MP John Brittas was also among the dignitaries who attended the function organised by the Kerala Media Academy. In his speech, the Congress MP described violence in Manipur as a "slow burning horror" and said that when violence broke out in the northeastern state in May, he had suggested that President's Rule be imposed there. "That way, the Army and the Governor could have taken decisions on maintaining law and order without all the political nonsense. "However, till now, it has not been done, and I do not think it will be done as this government (at the Centre) has decided that if the BJP has to continue in power there, the same CM should continue. So they do not want President's Rule there," Tharoor said, severely criticising both the BJP-led state and central governments. Questioning the attitude of the government on the matter, he wondered how a government that was not ready to acknowledge the reality on the ground could "bring a solution" for the Manipur problem. Later talking to PTI, Tharoor alleged that the Union government was showing an amazing lack of will to resolve the Manipur crisis. "It is, after all, the responsibility of both the state and the central government, both ruled by the BJP, to give a greater priority to bringing about not just peace but also restoring harmony and reconciliation between the communities. "Right now, whatever little peace there is, is the peace of the graveyard. The community is completely divided -- and no Kukis in the Meitei areas and Meitis in Kuki areas. We cannot have a country on that kind of basis. It will be shocking," Tharoor said and urged the Union government to act in a proactive manner. -- PTI As India talks about 'One Earth, One Family, One Future -- the theme of the ongoing G20 Presidency -- the nation is losing its credibility before the world, as one of its states is burning, Congress leader and MP Shashi Tharoor said on Wednesday. SIU professor doing research to see if virtual reality can reduce opioid overdose deaths by Christi Mathis CARBONDALE, Ill. In 2020, more than 90,000 people died in the United States of opioid overdose with half of those deaths occurring in their own homes. Southern Illinois University faculty member Wasantha Jayawardene and his colleagues believe a virtual reality-based training tool may offer the key to saving lives and theyve received an Illinois Innovation Network seed grant to study their idea. Jayawardene, who has dual appointments as assistant professor of public health in the School of Human Sciences at Southern Illinois University Carbondale and adjunct professor of population science and policy in the SIU School of Medicine, and his fellow researchers received a $30,000 seed grant for the project titled Developing and Testing the Virtual Reality Embedded Naloxone Training (VENT). SIU is also providing equal matching funding, he said. Death begins quickly Jayawardene said many opioid deaths are preventable, but reaction must be quick and effective. Brain cells begin dying within 5 minutes after breathing stops, but the median time for an ambulance to arrive is 7 minutes and in many rural areas, it takes 14 minutes or even longer, studies indicate. He said in most cases, lives could be saved by the administration of naloxone, commonly known by its brand name Narcan. Delivering that life-saving medication can be as simple as using a nasal spray, he said. However, its current use by laypersons is negligible, even though it is now available without a prescription to anyone and using it can get an overdose victim breathing again, he said. Jayawardene said studies indicate that most people are just uncomfortable being the good Samaritan in real life, and although plenty of training programs exist, getting people to participate in them isnt so easy. Making it almost like a game But what if the training was easy and perhaps fun? What if it was so laid back that it was almost like a video game. and after completing it, participants would be comfortable administering naloxone if they encountered a potential opioid overdose? Thats where virtual reality comes in. The project uses state-of-the-art technology to deliver training via a mixed reality prototype that blends the virtual and physical worlds, using the actual spray device and a manikin along with a virtual reality training headset. The use of virtual reality in life support trainings can make the users feel immersed in their surroundings and improve learning engagement, Jayawardene said. Initially, a group of 15 laypeople and five experts with diverse backgrounds, including physicians, nurses, community health workers, researchers, community members, people who have family members with opioid prescriptions and others will test drive the virtual reality training module. The researchers will then modify the training based on the results and further field test it throughout the state to determine whether the VENT is superior to traditional community trainings in usability and preference. If the VENT model is found to be more effective, they will refine it and seek funding to implement the training on a larger scale, focusing on high-risk groups. Additional factors He noted that another component of the training is helping people understand the way the body works as well as the way opioids and naloxone work. Essentially, opioids activate cellular proteins called receptors, which in turn affect how a cell carries out a task or releases chemicals, which release receptors in other cells. This can result in a variety of reactions or issues, including cessation of breathing. However, if naloxone is administered, it competitively binds to the opioid receptors, acting like putty that prevents the receptors from being used and reversing their ongoing effects. Thus, pupils can dilate, and airways open up so people can breathe. Timing is everything though, Jayawardene said. The medication typically takes just 2-3 minutes to take effect, but a second dose 2-3 minutes later is needed if the initial dose isnt effective, and an additional dose wont have adverse effects, Jayawardene said. While naloxone is only effective in reversing opioid overdoses, it wont harm an individual who is overdosing from other drugs like cocaine or someone who isnt actually overdosing, he said. Surprisingly, naloxone isnt new. Its been available since the early 1960s and approved by the FDA since 1971, long before opioids became the societal problem it is today, Jayawardene noted. Yet its distribution and use remains minimal. For instance, of the 13.5 million Americans who were prescribed large daily doses of opioids in 2018, less than 1% received naloxone, according to the Centers for Disease Control. Those statistics dont take into account the large number of people who illegally use opioids. The deaths of 91,799 people in 2020, the last year for which CDC numbers are available according to Jayawardene, represented a 31% increase from the 2019 total. He said experts speculate that the COVID-19 pandemic may have accounted in part for the increase. Jayawardene is also utilizing SIUs Social Perception Lab in conjunction with the opioid research project, assessing the degree to which someones subconscious bias against people with drug addiction or even bias toward people who self-manage chronic pain through the use of opioids affects how they respond when someone suffers an overdose. Participants in the new education prototype are given the Implicit Association Test, which can reflect any implicit bias they have, before they test the VENT prototype. The VENT will incorporate a model that addresses implicit bias, which can help responders become more aware of their own biases and their potential impact on helping victims in real-life scenarios, Jayawardene said. The research team also includes Roy Magnusson, associate professor of creative technologies at Illinois State University (ISU); Joanna Willett, director of the Nursing Simulation Lab at ISU; and Scott Barrows, director of the OSF HealthCare Jump Design Lab. Small businesses can sign up now for no-cost marketing workshop by Christi Mathis CARBONDALE, Ill. Operating a successful business in todays world requires successful marketing strategies. To help, the Illinois Small Business Development Center at Southern Illinois University Carbondale is offering Marketing Unleashed, creative strategies for small businesses on Aug. 28. Organizers say this is the regions first masterclass specifically designed to provide small business owners with access to the most up-to-date and effective strategies and marketing tools available to help them grow and increase their brand awareness. A diverse group of industry experts and successful entrepreneurs will share insights and experiences at the Dunn-Richmond Economic Development Center, 1740 Innovation Drive in Carbondale, beginning at 7:45 a.m. The event wraps up with a networking mixer at 4:30 p.m. Workshops, speakers and more Daniel Thomas, deputy director of the Illinois State Office of Tourism, will be the keynote speaker. Workshops will focus on social media, search engine optimization for websites, e-commerce, artificial intelligence, business analytics, generating leads, and a wide variety of other aspects of business operation and marketing. Guest speakers will include: Amy Dion, marketing specialist at the Illinois Small Business Development Center at SIU. Bridget Lescelius, instructor of advertising and manager of the Saluki AdLab at SIU Carbondale. Niki Davis, SIU Hospitality, Tourism and Event Management Program manager and professor of practice. Franklin Rivera II, founder and CEO of the V2M2 Group, a full-service digital marketing agency. Nate Jordan, director of the Illinois Small Business Development Center at Rock Valley College. Dennis Poshard, president and owner of the Arthur Agency, a Carbondale strategic marketing and advertising company. Dylan Street, CEO of Guerrilla Marketing, a video production, web and graphic design firm from Paducah, Kentucky. Sadie Horan, founder and CEO of The Highest Pony, a consulting company. Lunch is provided, and during the luncheon, representatives of Southern Illinois Now will discuss their work to connect with and celebrate local communities by sharing timely and relevant news and stories to foster a sense of unity and engagement in the region via a partnership with River Radio. Workshop participants will receive additional materials to take home as well. Follow the event on Facebook. Sign up now Register online by Aug. 25. There is no cost to participate. Visit sbdc.siu.edu/workshops/marketing-unleashed or call 618-536-2424 for additional information. The Illinois Small Business Development Center at SIU is funded in part through a cooperative agreement with the U.S. Small Business Administration, the Illinois Department of Commerce and Economic Opportunity and Southern Illinois University Carbondale. Workshop sponsors include the SIU Office of Innovation and Economic Development, Carbondale Tourism and the Arthur Agency. PRNewswire Hong Kong, August 16: The Hong Kong University of Science and Technology (HKUST), ranked No. 2 worldwide in Times Higher Education's Young University Rankings 2023, and whose graduates were ranked 30th worldwide and among the best from Asian universities in Global Employability University Ranking and Survey 2022, will hold an admission talk in New Delhi this Saturday for students and parents on the University's latest programs, admission requirements, internship opportunities, career support and prospect. Details of Admission Talk Date:19 August 2023 (Saturday)Time:18:00 - 19:30Venue:FF - I Hall, 1/F,Le Meridien New Delhi (Windsor Place, Connaught Place, New Delhi, Delhi 110001)Registration:https://ust.az1.qualtrics.com/jfe/form/SV_9WQWSWon5YOmMR0 HKUST will also join the Annual IC3 Conference & Expo, and visit10 high schools in Hyderabad to meet students, teachers and parents from Aug 21 to 25. International Environment and Home to Indian Community All HKUST faculty members have doctoral degrees and comefrom 40 countries and regions globally, while its students come from nearly 80 countries and regions, making the University the most international among all Hong Kong universities, with Indian students being one of the top 3international student communities.Every year, HKUST is popular destination for Indian students.In academic year 2023-24, HKUST offered admissions to over 200 Indian students, 75% of which with scholarships. Holistic and Innovative Pedagogy HKUST has recently launched a novel academic framework "Major + X" for students to simultaneously choose traditional programs and emerging hot topics such as Artificial Intelligence. HKUST has also incorporated elements of the Metaverse into teaching and utilized virtual reality (VR) systems to provide concrete learning experiences, such as conducting simulated experiments on mudslides, enabling students to think critically and develop solutions creatively. HKUST is also the first university in Hong Kong to allow students to use ChatGPT. It has launched the "HKUST ChatGPT" for faculty and students since June, encouraging the use of generative AI technology in courses and establishing best practices. Scholarship for Indian Students HKUST offers academic scholarships for Indian students, providing full or partial tuition fee waivers that are renewable annually. Over the course of four years, students can receive up to a maximum of approximately Rs 7,220,000 in total. All students are automatically considered for the scholarships upon admission application submission. For more information - https://join.hkust.edu.hk/fees-and-scholarshipsLocated at Clear Water Bay in Hong Kong,HKUST has one of the prettiest campuses in the worldand is equipped with world-class research and teaching facilities. CONTACT:HKUST PR & Media Teammedia@ust.hk (Disclaimer: The above press release has been provided by PRNewswire. ANI will not be responsible in any way for the content of the same) PRNewswire Milan [Italy], August 16: TerraPay, a leading global payments infrastructure company, today announced that it has secured authorization as an Electronic Money Institute (EMI) in Italy. Having obtained approval from the Bank of Italy, TerraPay is set to expand its operations within the country and subsequently, throughout the European Union. Under Article 114-quinquiesConsolidated Banking Act (TUB), the Bank of Italy authorized TerraPay Italy as an electronic money institution. This holds great significance not only for TerraPay but also for the thriving Italian fintech sector, marking a notable step forward in their respective trajectories. Leveraging its extensive expertise and innovative approach in the digital payments sector, TerraPay aims to positively impact and contribute to the European market. "The commitment of the TerraPay team, supported by the international firm Orrick, was crucial in reaching this historic milestone," statedAmbar Sur, Founder and CEO, TerraPay. "We are excited about what the future holds for us in Italy, and we will continue to work towards providing innovative and secure digital financial services." Commenting on this announcement, Ram Sundaram, Co-Founder, and COO, TerraPay, said,"Securing this authorization firmly establishes TerraPay as a trailblazer in the realm of electronic money within Italy, while also paving the way for exciting avenues of expansion and growth across both the Italian and European markets." TerraPay has established itself as a global partner to leading banks, money transfer operators, mobile wallet operators, and financial institutions to facilitate digital transactions without borders. As a B2B company, TerraPay partners with other businesses and helps them leverage its agile, secure, and scalable technology platform to enhance their customer proposition for remittances, payments, and cross-border spending. The company has an expansive network across121 receive countries and 208 send countries and is regulated in over 28 countries, globally. The company's key markets include; GCC; North, South, and Central Africa; Europe and SE Asia; Central, North, and South Americas. TerraPay's vision is aligned withachieving the SDG 2030 goal of fostering economic growth and equality, by reducing the cost of transactions across diverse payment channels such as mobile wallets andbank accounts, to name a few. Congratulating the team,Akbar Hussain, Co-Founder, General Counsel & CCO, TerraPay, said"I want to highlight the utmost significance of fostering a resilient regulatory culture within our company. Our collaboration with the Orrick law firm has once again underscored the pivotal role that robust legal support plays in the dynamic landscape of the financial industry." This noteworthy accomplishment was made possible through the concerted efforts of the TerraPay team, which plans to strengthen its presence in Italy and beyond, and the invaluable legal guidance provided by Marco Boldini, a partner at the Orrick law firm, along with his accomplished team consisting of Teresa Mattioni, Niccol Martinoli, and Niccolo Matteo Bonaldo. Furthermore, on the corporate front, the pivotal contributions of partner Marco dell'Antonia and senior associate Jacopo Taddei were also instrumental in enabling this. TerraPay expresses sincere gratitude for this momentous achievement, as navigating the complex authorization procedures would have been challenging without the support of this team. The company remains optimistic about the outstanding partnership established with the Bank of Italy and the path ahead. About TerraPay Headquartered in the United Kingdom, TerraPay believes that the smallest payment deserves a borderless journey as safe as the largest. The group has been building an ever-expanding payments highway that empowers businesses to create transparent customer experiences with an uninterrupted, secure, and real-time global passage for every payment, however small or large. Registered and regulated across 29 global markets, TerraPay is one of the leading global partners to banks, mobile wallets, money transfer operators, merchants, and financial institutions, creating a more expansive and inclusive international financial ecosystem. With access to an extensive network of 7.5Bn+ bank accounts, 2.1Bn+ mobile wallets, across 121 receive countries and 208 send countries, TerraPay enables its partners to become beacons of the promise of global financial inclusion. Media Contact:Juveria Samrinjuveria.n@terrapay.com Logo:https://mma.prnewswire.com/media/1222771/TerraPay_Logo.jpg (Disclaimer: The above press release has been provided by PRNewswire. ANI will not be responsible in any way for the content of the same) NewsVoir Bangalore (Karnataka) [India], August 16: SKIDS, the first-of-its-kind Artificial Intelligence (AI) powered childrens health monitoring platform announces its partnership with New Horizon Gurukul, a prestigious school in Bengaluru. SKIDS state-of-the-art technology platform offers integrated healthcare screenings through a holistic assessment of the physical, behavioral and social health of children. The New Horizon Gurukul believes in the principle - Healthy Body, Healthy Mind, and this has led to this noble association with SKIDS. The school aims at the holistic development of its students and is committed to their overall well-being. Surya Bajaj, President - New Horizon Gurukul (NHG) said, We are very happy with our partnership with SKIDS. While we have successfully brought together the ancient Gurukul system with modern methods of teaching, we also want to offer an atmosphere for the holistic health and development of our students." Bajaj further adds, "SKIDS has modern ways of tracking physical and behavioral health and we are proud to give our children this very modern benefit of monitoring their physical, behavioral and emotional health. The platform also has the ability to assess behavioral states such as anxiety, isolation, and aggression. These are real-life issues and need monitoring at an early stage. SKIDS takes a 360-degree approach to the health care of children and deploys world-class medical-grade non-invasive and kid-friendly technology for preventive and specialist care for children. SKIDS healthcare monitoring program is a boon for both parents and schools as children are being monitored on a regular basis even before there are any signs of health concerns. SKIDS screens children for over 70+ parameters which cover organ health and behavioral health. SKIDS at-school health program also helps schools comply with all the CBSE and other state board guidelines on health-screening of children. Speaking on the partnership with New Horizon Gurukul,Sunny Makroo, Co-founder of SKIDSsaid, We are excited to bring SKIDS to the children of New Horizon Gurukul. It is a great opportunity to be an integral part of the health care of these wonderful children. At SKIDS, we take a holistic approach to pediatric care and include screening, diagnostics, and specialist interventions to monitor the health of children. A healthy student is always a better student, and monitoring them early in school helps us in offering the best preventive care possible. On an average children spend over 200 days in school every year, hence schools are the ideal place to screen children for all risk factors - physical health and behavioral health." SKIDS is known for its world-class accuracy, privacy and safety and uses technology that is deployed at the top schools in US, Europe and India. (Disclaimer: The above press release has been provided by NewsVoir. ANI will not be responsible in any way for the content of the same) PRNewswire Mumbai (Maharashtra) [India], August 16: Underlining its commitment to sustainable value creation, Colgate-Palmolive (India) Ltd., a leading company in the oral and personal care industry, announced the release of its second Environmental, Social, and Governance (ESG) report. The report highlights the company's commitment to driving positive change through innovative, responsible, and inclusive practices driven to achieve its 2025 goals, including 100% water and plastic neutrality across packaging and industrial sites. Colgate-Palmolive India's business strategy is based on sustainable innovation that primarily is translated into achieving environmental stewardship, social impact, and governance excellence at its core. The organization has made great strides and has meticulously carved a roadmap to achieve its goals by 2025, encompassing specific actions with corresponding measurable targets. These steps have been carefully designed to contribute towards creating a more sustainable future. Prabha Narasimhan, Managing Director and Chief Executive Officer, Colgate-Palmolive (India) Limitedsaid,"As a caring innovative growth company, we are constantly thinking of how we can power smiles and spread optimism in the lives of our consumers, employees and partners. Being trusted and relied upon by millions of people for generations is a privilege and a responsibility that we cherish. We are committed and remain steadfast in our efforts to drive social impact and help protect our planet." Here are the key highlights from the ESG Report FY23: INNOVATIVE Plastic Waste Reduction: Colgate-Palmolive India has achieved an impressive 80% reduction in plastic waste through innovations such as the KEEP toothbrush with a reusable metal handle. The company is proud to be at the forefront of Asia's oral care brands in significantly decreasing plastic waste by achieving 120% plastic neutrality in India. Sustainable Packaging: With a strong focus on environmental preservation, Colgate-Palmolive India ensures that 80% of the packaging (primary and secondary) by weight used across its four plants is recyclable. The company is committed to minimizing its ecological footprint by adopting sustainable packaging practices. RESPONSIBLE Water Conservation: Colgate-Palmolive India's water-positive plants in Goa and Sri City have played a vital role in water conservation efforts. Through various programs and initiatives, the company has replenished over 350 million litres of water in the states of Rajasthan and Maharashtra, contributing to a more sustainable water future. Community Empowerment: Colgate-Palmolive India's initiatives have made a significant impact on communities across the country. Through partnerships and programs, the company has trained over 20,000 women in digital and financial literacy, enabling them to pursue better livelihoods and economic independence. Colgate Bright Smiles, Bright Futures has impacted 171 million children till date. INCLUSIVE As an inclusive organization, we continue to foster a work environment where employees can bring their best self to work. Gender Diversity: With a strong focus on fostering inclusivity and diversity, Colgate-Palmolive India has achieved a commendable 25% gender diversity in its company and 50% on its board, reflecting the company's commitment to promoting equality and empowering women. For comprehensive details, please refer to the complete report available here:FullReport About Colgate-Palmolive (India) Limited: Colgate-Palmolive (India) Limited is the market leader in oral care in the country that seeks to deliver sustainable, profitable growth and maximize shareholder returns and to provide its people with an innovative and inclusive work environment. The organization has adopted sustainability, diversity, equity,inclusion,and social responsibility strategies that help make people's lives healthier and more enjoyable. The company manufactures and markets toothpastes, toothpowder, toothbrushes, oil-pulling products and mouthwashes under the 'Colgate' brand and a specialized range of personal care products under the 'Palmolive' brand. It is a caring, innovative growth company, reimagining a healthier future for all people and our planet. Colgate has been ranked as India's #1 Most Trusted Oral Care Brand for the ninth consecutive year, from 2011 to 2019, by The Economic Times - Brand Equity - Most Trusted Brands Survey, conducted by Nielsen. Colgate has also been ranked as the Most Trusted Oral Hygiene Brand by TRA's Brand Trust India Study Report for the ninth consecutive year, from 2011 to 2019. For more information about Colgate's business and products, visit:www.colgatepalmolive.co.in For further information, please contact: Niharika KulkarniGenesis BCWniharika.kulkarni@genesis-bcw.com(+91) 9969849844 SholomKemkarColgate-Palmolive (India) Limitedsholom_kemkar@colpal.com(+91) 9920227817 Photo:https://mma.prnewswire.com/media/2187214/Colgate_ESG_Infographic.jpg (Disclaimer: The above press release has been provided by PRNewswire. ANI will not be responsible in any way for the content of the same) PNN New Delhi [India], August 16: Indian Institute of Commerce Lakshya organises EXALT 2K23, Indias biggest felicitation ceremony for ACCA and CMA USA qualifiers. Over 800 students of Lakshya were felicitated at the ceremony. The event was inaugurated by the Honourable MP Hibi Eden at Trinita Casa Convention Centre, Kochi on Saturday. More than 150 ACCA affiliates, over 500 ACCA part-qualifiers and more than 100 CMA USA qualifiers who cleared the examinations in the academic year 2022-23 were honoured in the event. Indian Institute of Commerce Lakshya was founded in the year 2011 aims at creating competent financial professionals through their customised courses for ACCA, CA, CMA USA, CMA India and CS. Over 13,500 students have been trained annually from the institute in the past decade with over 75,000 students securing leading financial positions across the globe. Orwell Lionel, Managing Director, Indian Institute of Commerce Lakshya presided over the function and Avinash Kulur, Senior Academic Manager, Nayana Mathew, Regional Manager, Central Region, Haneesa Habib,Regional Manager North Region, Gautham Raj Assistant Manager, Online Operations, and Iyas Muhammad, Assistant Regional Manager felicitated the achievers. The event was attended by more than 1000 people including students, parents and faculty. On the ongrowing demand and success of commerce professionals in India,Orwell Lionel, Managing Director, Indian Institute of Commerce Lakshyastated thatThe commerce industry is growing faster than ever before, and there is a huge demand for ethical and competent professionals who can provide financial insights and support to companies. Such professionals can also impact the national economy hugely. Lakshya tries to transform students into responsible and capable financial experts who have the potential to create a substantial impact on society through their professional journey. For further information:https://www.lakshyacommerce.com/ (Disclaimer: The above press release has been provided by PNN. ANI will not be responsible in any way for the content of the same) The Parsi community across India is celebrating their New Year today. Parsi New Year, which is also called Navroz or Nowruz, marks the beginning of spring and the renewal of nature. In Persian, Nav means new, and Roz stands for the day, this literally translates to new day. Navroz celebration is believed to date back to the time when Prophet Zarathustra founded Zoroastrianism, one of the earliest known monotheistic religions in the world, in Persia (now Iran). It was one of the most important religions in the ancient world until the emergence of Islam in the seventh century. During the Islamic invasion of Persia, several Persians fled to India and Pakistan. Since then, their festivals have become a part of Indian festivities and are celebrated by people from diverse cultures. People from the Parsi community celebrate Navroz in special ways. They decorate their house with flower garlands swinging from the doors. They wear new clothes and visit the Zoroastrian fire temple. Several members from the community were seen offering prayers at the Fire Temple in Mumbai, Maharashtra this morning. Take a look at the pictures Extending greetings on Navroz, a Parsi woman told ANI, "We start Navroz by praying to God. We all should pray. We all should do good deeds. One should not get involved in any bad work." Though across the world, Navroz is celebrated at the time of the vernal equinox around March 21 however, Parsis in India follow the Shahenshahi calendar which does not recognise leap years. This is why the Parsi New Year in India is celebrated almost 200 days after it is celebrated across the world. (ANI) Jharkhand Chief Minister Hemant Soren on Tuesday said that all necessary support will be extended to the families of those who were killed in the Naxalite encounter in Chaibasa. Calling the incident unfortunate, Soren said that the Jharkhand government is taking all possible steps to put a check on the Naxal activities and getting the results. "We have lost two jawans of Jharkhand Jaguar in an encounter with naxals. The state govt is taking all possible steps to put a check on the Naxal activities and getting the results. The incident that has happened is unfortunate and all necessary support will be extended to their families...All the problems of Jharkhand Jaguar would be addressed," the CM said. Soren also paid floral tribute to jawans of the Jharkhand Jaguar Force. Two jawans of theJharkhand Jaguar(JJ), a special unit of the Jharkhand police department lost their lives in an encounter with naxals inChaibasa, police said on Tuesday. According to theChaibasa Police, "A sub-inspector and a constable of the Jharkhand Jaguar Unit lost their lives after they had an encounter with the Naxals in the district's Chaibasa town last night (August 14)." Jharkhand GovernorCP Radhakrishnansaid that the state will pay the "true tributes" to the families of those who were killed in theNaxalite encounterinChaibasawhen the Naxalites are "wiped out of the state". Speaking to reporters, theJharkhand Governorsaid that he and Chief Minister Hemant Soren will work together to ensure the same. "Chief Minister Hemant Soren and I will work together so that Naxalites are wiped out from the state. That would be the true tribute to the families of the deceased," the Governor said. Jharkhand GovernorCP Radhakrishnansaid that both theJharkhand Jaguarjawans sacrificed their lives for a peaceful cause and the state has lost great warriors. "Both the jawans have sacrificed their lives for a peaceful cause and we have lost great warriors and a six-day-old baby has lost his father which is highly painful," the Governor said. Speaking in disgust about the Naxalites, the Governor said, "These gangsters. I don't call them Naxalites. I don't call them terrorists. They are not fighting for the poor, they are fighting for themselves and collecting ransom. These people need to be uprooted from Jharkhand." (ANI) As there is a buzz about Telugu Desam Party (TDP) joining the National Democratic Alliance (NDA) once again, Former Chief Minister of Andhra Pradesh and the party President Chandrababu Naidu on Tuesday said that he will talk about it at the right time. On being asked about his plan to join the NDA, Naidu said it is not the right time. It's not the time to talk about joining the NDA government. I will talk about this at the right time, he said while talking to ANI. Naidu was speaking to ANI, after releasing the Vision-2047 document at a programme organised in this Port City on Tuesday evening. One of the founders of the National Democratic Alliance (NDA) - the Chandrababu Naidu-led Telugu Desam Party (TDP) had left in protest against the Centre's refusal to give special status to Andhra Pradesh. Naidu further said that his role is very clear for national politics in 2024. My priority is Andhra Pradesh. It's my big agenda. I will prepare for the rebuilding and reconstruction of the state, the TDP chief said. Responding to the Amaravati capital issue, Naidu said, You (CM Jagan Mohan Reddy) are sitting in assembly. You are sitting in the secretariat. Where are you conducting the cabinet meeting? Is it temporary? What Jagan Mohan Reddy is talking rubbish. For the last ten years, they have been functioning. Everything got ready. We planned the world-class capital for Andhra Pradesh. I planned systematically one of the best ecosystems for Hyderabad for nine years. Notably, the unified state of Andhra Pradesh was bifurcated into Andhra Pradesh and Telangana in June 2014. As per the AP Reorganisation Act, Hyderabad became the capital of Telangana, and Andhra Pradesh had to find itself a new capital within ten years; until then, Hyderabad would serve as the capital for both states. In January this year, Jagan Mohan had announced that Visakhapatnam is going to be the capital with no mention of it in any state assembly discussion or on any official documents. Later, the YS Jagan Mohan Reddy-led government had decided to make three capitals in different cities of the State in order to ensure development in all parts of the state. Earlier on Tuesday evening, Chandrababu paid tribute to the statue of the former chief minister, the late NT Rama Rao, on Beach Road.(ANI) Congress leader Sandeep Dikshit on Tuesday hit out at the Centre and the Delhi Government, saying that there is no difference between the policies of these two. Talking to ANI, Dikshit said that the central government is "the worst" one for democracy. The way the central government is working, it is the worst government for the welfare of the poor, and democracy. Neither the poor nor the country has got any benefit from its performance...There is no difference in policies between AAP and BJP... the Congress leader said. He further said that Congress should not repeat the same mistake that was committed by several parties that had supported Jan Sangh to defeat the Indira Gandhi government after the emergency. We should think about whether we are not repeating the same mistake committed in 1977 by several political parties to extend their support to Jan Sangh against Congress because it was only Jan Sangh that started the politics of division. I will put my stand on this and will see what the party thinks, Dikshit said. The fight to preserve democracy was the main agenda for the 1977 general elections.The Janata Party contested elections with a motive to topple the Congress government under IndiraGandhi. Former Prime Minister Indira Gandhi declared an Emergency in 1975 -- invoking dictatorial powers -- which pushed several key opposition leaders behind bars, including Morarji Desai and Jayaprakash Narayan. The Bharatiya Jan Sangh, Bharatiya Lok Dal, Congress (O), and Socialist Party were among the groups that came together to establish the party. Eventually, the party split and Indira Gandhi stormed back to power. Further, hitting out at AAP national convenor Arvind Kejriwal, Dikshit reiterated that the Centre's Ordinance on Delhi services was "right" and the former was opposing it because he had to save himself from corruption cases. "When I said that the ordinance (Delhi NCT services) is right and that he (Arvind Kejriwal) was trying to save himself as they had corruption cases against them. If he had any issue in administering Delhi, he could have done something in the education department or health department. He doesn't have to do anything with the Delhi administration, he was just trying to save himself by opposing the ordinance," Sandeep Dikshit said. This is not the first time that the son of former Delhi Chief Minister Sheila Dikshit is launching barbs at Kejriwal. Earlier this month, Dikshit blamed Delhi Chief Minister, after the Bill to replace the ordinance for control of services in Delhi was passed in Parliament, saying his running power tussle and bitter exchanges with the Centre resulted in the draft legislation on the control of services in the national capital. The draft legislation on Delhi services was passed by the Parliament this month after the Rajya Sabha secured its passage by a comfortable margin. Congress was among the Opposition parties, which extended support to the AAP in its bid to thwart the passage of the Bill in Parliament after the two parties came together as part of the grand Opposition bloc INDIA. (ANI) Bashir Ahamad, brother of Hizb-Ul-Mujahideen terrorist Irshad Ahmad on Tuesday hoisted the National Flag at his residence in Jammu and Kashmirs Doda. Irshad Ahmad was declared a terrorist under the UAPA in October last year, for his links with terror organization. His brother Bashir Ahamad along with other family members organised an event to celebrate the 77th Independence Day. The children of the family also recited national songs and enjoyed the event to the fullest. Irshad joined militancy in 1994 and crossed to the other side of the Line of Actual Control (LAC). Talking to ANI, Bashir Ahamad said that "Hum Hindustani hai" and the country belongs to him. My mother suffered mental illness because of him. Our father also misses him. We have suffered a lot due to him. This country is ours. We are Indians; will die for it. This flag is our pride. As per sources, IrshadAhmad alias Idrees, is presently residing in Islamabad, Pakistan. Bashir is today holding and hoisting the national tricolour, sending a message that he belongs to this country and is against those who challenge its sovereignty. Appealing to the government to pave the way for the return of Irshad, Bashir openly criticized the ideology of his brother and said that we are safe and happy in India. He said that one should work together to build this nation instead of trying to weaken this. He (Irshad Ahmad) chose the wrong path. I appeal to the government to Irshad Ahmad, he said. Family members of militant Irshad Ahamd have repeatedly appealed to him to surrender before the armed forces and start a normal life. They also appealed to the government to bring their son back so he can join his other family members. It is pertinent to mention here that 119 militants are absconding from district Doda. (ANI) Hitting out at the Centre over the official renaming of the Nehru Memorial Museum and Library (NMML) in the national capital, Congress leader Jairam Ramesh on Wednesday said Prime Minister Narendra Modi can never take away the gigantic contributions of Jawaharlal Nehru in the country's freedom struggle. The Nehru Memorial Museum and Library (NMML) was officially renamed the Prime Ministers Museum and Library (PMML) by the Centre on Monday. Taking to his official handle on X, formerly Twitter, Ramesh posted on Wednesday, "From today, an iconic institution gets a new name. The world-renowned Nehru Memorial Museum and Library (NMML) becomes PMMLPrime Ministers Memorial Museum and Library. Mr Modi possesses a huge bundle of fears, complexes and insecurities, especially when it comes to our first and longest-serving Prime Minister. He has had a single-point agenda of denying, distorting, defaming and destroying Nehru and the Nehruvian legacy." "He has erased N and put P instead. That P is really for pettiness and peeve. But he can never take away Nehru's gigantic contributions to the freedom movement and his towering achievements in building the democratic, secular, scientific and liberal foundations of the Indian nation-state, all of which are now under assault by Mr Modi and his drumbeaters," the Congress leader added. Ramesh said further the legacy of Jawaharlal Nehru will continue to inspire future generations. "Despite the relentless assault, Jawaharlal Nehrus legacy will live on for the world to see and he will continue to inspire generations to come," he said. Earlier, on Wednesday, the vice-chairman of the Prime Ministers' Museum and Library (PMML), A Surya Prakash, took to X to inform of the renaming of the museum. "Nehru Memorial Museum and Library (NMML) is now Prime Ministers Museum and Library (PMML) Society w.e.f August 14, 2023 in tune with the democratisation and diversification of the remit of the society. Happy Independence Day! PM Narendra Modi, Rajnath Singh, Min Of Culture GoI, Prakash posted on X. The Union Culture Ministry put out an official word that it had decided to change the name of the Nehru Memorial Museum and Library Society to the Prime Ministers' Museum and Library Society. The decision was taken at a special meeting of the Memorial Museum and Library Society, which was presided over by Defence Minister Rajnath Singh, who is also the vice-president of the Society. The project was approved by Executive Council, NMML in its 162nd meeting held in November 2016. The Pradhanmantri Sangrahalaya was opened to the public on April 21, last year. The Culture Ministry, in its release, said the museum is a "seamless blend that begins at the renovated and refurbished Nehru Museum building, now completely updated with technologically advanced displays on the life and contribution of Jawaharlal Nehru". (ANI) Paying homage to former Prime Minister Atal Bihari Vajpayee on his fifth death anniversary, Union Home Minister Amit Shah on Wednesday called him the "Ajatshatru (one without enemies) of Indian politics", adding that he made an invaluable contribution to taking the party from zero to where it is at presently through his deft leadership andorganisational skills. Amit Shah took to X, formerly Twitter) to post, "Ajatshatru of Indian politics, the most respected Atal-ji established the highest standards of politics based on ideology and principles. With his strong will to serve the nation, on one hand, he laid the foundation of good governance and on the other hand, he introduced India's potential to the whole world from Pokhran. Millions of salutes to such a great man on his death anniversary, who made an invaluable contribution in taking the party from zero to peak with his organizational skills." Earlier today President Droupadi Murmu, Vice President Jagdeep Dhankar, Prime Minister Narendra Modi along with other party members and NDA allies leaders paid floral tributes at the Saidav Atal memorial in the national capital. NDA leaders including, NCP's Praful Patel, Union Minister and Apna Dal (Soneylal) leader Anupriya Patel and Hindustani Awam Morcha Jitan Ram Manjhi, also paid homage to the three-time former PM, who was conferred with the nation's highest civilian honour, Bharat Ratna. Lok Sabha Speaker Om Birla and Rajya Sabha Deputy Chairman Harivansh also laid floral tributes at the 'Sadaiv Atal' memorial. Born in Gwalior in 1924,Vajpayeewas the face of the BJP for decades and was the first non-Congress prime minister to have served a full term in office. Vajpayee served as the Prime Minister of India from May 16, 1996, to June 1, 1996, and again from 19 March 1998 to 22 May 2004. He also served as India's External Affairs Minister in the cabinet of Prime Minister Moraji Desai from 1977 to 1979. He passed away at AIIMS Hospital in Delhi on August 16 in 2018. After coming to power in 2014, Prime Minister Narendra Modi, in order to honour the former Prime Minister and Bharat Ratna recipient, declared that December 25 would be celebrated as Good Governance Day every year on his birthday. (ANI) Amid the hearing of petitions challenging the abrogation of Article 370 in the Supreme Court, former chief minister and Peoples Democratic Party (PDP) chief Mehbooba Mufti said that the Constitution of the country is on trial today. The Constitution bench of the Supreme Court headed by the Chief Justice Justice DY Chandrachud is hearing a batch of petitions challenging the abrogation of Article 370 of the Constitution and bifurcating the state into two Union Territories. It is the idea of India that is on trial today. It is the Constitution of the country, the judicial system, the democratic system is on trial today Mehbooba Mufti said. Speaking to the media, Mufti said I am very happy that Supreme Court is hearing the petitions challenging the abrogation of Article 370. It is not just a legal issue for me, it is an emotional issue for the people of J&K. We are extremely thankful to the lawyers for giving a voice to the voiceless people of J&K. The former Chief Minister of Jammu and Kashmir also accused the BJP of misusing their majority in the Parliament to subvert the Indian Constitution. The arguments that are going on in the SC have exposed the ruling party BJP that has misused their majority in the Parliament to subvert the Indian Constitution. They have taken away the special status of the people of J&K. The Constitution bench comprises Chief Justice of India DY Chandrachud, Justices Sanjay Kishan Kaul, Sanjiv Khanna, BR Gavai, and Surya Kant. The Centre abrogated the special status of Jammu and Kashmir under Article 370 on August 5, 2019. (ANI) The meeting was held at Chief Minister's office which was also attended by Deputy Chief Minister DK Shivakumar. "The Chief and Deputy Chief Minister gave their views on the matter of giving grants for the development works of the area and coordination in the party," an official statement said. During the meeting, the development of Bruhat Bengaluru Mahanagara Palike (BBMP) and the preparations for the upcoming Lok Sabha were also discussed. Karnataka Health Minister Dinesh Gundurao, Transport Minister Ramalingareddy, Housing Minister Jameer Ahmed Khan, Urban development minister Bairati Suresh, Congress MP DK Suresh including other leaders were present in the meeting. (ANI) According to officials, Director General of Police (DGP) Uttarakhand Ashok Kumar, honoured PRO official Inspector Lalita Negi, with the Meritorious Service Award for her distinguished work on the occasion of the country's 77th Independence Day. President Droupadi Murmu approved 76 Gallantry awards to Armed Forces and Central Armed Police Forces personnel on the occasion of 77th Independence Day, an official release said. These Gallantry awards include four Kirti Chakra (posthumous), 11 Shaurya Chakras, including five posthumous, two Bar to Sena Medals (Gallantry), 52 Sena Medals (Gallantry), three Nao Sena Medals (Gallantry) and four Vayu Sena Medals (Gallantry). The President also approved 30 Mention-in-Despatches to the Army, including to Army dog Madhu (Posthumous), and one to Air Force personnel for their significant contributions to different military operations. The operations include Operation Rakshak, Operation Snow Leopard, Operation Casualty Evacuation, Operation Mount Chomo, Operation Pangsau Pass, Operation Meghdoot, Operation Orchid, Operation Kalisham Valley, Rescue Operation and Operation Evacuation. The Government of India awarded gallantry medals to three Delhi Fire Service personnel for their distinguished services on the 77th Independence Day. Presidents Fire Service Medal for Distinguished Service is awarded to 8 personnel and Fire Service Medal for Meritorious Service is awarded to 41 personnel for their respective distinguished and meritorious records of services. (ANI) Uttar Pradesh Chief Minister Yogi Adityanath paid floral tribute at the statue of former Prime Minister Bharat Ratna Atal Bihari Vajpayee at Lok Bhavan on the occasion of his death anniversary on Wednesday. MLA Neeraj Bora, Chief Secretary Durga Shankar Mishra along with other ministers were also present at the occasion. Remembering Atal Bihari Vajpayee, CM Yogi wrote on his official 'X' (formerly known as Twitter) handle, "We have irrigated the holy path of duty with sweat, sometimes offering our tears and lives. But neither have we stopped in the journey, nor bowed down to challenges. Tributes to former Prime Minister, 'Bharat Ratna' and revered Atal Bihari Vajpayeeji on his death anniversary." Earlier today, Prime Minister Narendra Modi also paid tributes to BJP stalwart Atal Bihari Vajpayee on his death anniversary and said India greatly benefitted from his leadership. PM Modi took to the social media platform 'X' and said, "I join the 140 crore people of India in paying homage to the remarkable Atal Ji on his Punya Tithi. India benefitted greatly from his leadership. He played a pivotal role in boosting our nation's progress and in taking it to the 21st century in a wide range of sectors." Prime Minister Narendra Modi along with President Droupadi Murmu and Vice-President Jagdeep Dhankhar paid tributes to the former PM on his death anniversary today in a prayer meeting at 'Sadaiv Atal' in Delhi. Union Home Minister Amit Shah, members of the Cabinet and leaders from different parties also attended the prayer meeting at 'Sadaiv Atal', the memorial of the former prime minister. Born in Gwalior in 1924,Vajpayeewas the face of the BJP for decades and was the first non-Congress prime minister to have served a full term in office. Vajpayee served as the Prime Minister of India from May 16, 1996, to June 1, 1996, and again from 19 March 1998 to 22 May 2004. He also served as India's External Affairs Minister in the cabinet of Prime Minister Moraji Desai from 1977 to 1979. He passed away at AIIMS Hospital in Delhi on August 16 in 2018. (ANI)